cedd lec pics

This commit is contained in:
Ivan I. Ovchinnikov 2023-03-10 18:02:23 +03:00
parent 267a69c0da
commit 11f7949694
14 changed files with 10853 additions and 36 deletions

View File

@ -322,25 +322,31 @@ $z$ -- номер зоны. Пусть ширина полосы = 4МГц, це
\section{Квантование}
Дискретный сигнал -- это сигнал с конечным количеством отсчётов, но разрядность пока бесконечна, поэтому возможно применить разрядность АЦП. Передаточная характеристика идеального квантователя
(5)
\begin{figure}[H]
\centering
\fontsize{12}{1}\selectfont
\includesvg[scale=1.01]{pics/04-cedd-00-sig-sampling-err.svg}
\caption{Квантование}
\end{figure}
явно теряем в точности.
Signal-Noise-Ratio
Явно теряем в точности. Основной параметр -- Signal-Noise-Ratio
\[SNR = 6,02N + 1,76dB\]
N -- разрядность. Сигнал -- нестационарный процесс, имеет равномерное распределение от 0 до $f_s/2$, не коррелирует со входным сигналом, матмодель - входной сигнал умножается на шум квантования.
Если аналоговая частота больше -- есть более общий вариант
N -- разрядность. Сигнал -- нестационарный процесс, имеет равномерное распределение от $0$ до $f_s/2$, не коррелирует со входным сигналом, матмодель -- входной сигнал умножается на шум квантования. Если аналоговая частота больше -- есть более общий вариант
\[SNR = 6,02N + 1,76dB +10\log_{10}(\frac{f_s}{2f_a})\]
Если частота дискретизации много больше - частота увеличилась, а разрядность не увеличитася, тогда шум уменьшается. Шум всегда будет коррелировать с сигналом, это можно использовать, подав собственный шум на низкой частоте.
Если частота дискретизации много больше -- частота увеличилась, а разрядность не увеличитася, тогда шум уменьшается. Шум всегда будет коррелировать с сигналом, это можно использовать, подав собственный шум на низкой частоте.
\section{Характеристики АЦП}
(6)
\begin{figure}[H]
\centering
\fontsize{12}{1}\selectfont
\includesvg[scale=1.01]{pics/04-cedd-00-sig-sampling-check.svg}
\caption{}
\end{figure}
память+пэвм -- способ проверить АЦП для своей системы.
Характеристики могут быть статические и динамические.
@ -354,62 +360,136 @@ N -- разрядность. Сигнал -- нестационарный про
\item ошибка смещения offset error
\end{itemize}
(7) ПХ идеального АЦП, все центры кода лежат на прямой
(8) пример ПХ реального АЦП.
\begin{figure}[H]
\centering
\fontsize{12}{1}\selectfont
\includesvg[scale=1.01]{pics/04-cedd-00-perfect-tc.svg}
\caption{Передаточная характеристика идеального АЦП}
\end{figure}
ПХ идеального АЦП -- все центры кода лежат на прямой линии симметрии
\begin{figure}[H]
\centering
\fontsize{12}{1}\selectfont
\includesvg[scale=1.01]{pics/04-cedd-00-real-tc.svg}
\caption{Пример ПХ реального АЦП}
\end{figure}
Дифференциальная нелинейность -- наибольшее отклонение ширины кода от идеального значения в МЗР или процентах от полной шкалы.
Интегральная нелинейность -- наихудшее отклонение центра кода от прямой, также измеряется в МЗР или процентах от полной шкалы.
Пропущенные коды формируется из первых двух. в примере 8 кода 011 не будет, в даташите будет написано no missing code если например (N=14) то на младших разрядах можем уже не видеть биты.
Пропущенные коды формируется из первых двух. в примере 8 кода 011 не будет, в даташите будет написано no missing code если например ($N=14$) то на младших разрядах можем уже не видеть биты. Эти ошибки невозможно исправить
Эти ошибки невозможно исправить
Ошибка смещения -- это аддитивная добавка напряжения на входе проценты от шкалы.
Ошибка смещения - это аддитивная добавка напряжения на входе проценты от шкалы
ошибка усиления - угол начального наклона (мультипликативная ошибка)
Эти ошибки возможно исправить программно или внешними аналоговыми цепями.
Ошибка усиления -- угол начального наклона (мультипликативная ошибка). Эти ошибки возможно исправить программно или внешними аналоговыми цепями.
\subsection{Динамические характеристики}
\begin{itemize}
\item Реальное отношение сигнал-шум ($SNR_{real}$). Реальная характеристика точно будет отличаться. Точность разрядности возможно посчитать по формуле
\[\frac{1}{LSB} \cdot 100\%\]
для N=8 это 0.4\%. SNR = 48dB(1,76dB). Младшие биты АЦП всегда шумят, тест нужно делать на постоянном токе (например, замкнуть на землю, при условии, что земля не дрожжит).
для $N=8$ это $0.4\%$. $SNR = 48dB(+1,76dB)$. Младшие биты АЦП всегда шумят, тест нужно делать на постоянном токе (например, замкнуть на землю, при условии, что земля не дрожжит).
N=8, SNR=49,7dB. реальный может быть 48,1 или 47,1 (первый лучше) зависит от частоты типовой график
(9)
обратный график - эффективное число бит
$N=8$, $SNR=49,7dB$. реальный может быть 48,1 или 47,1 (первый лучше) зависит от частоты
\begin{figure}[H]
\centering
\fontsize{12}{1}\selectfont
\includesvg[scale=1.01]{pics/04-cedd-00-typical-snr.svg}
\caption{Типовой график}
\end{figure}
обратный график - эффективное число бит
\[ENoB = \frac{SNR_{real} - 1,76dB}{6,02}\]
\item Коэффициент гармонических искажений Total Harmonic Distortion -- отражает качество и линейность кармоник. Чем меньше брать в расчёт гармоник - тем легче продать. $THD=\frac{A_1}{\sqrt{A_2^2+A_3^2...}}\%$. AD -- считает по 5 гармоникам, TI -- по 7.
(10)
\begin{figure}[H]
\centering
\fontsize{12}{1}\selectfont
\includesvg[scale=1.01]{pics/04-cedd-00-typical-thd.svg}
\caption{Типовой график}
\end{figure}
\item сигнал шум и искажение (SINAD) типовая схема 4096 отсчётов. более качественный параметр.
\item свободный динамический диапазон (SFDR spurious free dynamic range) -- свободный от наиболее мешающих компонент. иногда указывают самый мешающий компонент peak spurious (dB). эта характеристика всегда больше СШ. ДД замеряется по самой высокой гармонике.
(11)
\item частотная характеристика: полномощная полоса пропускания (full-power bandwidth) -- частота на которой амплитуда реконструируемой синусоиды отличается на -3дБ.
(12)
\item частотная характеристика: полнолинейная полоса (Full linear bandwidth) срез -0,1дБ, показывает где АЧХ максимально плоская.
\begin{figure}[H]
\centering
\fontsize{12}{1}\selectfont
\includesvg[scale=1.01]{pics/04-cedd-00-sfdr.svg}
\end{figure}
\item частотная характеристика: полномощная полоса пропускания (full-power bandwidth) -- частота на которой амплитуда реконструируемой синусоиды отличается на $-3$дБ.
\begin{figure}[H]
\centering
\fontsize{12}{1}\selectfont
\includesvg[scale=1.01]{pics/04-cedd-00-fpb.svg}
\end{figure}
\item частотная характеристика: полнолинейная полоса (Full linear bandwidth) срез $-0,1$дБ, показывает где АЧХ максимально плоская.
\item при интермодуляции в общем случае появляются гармоники каждой частоты (кубические составляющие).
(13) продукт интермодуляционных искажений
\begin{figure}[H]
\centering
\fontsize{12}{1}\selectfont
\includesvg[scale=1.01]{pics/04-cedd-00-imd.svg}
\caption{Продукт интермодуляционных искажений}
\end{figure}
\begin{equation*}
\begin{gathered}
2: f_2-f_1, f_2+f_1;\\
3: 2f_1+f_2, 2f_1-f_2, f_1+2f_2, f_1-2f_2;\\
...
\end{gathered}
\end{equation*}
Intermodulation distortion (IMD). обычно используется сигнальный тест на два компонента и используются продукты второго и третьего порядка.
\[ IMD = \frac{\sqrt{a_{f_1}^2 + a_{f_2}^2}}{\sqrt{\text{сумма квадратов коэффициентов интермодуляции}}} \%\]
\[ IMD = \frac{\sqrt{a_{f_1}^2 + a_{f_2}^2}}{\sqrt{\sum(\text{коэффициенты интермодуляции})^2}} \%\]
В ВЧ и радиочастотных цепях -- это критическая характеристика. Измеряется также через БПФ.
\item время восстановления после перенапряжения. overvoltage recovery.
(14) время восстановления измерения с приемлемой точностью (1МЗР)
Может возникнуть от помех или наводок, индустриальные помехи, итд.
\begin{figure}[H]
\centering
\fontsize{12}{1}\selectfont
\includesvg[scale=1.01]{pics/04-cedd-00-ovr.svg}
\end{figure}
время восстановления измерения с приемлемой точностью (1МЗР). Может возникнуть от помех или наводок, индустриальные помехи, итд.
\item время установления выходного напряжения (setting time, $t_{set}$) -- фактически, переходная характеристика.
(15)
\begin{figure}[H]
\centering
\fontsize{12}{1}\selectfont
\includesvg[scale=1.01]{pics/04-cedd-00-set.svg}
\end{figure}
характеристика может быть критична для многоканальных систем (мультиплексор перед АЦП) частота переключения каналов.
\item апертурная неопределённость (апертурный джиттер, aperture jitter). дрожание фазы
(16)
\begin{figure}[H]
\centering
\fontsize{12}{1}\selectfont
\includesvg[scale=1.01]{pics/04-cedd-00-jitter.svg}
\end{figure}
Если это тактовый сигнал который подаётся на АЦП, даётся среднеквадратичное значение.
(17)
\begin{figure}[H]
\centering
\fontsize{12}{1}\selectfont
\includesvg[scale=1.01]{pics/04-cedd-00-slope.svg}
\end{figure}
влияет на динамический диапазон (напрямую зависит от скорости нарастания сигнала (вольт/мкс)). Если величина этой ошибки превышает 1МЗР -- она становится определяющей. Тогда это нужно определять выходную частоту.
(18)
Фазовые шумы ТИ накладываются на внутренние фазовые шумы АЦП и так теряются разряды. ТИ должны идти в ту же сторону, что и распространение сигнала. Обязательно через внешние драйверы ТИ с нулевой задержкой. Эмиттерно-селективная логика, дифференциальные сигналы. Снизить шумы позволяют clock-cleaner на основе ФАПЧ.
\begin{equation*}
\begin{gathered}
\left.\frac{dv}{dt}\right|_{t_0} = U_a\omega_{\max}\\
\Delta v = u_a\omega_{\max} \Delta t_a\\
\Delta v = \frac{1}{2}LSB = \frac{2u_a}{2^{N+1}}\\
\omega_{max} = \frac{1}{2\pi\Delta t_a 2^{N+1}}
\end{gathered}
\end{equation*}
где N -- разрядность АЦП. Фазовые шумы ТИ накладываются на внутренние фазовые шумы АЦП и так теряются разряды. ТИ должны идти в ту же сторону, что и распространение сигнала. Обязательно через внешние драйверы ТИ с нулевой задержкой. Эмиттерно-селективная логика, дифференциальные сигналы. Снизить шумы позволяют clock-cleaner на основе ФАПЧ.
\end{itemize}

1054
pics/04-cedd-00-fpb.svg Normal file

File diff suppressed because it is too large Load Diff

After

Width:  |  Height:  |  Size: 36 KiB

1303
pics/04-cedd-00-imd.svg Normal file

File diff suppressed because it is too large Load Diff

After

Width:  |  Height:  |  Size: 43 KiB

1251
pics/04-cedd-00-jitter.svg Normal file

File diff suppressed because it is too large Load Diff

After

Width:  |  Height:  |  Size: 39 KiB

1203
pics/04-cedd-00-ovr.svg Normal file

File diff suppressed because it is too large Load Diff

After

Width:  |  Height:  |  Size: 37 KiB

View File

@ -0,0 +1,581 @@
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="210mm"
height="297mm"
viewBox="0 0 210 297"
version="1.1"
id="svg8"
sodipodi:docname="04-cedd-00-perfect-tc.svg"
inkscape:version="1.0.2 (e86c870879, 2021-01-15)">
<defs
id="defs2">
<marker
style="overflow:visible"
id="marker2310"
refX="0"
refY="0"
orient="auto"
inkscape:stockid="Arrow2Mend"
inkscape:isstock="true">
<path
transform="scale(-0.6)"
d="M 8.7185878,4.0337352 -2.2072895,0.01601326 8.7185884,-4.0017078 c -1.7454984,2.3720609 -1.7354408,5.6174519 -6e-7,8.035443 z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.625;stroke-linejoin:round;stroke-opacity:1"
id="path2308" />
</marker>
<marker
style="overflow:visible"
id="marker2270"
refX="0"
refY="0"
orient="auto"
inkscape:stockid="Arrow2Mend"
inkscape:isstock="true">
<path
transform="scale(-0.6)"
d="M 8.7185878,4.0337352 -2.2072895,0.01601326 8.7185884,-4.0017078 c -1.7454984,2.3720609 -1.7354408,5.6174519 -6e-7,8.035443 z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.625;stroke-linejoin:round;stroke-opacity:1"
id="path2268" />
</marker>
<marker
style="overflow:visible"
id="marker2200"
refX="0"
refY="0"
orient="auto"
inkscape:stockid="Arrow2Mend"
inkscape:isstock="true"
inkscape:collect="always">
<path
transform="scale(-0.6)"
d="M 8.7185878,4.0337352 -2.2072895,0.01601326 8.7185884,-4.0017078 c -1.7454984,2.3720609 -1.7354408,5.6174519 -6e-7,8.035443 z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.625;stroke-linejoin:round;stroke-opacity:1"
id="path2198" />
</marker>
<marker
style="overflow:visible"
id="marker2172"
refX="0"
refY="0"
orient="auto"
inkscape:stockid="Arrow2Mend"
inkscape:isstock="true">
<path
transform="scale(-0.6)"
d="M 8.7185878,4.0337352 -2.2072895,0.01601326 8.7185884,-4.0017078 c -1.7454984,2.3720609 -1.7354408,5.6174519 -6e-7,8.035443 z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.625;stroke-linejoin:round;stroke-opacity:1"
id="path2170" />
</marker>
<marker
style="overflow:visible"
id="marker1123"
refX="0"
refY="0"
orient="auto"
inkscape:stockid="Arrow2Mend"
inkscape:isstock="true"
inkscape:collect="always">
<path
transform="scale(-0.6)"
d="M 8.7185878,4.0337352 -2.2072895,0.01601326 8.7185884,-4.0017078 c -1.7454984,2.3720609 -1.7354408,5.6174519 -6e-7,8.035443 z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.625;stroke-linejoin:round;stroke-opacity:1"
id="path1121" />
</marker>
<marker
style="overflow:visible"
id="marker1133"
refX="0"
refY="0"
orient="auto"
inkscape:stockid="Arrow2Mend"
inkscape:isstock="true"
inkscape:collect="always">
<path
transform="scale(-0.6)"
d="M 8.7185878,4.0337352 -2.2072895,0.01601326 8.7185884,-4.0017078 c -1.7454984,2.3720609 -1.7354408,5.6174519 -6e-7,8.035443 z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.625;stroke-linejoin:round;stroke-opacity:1"
id="path1131" />
</marker>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="2.8"
inkscape:cx="339.20156"
inkscape:cy="173.88481"
inkscape:document-units="mm"
inkscape:current-layer="layer1"
inkscape:document-rotation="0"
showgrid="true"
inkscape:snap-bbox="true"
inkscape:snap-bbox-edge-midpoints="false"
inkscape:bbox-nodes="true"
inkscape:window-width="2209"
inkscape:window-height="1205"
inkscape:window-x="1"
inkscape:window-y="28"
inkscape:window-maximized="0">
<inkscape:grid
type="xygrid"
id="grid833" />
</sodipodi:namedview>
<metadata
id="metadata5">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title></dc:title>
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1">
<path
style="fill:none;stroke:#000000;stroke-width:0.265;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#marker1123)"
d="M 66.145833,84.666667 V 21.166662"
id="path835"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.265;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#marker1133)"
d="M 66.145833,84.666667 H 129.64583"
id="path837"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.465;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
d="m 127,26.458328 h -5.29167 v 5.291666 h -5.29167 v 5.291667 H 111.125 v 5.291667 h -5.29167 v 5.291666 h -5.29166 v 5.291667 h -5.291669 v 5.291666 h -5.291667 v 5.291667 h -5.291667 v 5.291667 h -5.291666 v 5.291666 h -5.291667 v 5.29167 h -5.291667 v 5.29167"
id="path1225" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="M 66.145833,84.666667 127,23.812495"
id="path1227"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.26458299;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:0.52916597,1.58749792;stroke-dashoffset:0"
d="M 68.791666,79.374999 H 63.499999"
id="path1831" />
<path
style="fill:none;stroke:#000000;stroke-width:0.26458299;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:0.52916597,1.58749792;stroke-dashoffset:0"
d="M 74.083332,74.083332 H 63.499999"
id="path1833" />
<path
style="fill:none;stroke:#000000;stroke-width:0.26458299;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:0.52916597,1.58749792;stroke-dashoffset:0"
d="m 79.374999,68.791666 h -15.875"
id="path1835" />
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="54.239582"
y="80.697914"
id="text1843"><tspan
sodipodi:role="line"
id="tspan1841"
x="54.239582"
y="80.697914"
style="stroke-width:0.264583">000</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="54.239582"
y="75.40625"
id="text1847"><tspan
sodipodi:role="line"
id="tspan1845"
x="54.239582"
y="75.40625"
style="stroke-width:0.264583">001</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="54.239582"
y="70.114586"
id="text1851"><tspan
sodipodi:role="line"
id="tspan1849"
x="54.239582"
y="70.114586"
style="stroke-width:0.264583">010</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="54.239582"
y="64.822914"
id="text1855"><tspan
sodipodi:role="line"
id="tspan1853"
x="54.239582"
y="64.822914"
style="stroke-width:0.264583">011</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="54.239582"
y="59.53125"
id="text1859"><tspan
sodipodi:role="line"
id="tspan1857"
x="54.239582"
y="59.53125"
style="stroke-width:0.264583">100</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="54.239582"
y="54.239582"
id="text1863"><tspan
sodipodi:role="line"
id="tspan1861"
x="54.239582"
y="54.239582"
style="stroke-width:0.264583">101</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="54.239582"
y="48.947918"
id="text1867"><tspan
sodipodi:role="line"
id="tspan1865"
x="54.239582"
y="48.947918"
style="stroke-width:0.264583">110</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="54.239582"
y="43.65625"
id="text1871"><tspan
sodipodi:role="line"
id="tspan1869"
x="54.239582"
y="43.65625"
style="stroke-width:0.264583">111</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.26458299;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:0.52916597,1.58749792;stroke-dashoffset:0"
d="M 84.666666,63.499999 H 63.499999"
id="path1873" />
<path
style="fill:none;stroke:#000000;stroke-width:0.26458299;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:0.52916597,1.58749792;stroke-dashoffset:0"
d="M 89.958332,58.208333 H 63.499999"
id="path1875" />
<path
style="fill:none;stroke:#000000;stroke-width:0.26458299;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:0.52916597,1.58749792;stroke-dashoffset:0"
d="m 95.249999,52.916666 h -31.75"
id="path1877" />
<path
style="fill:none;stroke:#000000;stroke-width:0.26458299;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:0.52916597,1.58749792;stroke-dashoffset:0"
d="M 100.54167,47.624999 H 63.499999"
id="path1879" />
<path
style="fill:none;stroke:#000000;stroke-width:0.26458299;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:0.52916597,1.58749792;stroke-dashoffset:0"
d="M 105.83333,42.333333 H 63.499999"
id="path1881" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:0.529166, 1.5875;stroke-dashoffset:0;stroke-opacity:1"
d="m 74.083333,79.375 v 7.9375"
id="path1831-2"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:0.529166, 1.5875;stroke-dashoffset:0;stroke-opacity:1"
d="M 79.375,74.083333 V 87.3125"
id="path1833-6"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:0.529166, 1.5875;stroke-dashoffset:0;stroke-opacity:1"
d="M 84.666667,68.791667 V 87.3125"
id="path1835-1"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:0.529166, 1.5875;stroke-dashoffset:0;stroke-opacity:1"
d="M 89.958333,63.5 V 87.3125"
id="path1873-8"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:0.529166, 1.5875;stroke-dashoffset:0;stroke-opacity:1"
d="M 95.25,58.208333 V 87.3125"
id="path1875-7"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:0.529166, 1.5875;stroke-dashoffset:0;stroke-opacity:1"
d="M 100.54167,52.916667 V 87.3125"
id="path1877-9"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:0.529166, 1.5875;stroke-dashoffset:0;stroke-opacity:1"
d="M 105.83333,47.625 V 87.3125"
id="path1879-2"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:0.529166, 1.5875;stroke-dashoffset:0;stroke-opacity:1"
d="M 111.125,42.333333 V 87.3125"
id="path1881-0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="72.760414"
y="91.28125"
id="text1933"><tspan
sodipodi:role="line"
id="tspan1931"
x="72.760414"
y="91.28125"
style="stroke-width:0.264583">$\frac{1}{8}$</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="78.052086"
y="91.28125"
id="text1937"><tspan
sodipodi:role="line"
id="tspan1935"
x="78.052086"
y="91.28125"
style="stroke-width:0.264583">$\frac{1}{4}$</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="83.34375"
y="91.28125"
id="text1941"><tspan
sodipodi:role="line"
id="tspan1939"
x="83.34375"
y="91.28125"
style="stroke-width:0.264583">$\frac{3}{8}$</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="88.635414"
y="91.28125"
id="text1945"><tspan
sodipodi:role="line"
id="tspan1943"
x="88.635414"
y="91.28125"
style="stroke-width:0.264583">$\frac{1}{2}$</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="93.927086"
y="91.28125"
id="text1949"><tspan
sodipodi:role="line"
id="tspan1947"
x="93.927086"
y="91.28125"
style="stroke-width:0.264583">$\frac{5}{8}$</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="99.21875"
y="91.28125"
id="text1953"><tspan
sodipodi:role="line"
id="tspan1951"
x="99.21875"
y="91.28125"
style="stroke-width:0.264583">$\frac{3}{4}$</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="104.51041"
y="91.28125"
id="text1957"><tspan
sodipodi:role="line"
id="tspan1955"
x="104.51041"
y="91.28125"
style="stroke-width:0.264583">$\frac{7}{8}$</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="109.80208"
y="91.28125"
id="text1961"><tspan
sodipodi:role="line"
id="tspan1959"
x="109.80208"
y="91.28125"
style="stroke-width:0.264583">$f_s$</tspan></text>
<circle
id="path2056"
style="fill:#000000;stroke:none;stroke-width:0.264583"
cx="71.4375"
cy="79.375"
r="0.79374999" />
<circle
id="path2056-2"
style="fill:#000000;stroke:none;stroke-width:0.264583"
cx="76.729164"
cy="74.083336"
r="0.79374999" />
<circle
id="path2056-3"
style="fill:#000000;stroke:none;stroke-width:0.264583"
cx="82.020836"
cy="68.791664"
r="0.79374999" />
<circle
id="path2056-7"
style="fill:#000000;stroke:none;stroke-width:0.264583"
cx="87.3125"
cy="63.5"
r="0.79374999" />
<circle
id="path2056-5"
style="fill:#000000;stroke:none;stroke-width:0.264583"
cx="92.604164"
cy="58.208332"
r="0.79374999" />
<circle
id="path2056-9"
style="fill:#000000;stroke:none;stroke-width:0.264583"
cx="97.895836"
cy="52.916668"
r="0.79374999" />
<circle
id="path2056-22"
style="fill:#000000;stroke:none;stroke-width:0.264583"
cx="103.1875"
cy="47.625"
r="0.79374999" />
<circle
id="path2056-8"
style="fill:#000000;stroke:none;stroke-width:0.264583"
cx="108.47916"
cy="42.333332"
r="0.79374999" />
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="120.38541"
y="44.979168"
id="text2134"><tspan
sodipodi:role="line"
id="tspan2132"
x="120.38541"
y="44.979168"
style="stroke-width:0.264583">центр кода</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="125.67708"
y="38.364582"
id="text2138"><tspan
sodipodi:role="line"
id="tspan2136"
x="125.67708"
y="38.364582"
style="stroke-width:0.264583" /></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="125.67708"
y="31.75"
id="text2142"><tspan
sodipodi:role="line"
id="tspan2140"
x="125.67708"
y="31.75"
style="stroke-width:0.264583">линия</tspan><tspan
sodipodi:role="line"
x="125.67708"
y="37.923626"
style="stroke-width:0.264583"
id="tspan2144">симметрии</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="87.3125"
y="30.427082"
id="text2148"><tspan
sodipodi:role="line"
id="tspan2146"
x="87.3125"
y="30.427082"
style="stroke-width:0.264583">ширина кода</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="70.114586"
y="37.041668"
id="text2152"><tspan
sodipodi:role="line"
id="tspan2150"
x="70.114586"
y="37.041668"
style="stroke-width:0.264583">переход кода</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 63.499999,42.333333 h 7.9375"
id="path2154" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 71.437499,47.624999 h -7.9375"
id="path2156" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker2200)"
d="m 68.791666,39.6875 v 2.645833"
id="path2158" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker2172)"
d="M 68.791666,50.270833 V 47.624999"
id="path2160" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 68.791666,42.333333 v 5.291666"
id="path2162" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 68.791666,44.979166 2.645833,-6.614583 h 13.229167"
id="path2250" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="M 105.83333,42.333333 V 33.072916"
id="path2252" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 111.125,37.041666 v -3.96875"
id="path2254" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker2310)"
d="M 113.77083,34.395833 H 111.125"
id="path2256" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker2270)"
d="m 103.1875,34.395833 h 2.64583"
id="path2258" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 111.125,34.395833 h -5.29167"
id="path2260" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="M 108.47917,34.395833 105.83333,31.75 h -9.260415"
id="path2372" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="M 132.29166,46.302083 H 119.0625 l -10.58333,-3.96875"
id="path2374" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 138.90625,39.6875 h -13.22917 l -7.9375,-6.614584"
id="path2376" />
</g>
</svg>

After

Width:  |  Height:  |  Size: 24 KiB

474
pics/04-cedd-00-real-tc.svg Normal file
View File

@ -0,0 +1,474 @@
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="210mm"
height="297mm"
viewBox="0 0 210 297"
version="1.1"
id="svg8"
sodipodi:docname="04-cedd-00-real-tc.svg"
inkscape:version="1.0.2 (e86c870879, 2021-01-15)">
<defs
id="defs2">
<inkscape:path-effect
effect="bspline"
id="path-effect2538"
is_visible="true"
lpeversion="1"
weight="33.333333"
steps="2"
helper_size="0"
apply_no_weight="true"
apply_with_weight="true"
only_selected="false" />
<marker
style="overflow:visible"
id="marker2310"
refX="0"
refY="0"
orient="auto"
inkscape:stockid="Arrow2Mend"
inkscape:isstock="true">
<path
transform="scale(-0.6)"
d="M 8.7185878,4.0337352 -2.2072895,0.01601326 8.7185884,-4.0017078 c -1.7454984,2.3720609 -1.7354408,5.6174519 -6e-7,8.035443 z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.625;stroke-linejoin:round;stroke-opacity:1"
id="path2308" />
</marker>
<marker
style="overflow:visible"
id="marker2270"
refX="0"
refY="0"
orient="auto"
inkscape:stockid="Arrow2Mend"
inkscape:isstock="true">
<path
transform="scale(-0.6)"
d="M 8.7185878,4.0337352 -2.2072895,0.01601326 8.7185884,-4.0017078 c -1.7454984,2.3720609 -1.7354408,5.6174519 -6e-7,8.035443 z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.625;stroke-linejoin:round;stroke-opacity:1"
id="path2268" />
</marker>
<marker
style="overflow:visible"
id="marker2172"
refX="0"
refY="0"
orient="auto"
inkscape:stockid="Arrow2Mend"
inkscape:isstock="true">
<path
transform="scale(-0.6)"
d="M 8.7185878,4.0337352 -2.2072895,0.01601326 8.7185884,-4.0017078 c -1.7454984,2.3720609 -1.7354408,5.6174519 -6e-7,8.035443 z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.625;stroke-linejoin:round;stroke-opacity:1"
id="path2170" />
</marker>
<marker
style="overflow:visible"
id="marker1123"
refX="0"
refY="0"
orient="auto"
inkscape:stockid="Arrow2Mend"
inkscape:isstock="true"
inkscape:collect="always">
<path
transform="scale(-0.6)"
d="M 8.7185878,4.0337352 -2.2072895,0.01601326 8.7185884,-4.0017078 c -1.7454984,2.3720609 -1.7354408,5.6174519 -6e-7,8.035443 z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.625;stroke-linejoin:round;stroke-opacity:1"
id="path1121" />
</marker>
<marker
style="overflow:visible"
id="marker1133"
refX="0"
refY="0"
orient="auto"
inkscape:stockid="Arrow2Mend"
inkscape:isstock="true"
inkscape:collect="always">
<path
transform="scale(-0.6)"
d="M 8.7185878,4.0337352 -2.2072895,0.01601326 8.7185884,-4.0017078 c -1.7454984,2.3720609 -1.7354408,5.6174519 -6e-7,8.035443 z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.625;stroke-linejoin:round;stroke-opacity:1"
id="path1131" />
</marker>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="1.979899"
inkscape:cx="201.3582"
inkscape:cy="117.50344"
inkscape:document-units="mm"
inkscape:current-layer="layer1"
inkscape:document-rotation="0"
showgrid="true"
inkscape:snap-bbox="true"
inkscape:snap-bbox-edge-midpoints="false"
inkscape:bbox-nodes="true"
inkscape:window-width="2209"
inkscape:window-height="1205"
inkscape:window-x="1"
inkscape:window-y="28"
inkscape:window-maximized="0">
<inkscape:grid
type="xygrid"
id="grid833" />
</sodipodi:namedview>
<metadata
id="metadata5">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title></dc:title>
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1">
<path
style="fill:none;stroke:#000000;stroke-width:0.265;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#marker1123)"
d="M 66.145833,84.666667 V 21.166662"
id="path835"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.265;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#marker1133)"
d="M 66.145833,84.666667 H 129.64583"
id="path837"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.465;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
d="m 127,26.458328 h -5.29167 v 5.291666 h -5.29167 v 5.291667 H 111.125 v 5.291667 h -5.29167 l -5.29166,5e-6 0,5.291661 v 5.291667 h -5.291669 v 5.291666 l -2.645834,6e-6 c 0,3.496436 0,7.404005 0,10.583334 l -11.90625,0 v 5.291666 h -3.96875 V 79.375 h -7.9375 v 5.291667"
id="path1225"
sodipodi:nodetypes="ccccccccccccccccccccc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="M 66.145833,84.666667 127,23.812495"
id="path1227"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.26458299;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:0.52916597,1.58749792;stroke-dashoffset:0"
d="M 68.791666,79.374999 H 63.499999"
id="path1831" />
<path
style="fill:none;stroke:#000000;stroke-width:0.26458299;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:0.52916597,1.58749792;stroke-dashoffset:0"
d="M 74.083332,74.083332 H 63.499999"
id="path1833" />
<path
style="fill:none;stroke:#000000;stroke-width:0.26458299;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:0.52916597,1.58749792;stroke-dashoffset:0"
d="m 79.374999,68.791666 h -15.875"
id="path1835" />
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="54.239582"
y="80.697914"
id="text1843"><tspan
sodipodi:role="line"
id="tspan1841"
x="54.239582"
y="80.697914"
style="stroke-width:0.264583">000</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="54.239582"
y="75.40625"
id="text1847"><tspan
sodipodi:role="line"
id="tspan1845"
x="54.239582"
y="75.40625"
style="stroke-width:0.264583">001</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="54.239582"
y="70.114586"
id="text1851"><tspan
sodipodi:role="line"
id="tspan1849"
x="54.239582"
y="70.114586"
style="stroke-width:0.264583">010</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="54.239582"
y="64.822914"
id="text1855"><tspan
sodipodi:role="line"
id="tspan1853"
x="54.239582"
y="64.822914"
style="stroke-width:0.264583">011</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="54.239582"
y="59.53125"
id="text1859"><tspan
sodipodi:role="line"
id="tspan1857"
x="54.239582"
y="59.53125"
style="stroke-width:0.264583">100</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="54.239582"
y="54.239582"
id="text1863"><tspan
sodipodi:role="line"
id="tspan1861"
x="54.239582"
y="54.239582"
style="stroke-width:0.264583">101</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="54.239582"
y="48.947918"
id="text1867"><tspan
sodipodi:role="line"
id="tspan1865"
x="54.239582"
y="48.947918"
style="stroke-width:0.264583">110</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="54.239582"
y="43.65625"
id="text1871"><tspan
sodipodi:role="line"
id="tspan1869"
x="54.239582"
y="43.65625"
style="stroke-width:0.264583">111</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.26458299;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:0.52916597,1.58749792;stroke-dashoffset:0"
d="M 84.666666,63.499999 H 63.499999"
id="path1873" />
<path
style="fill:none;stroke:#000000;stroke-width:0.26458299;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:0.52916597,1.58749792;stroke-dashoffset:0"
d="M 89.958332,58.208333 H 63.499999"
id="path1875" />
<path
style="fill:none;stroke:#000000;stroke-width:0.26458299;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:0.52916597,1.58749792;stroke-dashoffset:0"
d="m 95.249999,52.916666 h -31.75"
id="path1877" />
<path
style="fill:none;stroke:#000000;stroke-width:0.26458299;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:0.52916597,1.58749792;stroke-dashoffset:0"
d="M 100.54167,47.624999 H 63.499999"
id="path1879" />
<path
style="fill:none;stroke:#000000;stroke-width:0.26458299;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:0.52916597,1.58749792;stroke-dashoffset:0"
d="M 105.83333,42.333333 H 63.499999"
id="path1881" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:0.529166, 1.5875;stroke-dashoffset:0;stroke-opacity:1"
d="m 74.083333,79.375 v 7.9375"
id="path1831-2"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:0.529166, 1.5875;stroke-dashoffset:0;stroke-opacity:1"
d="M 79.375,74.083333 V 87.3125"
id="path1833-6"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:0.529166, 1.5875;stroke-dashoffset:0;stroke-opacity:1"
d="M 84.666667,68.791667 V 87.3125"
id="path1835-1"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:0.529166, 1.5875;stroke-dashoffset:0;stroke-opacity:1"
d="M 89.958333,63.5 V 87.3125"
id="path1873-8"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:0.529166, 1.5875;stroke-dashoffset:0;stroke-opacity:1"
d="M 95.25,58.208333 V 87.3125"
id="path1875-7"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:0.529166, 1.5875;stroke-dashoffset:0;stroke-opacity:1"
d="M 100.54167,52.916667 V 87.3125"
id="path1877-9"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:0.529166, 1.5875;stroke-dashoffset:0;stroke-opacity:1"
d="M 105.83333,47.625 V 87.3125"
id="path1879-2"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:0.529166, 1.5875;stroke-dashoffset:0;stroke-opacity:1"
d="M 111.125,42.333333 V 87.3125"
id="path1881-0"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="72.760414"
y="91.28125"
id="text1933"><tspan
sodipodi:role="line"
id="tspan1931"
x="72.760414"
y="91.28125"
style="stroke-width:0.264583">$\frac{1}{8}$</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="78.052086"
y="91.28125"
id="text1937"><tspan
sodipodi:role="line"
id="tspan1935"
x="78.052086"
y="91.28125"
style="stroke-width:0.264583">$\frac{1}{4}$</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="83.34375"
y="91.28125"
id="text1941"><tspan
sodipodi:role="line"
id="tspan1939"
x="83.34375"
y="91.28125"
style="stroke-width:0.264583">$\frac{3}{8}$</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="88.635414"
y="91.28125"
id="text1945"><tspan
sodipodi:role="line"
id="tspan1943"
x="88.635414"
y="91.28125"
style="stroke-width:0.264583">$\frac{1}{2}$</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="93.927086"
y="91.28125"
id="text1949"><tspan
sodipodi:role="line"
id="tspan1947"
x="93.927086"
y="91.28125"
style="stroke-width:0.264583">$\frac{5}{8}$</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="99.21875"
y="91.28125"
id="text1953"><tspan
sodipodi:role="line"
id="tspan1951"
x="99.21875"
y="91.28125"
style="stroke-width:0.264583">$\frac{3}{4}$</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="104.51041"
y="91.28125"
id="text1957"><tspan
sodipodi:role="line"
id="tspan1955"
x="104.51041"
y="91.28125"
style="stroke-width:0.264583">$\frac{7}{8}$</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="109.80208"
y="91.28125"
id="text1961"><tspan
sodipodi:role="line"
id="tspan1959"
x="109.80208"
y="91.28125"
style="stroke-width:0.264583">$f_s$</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="125.67708"
y="38.364582"
id="text2138"><tspan
sodipodi:role="line"
id="tspan2136"
x="125.67708"
y="38.364582"
style="stroke-width:0.264583" /></text>
<ellipse
id="path2478"
style="fill:#000000;stroke:none;stroke-width:0.264583"
cx="72.760414"
cy="79.375"
rx="0.79374748"
ry="0.79374999" />
<ellipse
id="path2478-9"
style="fill:#000000;stroke:none;stroke-width:0.264583"
cx="78.845833"
cy="74.083336"
rx="0.79374748"
ry="0.79374999" />
<ellipse
id="path2478-7"
style="fill:#000000;stroke:none;stroke-width:0.264583"
cx="86.783333"
cy="68.791664"
rx="0.79374748"
ry="0.79374999" />
<ellipse
id="path2478-3"
style="fill:#000000;stroke:none;stroke-width:0.264583"
cx="93.927078"
cy="58.208332"
rx="0.79374748"
ry="0.79374999" />
<ellipse
id="path2478-6"
style="fill:#000000;stroke:none;stroke-width:0.264583"
cx="97.895828"
cy="52.916668"
rx="0.79374748"
ry="0.79374999" />
<ellipse
id="path2478-1"
style="fill:#000000;stroke:none;stroke-width:0.264583"
cx="105.83333"
cy="42.333332"
rx="0.79374748"
ry="0.79374999" />
<path
style="fill:none;stroke:#ff0000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 66.145832,84.666666 c 2.204894,-1.763915 4.409723,-3.527778 6.614584,-5.291667 0,0 6.085416,-5.291667 6.085416,-5.291667 0,0 7.9375,-5.291666 7.9375,-5.291666 0,0 7.14375,-10.583333 7.14375,-10.583333 0,0 3.96875,-5.291667 3.96875,-5.291667 0,0 7.937498,-10.583333 7.937498,-10.583333 2.64583,-1.763889 5.29173,-3.527818 7.9375,-5.291667"
id="path2536"
inkscape:path-effect="#path-effect2538"
inkscape:original-d="m 66.145832,84.666666 c 2.205125,-1.763626 6.614584,-5.291667 6.614584,-5.291667 l 6.085416,-5.291667 7.9375,-5.291666 7.14375,-10.583333 3.96875,-5.291667 7.937498,-10.583333 c 0,0 5.29193,-3.527512 7.9375,-5.291667"
sodipodi:nodetypes="cccccccc" />
</g>
</svg>

After

Width:  |  Height:  |  Size: 20 KiB

1205
pics/04-cedd-00-set.svg Normal file

File diff suppressed because it is too large Load Diff

After

Width:  |  Height:  |  Size: 37 KiB

1286
pics/04-cedd-00-sfdr.svg Normal file

File diff suppressed because it is too large Load Diff

After

Width:  |  Height:  |  Size: 48 KiB

View File

@ -0,0 +1,182 @@
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="210mm"
height="297mm"
viewBox="0 0 210 297"
version="1.1"
id="svg1467"
inkscape:version="1.0.2 (e86c870879, 2021-01-15)"
sodipodi:docname="04-cedd-00-sig-sampling-check.svg">
<defs
id="defs1461" />
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="2.8"
inkscape:cx="372.51512"
inkscape:cy="230.50776"
inkscape:document-units="mm"
inkscape:current-layer="layer1"
inkscape:document-rotation="0"
showgrid="true"
inkscape:snap-bbox="true"
inkscape:bbox-nodes="true"
inkscape:window-width="1837"
inkscape:window-height="1373"
inkscape:window-x="1"
inkscape:window-y="28"
inkscape:window-maximized="0">
<inkscape:grid
type="xygrid"
id="grid2030" />
</sodipodi:namedview>
<metadata
id="metadata1464">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title></dc:title>
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1">
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="52.916664"
y="37.041668"
id="text2034"><tspan
sodipodi:role="line"
id="tspan2032"
x="52.916664"
y="37.041668"
style="stroke-width:0.264583">аналоговый</tspan><tspan
sodipodi:role="line"
x="52.916664"
y="43.215294"
style="stroke-width:0.264583"
id="tspan2036">вход</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="97.895836"
y="39.6875"
id="text2040"><tspan
sodipodi:role="line"
id="tspan2038"
x="97.895836"
y="39.6875"
style="stroke-width:0.264583">АЦП</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="129.64583"
y="39.6875"
id="text2044"><tspan
sodipodi:role="line"
id="tspan2042"
x="129.64583"
y="39.6875"
style="stroke-width:0.264583">память</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="116.41666"
y="34.395832"
id="text2048"><tspan
sodipodi:role="line"
id="tspan2046"
x="116.41666"
y="34.395832"
style="stroke-width:0.264583">N</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="129.64584"
y="55.5625"
id="text2052"><tspan
sodipodi:role="line"
id="tspan2050"
x="129.64584"
y="55.5625"
style="stroke-width:0.264583">ПЭВМ</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="97.895836"
y="55.5625"
id="text2056"><tspan
sodipodi:role="line"
id="tspan2054"
x="97.895836"
y="55.5625"
style="stroke-width:0.264583">$f_{clk}$</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 97.895832,34.395833 -3.96875,3.96875 3.96875,3.96875 H 111.125 v -7.9375 z"
id="path2060" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="M 93.927082,38.364583 H 80.697916"
id="path2062" />
<rect
style="fill:none;stroke:#000000;stroke-width:0.265;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0"
id="rect2064"
width="19.84375"
height="7.9375014"
x="127"
y="34.395832" />
<rect
style="fill:none;stroke:#000000;stroke-width:0.264999;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0"
id="rect2066"
width="19.843756"
height="6.6145844"
x="127"
y="50.270832" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 101.86458,50.270833 v -7.9375"
id="path2068" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="M 124.35417,35.71875 127,38.364583 l -2.64583,2.645833"
id="path2072" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 134.9375,47.624999 2.64583,2.645834 2.64583,-2.645834"
id="path2074" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="M 136.26041,48.947916 V 42.333333"
id="path2076" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="M 138.90625,48.947916 V 42.333333"
id="path2078" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="M 125.67708,37.041666 H 111.125"
id="path2080" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="M 125.67708,39.6875 H 111.125"
id="path2082" />
</g>
</svg>

After

Width:  |  Height:  |  Size: 6.6 KiB

View File

@ -0,0 +1,301 @@
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="210mm"
height="297mm"
viewBox="0 0 210 297"
version="1.1"
id="svg8"
inkscape:version="1.0.2 (e86c870879, 2021-01-15)"
sodipodi:docname="04-cedd-00-sig-sampling-err.svg">
<defs
id="defs2">
<marker
style="overflow:visible;"
id="marker1293"
refX="0.0"
refY="0.0"
orient="auto"
inkscape:stockid="Arrow2Mend"
inkscape:isstock="true">
<path
transform="scale(0.6) rotate(180) translate(0,0)"
d="M 8.7185878,4.0337352 L -2.2072895,0.016013256 L 8.7185884,-4.0017078 C 6.9730900,-1.6296469 6.9831476,1.6157441 8.7185878,4.0337352 z "
style="fill-rule:evenodd;stroke-width:0.625;stroke-linejoin:round;stroke:#000000;stroke-opacity:1;fill:#000000;fill-opacity:1"
id="path1291" />
</marker>
<marker
style="overflow:visible;"
id="marker1265"
refX="0.0"
refY="0.0"
orient="auto"
inkscape:stockid="Arrow2Mend"
inkscape:isstock="true">
<path
transform="scale(0.6) rotate(180) translate(0,0)"
d="M 8.7185878,4.0337352 L -2.2072895,0.016013256 L 8.7185884,-4.0017078 C 6.9730900,-1.6296469 6.9831476,1.6157441 8.7185878,4.0337352 z "
style="fill-rule:evenodd;stroke-width:0.625;stroke-linejoin:round;stroke:#000000;stroke-opacity:1;fill:#000000;fill-opacity:1"
id="path1263" />
</marker>
<marker
style="overflow:visible;"
id="marker1133"
refX="0.0"
refY="0.0"
orient="auto"
inkscape:stockid="Arrow2Mend"
inkscape:isstock="true"
inkscape:collect="always">
<path
transform="scale(0.6) rotate(180) translate(0,0)"
d="M 8.7185878,4.0337352 L -2.2072895,0.016013256 L 8.7185884,-4.0017078 C 6.9730900,-1.6296469 6.9831476,1.6157441 8.7185878,4.0337352 z "
style="fill-rule:evenodd;stroke-width:0.625;stroke-linejoin:round;stroke:#000000;stroke-opacity:1;fill:#000000;fill-opacity:1"
id="path1131" />
</marker>
<marker
style="overflow:visible;"
id="marker1123"
refX="0.0"
refY="0.0"
orient="auto"
inkscape:stockid="Arrow2Mend"
inkscape:isstock="true">
<path
transform="scale(0.6) rotate(180) translate(0,0)"
d="M 8.7185878,4.0337352 L -2.2072895,0.016013256 L 8.7185884,-4.0017078 C 6.9730900,-1.6296469 6.9831476,1.6157441 8.7185878,4.0337352 z "
style="fill-rule:evenodd;stroke-width:0.625;stroke-linejoin:round;stroke:#000000;stroke-opacity:1;fill:#000000;fill-opacity:1"
id="path1121" />
</marker>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="1.4"
inkscape:cx="401.24503"
inkscape:cy="409.38103"
inkscape:document-units="mm"
inkscape:current-layer="layer1"
inkscape:document-rotation="0"
showgrid="true"
inkscape:snap-bbox="true"
inkscape:snap-bbox-edge-midpoints="false"
inkscape:bbox-nodes="true"
inkscape:snap-bbox-midpoints="false"
inkscape:window-width="1774"
inkscape:window-height="1043"
inkscape:window-x="449"
inkscape:window-y="148"
inkscape:window-maximized="0">
<inkscape:grid
type="xygrid"
id="grid833" />
</sodipodi:namedview>
<metadata
id="metadata5">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title></dc:title>
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1">
<path
style="fill:none;stroke:#000000;stroke-width:0.265;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#marker1123)"
d="M 95.25,100.54167 V 37.041667"
id="path835"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.265;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#marker1133)"
d="m 63.5,68.791667 63.5,0"
id="path837"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.965;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none"
d="m 124.35417,42.333333 h -5.29167 v 5.291666 h -5.29167 v 5.291667 h -5.29166 v 5.291667 h -5.29167 v 5.291666 h -5.291668 v 5.291667 h -5.291666 v 5.291666 h -5.291667 v 5.291667 h -5.291667 v 5.291667 h -5.291666 v 5.291666 h -5.291667 v 5.291667 h -5.291667 v 5.291671"
id="path1225" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="M 63.5,100.54167 124.35417,39.6875"
id="path1227"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.965;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none"
d="m 66.145832,108.47917 2.645834,-5.29167 2.645833,5.29167 2.645833,-5.29167 2.645834,5.29167 2.645833,-5.29167 2.645833,5.29167 2.645834,-5.29167 2.645833,5.29167 2.645833,-5.29167 2.645834,5.29167 2.645833,-5.29167 2.645833,5.29167 2.645838,-5.29167 2.64583,5.29167 2.64583,-5.29167 2.64584,5.29167 2.64583,-5.29167 2.64583,5.29167 2.64584,-5.29167 2.64583,5.29167 2.64583,-5.29167 2.64584,5.29167"
id="path1229" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="M 63.499999,105.83333 H 127"
id="path1231" />
<path
style="fill:none;stroke:#000000;stroke-width:0.26458299;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:1.05833194,1.05833194;stroke-dashoffset:0"
d="M 111.125,52.916666 H 84.666666"
id="path1233" />
<path
style="fill:none;stroke:#000000;stroke-width:0.26458299;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:1.05833194,1.05833194;stroke-dashoffset:0"
d="M 116.41667,47.624999 H 84.666666"
id="path1235" />
<path
style="fill:none;stroke:#000000;stroke-width:0.26458299;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:1.05833194,1.05833194;stroke-dashoffset:0"
d="M 121.70833,42.333333 H 84.666666"
id="path1237" />
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="57.933414"
y="38.70599"
id="text1241"><tspan
sodipodi:role="line"
x="57.933414"
y="38.70599"
style="stroke-width:0.264583"
id="tspan1455">Цифровой код</tspan><tspan
sodipodi:role="line"
x="57.933414"
y="44.879616"
style="stroke-width:0.264583"
id="tspan1457">(выход)</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="113.77083"
y="74.083336"
id="text1245"><tspan
sodipodi:role="line"
id="tspan1243"
x="113.77083"
y="74.083336"
style="stroke-width:0.264583">Аналоговый вход</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.26458299;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:1.05833194,1.05833194;stroke-dashoffset:0"
d="m 121.70833,103.1875 h 18.52083"
id="path1247" />
<path
style="fill:none;stroke:#000000;stroke-width:0.26458299;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:1.05833194,1.05833194;stroke-dashoffset:0"
d="m 119.0625,108.47917 h 21.16666"
id="path1249" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker1293)"
d="M 134.9375,97.895832 V 103.1875"
id="path1251" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker1265)"
d="m 134.9375,113.77083 v -5.29166"
id="path1253" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 134.9375,103.1875 v 5.29167"
id="path1255" />
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="142.875"
y="108.47916"
id="text1345"><tspan
sodipodi:role="line"
id="tspan1343"
x="142.875"
y="108.47916"
style="stroke-width:0.264583">q=1LSB</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="100.40662"
y="99.656616"
id="text1349"><tspan
sodipodi:role="line"
id="tspan1347"
x="100.40662"
y="99.656616"
style="stroke-width:0.264583">график ошибки</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="47.625"
y="137.58333"
id="text1353"><tspan
sodipodi:role="line"
id="tspan1351"
x="47.625"
y="137.58333"
style="stroke-width:0.264583">$0$</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 47.625,116.41667 -10e-7,15.87499 h 84.666661"
id="path1355"
sodipodi:nodetypes="ccc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 68.791667,130.96875 v 2.64583"
id="path1357"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 89.958333,130.96875 v 2.64583"
id="path1359"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 111.125,130.96875 v 2.64583"
id="path1361"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="68.791664"
y="137.58333"
id="text1365"><tspan
sodipodi:role="line"
id="tspan1363"
x="68.791664"
y="137.58333"
style="stroke-width:0.264583">$f_a$</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="89.958336"
y="137.58333"
id="text1369"><tspan
sodipodi:role="line"
id="tspan1367"
x="89.958336"
y="137.58333"
style="stroke-width:0.264583">$f_s$</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="111.125"
y="137.58333"
id="text1373"><tspan
sodipodi:role="line"
id="tspan1371"
x="111.125"
y="137.58333"
style="stroke-width:0.264583">$nf_s$</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 47.624999,121.70833 h 21.166667 v 10.58333"
id="path1375" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 47.624999,127 h 42.333333 v 5.29166"
id="path1377" />
</g>
</svg>

After

Width:  |  Height:  |  Size: 13 KiB

1260
pics/04-cedd-00-slope.svg Normal file

File diff suppressed because it is too large Load Diff

After

Width:  |  Height:  |  Size: 39 KiB

View File

@ -0,0 +1,242 @@
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="210mm"
height="297mm"
viewBox="0 0 210 297"
version="1.1"
id="svg8"
sodipodi:docname="04-cedd-00-typical-snr.svg"
inkscape:version="1.0.2 (e86c870879, 2021-01-15)">
<defs
id="defs2">
<inkscape:path-effect
effect="bspline"
id="path-effect2640"
is_visible="true"
lpeversion="1"
weight="33.333333"
steps="2"
helper_size="0"
apply_no_weight="true"
apply_with_weight="true"
only_selected="false" />
<inkscape:path-effect
effect="bspline"
id="path-effect2636"
is_visible="true"
lpeversion="1"
weight="33.333333"
steps="2"
helper_size="0"
apply_no_weight="true"
apply_with_weight="true"
only_selected="false" />
<inkscape:path-effect
effect="bspline"
id="path-effect2604"
is_visible="true"
lpeversion="1"
weight="33.333333"
steps="2"
helper_size="0"
apply_no_weight="true"
apply_with_weight="true"
only_selected="false" />
<inkscape:path-effect
effect="bspline"
id="path-effect2538"
is_visible="true"
lpeversion="1"
weight="33.333333"
steps="2"
helper_size="0"
apply_no_weight="true"
apply_with_weight="true"
only_selected="false" />
<marker
style="overflow:visible"
id="marker2310"
refX="0"
refY="0"
orient="auto"
inkscape:stockid="Arrow2Mend"
inkscape:isstock="true">
<path
transform="scale(-0.6)"
d="M 8.7185878,4.0337352 -2.2072895,0.01601326 8.7185884,-4.0017078 c -1.7454984,2.3720609 -1.7354408,5.6174519 -6e-7,8.035443 z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.625;stroke-linejoin:round;stroke-opacity:1"
id="path2308" />
</marker>
<marker
style="overflow:visible"
id="marker2270"
refX="0"
refY="0"
orient="auto"
inkscape:stockid="Arrow2Mend"
inkscape:isstock="true">
<path
transform="scale(-0.6)"
d="M 8.7185878,4.0337352 -2.2072895,0.01601326 8.7185884,-4.0017078 c -1.7454984,2.3720609 -1.7354408,5.6174519 -6e-7,8.035443 z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.625;stroke-linejoin:round;stroke-opacity:1"
id="path2268" />
</marker>
<marker
style="overflow:visible"
id="marker2172"
refX="0"
refY="0"
orient="auto"
inkscape:stockid="Arrow2Mend"
inkscape:isstock="true">
<path
transform="scale(-0.6)"
d="M 8.7185878,4.0337352 -2.2072895,0.01601326 8.7185884,-4.0017078 c -1.7454984,2.3720609 -1.7354408,5.6174519 -6e-7,8.035443 z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.625;stroke-linejoin:round;stroke-opacity:1"
id="path2170" />
</marker>
<marker
style="overflow:visible"
id="marker1123"
refX="0"
refY="0"
orient="auto"
inkscape:stockid="Arrow2Mend"
inkscape:isstock="true"
inkscape:collect="always">
<path
transform="scale(-0.6)"
d="M 8.7185878,4.0337352 -2.2072895,0.01601326 8.7185884,-4.0017078 c -1.7454984,2.3720609 -1.7354408,5.6174519 -6e-7,8.035443 z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.625;stroke-linejoin:round;stroke-opacity:1"
id="path1121" />
</marker>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="1.979899"
inkscape:cx="201.3582"
inkscape:cy="132.35706"
inkscape:document-units="mm"
inkscape:current-layer="layer1"
inkscape:document-rotation="0"
showgrid="true"
inkscape:snap-bbox="true"
inkscape:snap-bbox-edge-midpoints="false"
inkscape:bbox-nodes="true"
inkscape:window-width="2209"
inkscape:window-height="1205"
inkscape:window-x="1"
inkscape:window-y="28"
inkscape:window-maximized="0">
<inkscape:grid
type="xygrid"
id="grid833" />
</sodipodi:namedview>
<metadata
id="metadata5">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title></dc:title>
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1">
<path
style="fill:none;stroke:#000000;stroke-width:0.265;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#marker1123)"
d="m 66.145833,64.822917 0,-43.656255"
id="path835"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="125.67708"
y="38.364582"
id="text2138"><tspan
sodipodi:role="line"
id="tspan2136"
x="125.67708"
y="38.364582"
style="stroke-width:0.264583" /></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.265;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none;marker-end:url(#marker2310)"
d="m 66.145832,64.822916 c 17.639155,0 35.278038,0 52.916668,0"
id="path2602"
inkscape:path-effect="#path-effect2604"
inkscape:original-d="m 66.145832,64.822916 c 17.639155,2.64e-4 35.278038,2.64e-4 52.916668,0" />
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="56.885414"
y="31.75"
id="text2620"><tspan
sodipodi:role="line"
id="tspan2618"
x="56.885414"
y="31.75"
style="stroke-width:0.264583">49</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="112.44791"
y="68.791664"
id="text2624"><tspan
sodipodi:role="line"
id="tspan2622"
x="112.44791"
y="68.791664"
style="stroke-width:0.264583">$f_{clk}$</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="99.21875"
y="68.791664"
id="text2628"><tspan
sodipodi:role="line"
id="tspan2626"
x="99.21875"
y="68.791664"
style="stroke-width:0.264583">$f_{work}$</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 66.145832,30.427083 c 11.024581,0.440983 22.048885,0.881956 29.545467,2.42548 7.496581,1.543525 11.465251,4.189305 14.331471,9.701594 2.86622,5.512289 4.63007,13.890591 6.3939,22.268759"
id="path2634"
inkscape:path-effect="#path-effect2636"
inkscape:original-d="m 66.145832,30.427083 c 11.024571,0.441238 22.048875,0.882208 33.072917,1.322917 3.969091,2.64615 7.937761,5.291931 11.906251,7.9375 1.76419,8.378904 3.52804,16.757207 5.29167,25.135416" />
<path
style="fill:none;stroke:#000000;stroke-width:0.26458299;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:1.05833194,1.05833194;stroke-dashoffset:0"
d="m 100.54167,34.395833 c 0,10.142625 0,20.284987 0,30.427083"
id="path2638"
inkscape:path-effect="#path-effect2640"
inkscape:original-d="m 100.54167,34.395833 c 2.6e-4,10.142625 2.6e-4,20.284987 0,30.427083" />
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="91.28125"
y="30.427082"
id="text2644"><tspan
sodipodi:role="line"
id="tspan2642"
x="91.28125"
y="30.427082"
style="stroke-width:0.264583">SNR</tspan></text>
</g>
</svg>

After

Width:  |  Height:  |  Size: 8.8 KiB

View File

@ -0,0 +1,395 @@
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="210mm"
height="297mm"
viewBox="0 0 210 297"
version="1.1"
id="svg8"
sodipodi:docname="04-cedd-00-typical-thd.svg"
inkscape:version="1.0.2 (e86c870879, 2021-01-15)">
<defs
id="defs2">
<marker
style="overflow:visible"
id="marker3292"
refX="0.0"
refY="0.0"
orient="auto"
inkscape:stockid="DotL"
inkscape:isstock="true">
<path
transform="scale(0.8) translate(7.4, 1)"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt;stroke-opacity:1;fill:#000000;fill-opacity:1"
d="M -2.5,-1.0 C -2.5,1.7600000 -4.7400000,4.0 -7.5,4.0 C -10.260000,4.0 -12.5,1.7600000 -12.5,-1.0 C -12.5,-3.7600000 -10.260000,-6.0 -7.5,-6.0 C -4.7400000,-6.0 -2.5,-3.7600000 -2.5,-1.0 z "
id="path3290" />
</marker>
<marker
style="overflow:visible"
id="DotL"
refX="0.0"
refY="0.0"
orient="auto"
inkscape:stockid="DotL"
inkscape:isstock="true"
inkscape:collect="always">
<path
transform="scale(0.8) translate(7.4, 1)"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt;stroke-opacity:1;fill:#000000;fill-opacity:1"
d="M -2.5,-1.0 C -2.5,1.7600000 -4.7400000,4.0 -7.5,4.0 C -10.260000,4.0 -12.5,1.7600000 -12.5,-1.0 C -12.5,-3.7600000 -10.260000,-6.0 -7.5,-6.0 C -4.7400000,-6.0 -2.5,-3.7600000 -2.5,-1.0 z "
id="path3027" />
</marker>
<inkscape:path-effect
effect="bspline"
id="path-effect2956"
is_visible="true"
lpeversion="1"
weight="33.333333"
steps="2"
helper_size="0"
apply_no_weight="true"
apply_with_weight="true"
only_selected="false" />
<inkscape:path-effect
effect="bspline"
id="path-effect2952"
is_visible="true"
lpeversion="1"
weight="33.333333"
steps="2"
helper_size="0"
apply_no_weight="true"
apply_with_weight="true"
only_selected="false" />
<inkscape:path-effect
effect="bspline"
id="path-effect2886"
is_visible="true"
lpeversion="1"
weight="33.333333"
steps="2"
helper_size="0"
apply_no_weight="true"
apply_with_weight="true"
only_selected="false" />
<inkscape:path-effect
effect="bspline"
id="path-effect2782"
is_visible="true"
lpeversion="1"
weight="33.333333"
steps="2"
helper_size="0"
apply_no_weight="true"
apply_with_weight="true"
only_selected="false" />
<inkscape:path-effect
effect="bspline"
id="path-effect2754"
is_visible="true"
lpeversion="1"
weight="33.333333"
steps="2"
helper_size="0"
apply_no_weight="true"
apply_with_weight="true"
only_selected="false" />
<inkscape:path-effect
effect="bspline"
id="path-effect2750"
is_visible="true"
lpeversion="1"
weight="33.333333"
steps="2"
helper_size="0"
apply_no_weight="true"
apply_with_weight="true"
only_selected="false" />
<inkscape:path-effect
effect="bspline"
id="path-effect2640"
is_visible="true"
lpeversion="1"
weight="33.333333"
steps="2"
helper_size="0"
apply_no_weight="true"
apply_with_weight="true"
only_selected="false" />
<inkscape:path-effect
effect="bspline"
id="path-effect2636"
is_visible="true"
lpeversion="1"
weight="33.333333"
steps="2"
helper_size="0"
apply_no_weight="true"
apply_with_weight="true"
only_selected="false" />
<inkscape:path-effect
effect="bspline"
id="path-effect2604"
is_visible="true"
lpeversion="1"
weight="33.333333"
steps="2"
helper_size="0"
apply_no_weight="true"
apply_with_weight="true"
only_selected="false" />
<inkscape:path-effect
effect="bspline"
id="path-effect2538"
is_visible="true"
lpeversion="1"
weight="33.333333"
steps="2"
helper_size="0"
apply_no_weight="true"
apply_with_weight="true"
only_selected="false" />
<marker
style="overflow:visible"
id="marker2310"
refX="0"
refY="0"
orient="auto"
inkscape:stockid="Arrow2Mend"
inkscape:isstock="true">
<path
transform="scale(-0.6)"
d="M 8.7185878,4.0337352 -2.2072895,0.01601326 8.7185884,-4.0017078 c -1.7454984,2.3720609 -1.7354408,5.6174519 -6e-7,8.035443 z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.625;stroke-linejoin:round;stroke-opacity:1"
id="path2308" />
</marker>
<marker
style="overflow:visible"
id="marker2270"
refX="0"
refY="0"
orient="auto"
inkscape:stockid="Arrow2Mend"
inkscape:isstock="true">
<path
transform="scale(-0.6)"
d="M 8.7185878,4.0337352 -2.2072895,0.01601326 8.7185884,-4.0017078 c -1.7454984,2.3720609 -1.7354408,5.6174519 -6e-7,8.035443 z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.625;stroke-linejoin:round;stroke-opacity:1"
id="path2268" />
</marker>
<marker
style="overflow:visible"
id="marker2172"
refX="0"
refY="0"
orient="auto"
inkscape:stockid="Arrow2Mend"
inkscape:isstock="true">
<path
transform="scale(-0.6)"
d="M 8.7185878,4.0337352 -2.2072895,0.01601326 8.7185884,-4.0017078 c -1.7454984,2.3720609 -1.7354408,5.6174519 -6e-7,8.035443 z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.625;stroke-linejoin:round;stroke-opacity:1"
id="path2170" />
</marker>
<marker
style="overflow:visible"
id="marker1123"
refX="0"
refY="0"
orient="auto"
inkscape:stockid="Arrow2Mend"
inkscape:isstock="true"
inkscape:collect="always">
<path
transform="scale(-0.6)"
d="M 8.7185878,4.0337352 -2.2072895,0.01601326 8.7185884,-4.0017078 c -1.7454984,2.3720609 -1.7354408,5.6174519 -6e-7,8.035443 z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.625;stroke-linejoin:round;stroke-opacity:1"
id="path1121" />
</marker>
<marker
style="overflow:visible"
id="marker1123-2"
refX="0"
refY="0"
orient="auto"
inkscape:stockid="Arrow2Mend"
inkscape:isstock="true"
inkscape:collect="always">
<path
transform="scale(-0.6)"
d="M 8.7185878,4.0337352 -2.2072895,0.01601326 8.7185884,-4.0017078 c -1.7454984,2.3720609 -1.7354408,5.6174519 -6e-7,8.035443 z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.625;stroke-linejoin:round;stroke-opacity:1"
id="path1121-9" />
</marker>
<marker
style="overflow:visible"
id="marker2310-3"
refX="0"
refY="0"
orient="auto"
inkscape:stockid="Arrow2Mend"
inkscape:isstock="true">
<path
transform="scale(-0.6)"
d="M 8.7185878,4.0337352 -2.2072895,0.01601326 8.7185884,-4.0017078 c -1.7454984,2.3720609 -1.7354408,5.6174519 -6e-7,8.035443 z"
style="fill:#000000;fill-opacity:1;fill-rule:evenodd;stroke:#000000;stroke-width:0.625;stroke-linejoin:round;stroke-opacity:1"
id="path2308-1" />
</marker>
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="1.4"
inkscape:cx="623.25504"
inkscape:cy="9.2468661"
inkscape:document-units="mm"
inkscape:current-layer="layer1"
inkscape:document-rotation="0"
showgrid="true"
inkscape:snap-bbox="true"
inkscape:snap-bbox-edge-midpoints="false"
inkscape:bbox-nodes="true"
inkscape:window-width="2209"
inkscape:window-height="1205"
inkscape:window-x="1"
inkscape:window-y="28"
inkscape:window-maximized="0">
<inkscape:grid
type="xygrid"
id="grid833" />
</sodipodi:namedview>
<metadata
id="metadata5">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title></dc:title>
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1">
<path
style="fill:none;stroke:#000000;stroke-width:0.265;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#marker1123)"
d="M 15.968545,62.270628 V 18.614373"
id="path835"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="125.67708"
y="38.364582"
id="text2138"><tspan
sodipodi:role="line"
id="tspan2136"
x="125.67708"
y="38.364582"
style="stroke-width:0.264583" /></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.265;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#marker2310)"
d="m 15.968544,62.270627 c 17.639155,0 35.278038,0 52.916668,0"
id="path2602"
inkscape:original-d="m 15.968544,62.270627 c 17.639155,2.64e-4 35.278038,2.64e-4 52.916668,0"
inkscape:path-effect="#path-effect2604" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="M 15.968544,62.270627 C 29.638684,48.600487 43.308822,34.930351 56.978962,21.260211"
id="path2748"
inkscape:path-effect="#path-effect2750"
inkscape:original-d="M 15.968544,62.270627 C 29.638949,48.600752 43.309086,34.930615 56.978962,21.260211" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 15.968544,62.270627 c 2.645859,-2.204883 5.291694,-4.409745 6.835061,-6.173556 1.543367,-1.763812 1.984331,-3.086702 3.086899,-3.968753 1.102568,-0.882052 2.86642,-1.323015 4.409716,-3.086848 1.543296,-1.763833 2.866186,-4.850576 4.630193,-6.835051 1.764006,-1.984475 3.968825,-2.866403 5.953124,-4.850738 1.984299,-1.984336 3.748152,-5.071078 6.173619,-7.276023 2.425466,-2.204945 5.512209,-3.527835 8.598886,-4.850697"
id="path2752"
inkscape:path-effect="#path-effect2754"
inkscape:original-d="m 15.968544,62.270627 c 2.646098,-2.204596 5.291932,-4.409459 7.9375,-6.614583 0.441246,-1.322679 0.882209,-2.645569 1.322917,-3.96875 1.764189,-0.440717 3.528041,-0.881679 5.291667,-1.322917 1.323207,-3.086603 2.646098,-6.173346 3.96875,-9.260417 2.205169,-0.881697 4.409987,-1.763625 6.614583,-2.645833 1.764189,-3.086603 3.528041,-6.173345 5.291666,-9.260416 3.087132,-1.322679 6.173875,-2.645569 9.260415,-3.96875" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 68.791666,47.624999 c 1.763835,-3.527671 3.527724,-7.055449 5.291737,-7.055308 1.764012,1.41e-4 3.527866,3.527848 5.291773,7.055661 1.763906,3.527813 3.52776,7.05552 5.29156,7.055379 1.763801,-1.41e-4 3.527654,-3.527848 5.291561,-7.055661 1.763906,-3.527813 3.52776,-7.05552 5.291773,-7.05538 1.764013,1.41e-4 3.527868,3.527848 5.29177,7.05566 1.76391,3.527812 3.52776,7.055524 5.29156,7.055382 1.7638,-1.42e-4 3.52766,-3.527848 5.29156,-7.055661 1.76391,-3.527814 3.52777,-7.05552 5.29178,-7.055381 1.76401,1.39e-4 3.52786,3.527851 5.29159,7.055309"
id="path2780"
inkscape:path-effect="#path-effect2782"
inkscape:original-d="m 68.791666,47.624999 c 1.764154,-3.527512 3.528041,-7.055291 5.291666,-10.583333 1.764189,3.528113 3.528042,7.055821 5.291667,10.583333 1.764189,3.528113 3.528041,7.055821 5.291667,10.583334 1.764188,-3.527584 3.528041,-7.055292 5.291666,-10.583334 1.764189,-3.527583 3.528042,-7.055291 5.291667,-10.583333 1.764189,3.528113 3.528041,7.055821 5.291671,10.583333 1.76418,3.528113 3.52804,7.055821 5.29166,10.583334 1.76419,-3.527584 3.52804,-7.055292 5.29167,-10.583334 1.76419,-3.527583 3.52804,-7.055291 5.29167,-10.583333 1.76418,3.528113 3.52804,7.055821 5.29166,10.583333" />
<path
style="fill:none;stroke:#000000;stroke-width:0.265;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#marker1123-2)"
d="M 131.60571,63.5 V 19.843745"
id="path835-9"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.265;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#marker2310-3)"
d="m 131.6057,63.499999 c 17.63916,0 35.27804,0 52.91667,0"
id="path2602-4"
inkscape:original-d="m 131.6057,63.499999 c 17.63916,2.64e-4 35.27804,2.64e-4 52.91667,0"
inkscape:path-effect="#path-effect2886" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#DotL)"
d="m 146.84375,63.499999 c 0,-7.937235 0,-15.874735 0,-23.812499"
id="path2950"
inkscape:path-effect="#path-effect2952"
inkscape:original-d="m 146.84375,63.499999 c 2.6e-4,-7.937235 2.6e-4,-15.874735 0,-23.812499" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker3292)"
d="m 168.01041,63.499999 c 0,-4.409458 0,-8.819179 0,-13.229166"
id="path2954"
inkscape:path-effect="#path-effect2956"
inkscape:original-d="m 168.01041,63.499999 c 2.7e-4,-4.409458 2.7e-4,-8.819179 0,-13.229166" />
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="143.95193"
y="67.877678"
id="text2960"><tspan
sodipodi:role="line"
id="tspan2958"
x="143.95193"
y="67.877678"
style="stroke-width:0.264583">$f_a$</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="165.11861"
y="67.877678"
id="text2964"><tspan
sodipodi:role="line"
id="tspan2962"
x="165.11861"
y="67.877678"
style="stroke-width:0.264583">$f_s$</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="60.854164"
y="68.791664"
id="text3362"><tspan
sodipodi:role="line"
id="tspan3360"
x="60.854164"
y="68.791664"
style="stroke-width:0.264583">$U_{in}$</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="18.520834"
y="23.8125"
id="text3366"><tspan
sodipodi:role="line"
id="tspan3364"
x="18.520834"
y="23.8125"
style="stroke-width:0.264583">$U_{out}$</tspan></text>
</g>
</svg>

After

Width:  |  Height:  |  Size: 16 KiB