diff --git a/03-fpga-lab-03-report.tex b/03-fpga-lab-03-report.tex index 3c2ce13..f5dc129 100644 --- a/03-fpga-lab-03-report.tex +++ b/03-fpga-lab-03-report.tex @@ -1,8 +1,8 @@ \documentclass[a4paper,fontsize=14bp]{article} -\input{../common-preamble} -\input{../fancy-listings-preamble} -\input{../bmstu-preamble} +\input{settings/common-preamble} +\input{settings/fancy-listings-preamble} +\input{settings/bmstu-preamble} \setcounter{secnumdepth}{4} \numerationTop @@ -48,12 +48,11 @@ \[ [31, 44, 216, 0, 132, 68, 18, 100]. \] -Алгоритм выполняется в несколько шагов: +Изначально был применён алгоритм комбинационного поиска медианы для 8 значений: \begin{enumerate} \item сравнение соседних значений в ряду парами; \item меньшие значения сравнить с меньшими значениями пар, а большие с большими; \item взять меньшую из больших полученных пар и б\'{о}льшую из меньших, также сравнить меньшие с меньшими и б\'{о}льшие с б\'{о}льшими; -\item выявить меньшее из меньшей пары, полученной в п. 2 и большее из большей. \end{enumerate} \begin{equation*} \begin{gathered} @@ -62,93 +61,63 @@ \begin{bmatrix} 31, 44 \\ 0, 216 \\ 68, 132 \\ 18, 100 \end{bmatrix} - \to +\\ \to \begin{bmatrix} 0, 31 \\ 18, 68 \\ 44, 216 \\ 100, 132 \end{bmatrix} -\\ \to + \to \begin{bmatrix} 44, 100 \\ 31, 68 \end{bmatrix} \\ \to [31, 44, 68, 100] \to 44, 68; -\\ \to [0, 18], [132, 216] \to 0, 216. \end{gathered} \end{equation*} -Из приведённых вычислений очевидно, что исходное множество содержит: +Из приведённых вычислений очевидно, что: \begin{itemize} -\item минимум = 0; -\item максимум = 216; \item нижняя медиана = 44 \item верхняя медиана = 68. \end{itemize} -Для реализации данного алгоритма был описан вспомогательный модуль на языке Verilog, возвращающий меньшее и большее из двух входящих чисел. +Однако, дополнительные тесты показали, что алгоритм работает не для всех возможных вариантов начального распределения значений во множестве. Исходные коды модуля \hrf{lst:mediancomb} и вспомогательного \hrf{lst:lessmore} приведены в приложении \hrf{appendix:src}. -\begin{lstlisting}[language=Verilog,style=VerilogStyle] -module lessmore ( - input [7:0] in1, - input [7:0] in2, - output logic [7:0] less, - output logic [7:0] more - ); - - always_comb begin - if (in1 < in2) begin - less = in1; - more = in2; - end else begin - less = in2; - more = in1; - end - end -endmodule -\end{lstlisting} - -Сам же алгоритм реализован в несколько «шагов», представляющих собой слои комбинационной логики -\begin{lstlisting}[language=Verilog,style=VerilogStyle] -module minmelhmax ( - input clk, - input reset, - input [31:0] in1, - input [31:0] in2, - output logic [31:0] result +\begin{lstlisting}[language=Verilog,style=VerilogStyle,caption={\code{minmedmax.sv}},label={lst:mmm}] +module minmedmax + ( + input clk, reset, + input [31:0] in1, in2, + output reg [31:0] result ); -logic [7:0] step1less [0:3]; -logic [7:0] step1more [0:3]; -logic [7:0] step2less [0:3]; -logic [7:0] step2more [0:3]; -logic [7:0] median [0:3]; -logic [7:0] temp [0:3]; + logic [7:0] temp [0:7]; + integer status [0:7]; -// 1: compare pairs -lessmore s01 (in1[7:0], in1[15:8], step1less[0], step1more[0]); -lessmore s02 (in1[23:16], in1[31:24], step1less[1], step1more[1]); -lessmore s03 (in2[7:0], in2[15:8], step1less[2], step1more[2]); -lessmore s04 (in2[23:16], in2[31:24], step1less[3], step1more[3]); + assign temp = '{in1[31:24], in1[23:16], in1[15:8], in1[7:0], in2[31:24], in2[23:16], in2[15:8], in2[7:0]}; -// 2: 1st step mins to mins, maxes to maxes -lessmore s11 (step1less[0], step1less[1], step2less[0], step2more[0]); -lessmore s12 (step1less[2], step1less[3], step2less[1], step2more[1]); -lessmore s13 (step1more[0], step1more[1], step2less[2], step2more[2]); -lessmore s14 (step1more[2], step1more[3], step2less[3], step2more[3]); - -// 3: 2nd step less-maxes, more-mins -lessmore s21 (step2less[2], step2less[3], median[0], median[1]); -lessmore s22 (step2more[0], step2more[1], median[2], median[3]); - -// 4: median of four -lessmore s31 (median[0], median[1], temp[1], result[1]); -lessmore s32 (median[2], median[3], result[2], temp[2]); - -// 5: max and min of input -lessmore s41 (step2less[0], step2less[1], result[0], temp[0]); -lessmore s42 (step2more[2], step2more[3], temp[3], result[3]); + integer i, s, mini, maxi; + always_comb begin + for (s = 0; s < 6; s = s + 1) begin + if (s == 0) begin status = '{0,0,0,0,0,0,0,0}; end + mini = 0; maxi = 0; + for (i = 0; i < 8; i = i + 1) begin + if ((temp[i] <= temp[mini] || status[mini] == 1) && status[i] != 1) mini = i; + if ((temp[i] >= temp[maxi] || status[maxi] == 1) && status[i] != 1) maxi = i; + end + status[mini] = 1; + if (s == 0) begin + result[31:24] = temp[maxi]; + result[7:0] = temp[mini]; + status[maxi] = 1; + end + if (s == 3) begin result[15:8] = temp[mini]; end + if (s == 4) begin result[23:16] = temp[mini]; end + if (s == 5) begin status = '{0,0,0,0,0,0,0,0}; end + end + end // always_comb endmodule \end{lstlisting} -Работа модуля была проверена на тестовом стенде +Конечный вариант модуля вычисления медиан, минимума и максимума представлен в листинге \hrf{lst:mmm}. Модуль осуществляет проход по сформированной шине из 8-разрядных значений, являющихся результатом конкатенации двух входящих 32-разрядных значений. Создаётся вспомогательный массив для отметок о проверке значения. Основной цикл опирается на сведения о том, что значений всегда восемь, поэтому итераций внешнего цикла нужно шесть (на первой будет найден минимум и максимум, на четвёртом и пятом - медианы, на шестом очищена сервисная шина). Основной цикл проходит по всей 64-разрядной временной шине и выставляет флаги минимума и максимума по следующему условию: \textit{проверяемый} элемент минимальный (максимальный), если он меньше (больше) \textit{найденного} на предыдущем шаге минимального (максимального) элемента или \textit{найденный} уже проверен\footnote{условие добавлено для первой итерации цикла в случаях, когда первой элемент является минимальным или максимальным.} и если проверяемый элемент не был проверен ранее. \subsection{Описание программного решения} Два входящих слова записываются во временный указатель и интерпретируются, как указатель на восемь 8-разрядных переменных \code{alt_u8}, далее цикл работает с ними как с массивом данных. На каждом шаге цикла ищется минимальный и максимальный элемент. Найденные элементы меняются местами с теми числами, которые находятся на месте действительно минимального и максимального элемента соответственно. Алгоритм являет собой совмещение \textit{сортировки выбором} и \textit{шейкерной сортировки}. Таким образом за четыре итерации получается сортированное множество, в котором необходимые значения берутся по индексу. @@ -204,14 +173,14 @@ alt_u32 ones_sw ( \end{lstlisting} \section{Результат и выводы} -После запуска приложения были получены результаты, представленные на рис. \hrf{:}. +После запуска приложения были получены результаты, представленные на рис. %\hrf{:}. -\begin{figure}[H] - \centering +%\begin{figure}[H] +% \centering % \includegraphics[width=12cm]{.} - \caption{} - \label{pic:} -\end{figure} +% \caption{} +% \label{pic:} +%\end{figure} Пользовательская инструкция для процессора Nios II -- это эффективный инструмент ускорения работы программы и выноса некоторых алгоритмов поточной обработки данных в аппаратную часть. @@ -223,6 +192,68 @@ alt_u32 ones_sw ( \subsection{Исходные коды проекта} \label{appendix:src} +\begin{lstlisting}[language=Verilog,style=VerilogStyle,caption={\code{lessmore.sv}},label={lst:lessmore}] +module lessmore ( + input [7:0] in1, + input [7:0] in2, + output logic [7:0] less, + output logic [7:0] more + ); + + always_comb begin + if (in1 < in2) begin + less = in1; + more = in2; + end else begin + less = in2; + more = in1; + end + end +endmodule +\end{lstlisting} + +\begin{lstlisting}[language=Verilog,style=VerilogStyle,caption={\code{minmedmax.sv}},label={lst:mediancomb}] +module minmelhmax ( + input clk, + input reset, + input [31:0] in1, + input [31:0] in2, + output logic [31:0] result + ); + +logic [7:0] step1less [0:3]; +logic [7:0] step1more [0:3]; +logic [7:0] step2less [0:3]; +logic [7:0] step2more [0:3]; +logic [7:0] median [0:3]; +logic [7:0] temp [0:3]; + +// 1: compare pairs +lessmore s01 (in1[7:0], in1[15:8], step1less[0], step1more[0]); +lessmore s02 (in1[23:16], in1[31:24], step1less[1], step1more[1]); +lessmore s03 (in2[7:0], in2[15:8], step1less[2], step1more[2]); +lessmore s04 (in2[23:16], in2[31:24], step1less[3], step1more[3]); + +// 2: 1st step mins to mins, maxes to maxes +lessmore s11 (step1less[0], step1less[1], step2less[0], step2more[0]); +lessmore s12 (step1less[2], step1less[3], step2less[1], step2more[1]); +lessmore s13 (step1more[0], step1more[1], step2less[2], step2more[2]); +lessmore s14 (step1more[2], step1more[3], step2less[3], step2more[3]); + +// 3: 2nd step less-maxes, more-mins +lessmore s21 (step2less[2], step2less[3], median[0], median[1]); +lessmore s22 (step2more[0], step2more[1], median[2], median[3]); + +// 4: median of four +lessmore s31 (median[0], median[1], temp[1], result[1]); +lessmore s32 (median[2], median[3], result[2], temp[2]); + +// 5: max and min of input +lessmore s41 (step2less[0], step2less[1], result[0], temp[0]); +lessmore s42 (step2more[2], step2more[3], temp[3], result[3]); +endmodule +\end{lstlisting} + \lstinputlisting[language=C,style=CCodeStyle,caption={\code{sem.c}},label={lst:sem}]{src/sem.c} \end{document} diff --git a/04-complex-electronic-devices-developing.tex b/04-complex-electronic-devices-developing.tex new file mode 100644 index 0000000..4a77744 --- /dev/null +++ b/04-complex-electronic-devices-developing.tex @@ -0,0 +1,96 @@ +\documentclass{article} + +\input{settings/common-preamble} +\input{settings/bmstu-preamble} +\input{settings/fancy-listings-preamble} +\author{Оганов Владимир Игоревич} +\title{Разработка сложных электронных устройств} +\date{2023-02-08} + +\begin{document} +\sloppy +\fontsize{14}{18}\selectfont +\maketitle +\tableofcontents +\newpage +\section{Введение} +Электроника базируется на физике. Разделы физики -- электричество в металлах, в полупроводниках и электромагнитные поля\footnote{\href{https://ru.wikipedia.org/wiki/Правила_Киргофа}{Киргоф}, \href{https://ru.wikipedia.org/wiki/Закон_Ома}{Ом}}. Упрощают моделирование сложных систем, предоставляют математический аппарат. + +Сложное электронное устройство: Если получается большая схема -- это признак неправильно решённой задачи. Каждая лишняя деталь -- источник шумов, погрешностей, итд. компенсация порождает лавинный эффект. Проектирование сложных цифровых устройств -- это проектирование цифровых устройств \textit{как можно проще}. Электронное устройство не работает само по себе, а всегда в связке с окружающим миром и физическими параметрами, с которыми нужно уметь работать изначально. От параметров окружающей среды (источника и потребителя) зависит выбор технологии обработки внутри. + +\begin{frm} Например, digital remastering -- интерполяция звука с 44.1КГц через 96КГц в 192КГц.\end{frm} + +Сейчас наблюдается тренд к максимально быстрой оцифровке аналогового сигнала. После АЦП существует два пути -- мягкая реализация, DSP-микропроцессоры, или жёсткая -- ПЛИС или CPLD. + +\begin{enumerate} +\item Сигнал -- это физический процесс, содержащий информацию; +\item электрический сигнал -- ток и напряжение изменённые во времени (связаны законом Ома). + \[ + \begin{cases} + i(t)\\ + u(t) + \end{cases} + \] + электричество получается по закону электромагнитной индукции Фарадея. +\item все электрические сигналы рассматриваются в двух областях -- зависимость по времени и зависимость по частоте. Во времени на сигнал смотрим осциллографом, в частоте спектроанализатор. Связаны преобразованием Фурье. + \[ \int_{-\infty}^{\infty} x(t) e^{-j\omega}dt\] +\end{enumerate} + +$x(t)$ -- это входной непрерывный сигнал, умножаем на (ортогональный базис) тригонометрическую функцию. То есть ищем спектральную составляющую (корреляционный детектор). Ортогональный базис ($\cos(\omega)+\j\sin(\omega)$) нужен для поиска фазы (если будет только синус или косинус -- будем знать только амплитуду). + +Анализатор спектра (аналоговый непрерывного действия) +\begin{figure}[H] + \centering + \fontsize{14}{1}\selectfont + \includesvg[scale=1.01]{pics/04-cedd-00-spectrum-analyzer.svg} +\end{figure} + + +\[ x(t) = \frac{1}{2\pi}\int_{-\infty}^\infty\ X(j\omega) e^{j\omega}d\omega \] + +Когда работаем с цифровыми сигналами -- дискретное преобразование фурье, интеграл заменяется на сумму и берём не бесконченость, а определённое число отсчётов. + +\begin{figure}[H] + \centering + \fontsize{11}{1}\selectfont + \includesvg[scale=.85]{pics/04-cedd-00-common-device.svg} + \caption{Электронное устройство (обобщённое)} +\end{figure} + +\begin{itemize} +\item Датчик преобразует электрический сигнал +\item АО -- на стандартных элементах (усилители фильтры иногда умножители) +\item ФПО -- фильтр для подавления цифровых образов (двойников) +\item УВХ (устройство выборки и хранения) + АЦП +\item дискретизация по времени (УВХ) и квантование по уровню (АЦП). Сигнал при переходе в цифру всегда теряет информацию, важно минимизировать эти потери. +\item ЦВБ +\item ЦАП +\item Деглитчер +\item Восстанавливающий фильтр +\item Драйвер и аналоговое исполнительное устройство +\end{itemize} + +\begin{frm} Любое инженерное решение - это всегда компромисс. \end{frm} + +Дискретизация сигнала во временной и частотной области + +Дискретизация -- умножение на последовательность единичных импульсов. Дельта функция Дирака \footnote{\href{https://portal.tpu.ru/SHARED/k/KONVAL/Sites/Russian_sites/Series/4/01-6.htm}{Подробнее}}. + +\[ \delta(t) = \begin{cases} +\infty t=0 \\ 0 t \neq 0 \end{cases} \] + +\[ \int_{-\infty}^{\infty} \delta(t) dt = 1 \] + +Бесконечная спектральная функция ведёт к бесконечной энергии, что физически невозможно. Перемножение во временной области -- это свёртка в частотной и наоброт. + +\begin{figure}[H] + \centering + \fontsize{12}{1}\selectfont + \includesvg[scale=1.01]{pics/04-cedd-00-signal-discretization.svg} + \caption{Дискретизация сигнала} +\end{figure} + + +Дискретный сигнал в частотной области -- бесконечное число повторяющихся копий дискретного представления сигнала. в ЦВУ мы всегда работаем с дискретным сигналом. Важно на каком расстоянии стоят частоты дискретного сигнала (виртуальные образы цифрового сигнана). Чтобы они не накладывались друг на друга нужна предварительная фильтрация (ФПО). + + +\end{document} diff --git a/04-og.tex b/04-og.tex deleted file mode 100644 index 3d52642..0000000 --- a/04-og.tex +++ /dev/null @@ -1,80 +0,0 @@ -\documentclass{article} - -\input{settings/common-preamble} -\input{settings/bmstu-preamble} -\input{settings/fancy-listings-preamble} -\author{Оганов Владимир Игоревич} -\title{Разработка сложных электронных устройств} -\date{2023-02-08} - -\begin{document} -\sloppy -\fontsize{14}{18}\selectfont -\maketitle -\tableofcontents -\newpage -\section{Введение} -Электроника базируется на физике. Разделы физики 0 электричество в металлах, в полупроводниках и электромагнитные поля. Киргоф, Ом. Упрощают моделирование сложных систем, предоставляют математический аппарат. - -Сложное электронное устройство: большая схема -- неправильно решённая задача. Каждая лишняя деталь -- источник шумов, погрешностей, итд. компенсация порождает лавинный эффект. Проектирование СЦУ -- это проектирование ЦУ как можно проще. - -Электронное устройство не работает само по себе, а всегда в связке с окружающим миром и физическими параметрами, с которыми нужно уметь работать изначально. От параметров окружающей среды (источника и потребителя) зависит выбор технологии обработки внутри. - -digital remastering -- интерполяция звука с 44.1КГц - 96КГц в 192КГц. - -сейчас тренд к максимально быстрой оцифровке. после АЦП мягкая реализация - ДСП микропроцессоры, или жёсткая - ПЛИС или ЦПЛД. - -1. сигнал -- это физический процесс, содержащий информацию. -2. электрический сигнал -- ток и напряжение изменённые во времени (связаны законом Ома). -\[ - i(t) - } - u(t) - \] -электричество получается по закону электромагнитной индукции Фарадея. -3. все электрические сигналы рассматриваются в двух областях - зависимость по времени и зависимость по частоте. во времени на сигнал смотрим осциллографом, в частоте спектроанализатор. связаны преобразованием Фурье. -\[ \int_{-\infty}^{\infty} x(t) e^{-j\omega}dt\] - -х(т) это входной непрерывный сигнал умножаем на (ортогональный базис) тригонометрическую функцию. то есть ищем спектральную составляющую (корреляционный детектор). ортогональный базис нужен (косомега+жсиномега) для поиска фазы (если будет только синус или косинус - будем знать только амплитуду). - -Анализатор спектра (аналоговый непрерывного действия) -(3) - -\[ x(t) = \frac{1}{2\pi}\int_{-infty}^\infty\ X(j\omega) e^{j\omega}d\omega \] - -когда работаем с цифровыми сигналами -- дискретное преобразование фурье, интеграз заменяется на сумму и берём не бесконченость, а определённое число отсчётов. - -электронное устройство (обобщённое) (4) -Датчик преобразует электрический сигнал -АО - на стандартных элементах (усилители фильтры иногда умножители) -ФПО - фильтр для подавления образов - -УВХ (устройство выборки и хранения) + АЦП -дискретизация по времени (УВХ) и квантование по уровню (АЦП). Сигнал при переходе в цифру всегда теряем информацию, важно минимизировать. - -ЦВБ -ЦАП -Деглитчер -Восстанавливающий фильтр -Драйвер и аналоговое исполнительное устройство - -любое инженерное решение - это всегда компромисс. - -Дискретизация сигнала во временной и частотной области - -Дискретизация - умножение на последовательность единичных импульсов. Дельта функция Дирака. - -\[ \delta(t) = \begin{cases} +\infty t=0 \\ 0 t \neq 0 \end{cases} \] - -\[ \int_{-\infty}^{\infty} \delta(t) dt = 1 \] - -Бесконечная спектральная функция ведёт к бесконечной энергии, физически невозможно. - -перемножение во временной это свёртка в частотной и наоброт. - -(5) - -дискретный сигнал в частотной области -- бесконечное число повторяющихся копий дискретного представления сигнала. в ЦВУ мы всегда работаем с дискретным сигналом. Важно на каком расстоянии стоят частоты дискретного сигнала (виртуальные образы цифрового сигнана). чтобы они не накладывались друг на друга нужна предварительная фильтрация (ФПО). - - -\end{document} diff --git a/04-time-series-analysis-forecasting.tex b/04-time-series-analysis-forecasting.tex index 2292273..5a73e7e 100644 --- a/04-time-series-analysis-forecasting.tex +++ b/04-time-series-analysis-forecasting.tex @@ -98,68 +98,107 @@ $\sigma$ -алгебра F - набор подмножеств (подмноже \item $p_{\xi}(x) \geq 0$ для любого $x$. \item $\int_{-\infty}^{\infty} p_\xi(x)dx = 1$ \end{enumerate} -Любая функция p_\xi(x), удовлетворяющая условиям теоремы может рассматриваться как плотность распределения некоторой случайной величины. +Любая функция $p_\xi(x)$, удовлетворяющая условиям теоремы может рассматриваться как плотность распределения некоторой случайной величины. \subsection{Нормальное распределение} Непрерывная случайная величина $X$ имеет нормальное или гауссовское распределение с параметрами $a$ и $\sigma$, если плотность вероятности ее равна \[ p_X(x) = \frac{1}{\sigma\sqrt{2\pi}} e^{-\frac{(x-a)^2}{2\sigma^2}}, \] -где $a \in R, \sigma > 0$. Обозначение: 𝑁 𝑎, 𝜎 2 , где 𝑎 – -математическое ожидание, 𝜎 − среднее квадратичное -отклонение. +где $a \in R, \sigma > 0$. Обозначение: $N(a, \sigma^2)$, где $a$ -- математическое ожидание, $\sigma$ -- среднее квадратичное отклонение. + Функция распределения: +\[ F_X(x) = \frac{1}{\sigma\sqrt{2\pi}}\int_{-\infty}^x e^{-\frac{(x-a)^2}{2\sigma^2}} dx = \Phi_0(\frac{x-a}{\sigma}) \] -\subsection{Нормальное распределение} +\begin{figure}[H] + \centering + \includesvg[scale=1.01]{pics/04-tsaf-00-norm-disp.svg} +\end{figure} -Нормальное распределение с параметрами а и сигма если её плотность вероятности равна +оба графика это нормальное распределение. у синего среднее $0$ у красного среднее $-1$. сигма это разброс относительно среднего. важно, что площадь одинаковая. распределение зарактеризуется двумя параметрами -- среднее и дисперсия. у красной +\[ P_2(x)=\frac{1}{\sqrt{2\pi}}e^{\frac{(x+1)^2}{2\sigma^2}}\] +у синей ($a = 0, \sigma = 1$) +\[ P_1(x)=\frac{1}{\sqrt{2\pi}}e^{-\frac{x^2}{2}} \] +получается у второго будет меньше вариативности, около -1 -и математическое ожидание а и сигма - среднее квадратичное отклонение. +Свойства нормального распределения +\begin{enumerate} +\item Если случайная величина $X$ имеет нормальное распределение $N_{a, \sigma^2}$, то + \[F_X(x) = \Phi_{a, \sigma^2}(x) = \Phi_0(\frac{x-a}{\sigma})\] +\item Если $\xi\sim N_{a, \sigma^2}$, то + \[ P(x_1 < \xi < x_2) = \Phi_{a, \sigma^2}(x_2) - \Phi_{a, \sigma^2}(x_1) = \Phi_0(\frac{x_2-a}{\sigma}) - \Phi_0(\frac{x_1-a}{\sigma}) \] +\end{enumerate} -(картинка ляма) -оба графика это нормальное распределение. у синего среднее 0 у красного среднее 1. сигма это разброс относительно среднего. важно, что площадь одинаковая. распределение зарактеризуется двумя параметрами - среднее и дисперсия. у красной -%P_2(x)=\frac{1}{\sqrt{2\pi}}e^{\frac{(x+1)^2}{2\sigma^2}} - -(картинка ляма 2) получается у второго будет меньше вариативности около -1 - -в нормальном распределении -%Ф_0(0) = 0,5 -%Ф_0(-ч) = 1-Ф_0(ч) - -правило трёх сигм -если отклонение случайной величины меньше трёх сигм (стандартных отклонений) мы считаем что вероятность пренебрежимо мала. +Свойства стандартного нормального распределения +\begin{itemize} +\item $\Phi_0(0) = 0,5$ +\item $\Phi_0(-x) = 1-\Phi_0(x)$ +\item $P(|\xi| < x) = 1-2\Phi_0(-x) = 2\Phi_0(x) - 1$ +\item \textbf{Правило трёх сигм} -- если отклонение случайной величины меньше трёх сигм (стандартных отклонений) мы считаем что вероятность пренебрежимо мала. +\item Если $x\sim N(a,\sigma^2)$, то $P(|\xi - a| < 3\sigma) \approx 0,997$ +\end{itemize} Характеристики -%мат ожиданием случайной величины Х с плотностью р_х(х) называется неслучайная велична м_х=\интхр_х(х)дх, если этот интеграл сходится, то есть \интмодуль хи р_х(х)дх меньше инфти +Математическим ожиданием случайной величины $Х$ с плотностью $р_X(х)$ называется неслучайная велична +\[ m_X = \int xp_X(x) dx,\] +если этот интеграл сходится, то есть $\int |x| p_X(x) dx < \infty$. +Если $X$ -- дискретная величина, то +\[ m_X = \sum_{i=1}^x x_ip(X=x_i)\] -случайность - это отсутствие полной информации об эксперименте. если кубик бросить сто раз в среднем выпадет 3,5. мат ожидание броска 3,5. +\begin{frm} + Случайность -- это отсутствие полной информации об эксперименте. +\end{frm} -свойства матожидания +если кубик бросить сто раз в среднем выпадет значение 3,5. мат ожидание одного броска = 3,5. -дисперсия случайной величины равна нулю. -%\overline{DX}=\frac{\sum_{i-1}^{n}(x_i-\overline{X})^2}{n-1} +Свойства математического ожидания случайной величины +\begin{enumerate} +\item МО константы равно самой константе: $Eg = g$; +\item Константу $g$ можно выносить за знак МО: + \[ EgX = gEX=gm_x\] +\item МО суммы двух СВ равно сумме МО слагаемых: + \[ E(X+Y) = EX+EY\] +\item МО произведения двух случайных функций $X$ и $Y$ равно произведению МО, если $X$ и $Y$ -- некоррелированные СВ: + \[E(X*Y) = EX*EY\] +\item МО суммы случайной и неслучайной функций равно сумме МО случайной $X$ и неслучайной величины $g$: + \[E\{g+X\} = g+EX\] +\end{enumerate} -Во временных рядах каждое следующее значение в момент Т зависит от предыдущего в момент Т-1. Например, изменение температуры или цен. Если эта зависимость существует, то существует связь, мера этой связи называется ковариацией. ковариация величины с самой собой это дисперсия. +\subsection{Дисперсия СВ} +Дисперсией СВ $X$ называется неслучайная величина +\[ D_X = \int (x-m_x)^2 px(x) dx\] +Свойства ДСВ +\begin{enumerate} +\item Дисперсия неслучайной величины равна нулю. $D(g) = 0$ + \[ \overline{DX}=\frac{\sum_{i-1}^{n}(x_i-\overline{X})^2}{n-1} \] +\item Дисперсия суммы СВ $X$ и неслучайной $g$ равна ДСВ + \[ D(g+X) = DX\] +\item Д произведения СВ $X$ на константу $g$ равна произведению квадрата константы на ДСВ + \[ D(g*X) = g^2DX\] +\item Д суммы двух случайных функций $X$ и $Y$ равна сумме Д слагаемых, если СВ $X$ и $Y$ некоррелированы + \[ D(X+Y) = DX+D\xi(t)\] +\end{enumerate} -Задачи -ксит + -кси1,2...т,т-1 белый шум +Во временных рядах каждое следующее значение в момент $t$ зависит от предыдущего в момент $t-1$. Например, изменение температуры или цен. Если эта зависимость существует, то существует связь, мера этой связи называется ковариацией. ковариация величины с самой собой это дисперсия. -белый шум когда МО = 0 а дисперсия =сигма квадрат != 0, а ковариация = 0. +Две случайные величины $X$ и $Y$ называются независимыми, если закон распределения одной из них не зависит от того, какие возможные значения приняла другая величина. -модель скользящего среднего -%X_t = \sum_{i=0}\alpha_i \sum_{t-i} где альфа - сходимый ряд (бесконечная сумма меньше бесконечности) +Ковариация – это мера линейной зависимости случайных величин. -%X_t = 2_\infty \ksi_{t-1} - 3\ksi_{t-2} + \ksi_t + 1 +Белый шум -- это когда МО = 0, дисперсия $\sigma^2 != 0$, а ковариация = 0. -мат ожидание = 1 -если величины независимы - матожидание = 0 -дисперсия суммы (если величины независимы) -%Var(X_t) = Var(2\ksi_{t-1}) - Var(3\ksi_{t-2}) + Var(\ksi_t + 1) = 4Var(\ksi_{t-1}) + 9Var(\ksi_{t+2}) + Var \ksi_t = 14 +\subsection{Модель скользящего среднего} +\[ X_t = \sum_{i=0}\alpha_i \sum_{t-i}\] +где альфа - сходимый ряд (бесконечная сумма меньше бесконечности) -%Cov(X_t X_{t-1} -%x_t = 2\ksi_{t-1} - 3\ksi_{t-2} + \ksi_{t+1}) = +\[X_t = 2_\infty \xi_{t-1} - 3\xi_{t-2} + \xi_t + 1\] -%Var(x\pm y) = Var(x) + Var(y) \pm 2cov(x, y), если х и у не кореллируют. +мат ожидание = 1 , если величины независимы -- матожидание = 0. Дисперсия суммы (если величины независимы) +\[ Var(X_t) = Var(2\xi_{t-1}) - Var(3\xi_{t-2}) + Var(\xi_t + 1) = 4Var(\xi_{t-1}) + 9Var(\xi_{t+2}) + Var \xi_t = 14\] + +\[Cov(X_t X_{t-1}\] + +\[Var(x\pm y) = Var(x) + Var(y) \pm 2Cov(x, y),\] +если $x$ и $y$ не кореллируют. \end{document} diff --git a/04-tss-01-lab-report.tex b/04-tss-01-lab-report.tex new file mode 100644 index 0000000..1ec5551 --- /dev/null +++ b/04-tss-01-lab-report.tex @@ -0,0 +1,25 @@ +\documentclass[a4paper,fontsize=14bp]{article} + +\input{settings/common-preamble} +\input{settings/fancy-listings-preamble} +\input{settings/bmstu-preamble} +%\setcounter{secnumdepth}{0} +\numerationTop + +\begin{document} +\thispagestyle{empty} +\makeBMSTUHeader + +% ... работе, номер, тема, предмет, ?а, кто +\makeReportTitle{лабораторной}{1}{Введение}{Программное обеспечение телекоммуникационных систем}{}{И.М.Сидякин} +\newpage +\thispagestyle{empty} +\tableofcontents +\newpage +\pagestyle{fancy} +\section{Цель} + +\href{https://git.iovchinnikov.ru/ivan-igorevich/erlang-labs}{репозиторий} + +\end{document} + diff --git a/pics/04-cedd-00-common-device.svg b/pics/04-cedd-00-common-device.svg new file mode 100644 index 0000000..4d4a78e --- /dev/null +++ b/pics/04-cedd-00-common-device.svg @@ -0,0 +1,363 @@ + + + + + + + + + + image/svg+xml + + + + + + + + Физический процесс + Датчик + Аналоговаяобработка + + + + Электрическийсигнал + + + + Фильтр дляподавленияобразов + + УВХ + АЦП + Цифровойвычислительныйблок + ЦАП + Деглитчер + Восстанавливающийфильтр + Драйвер + Аналоговоеисполнительноеустройство + + + + + + + + + + + + + + + + diff --git a/pics/04-cedd-00-signal-discretization.svg b/pics/04-cedd-00-signal-discretization.svg new file mode 100644 index 0000000..56992ad --- /dev/null +++ b/pics/04-cedd-00-signal-discretization.svg @@ -0,0 +1,1393 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + image/svg+xml + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Восстанавливающийфильтр после ЦАП + + Время + Частота + Дискреттные импульсы + Спектр последовательныхимпульсов -- бесконечен,это мат. абстракция + ПФ + ОПФ + + + Дискретный сигнал + + diff --git a/pics/04-cedd-00-spectrum-analyzer.svg b/pics/04-cedd-00-spectrum-analyzer.svg new file mode 100644 index 0000000..a24f094 --- /dev/null +++ b/pics/04-cedd-00-spectrum-analyzer.svg @@ -0,0 +1,396 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + image/svg+xml + + + + + + + x(t) + смеситель + ФНЧ + Усилитель + Если здесь антенна,то это радиоприёмник + + + + + + + + + + + + + $X_\omega$ + $\omega$ + Развёртка + Перестраиваемыйгенератор + + + + + + + diff --git a/pics/04-tsaf-00-norm-disp.svg b/pics/04-tsaf-00-norm-disp.svg new file mode 100644 index 0000000..023f9cf --- /dev/null +++ b/pics/04-tsaf-00-norm-disp.svg @@ -0,0 +1,104 @@ + + + + + + + + + + + + + image/svg+xml + + + + + + + + + + + +