From 760de76d2a7e9f69f52a754cff6ccbb3e631c0bd Mon Sep 17 00:00:00 2001 From: "Ivan I. Ovchinnikov" Date: Mon, 30 Jan 2023 13:15:45 +0300 Subject: [PATCH] fpga labs wip --- 03-fpga-lab-02-report.tex | 63 +++++-- 03-fpga-lab-04-report.tex | 69 ++++++++ pics/03-fpga-lab-02-individual.png | Bin 5338 -> 9020 bytes pics/03-fpga-lab-02-individual2.png | Bin 0 -> 8907 bytes pics/03-fpga-lab-04-01-synth.svg | 239 +++++++++++++++++++++++++++ settings/bmstu-preamble.tex | 187 +++++++++++++++++++++ settings/common-preamble.tex | 97 +++++++++++ settings/fancy-listings-preamble.tex | 135 +++++++++++++++ settings/logo_bmstu.png | Bin 0 -> 173358 bytes settings/main-style-preamble.tex | 116 +++++++++++++ src/dec.sv | 46 +----- src/niosII_tb.v | 85 +++++----- src/sem.c | 12 +- 13 files changed, 948 insertions(+), 101 deletions(-) create mode 100644 03-fpga-lab-04-report.tex create mode 100644 pics/03-fpga-lab-02-individual2.png create mode 100644 pics/03-fpga-lab-04-01-synth.svg create mode 100644 settings/bmstu-preamble.tex create mode 100644 settings/common-preamble.tex create mode 100644 settings/fancy-listings-preamble.tex create mode 100644 settings/logo_bmstu.png create mode 100644 settings/main-style-preamble.tex diff --git a/03-fpga-lab-02-report.tex b/03-fpga-lab-02-report.tex index 19fe469..457dc21 100644 --- a/03-fpga-lab-02-report.tex +++ b/03-fpga-lab-02-report.tex @@ -1,8 +1,8 @@ \documentclass[a4paper,fontsize=14bp]{article} -\input{../common-preamble} -\input{../fancy-listings-preamble} -\input{../bmstu-preamble} +\input{settings/common-preamble} +\input{settings/fancy-listings-preamble} +\input{settings/bmstu-preamble} \setcounter{secnumdepth}{4} \numerationTop @@ -33,10 +33,15 @@ initial begin train = 0; wait (niosii_inst_reset_bfm_reset_reset); forever begin - repeat(22528) @(posedge niosii_inst_clk_bfm_clk_clk); - train = 1; - repeat(10) @(posedge niosii_inst_clk_bfm_clk_clk); - train = 0; + wait ({red,yellow,green}==3'b001); + repeat (29000) @(posedge niosii_inst_clk_bfm_clk_clk); + repeat(2) begin + train = 1; + repeat (10) @(posedge niosii_inst_clk_bfm_clk_clk); + train = 0; + wait ({red,yellow,green}==3'b001); + repeat (200) @(posedge niosii_inst_clk_bfm_clk_clk); + end end end \end{lstlisting} @@ -117,15 +122,53 @@ end \label{pic:timing-sem-after} \end{figure} -В компонент семафора была добавлена возможность изменения поведения без перекомпиляции компонента. Поведение с «мигающим жёлтым» активируется нечётным значением задержки из файла \code{sem.c} процессора niosII. Поведение красного жёлтого, и зелёного сигналов в режиме «мигающего жёлтого» представлено на рисунке \hrf{pic:indi-model}. +В компонент семафора (файл \code{HDL/dec.sv}) была добавлена возможность изменения поведения без перекомпиляции компонента. Поведение с «мигающим жёлтым» активируется нечётным значением задержки из файла \code{sem.c} процессора niosII. Значения задержек семафора заданы достаточно маленькими 32-разрядными числами, чтобы возможно было отследить их в симуляции. + +\begin{lstlisting}[language=C,style=CCodeStyle] +#define TIME_SETS 4 +#define TIME_STATES 4 +const alt_u32 divisors[TIME_SETS][TIME_STATES] = { + {0x00000010, 0x00000010, 0x00000005, 0x00000010}, + {0x00000010, 0x00000020, 0x00000010, 0x00000010}, + {0x000000f1, 0x000000f1, 0x000000f1, 0x00000011}, + {0x000000d1, 0x000000f1, 0x000000f1, 0x00000011} +}; +\end{lstlisting} + + +Поведение красного жёлтого, и зелёного сигналов в режиме «мигающего жёлтого» на третьем наборе задержек, записанном в регистр управления + +\begin{lstlisting}[language=C,style=CCodeStyle] +IOWR_ALTERA_AVALON_SEM_DIVSET(SEM_CTL_SLAVE_BASE,0x02); +\end{lstlisting} + +представлено на рисунке \hrf{pic:indi-model}, первый набор, записанный командой + +\begin{lstlisting}[language=C,style=CCodeStyle] +IOWR_ALTERA_AVALON_SEM_DIVSET(SEM_CTL_SLAVE_BASE,0x00); +\end{lstlisting} + +на рисунке \hrf{pic:indi-model2}. \begin{figure}[H] \centering - \includegraphics[width=12cm]{03-fpga-lab-02-individual.png} + \begin{subfigure}[b]{0.98\textwidth} + \centering + \includegraphics[width=\textwidth]{03-fpga-lab-02-individual.png} + \caption{Нечётное значение задержки} + \label{pic:indi-model} + \end{subfigure} + + \begin{subfigure}[b]{0.98\textwidth} + \centering + \includegraphics[width=\textwidth]{03-fpga-lab-02-individual2.png} + \caption{Чётное значение задержки} + \label{pic:indi-model2} + \end{subfigure} \caption{Диаграмма поведения сигналов после выполнения задания} - \label{pic:indi-model} \end{figure} + В процессе работы были модифицированы исходные коды (листинг \hrf{lst:dec}, в листинге приведена только изменённая часть, логика работы семафора) и коды тестов (листинг \hrf{lst:dec-tb}) для компонента семафора, а также тестовый стенд (листинг \hrf{lst:main-top-tb}) и прошивка процессора (листинг \hrf{lst:sem}) итогового проекта. \section{Выводы} diff --git a/03-fpga-lab-04-report.tex b/03-fpga-lab-04-report.tex new file mode 100644 index 0000000..2c277b6 --- /dev/null +++ b/03-fpga-lab-04-report.tex @@ -0,0 +1,69 @@ +\documentclass[a4paper,fontsize=14bp]{article} + +\input{settings/common-preamble} +\input{settings/fancy-listings-preamble} +\input{settings/bmstu-preamble} +\setcounter{secnumdepth}{4} +\numerationTop + +\begin{document} +\thispagestyle{empty} +\makeBMSTUHeader + +\makeReportTitle{лабораторной}{4}{Проектирование цифрового синтезатора}{Проектирование цифровых устройств на \\ программируемых логических интегральных схемах (ПЛИС)}{}{С.В. Фёдоров} + +\newpage +\pagestyle{fancy} +\section{Цель} +Осуществить полный цикл проектирования цифрового устройства на ПЛИС на языке SystemVerilog. Реализовать генератор периодических функций на основе метода прямого цифрового синтеза на микросхеме семейства Cyclone IV E. + +\section{Задачи} +Прямой цифровой синтез -- это метод генерации сигнала заданной формы путём вычисления значений сигнала в последовательных дискретных отсчётах времени и преобразовании их в аналоговый сигнал с помощью ЦАП. Структура системы приведена на рис \hrf{pic:synth-scheme}. + +\begin{figure}[H] + \centering + \fontsize{14}{1}\selectfont + \includesvg[scale=1.01]{pics/03-fpga-lab-04-01-synth.svg} + \caption{Схема цифрового синтезатора} + \label{pic:pic:synth-scheme} +\end{figure} + +Инкремент фазы поступает на вход накопителя фазы и определяет скорость изменения фазы формируемого сигнала. Накопитель фазы выдаёт номер текущего отсчёта в периоде на просмотровую таблицу, содержащую образ одного периода синуса. Значение с выхода просмотровой таблицы поступает на дельта-сигма модулятор, который работает как однобитный ЦАП. Модулятор формирует последовательность нулей и единиц на высокой частоте, соответствующую текущему уровню на входе модулятора, после чего двухуровневый выходной сигнал модулятора подается на выход ПЛИС и сглаживается простым RC фильтром НЧ. При изменении приращения фазы изменяется частота формируемого на выходе сигнала. + +\section{Выполнение работы} +\subsection{Разработка модулей} +\subsubsection{Накопитель фазы} +\textbf{Интерфейс модуля} +\begin{frm} \begin{itemize} + \item [] \textbf{Входы:} + \code{phinc[7:0]} -- величина приращения фазы за один период тактового сигнала; + \code{clk} -- тактовый сигнал; + \code{clr_n} -- вход асинхронного сброса; + \item [] \textbf{Выходы:} + \code{phase[7:0]} -- 8 старших значащих битов выхода накопителя; + \item [] \textbf{Параметры:} + \code{WIDTH} -- разрядность накопителя фазы (значение по умолчанию -- 14). + \end{itemize} \end{frm} + +\textbf{Принцип действия} +Накопитель фазы -- это классический аккумулятор, который сохраняет накопленную сумму в регистре и использует её в качестве одного из операндов сумматора на каждом такте. Второй операнд поступает с входа и определяет величину приращения фазы. Разрядность аккумулятора должна быть параметризирована. Входные значения складываются с младшими битами регистра аккумулятора, а на выход поступают старшие 8 разрядов аккумулятора, поэтому при разрядности аккумулятора M и значении N на входе приращения фазы выход фазы будет увеличиваться на единицу один раз в $2^{(M-8)}/N$ тактов. + +\section{Индивидуальное задание} + +\section{Выводы} + +\newpage +\appendix +\setcounter{secnumdepth}{4} +\section{Приложения} +\subsection{Исходные коды проекта} +\label{appendix:src} + +% \lstinputlisting[language=Verilog,style=VerilogStyle,caption={Семафор},label={lst:dec}]{src/dec.sv} + +% \lstinputlisting[language=C,style=CCodeStyle,caption={\code{sem.c}},label={lst:sem}]{src/sem.c} + +\end{document} + + + diff --git a/pics/03-fpga-lab-02-individual.png b/pics/03-fpga-lab-02-individual.png index 73315208ff8f305047444ee74f9ac57f39fc8f80..68a2bdf1a4daa487847cf020bcfabd37f264f4db 100644 GIT binary patch literal 9020 zcmb_?1yqz_pYMPOLk}S(k|WY6ARr(yqI5WPBLV^v5&|OK2uOEHGZG3&rywN_j!4Hy zN_Tr7^zPohckh1R?!EgSU|!Cgcg_?4=l`n-Ra22ACZHpLKp@2OaxzE=1iKpCKZat1 zzp|dR3E&0)g`BPv1VZxV@)s+fi{v^4!UU0*k=AfaTTk~^Qr8{tBt86I-k!ktkdWt^ zS^*{uDq>k4&i?kg-xDi?*8aEUhC-X=kBBQUF+rZL0*mPiZ1vr#Hjl_VMI55j*LYd! zf@^U7x#7eYG=#b`UN6zoR*$p7O$pEM3=Z#HI9O~Ny;iKtG|9d$R`l=39^hPGa{zv@MzCii zaRLUxM?84&phlBhKA?wC=)>_A76g)gm1XjBjUbQ^H73PBH!T8KJ>)8cT-Xbj%L(z3 zW4f)|>W%gYVR{UKm|Uwk+9ck>3dzU};Pb)iB7gD52VWywO<$iYS`K^utJuqzFIl3y zs;juJ$&bCZqAB+cPC|?F&hE3YO=4%$T|UO)b76tMM~T(O_811mQOFw(^VKWKNKSsj zmy@5*CM=v-J0OG7C>J-pY5+Ii%$rm2WKm_+)2g*svm5J-Y7KUHNQgx3Q8yp82Qzvd z)z6%7BsQO!TUfA3Y*6L>`0>Ma#`Ew?D1}0v<5GY6+z^<=N5q*sc}xaNL+=cC!zpw# zlS<2Ds~oNPAX!OBRCKl7#Kfe9@d+05EDOhLKH@942L;hA@NPi#rf%Iso})n;77YD(LluZ*|Afj5u<4(ST(NpLvG&; z77YpKckyrn{$!gMFJR0X+S&y}mgVK;ZsOBNM@Q%)?QQS!%F4<_Uc;26Bp5-UW32&8 zv<^2_rQUshem~fFwf)T78)72#Z_(Dawzi%JYqW}e=H})e63yl1!z<43(bgWTD_d;Pk8WQ8b3?rX;Q6VBWwz4z+E)f3hB&)$Y0`@gj;?Sd*15D>UQBCD!8 zkS^xB1*xyECnO-i!Im~Q&U}=EDlCMBwTq^2>@4)S)TcAREQo%suDZLsmseEWqwg=s z^s2L;XQz==*wbUj$pEkdWn#mS_98+)-nUdf|h_36{6 z!GXcCv9XAV2q<$P;<1j78>S;->rJkS3um<4!We;@Lpc z`GLO2+q-qokyy zON)zj2BmkOf6vX$je=VV(w%!3Y=RxL6-w>1sCU3TQc+R)8Di%0wSy-w%_=P>hJ`$| zuC5O3n-ZJ4z5V9*5`Bjv{c5{08=;(`!qH!y9Ub}k`D-rqT1Cpr%KJ1h;jQ+)`FR$E zrKM$;X<3ayX;YI#a9ea*TEkjRc4A;);O^3Z_vw1I<91jqEzC3(i#I^l?v0RPf_8Zdosmr z-&8!xjQxEs`6+Tw=E)c(7Bf7yo7bqJE1$Juj2i|myz3Ae8hR8yJ#%?6Qd4Ui85wD4 ze4C!`Hxh4}&ZA&tj4TMGASds&aDs>IVULtdge-rZ3g4Wn7Zw(FUF@Z`fF%TOB#fnr zg3>Y2(>qy`{Qb(>nt*xlm+^1+yc-YsEGmvSrt0(Z@{U;VE3n0O&%FR!A08RW8h}(+ zZ$4g3-73U^Ky>h%CLJuLk8PLn+}dP$H~OX5kO8^5@ECZeq<4RQPItF_5n4|}Lng+| z-CeXPn1?)6$mWL>?916%!<3!z%D8Y5nv;@XMb>3~{2DcNCuaL#ZA?I1oF1Pgu{B5k z1dKYl1K0nv89#W}sn)=c>H7!c&BjNJf%;NOcXC4_B3_EngOdi$0%sL|^X9SSsvH{! zht*7z=dbT2m=_|5tQVkPt7b~H8xQ6DbQTQ`3Od-B>cMR1BCT9p4y-+wuRwe>gZP~A ziCH`D#YTIXtx?Fpc`{kvEwGhNy{I*y<~4}wS-5)jDpgosNr|naBa$;dAwGU?!A&%s zgipw9pf6Pz^uGniSI?e3Gd5;K$Q7X(Bs`KjF;+=E)+$x+kwwGhL95{7 zCskCuJ)5R6hNU_t*x!o(?->@7?y}a*h(}LN942*4t*E7M~hPeEaJmn zWT?s*8Me%TYAdBw^K@efOf)(N0rlJ}-+xM3ZS%$l)~bHO%=Ev=3Pox#`2(h5##ctr zn4PF|w9hECu#c=m%STHntn~`{qePLER!ABj3D3y{quD8Em zNKi09zdc5#M*Nb0Ncp~}C%(LCS-nyY3K?AD6d$>Ll|Nhl`6oN1#SFjZhyo6PzF3cn z_IeA$zmk*Xeda6i?BBkBSAfU()a$GB9{E1A)qff<-Gtig`%Ub|Hrd((4JIn_lQGlL3t62c!#364k$8mqN#qTXp*=&=| z6x;nsR<@Cbh=}M&cV);Mn>VKXaQHUU6=SVe?(3WWIrHe$y zL`Rd^Jp>%9GrUS$pCcHQ&2c<&3?Y6nvo{@^3A}Kwx*`Cs;Z>N z5sW!5KK|v!*)iavIkJ&};8s-uEY;D}jL*#cFl3p8C9kS#Z)0Qdb!mBd8Bn*A)6?dY zRj#yvC%1|szkHG5O?-ZvW=q!p^X!5hH8=p@=6b@nt)8@>@*HkIQYE|1w<|1pkHMa< z!H%xs;{Tr?c;wwdEQ6i9BnTB#H4dz|ZryT};TJQ9x;i>K1_pb}>fBU-DSl`= z`MTg3@%HW8h=}9cXN5jJ3oHSJD+z$lcz^?K@^bcnQh|6pP|M3)TT3m#_!o3j@W@cY_H#opH@-gt2WHx0wg-y$+-|h|YFvUg4 zW@7uAfD`^Ebm&MSeH)GQ2kHAW{{`tyuFYa@;6;Y|y*zXZfQ_FsNO)y!3#@l%3QT-8 zD-WaQD_?215hBFF_G8*QX1jBTEOY4e&xM(v2P7lx&71Lwi7_r?1847kK?w;4)zMpU zcv9b@9Bk!kzJ4{?P<%b@OuehUo!v%nvOq5rcbL8uJ84Blg;J)KmDTgn(maV$!+Mv> z3^8T4*tR)2d3jn!MpQI^MLN-iLQ!lS+yJ~gnV(v1%5=2&% zml;qt4h|0D4E6&V_uJaqqL~~y#Ov}RLrT-EY;My4vgqJ&2Q(3=>G{e22aO#=IzFuJ zWhX~R$0tvixwr_KX37E|qU&5p=IMpo$Q=*H_^`64@G74iT_cf;biZ0Ic?Qlj=~ zug>oZ5X*Pm8{u;5d7Al-;@$^Aht!&bw>=AWFXsFVc=_1bKk9G;0Tde>3ut+9F%e(q z$cnRD8S`tq=>}arJ3~8bVfRfq*3!36{4+$&fW1tWHWgai}LaoT70k6yKYvE*&J@pkalgc z#)7iFf=9w0|FyQZw!dG+tpy9>gO&+b$kUtt$|dsnHnGvI@a8-cJB@kD&r`MkRm^7O z*W~*C@n}_SlgfXepg}GYK%@9yXv_j)UiEwM;!-CZqKl1K{`9d`(Cr3uKqA+LS0Mv4 zDbV$WA3uJqt{$Cx;myyI9YPSzfFHB7Of1*p%l#Qo{2Bhx5u11Q?|k2DSVOz9x33O< z5F?>vY_K_}X&=h8+)83$3fPMCf`&v!ekd(<5&QA&+n2?xFR+k3*$isGTyZn+yR&!w z9Bxu_P}_3f#aUP2{W?E;ojaQv-ysA>%ODu=G46p$InLguE>16!1Hqo0o^ESt39Wu} zGxWu)OKA4N*1Sje=LU^uhJSejabq*l#ZL7b`ty$rnQu4wi|t!-l;90R9is1A-sN8H zB}p*}qOJXF*NBbia0T3(zI?9kdtQ9O>aV<~by5wrfLy7(%B1*iG??fg+YY!w4{&`f zZ?dtcuI5^sD<{P?Fg~&BD9;+e5hrP~?TOeBqn++MJJvr^g8EVTtA)?#V&DIxNO7=z zfxlq-POIpdg~gAaLKG^C^I-Te8SsFFf+C-=+_gqQ1C;7dE14e7!w9C@2p0kZ0wB{5 zhGZGL7j|Ae2f_e)+shny9KJ@hj$<;?Y_XvEx!<9oq1mb!&iRe=$Jclwh1*oT6XJM% z9Z(*i=ug1Av3=it_@J6Iq@ke^(r%ir;(EMe{yvS> zMPEk;tR3nk3OonRIyi8N%t*fdbpN})Jy6`9+wIiQEN~pJ69p+I@-hd+DJ)PMdq|_J z-s*hP<3U*NF81MIsS;s9K8|nQQ8dYi(g=lO%g4~t(rT9)d}bUO9!^O~fg!-E)VyOc zmxsxio9Ag2+1lA9DC7|Yn!3BEFoiTUGyn~*SE6O`HKcJ3bW_{0axj=cuOQ$w*xA^~ zKshMiw6-D)5FFtw97*X4aB|`5Ub4qP(%iXo2Y`yl&d+X?dcl#0m!>9qZ*Q+Hn3N2m ztE;;-kQo^fao>HfP=nL<`Evof2;e6G9Y7Zmv~jR9Qn1;u+ke<}*jQV8&yT6fcH;Zw zkp$vZAke_2^N@w{PFh&crW%jErD_DWI|h*0J66&J+^h?(x~ihF!r3YV;Ri zN={BrhPyp#tci||rMSnEoL=sr2&7LzZf=N7 zHh|T@z^k#{-zFyNU;fgXTm|L;UdU^!R z9TOpJBQ){lO91siPsdkF!SVG2FizlM3=KW57;CVbssqHZ(WtqGCJNmGrs@-U#Gfd| zN5j)_8q&mq#Vq6Dg}d&xiNHLI4~`r%o=}?83i@Gj+TStvV#^I+kQCGH)IPWT8_Z_^ zfg6x1yn}LD@9hl-ik?2YS7odwFHRr=h-|r-Vif9g0$d)sdrTD;xEj_pu;7@CMN32T z0}yp%V`Doz-lodA_TBO_1a!sua-3OezytxJjbA_@56KZ{0t_3dn8dWhRua38tE4P6 zYie^2Lro_+l5uvgqC|-;XT$n+=f5z4S2zDdCh*KCqocDEvvLB=k%}>(q8nSBe+jYF zISON5A8r7{EB&5RNAPp!x(QGxiShBFGTFj29)J)~Fbj%_^>pka%Z7jL+czCsAd$mB z*@7Vg>;;m25NsAQ`m%ydwmloKdG=u$g$?@cObn841x4E5Kc=qMl9!i<%S(7)q$yJa zNYyH;EG!Ixckk^vd)OPn$!&jp^koVGjCQ}E5DgKahBY-wJqzSGWq`SBa~|_X=u6R)1Zx$YZ%2Bc0juC_l1*`d z;b|ZYl>T*LyPq#az+~JTFCv7eXSdy=o9)ORz;J@wn4x~-_|+_+DtzX&mE}&tvA2(t zgW#C7ZaHC^Q=Ig!S9zfmN=>c?yrY4H)IS|xNlW{-n_4}8o|={xr;rCairc*mm4db~ z;xQ-CI);alwFc9Gag2@z!-lOZgGm_|x83wgs1oH!>i=_kKan=d*Vp&#?5z3s`Q{Pp z-$|roWK>P8V=x#f5Y?}8hAb<_u;cZvrn*kQDkv<3ZZ0h?&D6atDk|b?hyi{D&B^@d3S!6baXe-C(DA;K>l~|9}+SB6liy_9l%cft>Uln zcLepd5uu^4fPn|vhH*6|78D+SjeEb4A)UxSN^WG9uy;Yq<}FUulRfeloiaiFCwr}@ z6+}`AKCqKn^Ix6pZ!cv0JAn-rP_Xwv%EQCs<;%*6&%oCgBE19+kuasB$~M*U`nhywzp zu`yXIFzE;;f*opn$xq&C2N?)Gj_Nkc$;mMy!o|fsE8bg{#G?L_wM6;y6^Z|_GcMA@ z{ZE?*HER@?%+k+@%16;A?~(V}WXrLd5ZKnM zTjmx=|D0P;YGS|pujdW_y?#Pn?X|FWKsG=fIMf<|Xlf`&c5nJFT^}$l=jXFKn;M{7 zy_|sU z+hQZ69y{>gVkks9hIQ80)GSlo7nWo=-C=1_3}B^AV+0m;np#Ik2a*<8RxO+@onj;m z6jy(3EJ2w+o!<921AJ#ZR`OX@e770UrDh{xV>VP!931Icrx&KCEE2c&4==CI_V)P1 zMCxnTDyyq2v{lll+2=8sZD&se!fy=ps0aoU@8FL!{#KfK0PVvsn)A#dr z=YpeQ%?oMXL~2S(9qt6sv%1UHc2B`f=P$nt&@GSB(9_ZiO2mNX)$k+gzOel?HxEyI z&q8{7I%q#o<`S*fM_W%AA`8&g4xv9kh8YlmoY+~BkxV`&&M|*`e%=(M4D#~b+n7#} znF4F*oAupPuN5p%zF=R)U(B|E5%0U7cXfqyRwjc2(UpRa3=KKVwqWHU?+FW!j*a1y zih$HQCFC*c%o9cNPf5dT2Yp@Lme8ANyH6!EFEd{Y}vQm`;ro&RH0MbIul9eD6UIuY^<$KchyXxGAFD9gwn{3MVG0% zmz#};48d){PdMM{;XC)HxdzGhQ8Vm**m~D__U>PMq$`@NLyrdd2QY*U&`$hfVjzYG z=&wjVx6|Ns2m&$J%^-c?ia}t`MmdM;;K+d$W%;*Xari+Q4#+&{hHs!Pu~E>h-|vsN zKm@yt*5<(iSwlv^9@c;=;-sqgI>cv?+@}`D-Lp%o?;oWJ5SWGOq%d0k+OA26@F0Ya zMeUK>-J^dLC7AxhD52opyV)OQPZ>S;q78UStEm7fhG4W4q*Te4=bCjHHLC4gpfP6X_;Pz}q8ZplK*!ys010|6e^=dJE1#lL|Rygh9Vmr+C3 zrDO~1!s4MlC*u{G#*u)e+MfB;HF9Ht)+emFwJf~1+=d8q-*6T3WVaONJ(Y-3?;;O*2b@5sul{TLTP zHwI>Y`nSB5X>V|_1jYv1C21@3Vfk?fTfKZ823y@>n^D`5&dfmg?4$bPIBh|3ez@vYelqG4*S53#^O?Jj~MLNH$T7G~^?}T*+MC{dlpK(vctUgwE{&3z< z1tG2++9Q&{bR(_2vEa*M=q9*+w@s_qbrK;@dlb4o<_cm@7`PV_5lXwIJ+S(2v^( z7mGHw??$h@bbM(Z>chA17~w{_Gw_)nxK|~u zhnmJ%wf4M)Q^8w@-swof$!JPLZ9N=0B9R&xwDO3;f6jlz|1(h#bZX2nBS$eowUXdO zZqIiRLntEO+I2kvi+2r2IamICZy9#D?8TYVpLE*Zq2Pv#)1-#T+KQr$KrC zo4(J`lf8>Y$t!{R`89tioi8tf7VFK$(vOe_Cr6m<%o!;Bl~NmBJ(-Y@}VjN zki(N>4b=mnd$YA)`wV2)+P(B=$ty*CPgfn+YvBYc>$J10WRCFA&!Vdvlc#kcF7Z@E zVo+R&y6kMLx32Jo0E?q*;nLh;KzJ=v>~hB3-Rg`H*vF`Z~^&maA zM@ZM4yRS%sPDE$LEqS}R#KjP6M?P16=xM#MQL{&AlVt&n=sQK#>ce^oaD`LN>2C4- zH9A9$I8u<13a@&wK+Kbb!OP>Q9=y6QM3g=9$+6MMWg??}`ymDp#?`Iy)<+$2TgY#H3B?YATVC}; zYnL2yrWVdG1InlD8red3pCUTQb>x8LVNoIT*`!7kpndm&)2q<)wU7y|p<`&;mc%O> ziYgLNl7)$QlI}gYGL(qocdLZ3z4l)HCFtkSGQfo%k;{ zq3a_0mo2}zCYpG33?^foq`rR2yOJ8O7QW(_gcXs#6C+3P$rwIKznDai>?a=fnUpNX z!js-#{UXW;VAx_3cZ~gWN7wjLzqzkmVZ|gm#+bq1NedpJ8ytD6yX77Nw^u7MCddQ} zj?=Y31`FK&g{wk4U0>~;L18II`bw6A06)>{%hdytznNjpRd$@46&Qh!eh+0=eHm{h ziRVzj1-IN%yJv*KBZB1iAsf8q92OLvh?3qu{GIn0BgHP2sd-fHeJu7)JZIrU)mpV{ z&!Be8iL@`ph*j*rJ3PV#_wctN7Hp7&7GImZ&_F}y%8SnCv5bl(_*u4Yo>&gA^+vIwmN z6E#u4E4Dp{hzpR7417Sx)HRa_iEpz{A6>jekQbUVwrQO2%KY*VDur06zlc<#wm15J zfbsSINf~+G=tCCqXO^eyxRp-(g`+eVDP`4A*`R8wO6L8>8b(eAdk2(mw5OGwW`7ay zZWQqoR9lKcZ?lJh`>7jAGC#h}olKv_^91wOdu846glwZ*A6QP;9xt6~UEIVI`H3fQ zPEN>>ICe%V-e%;E?r@7}4!+fRl(%Hff2EQ6>BxZPD=}icj*56(s+A(+kgVqqs{**%4J*-;5&HdR%WaK_*PE^pxkjhrZGT2FOI4r7jB zpLxXp@gn$k+@Rw5jmkark_VotN?U7OnN2Je`bu*ia5%2R316=ZD6o#uOs6c zYqA^hc|VvkWA%F<*ZXo-?S+ahO6E1E^k{>9_nr56dUgq~7qP?V2wo6TZb2h{JDI6M-LdFs4e;&jawHJh`HCy)tunT|d2G&Ly>f=; z68x5Mv#L2Ix~;yFV$|Y4P0GET=cjqDxZm1`H8?5=;4!r0*aG+=pYvLmqu`gi8_=Qo1 z$xUpP^59a^xldqfaYb`lzI5G@SH`Mg+&-Wv9C3B)MXO~0XS@IiWtK23xT|L|T)1n> z2-xQ*&l@y3wVYYRtZ-}QE@qOM*niegz;BLX@ND~qmzEZ>p!`>2z;G<;EV=eA!zgVS z)_PZk+lMU=#%M&HlR`pp%!roV&74m_nrI}45&}O=Vw$kOVa3K{@vaJ4a|O?xq->Bv zt?rp;2Y`G%Y64crpi9Yuuj^HrS%d6LlU+I@_e^f&j>72w!{ToXN*64cOU;qFo(f{_ zh$LkWB>=cC=AyvUJSMe4c)5CGX;N+Rg|06$_|(%_ED*s#$E3ncEazYuzV6|cXesmy zm@MHA13V>~vzh*a_Gxte3nr1aASjw1eZxBXV$Gf^8@+wwS0QB$xR+N_sJARCJNyom zxv$HSbhF8#^t*s6%nqfM55Fx49~Kp~;PmsK&3_FF-lBa7hRCaxnXNpvg-KwC6%9;r ze22FB10{`fI$ zhRq%InsE7?QJ7dpXi_TGtCfWhgX8yF+w2O{h#>}oJu-Htdxu|B4#Te_DitP-w&PV^ z%X)8NghRj;<;B}hAs5hIMEfgSRLw|@a*CHQcCTA{1t%(yn_^kLwM>WX)y&v-dk4YYXbpJGbJ zW8@ajaOm$b<&vH^78qO-k`~WZV_giNO!kd0^=Rc5V3c#nSaf0mfJ?d$47O&E!Eh?{ zj%;cKT+E&&Ec~UNN3>@PqR-whU<4{m{kOsHsqzye1xwU?*9@$3 zmy-*0$#MGOm&!#`c#+b8Wtq;+ebWRk1Cf!$0KNn-H}5<9@~=G=&reQxam<{=I6-SJN^&6&&d`=QXAP@{{n3(rIat|+>Lk! zAWQB&9YKD@PD48dbgkwVHmLsrI|RUp{LZHI!Dm$_0|_~1?i1~UdET=XhI9j)Vp1n9 zf7esouX?JK&``aQ2eo6HwHldn>8F10Urp!J!atF^g%RAyP*Pv>nCA7ds%#mO)^_mtU!VO zxg3hXv|CCnpSi^5j}p;<4GFq*;Qu0B{i81nfuKS<>g!&@$5#p|!5Ck}-iUr+2{?bq zQ?FSOF<$mA6l0U|ElpzijFiMiNX2{?Ec1?WujSC=Po%IQiH+a2RGky{5rjU)Zq0&F{G8DEghvo&{JNoi zp_t%MnU8wl5q&YP{biqSzG>^5--DspT+-Uxv2_cGHOPd@^&t@^Y^A%_I<2s!N+AgY z046QVoR3khhGxxwJ;L*7^X}SCc|qyLGad5=_m=JK{xl9iRD96)1mJQ zsq|+0e7_Mq4L(;DT~7}-gf1RVKcB6TXG{e(_zMMo4W#RDL-M6M1O2ZyLAi%cCW*a5 z(na!pV1Unf5}04a`8SgIhS@@S+;Em)^1pPG2EChH3+Ua%QcPhGIr(^pZD`n*i~ccA zuLgz{n?ts~8bo<(f#wrSo!5W(JP^5T;DcYU&n_WZLl;!f9fXrR)6CVcc9&}t>ic%z zN2fYb*75YboAk*)hddsLFRE|dnU%zpUD2iA)4KPA;)nt%b#2V?p>(`cn(k@tT^F%7 z+OgqR;XNPs47pHpP)R>TlO4~zHTjs8|iA{Uk`|3fBOgM3t1lutI6$6Q5q z6M?bpYp|4!uZeDjOhA_7?K-cDlRh1FQ@at|b36Iqraz`FYm8ZT9R0em1b;l{)&V86 zGVc}GS4FD5DDt)_(CoAgj8H8$(Hh2Exp&62}7fc!OYX~)G!**=~eUwwIT&$d~rLZU;mj!RmA z-~C-p^uOE$3wLd<67?{v#zvXj@ItdS{%P{!a{Ql@7m2ZE8A#rBU;+liNi+t5`=3s} TKb(4SJb;lt^m3W*jR*e+h;Ms@ diff --git a/pics/03-fpga-lab-02-individual2.png b/pics/03-fpga-lab-02-individual2.png new file mode 100644 index 0000000000000000000000000000000000000000..454cb518177b65f0c38f2b51e76d0915a53e2c57 GIT binary patch literal 8907 zcmchd2Q-{vx9>-b3Bl;1chNTkK)c_8tXB(LKvj*)*bSF~O&veAY7f&m0pyWBXKp)lm~Q zOrf4CjIpJ+Fyg8Nd^LFc_AI~YfuYVVuF7v3iPe?o7q?FlG?GZNr0Rf1dNjlEob=Y= z?s;{0qw~k%&>i;%5fKq6r1Y*st>@*%d3JU-heq04r-bJL29*m73#9}G#>U2mhBVc4 z{unWTzn0poq*g|w0)w)KSz?DCIN<{uA&>!3}=(%j}@MAKy19H02bh z*#VcqP5@VQO~ZXq1@Ge?S*Sd9B>P>gB3(%l5pvInu&}V+UbQ9BIK}MizAwhBTP#?LnvT``> zvGpzU9(AO}?owY&_tho_(nS|Vttc!%JCNv(JY6^-RzWMpsbdi8aK*Q_wqj84?eCYx z+H*c8QmG=cXXGZ$5OaH5*DlaIzkPI0N_io7&#!a-{A8@@;^K6x>3E6eMk^U!yAgM( z<8psIx8C>B(ISH?)goP4kAvP9!ohcV!6RYb>m!K0tcvwweBPWr@SyYab7*FMJ{LkG zF+H6R231s4tu?j{bEmzI{6KonP2 z>afS%yb%mcyMxd;@fR2?&Cky-D7cT*-gd3$Z#**ZjH06vvn7LK$Hm1Z@)-+K-Dv5x zP7aHXjt&hSL_1YfR6sL54mV>?y>wsN+y5@AnYMcIf)VP^__n2`rLd6alOzTNQjhoe zlVq|22WQd{Y3KIH$jI*UK%5=8qb5Qk2sVLoDN#jIQ&D{|FsIVh)%`fOMng?ah8JW| zl%AB-EC7sO`%(53TkdVUdA{0>j`ncMu@cjnA3u~!j&-;md3j00m5X$jmzGQ#J@1q= zarVkBI@D^`^xo9!PL9mZ%5vVCK3^HkZVe&A5BjpRvlAK`igMXv4jdXD1}?ryN9Q%$ zj1dGM{Q1*HG_|Lv2iWvRg05lGu*UZGm;f6avavBeZ3!&-5*&(&jf0vQ?^C^|lSjtJ zh@M3}sS*VqLZ!z%31~DrHa0d@%udE_XCZ{aoAL1U48_XI`uo^3u3P?M^qC;e5f>C3 zmfwQ!=l2pT+Lp-X2Nr@c8&k z@L$fSi#>@#f`Xq(WAD5Wn9>FwAtfb6YQOaGI9*>aY&S=5Zcdk)VY!Wr7U*p_qsDDS zsUwLPWM~-}TqF?g4KkdZ-CO=gjG9Mo4JLu#nwx#W16-xR>ETP{W@0j%cH=b#{^HO~ zKbr$KU+iXFZb$p*6pX%p{kqq}Q-*;_9&yK6oOXd87(R=v8QDcJsEcZe5h2D?%1&4D zmkUZuqO>P33rdBE^tj?j7L^0vOtN$g>RL05Pcm}5q*kj1SAJQR64R)WbBlasr< zyQCx}n?GxZCni*3ZQ392J+gcF@F8=|TS=zmfNR6N@oD4Ye0+qR+hJjNu1lfnclyDzqf}T?#jUii~VAqH#SbAO;)$G$ngcHh0oZcg|av-MMaa_KM`lD=DFjibF%M2Yx%*Uu!qt936qe%*EN+foZ|$$Wx}m@Fc>XHaAv?#-m}nqoc#$-#-ACXvejFc_72OYT`-9 zzCLV;T3b;`3HRDHnWI@Of5wi^PScYLcft@BKE6${rsGIu))Np2n=?(9TRxYmXK@2u zRn^s-6IJ)K2YY*aE32wn`qqItCd67MB{d&ES`2nxy3NXJ#`vfQ(0fOj*Bt7f@W*&y zk|Cf>RR&X2Q*@q>D2aQRb4;038$Brl-~5Xb&p1$0kyTe$S6%IV;XJoMa5S6H>_b36 zSWF_1cMX^2^~QW(3!8g93vbnC&O4ly%!pN%Sncx78%9pdfewz2C=|-e`*DbMf`W1r z{JrNfA9U@*rV;k#o8^Mz`laSevujWE1ER%}uu=!QrQT~r!kB$>9jCKMnMTIPZ>I0C ziHcUoGKq?cCOvJ1IdE=cLbY~E&kOwYlzo-%RBY!L=MnD1!b8bwar89`$j~=nFJodp z?`6=VtX4ac7= zXvn(}#0Y7`-?tgdOkEoFw{QCokwPGtyXEJYzLK-^1WW%%urjCf;K93$3Pdt0c5T+>(C2f4C)tE6M1=gvrlz&be>sQ2-YMEQ>(uVqFZCi zJHQ|`dXdpJ6S1V;D9*I%TpJ!0<-OH#NJuAXw#HzXh+z?Oqy z-xe27ijT(`Fu?_mxy3Vr=*@~FQ$vT&yINVT9`7!9*K~Jx=V~C}(2XgS9#Wg&#*GKO zw{LKBa}TfWgL~iw!HaY?)zqF)CB(eI2 zHqtZY=oUCSI`W$~(vH6)Tl(No`!m<@kEtD6T3Uc8y!TcH=UPL8;AU=a$8BwGQz#c= zIG_Sh93!HlWb9{s;h8UNZADZ278e)C$HyfQQMHVmoSggn`;Pl}q@?OC2hu^Y*lM~= z=UMC4Fp=5b-u9H4c_tWRr_TP+cgywaB$a$Xn$SoybDo!OiymiDB67f)iYDwZIN=A0 z!|Oym*zL;nqodEQbBr_2Z{E~S0eCo{ZNW-bQ?%oZ>kfpQDJg|aZh$f$5D<`@oJ=2W z*&ap?m`FS-wQmV5mrWzLqGI?8ISzUf`7NJ4v*%!S{Pv^{3GyNbJG&}!=-}XBd|W@g zeQ0W`!L0S#&L^-_6C2JI<2J(73^JbLGBTS^68r96+S;)*IDaA0q-4x4Loiv55$1V$ zeh8lF>Usox6`pia-n_g^3?Jb%3u%?x1gr>wyabtEHpM2Zv4s;H?TbE*K-j^kR^|Tr z@P22{f!FNeT(T;1XS%^-#Bdd_uxF73ioKV>9Mff06+4zl45tWJ;EGRC`v_Kfc6JsR z7%1toSy^4pw~Zg8vo9(l!bTGMPc$_D>z6%k$)`_@hQGu$5TAezBaio9<%jL)!}23% zWE7YOM@Go1*expDG969%y29cHmgC6XoScLo1zfdGH=N-(%qW&E zE;;7ieT>w0Tk1`IqF827#2f={6)5-rFL@x&qoJ|sIt2~QeUqH1@bC(QA|vQcNQGg> z&B3@+;YPRJB~UsBvz3y=1^6>?GToEX(=85?)6(i47FAa_zQ%K#ez)p1FZ*m%3{G84 z3~}HCZSA4qTum0bt9ED>=DaEQ(Z?n3Ov94ptF59ei|N7zfr!uH9aSERdGQO-jXVja zqM>1dGV}8jb#A|ZPqrf&rJd*0mm)$He211WcUT3XgHIv{BMA*`wCqXXy?y&Orr(pv z>Q~?d8A0z*Hcbs#%4_!9*a#-`P~7+SmdP0C6tLM}9RoP=LIrXmjvbXczFMZARg6ucuyAg{) z-1$VZN+E(K;%&u<*BPLGSTTHOKyi=PnuUp1Q1ox?wVd5ZeK#a<4#H&7caS_`;s2GsRu12@(8ynNr z0xxdwkC_g8xSkztZ_jsn)t&G3@SocXP1U_w`(9)ygW> zoX&o_RWfGy@L{WYv=S>a;o?4&X-6?jmS}`|A$LU{y12CD?BaqlYIJFF{8|o0>%EQT zpTRLh81cirB2$ZP4BwJe!POao ze8E`#%LXt)raZ|!)Wn~^L;e#HeCbAw=)F?s{V8%oJS=`d)U&?UZ?P_pM?%FWK0Q7@ z-k~-vz8JG0KR;F#87}FZ`KO}am~3lhN#ewUP}reeDlnV%?>9Z9vBye%!_jaBD(+$O z%#n(uAN+I+5Gg5mGKvZc3KkaZj;SiygV_3zGWu96hS}zzgV3|S7t;&jq*=C!sQ*o< zh{6IE*yCGY-7~G%MCsLlp*vUn*SWbl2*Jt83Dp0wc|F@dG?79z&*_CU02h{n0 z*H%{>Pd8D3PC+NF%yG57;(>^ntsF|ka~ET1Ca4IpG>6^NV51kJyHAliT&Jg}#BdgCJVs3|5q zm8x9Pr0aRIeIlPUYk+|8tpBZQe=j2$pm6-*p&=taJw3g#D(kVX>&{M2K%;sqpOv0Y zkI>LFFyM;ozHzM;n3|@+;UxzEl^m}r5fl^zy<(0gX`$O=s~a^l8k(AyOR07+ zI4%*raIzXGp9;{I!YOu*#~q;AK8~g#{#URN{o;QZ7Q#GZKN}SF_w}(c1k<sp>IgRJ)%fWeCe4q1?JS_C2r>oE7!mR*W~yZRB5K?!kzHdXB-WabZ8o5t z`o`{WaJ06!UxS+5xUstGfC3b8+7f^(m>e;!%^n9%KvWcA&EzX#YFu1gAt51Y`hhTV zZW2<`*R*1(eIR7-r)gMP=3cSKMk2pye^=M6hVs&f7N`4cmZBPJYVTE%8yg!yIG_ke zqEH^K!2}ChdL<@RlN%Nl<1d6|Jdf;w{DF2_-9O36Va>2D(k&Q#p_8Wr%^WlJ0e1zB z__Yv38of7M-|p>U;59ElfMF-erq^|GVvFS>*o^Tx9Vp zo0`rn^`&~ay9){lb>p94xTm`m!Qj^dn0R^BnPaFTJHQrKRtg8f%Xo@){>_>_)@m6Lr$t+FrKZO0EWP;qpb}n0}G3bRh5<48EI~KyrQBB^~!XVl$KQnwJ<@5MU4z-=MP_cf{q+4 zAX_P#@0S#F!z3tpAn<|KD>m(#T~tKCBrGjWr#9eN1kl_Q6!SLoZO~#{faXY0@Oz>D zFaX1E@8&Ga9)mzPH~-bu1%=<@(l7|(;O(+%b+zR67V%Zrn{0^7F zDNU!eY!u}N}^ikhvr6mj*M(1dxf3^7S@#`pxB^oKx)U-IRDv+w*K?=mG+ACA9_k_ZA&-9lc#6rmRBBh;D;O;H4y?; zIZei^?(=7H4vtQX3K21}=*UP;vaqZy<{ibG0WEi@uaVVr>lI%~wUm@KRaN_YdyIj5 z?&pnOr`VW&CM8?z>kII%TA(6Y)a>1y6W0I{bl~0)85xO#g98%Z!nW%`hU}PCRZB#V z(YwaR#`X1epYt`-g~`7bWdi915L~*TlQ=C1F58-lM};8<*4Ar4M;4~;1L~on;bi%d z`&D?rL<>g|EA2zO-#ISFFH?efB)k}cGj+JoW16z3>q1tkCTXLiqcJo1XaFf798}mgq3RBipIEMN;4T=aM6!n2uZ{-REcuIN3;$VW~1uXFHty0em2G ze9;B*Dg>gl*5nnpc%Ms{^FU87#}Yx)Fq@wI(4v@Js1+bb5`lQnoW1AiMoo;ym9Oo| zKoQQ0Pp99$j1eO_cl+?&ZZH%EH0f&rep8S_LkgyIJS~R|TaXH;-IftRqgwU$fl%M>xKCEC%rl07W=6B8$|&64LyetN zfaI_PccyCV=-8Y>jae?|J}T%m`R)F%@`=j&reB7Ia!vyyct&3T)^BGt#PHb zgAm!E!f$I)h6bH6m}iQAR)uj=(MANqYGkHqjj~D=%$qjlq5m#=`1XtwcW%34h$H%& z&KCLq+UmRLSG8R6Cu>1qkP*by{y-RM;o2_RE>(Zuz8m&;^uu#-WYONqAUdtce~}~w z;b*8dYV^6h7#tj2+<&SWqrf|XuSq)FtNwA0Vu*&$Wc(2hEe3<#EK$$0%)3Z`3zixkm;FOr@L~#moFCO1jmk8wp$#(#@jmM zO$P@DAf^Rq${d4%i1?8Hm7)D+MVo+UKwbK@;dWmWsSWyL+7EK3rVMhh`w=zrg&{c; zxZ>~PLkCi&MyAYva64^H?Cn+R7SPesMmWL19el(8wM;@ii%S15Rg$h;2R&WgbZHMj zB|6M8WR#RWiw>!MA4jc1fAe1<@+E0>Ckr$yFAv=v$029I$Hhg07xeu3bK4rj zg3*KheWt+w!4XXcPK+wD>8PDwx5(hRF!d|Z)G1p#J3Ee3^y;d1o{kj#Rycp-Z^`dQ z7-t&J`iu+|bs!$~@+YnVkNCI2r@8_9w!BXlkIMb{FVeryp^R zHa9~?Nm@$*-!+)beES9@*b%G!wl?fwGktx1Alb4&;XD)%pPLKtnbd#wK0oQ`P;~DD zde-XN8Zq3eNIIR&Zn~EhC!E}{IoR^}{w3S}OQa@4 zrvQ(p&=$Ixwh|q9!1XhPiFm{ zn#|0;|M^+-(t-mHJzc#uadLq^nSXvN^FdZBE=z}NYYL^*P&MgeGw)D~jfv5KRAwzV zC>mkY3vXwRR|N`^hlhu^w>KRA1xSkqhK7kpLCX2=sVONWq4Gbkchd?d+2$?uc7Tb5 zc$A)w&O2Vfa>;57)qNJ(9a(m}$DSFs-N)_?CK;o<=+3Rj7?{|P&4AY-Jf=KGmWPJ! zB!(GGf#1F#cAo>7TC?`Xiw-CVgfS1&Pk_KyJW`1wnaNVcN| zofdh}F|zS%cec0d7wPf^-tZkS8i-iw_M)DtcXPC`SOPP=UWFve(V{*J(olJzxjo}3 zD=P!VFE?dyO>60~V6x5NRh590(v~?MVx6N>7hEL%WA0)1=@>PjWnMHp)T1IhokbN-IwQZJx{YP%U*VQP>7&!$BdT?!R&XUkn?yu|qVqks1I_cyxl zbGf-(jc6b+^V2)Y&(JT?O@YamArr@c0piIA-2yOU2q;-)HrEf_Vgdu9vij#eH^^rm$$l(UR!GlX$W45=#3{i@|hB{NT@9*U+ znjcFrzG<0axoEm~bM#pe`bu-S2^gTI*%tDhH~gJ<&@C|k1=#{{75JEeHdlN}!@-0D z&o_*>RCc#aA@UTS^?tI2#+JFB!sC0KVVVEXEn+CkTNy<~!MwOZMiPvs$$K@agP0by zf8oHg7G$PCZ?SrQIJ3O%3L2alufwS!pRahndJ4(|*DzrEU~=I2DDA4L)3+qScHiz#rr@aU+uV{)aPAr5zT5vwV?5_;jI ibbV6;2jZ*OdWp3_{IwDvr~b>8=T#Im + + + + + + + + + image/svg+xml + + + + + + + Инкрементфазы + Накопительфазы + ПЗУsin + Дельта-сигмамодулятор + + + + + + + + + + + + + + + + C + R + + к осцилографу + + diff --git a/settings/bmstu-preamble.tex b/settings/bmstu-preamble.tex new file mode 100644 index 0000000..7e6a9a0 --- /dev/null +++ b/settings/bmstu-preamble.tex @@ -0,0 +1,187 @@ +\usepackage{pgfplots} +\usepackage{pgf} +\usepackage{graphicx} +\usepackage{wrapfig} +\usepackage{scrextend} +\usepackage{enumitem} +\usepackage{caption} +\usepackage{subcaption} +\usepackage{afterpage} +\usepackage{array} +\bibliographystyle{gost-numeric.bbx} +\usepackage[parentracker=true, backend=biber, +%hyperref=false, +bibencoding=utf8, style=numeric-comp, language=auto, +autolang=other, citestyle=gost-numeric, +defernumbers=true, bibstyle=gost-numeric, +sorting=ntvy, +]{biblatex} +\bibliography{../refs} +\usepackage{pgf-umlsd} +\usepackage{pdfpages} +\usepackage{svg} +\usepackage[toc,page,header]{appendix} +\usepackage{tikz-timing} +\renewcommand\appendixtocname{Приложения} +\usepackage{icomma} + +\makeatletter + \providecommand\text\mbox + \newenvironment{arithmetic}[1][]{\begin{tabular}[#1]{Al}}{\end{tabular}} + \newcolumntype{A}{>{\bgroup\def~{\phantom{0}}$\@testOptor}r<{\@gobble\\$\egroup}} + \def\@testOptor\ignorespaces#1#2\\{% + \ifx#1\times + \@OperatorRow{#1}{#2}\@tempa% + \else\ifx#1+ + \@OperatorRow+{#2}\@tempa% + \else\ifx#1\discretionary% detects the soft hyphen, \- + \@ShortSubtractRow{#2}\@tempa% + \else\ifx#1- + \@OperatorRow-{#2}\@tempa% + \else + \@NormalRow{#1#2}\@tempa% + \fi\fi\fi\fi + \@tempa} + \def\@OperatorRow#1#2#3{% + \@IfEndRow#2\@gobble\\{% + \def#3{\underline{{}#1 #2}\\}% + }{% + \def#3{\underline{{}#1 #2{}}}% + }} + +\def\@NormalRow#1#2{% + \@IfEndRow#1\@gobble\\{% + \def#2{#1\\}% + }{% + \def#2{#1{}}% + }} + +\def\@IfEndRow#1\@gobble#2\\#3#4{% + \ifx#2\@gobble + #4% + \else + #3% + \fi} +\makeatother + + +\makeatletter +\AddEnumerateCounter{\asbuk}{\russian@alph}{щ} +\makeatother + +\geometry{ + left=22mm, + right=15mm, + top=20mm, + bottom=20mm +} +\setlength\parindent{5ex} %GOST-2.105-2019 5.1.4 Абзацы в тексте начинают отступом, равным пяти знакам используемой гарнитуры шрифта (12.5— 17 мм). + +\addto\captionsrussian{\renewcommand*{\contentsname}{\hfill Содержание \hfill}} +\renewcommand{\cfttoctitlefont}{\bfseries\Large} +\renewcommand{\cftaftertoctitle}{\hfill} +% приложений, примечаний, таблиц, сносок и примеров — на 1—2 пт меньше. +\renewcommand{\appendixpagename}{\centering Приложения} + +\graphicspath{ {./pics/} } + +\pgfplotsset{compat=newest} +\pgfplotsset{model/.style = {black, samples = 100}} +\usetikzlibrary{calc,intersections,backgrounds} +\usetikzlibrary{patterns} +\usetikzlibrary{decorations.pathreplacing,calligraphy} +\usetikzlibrary{shapes} +\usetikzlibrary{arrows,automata} +\usetikzlibrary{circuits.logic.IEC} + +\newcommand{\approval}[2]{ + \begin{tabular}{c} + УТВЕРЖДАЮ \\ + #1\\ + \underline{\hspace{2.7cm}}#2\\ + «\underline{\hspace{0.6cm}}»\underline{\hspace{2.1cm}}2021 г. + \end{tabular} +} + +\renewcommand\labelitemi{\textendash} +\renewcommand\thesubfigure{\asbuk{subfigure}} +\setlist{nosep} +\setlist[itemize]{leftmargin=2\parindent} +\setlist[enumerate]{leftmargin=2\parindent} + +\newcommand{\makeBMSTUHeader}{ + \noindent\begin{minipage}{0.05\textwidth} + \includegraphics[scale=0.2]{settings/logo_bmstu.png} + \end{minipage} + \hfill + \begin{minipage}{0.85\textwidth}\raggedleft + \begin{center} + \fontsize{13pt}{0.3\baselineskip}\selectfont + \textbf{Министерство науки и высшего образования + Российской Федерации \\ + Федеральное государственное бюджетное образовательное \\ + учреждение высшего образования \\ + «Московский государственный технический университет \\ + имени Н. Э. Баумана \\ + (национальный исследовательский университет)» \\ + (МГТУ им. Н. Э. Баумана) + } + \end{center} + \end{minipage} + \begin{center} + \fontsize{12pt}{0.1\baselineskip}\selectfont + \noindent\makebox[\linewidth]{\rule{\textwidth}{2pt}} + \makebox[\linewidth]{\rule{\textwidth}{1pt}} + \end{center} + \begin{flushleft} + \fontsize{12pt}{14pt}\selectfont + \textbf{ФАКУЛЬТЕТ \tabto{3cm} «Информатика и системы управления» (ИУ)\\ + КАФЕДРА \tabto{3cm} «Информационные системы и телекоммуникации» (ИУ3) + } + \end{flushleft} +} + +\newcommand{\makeReportTitle}[6]{ +\vspace*{3em} +\thispagestyle{titlepage} +\begin{center} +\Large{\textbf{Отчет \\ + по #1 работе #2\\ + «#3»\\ + по курсу\\ + «#4» +}} +\end{center} +\vspace*{4em} +\begin{flushright} + Выполнили\\ +% cтудент группы ИУ3-11М \\ +% cтудент группы ИУ3-21М \\ + cтудент группы ИУ3-31М \\ + Овчинников И.И. \\ +\vspace*{0.5em} + Проверил#5\\ + #6 +\end{flushright} +} +\newcommand{\defineHatch}{ + \makeatletter + \pgfdeclarepatternformonly[7pt,0.5pt]{hatch} + {\pgfqpoint{0pt}{0pt}} + {\pgfqpoint{7pt}{7pt}} {\pgfpoint{6pt}{6pt}}% + { + \pgfsetcolor{gray} \pgfsetlinewidth{0.5pt} + \pgfpathmoveto{\pgfqpoint{0pt}{0pt}} \pgfpathlineto{\pgfqpoint{7pt}{7pt}} + \pgfusepath{stroke} + } + \makeatother +} + +\newcommand{\tkzblk}[3]{ \node [#1] at ($ (#2) $) {#3}; } +\newcommand{\tkzdarr}[2]{ \draw [->, very thick] ($ (#1) + (0,15) $) -- ($ (#2) - (0,15) $); } +\newcommand{\tkzuarr}[2]{ \draw [<-, very thick] ($ (#1) + (0,15) $) -- ($ (#2) - (0,15) $); } +\newcommand{\crd}[2]{\coordinate (#1) at ($ #2 $);} +\newcommand{\ts}[1]{\textsubscript{#1}} +\newcolumntype{R}{>{\tiny{}}r} +\newcolumntype{L}{>{\tiny{}}l} +\newcolumntype{C}{>{\tiny{}}c} diff --git a/settings/common-preamble.tex b/settings/common-preamble.tex new file mode 100644 index 0000000..d1e6047 --- /dev/null +++ b/settings/common-preamble.tex @@ -0,0 +1,97 @@ +\usepackage{tikz} +\usepackage{import} +\usepackage{xcolor,transparent} +\usepackage{bookmark} +\usepackage{multicol,multirow,colortbl} +\usepackage{longtable} +\usepackage{setspace} +\usepackage{titlesec} +\usepackage{indentfirst} +\usepackage{amsmath,amsfonts,amssymb,amsthm,mathtools} +\usepackage{layout,lscape} +\usepackage{hyperref} +\usepackage{geometry} +\usepackage[russian]{babel} +\usepackage{nomencl} +\usepackage{imakeidx} +\usepackage{fancyhdr} +\usepackage{tabularx,adjustbox} +\usepackage{float,makecell} +\usepackage{anyfontsize,tabto} +\usepackage{tocloft} +\usepackage{yfonts} + +\makeindex +\makenomenclature +\babelfont{rm}{PT Astra Serif} +\babelfont{sf}{PT Astra Serif} +\babelfont{tt}{PT Mono} +\onehalfspacing + +\hypersetup{ + colorlinks=false, + linktoc=all +} + +\fancypagestyle{plain}{ % для автосгенерированых + \fancyhf{} + \renewcommand{\headrulewidth}{0pt} +} +\fancypagestyle{titlepage}{ + \fancyhf{} +% \cfoot{\small{\textbf{Москва, 2021г.}}} + \cfoot{\small{\textbf{Москва, \the\year{}г.}}} +} +\fancyhf{} +\renewcommand{\headrulewidth}{0pt} + +\newcommand{\numerationTop}{ +\fancyhead[C]{\thepage} +} +\newcommand{\numerationBottom}{ +\fancyfoot[C]{\thepage} +} + +\newcommand{\code}[1]{{\texttt{\detokenize{#1}}}} +\renewcommand{\nomname}{ } +\newcommand*{\nom}[2]{#1\nomenclature{#1}{#2}} +\newcolumntype{P}[1]{>{\centering\arraybackslash}p{#1}} + +\newcommand\blankpage{% + \null + \thispagestyle{empty}% + \addtocounter{page}{-1}% + \newpage +} + +\newenvironment{frm} + {\begin{center} + \begin{tabular}{|P{0.9\textwidth}|} + \hline\\ + } + { + \\\\\hline + \end{tabular} + \end{center} + } + +\newcommand{\quotebox}[1]{\begin{center}\begin{minipage}{0.9\linewidth}\vspace{10pt}\center\begin{minipage}{0.8\linewidth}{\space\Huge«}{#1} +\end{minipage}\smallbreak\end{minipage}\end{center}} + +\makeatletter +\newcommand{\setword}[2]{% + \phantomsection + #1\def\@currentlabel{\unexpanded{#1}}\label{#2}% +} +\makeatother + +\newcommand\lh[2]{\texttt{\textcolor{#1}{#2}}} +\newcommand\hrf[1]{\hyperref[#1]{\ref{#1}}} +\newcommand\hRf[1]{\hyperref[#1]{\nameref{#1}}} +\newcommand*\circled[1]{\tikz[baseline=(char.base)]{\node[shape=circle,draw,inner sep=2pt] (char) {#1};}} + +\renewcommand{\cftsecleader}{\cftdotfill{\cftdotsep}} +\renewcommand{\cftsubsecnumwidth}{2em} +\renewcommand{\cftsecnumwidth}{2em} + +\titleformat{\paragraph}[runin]{\normalfont\fontsize{14}{18}\bfseries}{\theparagraph}{1em}{} \ No newline at end of file diff --git a/settings/fancy-listings-preamble.tex b/settings/fancy-listings-preamble.tex new file mode 100644 index 0000000..d0999ba --- /dev/null +++ b/settings/fancy-listings-preamble.tex @@ -0,0 +1,135 @@ +\usepackage{listings} + +\definecolor{codekeywords}{rgb}{0.1,0.4,0.4} +\definecolor{codecomments}{rgb}{0,0.6,0} +\definecolor{codenumbers}{rgb}{0.4,0.4,0.4} +\definecolor{codestring}{rgb}{0.85,0.2,0.1} +\definecolor{backcolour}{rgb}{0.95,0.95,0.92} +\definecolor{codefine}{rgb}{0.7,0.5,0.3} +\definecolor{dkgreen}{rgb}{0,0.6,0} +\definecolor{gray}{rgb}{0.5,0.5,0.5} +\definecolor{mauve}{rgb}{0.58,0,0.82} +\definecolor{golden}{rgb}{1,0.7,0.0} +\definecolor{red}{rgb}{0.8,0.0,0.0} + +\lstdefinestyle{JCodeStyle}{ + frame=single, + language=Java, + aboveskip=3mm, + belowskip=3mm, + showstringspaces=false, + columns=flexible, + basicstyle=\scriptsize\ttfamily, + numbers=left, + numberstyle=\tiny\color{gray}, + keywordstyle=\color{blue}, + commentstyle=\color{dkgreen}, + stringstyle=\color{mauve}, + breaklines=true, + breakatwhitespace=true, + tabsize=4, + escapeinside={<@}{@>} +} + +\lstdefinestyle{PyCodeStyle}{ + frame=single, + commentstyle=\color{codecomments}, + numberstyle=\tiny\color{codenumbers}, + stringstyle=\color{codestring}, + basicstyle=\ttfamily\footnotesize, + keywordstyle=\color{codekeywords}, + breakatwhitespace=false, + breaklines=true, + captionpos=b, + keepspaces=true, + numbers=left, + numbersep=5pt, + showspaces=false, + showstringspaces=false, + showtabs=false, + tabsize=4, + escapeinside={<@}{@>} +} + +% \DeclareCaptionFormat{listing}{ +% \parbox{\textwidth}{\centering#1 - #3} +% } +% \captionsetup[lstlisting]{ format=listing, singlelinecheck=false, margin=0pt } + +\lstdefinestyle{CCodeStyle}{ + frame=single, + commentstyle=\color{codecomments}, + morecomment=[l][\color{codefine}]{\#}, + numberstyle=\tiny\color{codenumbers}, + stringstyle=\color{codestring}, + basicstyle=\ttfamily\footnotesize, + keywordstyle=\color{codekeywords}, + emph={int,char,double,float,unsigned,void,bool}, + emphstyle={\color{blue}}, + breakatwhitespace=false, + breaklines=true, + captionpos=t, + keepspaces=true, + numbers=left, + numbersep=5pt, + showspaces=false, + showstringspaces=false, + showtabs=false, + tabsize=4, + escapeinside={<@}{@>} +} + +\lstdefinestyle{ASMStyle}{ + frame=single, + numberstyle=\tiny\color{codenumbers}, + commentstyle=\color{codecomments}, + keywordstyle=\color{codekeywords}, + morecomment=[l]{;}, % l is for line comment + morecomment=[s]{/*}{*/}, % s is for start and end delimiter + basicstyle={\ttfamily\footnotesize}, + morekeywords={ + bset, macr, + add,addi,and,andi, + bge,beq,bne,br, + cmpeqi,cmpgei,cmplti,cmpnei, + ldhu,ldw,ldwio, + mov,movi,movhi,muli, + nop,nor, + ret, + slli,srai,srli,stw,stwio}, + breakatwhitespace=false, + breaklines=true, + captionpos=t, + keepspaces=true, + numbers=left, + numbersep=5pt, + showspaces=false, + showtabs=false, + tabsize=4, + escapeinside={<@}{@>} +} + +\lstdefinestyle{VerilogStyle}{ + frame=single, + numberstyle=\tiny\color{codenumbers}, + commentstyle=\color{codecomments}, + keywordstyle=\color{codekeywords}, + morecomment=[l]{//}, % l is for line comment + morecomment=[s]{/*}{*/}, % s is for start and end delimiter + basicstyle={\ttfamily\footnotesize}, + morekeywords={ + logic, always_ff, always_comb + }, + breakatwhitespace=false, + breaklines=true, + captionpos=b, + keepspaces=true, + numbers=left, + numbersep=5pt, + showspaces=false, + showtabs=false, + tabsize=2, + escapeinside={<@}{@>} +} + +\lstset{escapeinside={<@}{@>}} diff --git a/settings/logo_bmstu.png b/settings/logo_bmstu.png new file mode 100644 index 0000000000000000000000000000000000000000..ed4947dc9962350b99aba502325b2851b18a6c98 GIT binary patch literal 173358 zcmagF1ymhD(kOhfi@Ot?;O_43?(XjH?hsspUfkVXg1d$Q!6A4cxbw*Fe!KgB=e_gK zIrmOYNmo}_%}iHyPqdPPBqAIh8~^}7l$H`x0RX^4K7OMxzz?Ud80%=baCGS`x} zke3J0e84aONH8D(;sXK$fPeg<{R;;BfT{k2kF*9r{(}bq5coI)01zOs|8Ro9|Az_| z0)qHAJo=Y$F7YxQ007^&R?~9Rl9%H#b+l(NHghyFXYjIj`pW>|^Wymc?akeciM{OY z99((4_(}i4!SezCMKh8T|AWQNmY-BhUWr)L(Z!sYgMo>GiBtfNn3$N)#ms_7MNHyf z;vZN1q*iWjPCSf^o}Qizo~#UxE|!eU+}zxZOe~BnEc727^se3xZpL2p4z6VXY~+91 z5i@r+b+LAGvvzbK{@bpxiKDw4KPl;7MgKnj*-tlXi~neHaQ&BA9|ki1r7$uxFfsn$ z#LT^{{~uz1DgPAvhh6_v$M?4}9#KboCl_;9*N<)qF!TLG!T$&MpYHvWU&Y+j(a!y^ z73vPwZUQX-68T@~|1G8HVr~90EPuuRt>wRQ|C?XQ%F)g7!$mIErqT{><}M#y{Fn6q zDExnO{8w9l?dDOk_A~4|CSVWv~zS(buuCEIh&ll{KE{7XumGG5t?3c~AOw&W z6ISyAJJW~O!j#1BDU5PM5w-@0!@>|(JL|bOKn$*h!)8+VL5rodBWpC3vd8rtkK z8QAP+5LXg|0uvYOeCLLkmOW^K};fM}wE14?qNjb>(0ts)7b5FV67VuGi;5po47154!C~Ux&b%l24)} zEhEL|gxU?dQnWj?#;&~$`VOIk{IcQ;2yI8bdfNT+<~<>~-8LH7!`OUh`yu#2S2RO5 z0ubd}D)p`B>pFuhWPM5VNzV&gK8)KI{Ajj4o4AZ@tM2A!U@L2Lge||35V9330R)dU z?bX&XSlo;G{2JL9kJ#L+6`!*Bj1W5cM#4lhPcxy{l21?~54|=2CD$#$^5R|}9#%6)c`EsrLB5S7(I=0oBKRYVX* zc0E8ELWBk3G6Z5a3X1?1eU4vmk+ge!`}p>HrCK4wC)!Sr)a;yGM91m5E?zYRaeo48 zK;I$myP(;Go%(#$sxFN0`@wVSqYEhGV`S4eENjQ=D}@)9m{oW>!Y6|3xkQmy(yse6 zSK(!9@h(rK_tf?jMhkbj;(XkY`HU=F)OfTb^+?6l1Gp@Ee$VR6fN z>>jN?rli<>f~zl=rF#ukdYXn@)6pp;P}3TJ%@<2@jjW59_rQ-bY#E#zk(=-l=gN3L zt)5=5zwBeoy^4K=&><6+&b?SZg$`hRYeEnsOwenJG9b1YC3vje$x;3@zI*7YoLic{ zK@J<$Q_#CLELa&4z`ET&!fjr|FTLU=VFGi_Dda{_FzOJxe}djsG!v@wKZ}d!A^iCR`iBF)bqrm zMD6ecz~%@_3`H!IGLs{Syf4Uk1F?v4|2*$+`0$#|MF?CG3e=URCmEzEOgw(3J??S{ znzC&ZgN;l>RsT$}Dq@j)nZmyDYur`WS1P_TfaShK;(jx6cLgO(^cQg;a)A(U=wH`> z_(25`gjV+gt7)PzapK5yq7Df!O5s9BC8v$AL*!q-+vP4bcF`HKtx{Q(ESTG-My7Jg zqHVck?2G5WF0>DFH?Vh5+mf}*NF^$Mvd2KKs$!{mw9#(9&(Z7jx!!7zZgF0yOTsQf zmVb)}S{?c|{tX4i@2>$=ny~{_kXP7TxYvD+Cvibw?IEk~>_^vyi!3q|({U;$rpa`g z4q4JqHOVgAt9lDTcrhK;4Bsg#(7eXgr{Wj2^}6lrqO8Z=U^`Na1KwrdJ9oP$=QFbU z2K(F~@=_OVLbjzl7Lo6HwuX<}@g7!GxMk6!hl2uHS+jWxyGE_QVl7G0=uk{VT<0Yx zsf!?u^2ksKRA4o-ODCz2Hx}pGX4>)~)8@}RL#AvZEZ>iA3en5XcRQGjlW;w6k)awy z2vUB?^Y$uV``WixT^K4QHPf2mlirppp*|sf-#HWHoorIREjHRP4q-D%+xJ%*(yzihD6#aTE$VGj7|J%fBZ0(Rd7bsH5U)VN`C{j>DCjj6Gd6I1BPpCZ!q6ge~6I@L4oeOIQ~ zl|mJf3z^RixJZaCZ1~kK%ygE1C4MBW-o4}C1_oOa0CVe zW{YuZE;J7wTaDaFb@AR}7+~EaQsAY>+^$knln?Xj$68;MnVp)-*`=Y`#nR*;{cg=m zekm3vM=-C)9p*fGcKB&*`=YV<`9$SPKbGC5zt-Xt<(@}dN&+%l2KpZ)mj2W7&t)0u zMVb?n-#IIiZ~a>=cZE(N|!t?&I^wZgngr@`PpLb|9JbIfbMcJBd@!jkwct@UJ% zA%};deWB)u$66ifISk(|Ms|(VCC+N(iaVRqs`G^}uV+FH36^pO!%wiTbI+Wikp~kbSLe0;+=p8x9_*rG~B^Xf|Ppul5 z6*_wOFEZLOTssm^sFVe7l2ypxz1ejF+NFWK(KN1rmitx<7B#I`Wc9%;VyVOFX?F z{Sp~_v7_r>5op2jc3X;X`~A;9+~;K2bsb$tS6WWUh3r1zc{(fg9AkXtzDJOpt}Rmt zy5s(8#MpJ0nsU?*$5QQ3-0T614Q{@TT=HUfRX?Acoz`|htIc-vR43J?OV^s^{Z~<-QY^TY>)Z2kC$v-pj z%Gyu;u4pZE47NT#6ZQqF$qQ@Zlm9NQpo6OdYf-muF)248fPipo=TU!P*L^%URF7d04KCBt0W;s2aL}3EZ=XsWcb}ZMB+!RH!(sJ_F#M3VllJDPsGVYz) zXUG9kdJ!FnE543j?KQH)k>a^;sN_}Q)2=gQRs=s~&>OQfcSNQ#n19Co+7d zTQ`?g`J48Vpxx`6h#o!KFok7_Gh(8$ZMJSu>ZVGlH=4y+laeBx#RYkd<3Jm+1P5?* zf+%}NM!Na^MxD1jYhpa)3p_dVv{(SYx}=4`DPw%q580Xq+kLO@o+YYJw9C%?=&ul| zPO6l%k8P)gRXCr_E8f}>^2i_{gL~fota;Wz|JFpd3fT_0E!IHx?Qbe~(1LN)Z&Kkr zkjQF~0s*Fb_nj_RgT`f#+BW?*c}`<2R^PIKWLFv zRtP3FRhb=0hPJG!ao^7UaqPX=?n@=zp9%|=O)eFIS6+=bgt$caJ>3d*Q9qGp$h$mB za~booyvf?DsdU2KFkCO03BmfBh;3j z6xmRbO-lJ68X2rniDs#BAwxIVIze`k2OwdSpG35D$8$j#3J>prbw*hWlbel{Dt&Fd zDvqmY(S!x71($FDgFhN)S%t|enSLZD@ zW7wA75D?tR)C>$$4@qVC8k{!7ZoTVea5ws53Q(A31IMFYZ@s)#68ynG0RTS*t$mAl z_vq|Zt8dYeEBnpxYk^R%oIF_ZJS?_oo0+&psBc=hBf1_lhaJ?dA$AHD`gaZibWhQs z`bc6xX5r_vB!N+S#Ua`5iNL3LhSgBsz|*qS8@Y0K6^u6nBJc^8FozN25rr-=Wihe0 zv)(iCTF|x9b81lTfDXQ#Bh>;IPc$MVnicZR6FN2_Cg*i53k<7kDe_$_7IfDY3p&_o z#UZ8G(tD5CkrJ^1Q;7Vq z4N!5p!>6i~5@=VKh(GqXNXv#qHx(5uBsFpxOmUxZcQZjUhtCP^KMKbD=kG6euCZYt zryUX%~4D|8j<-D=LlA;320csLa33tgw_8YVN8Q zG!(ZGg3(GH&x2SEj@eyDy)}C~)^UMxcWwDYt|rd8$ZB@|C?hf#K&hmbFGm$=KjMPm zr1}I831QQDWv6Z(?ZIRp>dAttv^gU-_e+7}SBbE?@{>V$P(aB|X?6^L$a8;?z()eP zo%h#M_e=hYzXovpdWX_xMFXrxWRZTvvA9BySeD<*|AP=KbOA3E>Yc4KAoNUsJ22{6%jG*4rC0!R?Hcf6fyX~<_%n|zKYm`;cEC~G5O`kC)tE%x}Nmt#90EI zp`G@JHL{XIUzB!F(oYqdB2G__M8=4RNmqM*cmeI1ym1sZRZT)LIk&XI*D{ETo8f{| zk*Rks;^Y&s+-Ce%FuWMtu~N#o@tTZy0N$9$5nY+==V9JUX=w9LbN0Sc`CCFL-FJyI zCAq(tkHO^GZ!&+5L3`t(fIEWTy0E~*qR|Rm`A)KyoW?myOU=!wE$ar?UeT?{@Er)! z5&2tX)w8*z2jkvra?giX3z|h>l*w zx>45-&>r0C8_jP`w6K9NkqN7=X2P*$h^z)KO;0Pqt2+QN&EkiInNkB<0Z0yiyH`8g zUdi9|H_32A)4_u|m#=u`EB0RTu0P_NFg#e}VjvRD9HN#I>Mdr#>deFSd=2Z>Jh)ql}1 zKCV1KtA-)R2;B?si`k9(1J-&rw*;Iff*5NLbb{rehIfFEEV?%a{^P8S#JicA=P{ah z#vlXbc-FW1#$BOQn?ubig%gk1S|UeBrn5<}pKXK@n9DNO{KQ_pAJai0u#fshSmn3l zG4aNNgBp{;5F>U#q-o-_`JytW=C+PsreDKudt6?FW;%4WrqBDZ@9bpV`rtKTZ2&8e z7Ua!US#7%NjGozz8=ImExDgpBH=Nvuw(j_?3&9CFRny`k1u5T79m2tQR0(R?ZwT{O$W3j7)~Hsyf8;2EF;Byc0hC)@gi4RTe@ z{NxKwhm26;c@dj9#K^|`lwUq05~Oaixn5hPJ8xexo~6!%C>x>KmgmsD*AaV<(y5MZ zt&T-4_e#)0Mt=Yl`+m>iR)Fo*V!J)n`8cKqtHux2osmoP+_$N*wk0LyJs%W7zg^o)xbMW03x>HZNoqH!rd<%+v3N`!kMIVaXhe)ws zuE&4a$=hEN`VSEiqwBWN_vP8gFQQgK0oHc`f12Zx)b&?rCZc)a4pxO2&4HcTtGCFP zL}`hK5SeC{0i`LpNgz7i?S)UA&AX z5)w;)Qk65QM93n9VF1xpsB)-yoaK}$u@(DTojHjhCOR%{lBtKbb~Zoc74Klu@9;BHFiA6c*I0Bi;8K zythxM-^0G?J4DCd*J?+n=ySm-SUD!%o-g{w#h?J}`HRK0^$;c#YFQj2%Tr`cvWbd3 z#e;pad+@0_rIuJ%XRZdsM!@#(Pv6GYKUrmSiCuQ4r+zl%)ThKQQXJ1TME=Et$RDp6 zXG>DG=|D{`qh0R*QE!2xUqWL0PLTLqdr=oR{8O5J8f_m{*JqrO@}(GQ?6lGpL~!6Q zbu84DbFVby@Qd4ExHE-$uBR?Lw>q{Ofj^MP4|pdHRSvQOQLr3qhc)Ph1MaKyqpOSJ zOeEHW>t4x0Fb2K5_=6J<{D z2Jn8qR1p`~95`L`7!a?GoWW2`yiB99C#my$&v?k-t%5u#9+q^U=Gbq7{aqw{KbJVs z%#z^vH;?$!({}G;E{-<#2NI#y#9BwDSFcr3!2mGYtBi|lBB&oSLq3sD(qbkNYu@3<|wXTxq$};o)^t`LJFA7)Gpu7R2JA6&cvhLqqx>Q~;%q7enx+SW+ zJ4V4{79H$`=BR56aDT!hHIS1XewUHI_-G%nakMIc5Jrt=$ISP3++Rk%e2HmsyBz7A zu*!v$i3Pjl>jh(56!F4BS7vMN;^a%ZXoq|>J9dUe-XOU!nJarLk2oh^rZnS|pQWJ= zIAD;0Ghwxhs3(O#t!nX@%s^r4Lbgw#z751abx}Vk$#0u%aD}bB8p;NC!QJ#UvHx8p zaJd(1h!5&OUJ2l@} z!tPI^@H9 z2I$T~%>sD*$t+%R8CJ6eWi8&Nq}lvhG6^Aqq#w@#9rB8#`|IUTh^$YyxZvjiN3J-6XE(Np_Cshez6k{2&-(@Xu z6^}1Eg>`rdMVD&653UM8Z`xz?1wAT;ph&5N5-f{-pSxdFV8V2pmqbZAljL%&i7KZc zpp78a5YCD-RMo-#avLs8UGMF2=bp?6E;Ihb^hx}b>Atz{#jCs5?@x2S6s+l2&moD5 zd>tdBH(WK;;*g^YZ~VbQ=~kn;bLhaJs8bCg67@t5_V@X%KAuBRGgTKN>hR!xc#wk% z_8Zs+^ITs`RZmt;AF{C1Rb@}y>ZUZ1ql&cWMgL%$z10P43{?J!115PmRHY}LVn+;)CR%JCR zU+1MAHnL`a;3nnxg>tfAZaIAe)UiK2-?CTvC}r$BGG^$A!$YrV&M9ZHKU!U>uZM|9OlL z>_wB&e3vXw7+hn$oXUUbg@fpWFAQ~$?9Yq@d;KVD$DDYl-Wxx_YE5KhvzCO&lG}oTJCuV0k5-pbThssQ}xm`M{_1G&JAas|%$@JeNrJ-wr@4fR?L*{0<=eUUN z$pnSD+wF0~BoABm9JqxLJke`)*Ym6e4dm%b7B~M$0C0RNqM59-&-m8VL5i^bcGY;8 z@4~&PdUl?Zrt{_IC$N|bCWHW(x){S(z@O-g_#EaD;<4J{3!n|L{-N@D*9^DNM*hjP z=(A;d5|q6K?CnOKaDqVN6DoBACSVV8ZcJv6DRka3B%qH_O*|N9$?9`SLuS*igwgZM zu@-;$DkV?U7n5%&8?nkg6v*ZJMlT^hYp^;#mTCwDH)zIT%go@G#2^67J+M8`v_c5> zV3taAX>d`Pe)`@pfoyY{%e>p!LfY=z-Km^?1^CN4g8{;14Ah^kFp$nzW%EM#2PbPP z>Aah?lQ!o%Is6f_0mn2{QdIjm7yZ*$G#WVMYCp|uVY~>}n5Zy*K*Ni6mmnjI=M&mh z#x3K*xGh4P`LK3$2A>?+3R$j%s2)GFZVYkJ3M2Wkt9g^3&S;Mviooo@g`cf>@bCP+ ziQmT{f(BN>LQ&R1$|jMSP&h9mg4q04EV7v)kk_2z%>3e&>i;uDM1->>7~8 z2|L{WSz#r*2X~k%nnl0HLD@}UasRgPDvM(}?*KiJFH;Rn&T?B$bz4^3!~! z`0jB;ddxTAE$>pZevUWOhMKex2ilmqoaux&M^jIne8*o8*GYK|jH+CPMP{5FvbzGA z#2dD%AjAJ|LZf^sfa_Ns-`80Zku_hfhB<9}VJZ0^!mSe{` zO^Jx!G=)aOU4P`}s6%E6US&@9{noZqj(J>wEJZhv#Eue3L)`BqhJ!$Q{?5PSEP21l z^ZJkRS$wj$0j^V%77m9rWci_Lm1jZ>7Ro)QF@bcPIQ%sI9APUOE?dyt%qxz=JgxK|5&~=E=M$sMA!GhCQ z7SD1Q5Ue$#sLmZRz+wP&JS*D8VFg~^?A)p;Jr**A(G~WT*`-;_ugF;5D;;;9P;1XW zDy~ASPf8!{!){eyuUykubr)%eoSj_5&k4RBjAGF)Pi2Ey8&pC?w!KcP_dmN|v?gP1 zrhW^jRMC!mey#UwdqdC=vJ^^akXWUO+kx-j=8ml8noz>2&mb9LdBP3Z4J#~vz?Y)* ztq?Ib8xx@S(G~eYN4pV|vX(G!uPx#1I-m_%SBw{fD?@hlZK}ZRq zqRt0xi9nT61+ymYa4tQ@pBV3YPWJ+x-{C%%;=F0ca5*?>>-#=TNOfcWj=g>>mY)R< z_-arPnRJY+gWSO-0vH~~eU#nzFP}{Lgdo?VTswAHn54-a-RCEx0A$SI^t@M@8^_Ij z)fI-$16WpdQ2Lp#kYj&c^r+BNq^IvH)E`>lQvU)W@4=z7-~=So)>p0|!0+gMP){Y_*`#T8#>Fw! zB;NKLN7JS;7?7Xp|9bQwfmCE$L19C#)H)^4F3Xr8%nkJi$;+>;a|Q?>tQp7)3ImuD zzbx6L(ZN>%-Ic(1$#XK^&m9pJN|LXTLiRou075%$h;oKm%;^yZh&X@JL7V0X$r_XI zMAb`hEHk&95@sKH#i7%MXx47y&E ze%WS~g0XQ911O65z{4fHmRpjuwX%rC{s;cj-sUyZzWZQ!p)Y6q;ShkgdqAQid=^b1KHR)3$2r~-SX zQ)0^JTrGFIDQfdjb($os%1`bqU@6$cf|%~s^UWu9)kRSetwAY;W>>=(_{byDdxc); zRy_@Xa_iQKOgP^MAx3%p?8K=HOY9381GqdrN#D@z%~`t> zVZ~q36BXd1jOh_hTN|#CC+omSXny~BMscui&pW?NXpie0tX-87sxw5DqC`zxfj=UH zFQ3Je&Mx@|YNV;yuDtlZQDM{|OXBCzAF*IOtOWUemA=RZA3WOz;(_Cb%(}Vg5QIlW z8bJWyAOh15%SOsi<<1?$=UbyE7Ht-O9(JurpJXqQpSZsAticjDF1Ie6Wt;T#d(@4P zv*p(WsV1UXi*0i2INE23)pIaC5=#%ndO5}=bXfbNc$ZjsUO`-OX#Xx*l86w}S}E4+ zJ~JFOLS6u(`A;M0sdB~7TtN{9Y``lvsA0Z*v+(x8FCsTn(U87k_cD!a1FTLk z&jcr!z$WA_9gPLYwA7=|@VKyU3q$@jsYvgpN)jo%!D^^C7^QI0f1*Q$DSJF!peqha zRl^UrX!99(kp#nthnTcr4T_kmea_4m-ZU-|zNAdz+~iK7r7eD?ge2Sn-Bi{>M5w5i z2S&-A=s$9mSHW8LkiTh>uMUp?e(kYW)3uY`O3k|L(27R+>aRRg=+@Z{t3bLkagJe4 zWZg455Ir5l2E2dMg_uybU&cE4P9_)?Z9;tFk7v(qDW%)Yf?NhoI20eiMISgd6OxfI zX@zG^6oz8tINyJd--nSDgRdTE)beU(WY3M$EzhGptNHT0p&>{w$?U|!(GP}D>`#*m zD9}l)=Fx>RXxq&ElaXB6>fA_*=J%!){1QFj%@0b(fcyMq9gt}TY&NU|-(dxt(bWLu z1k5}Kb?Z7(y4}(nQT3ANSR>bYh(&4m*b*M-3>@F*qQ;~;FtmsKL~W_A@`ZtnZ7Fm$ zf@}gJVK4P>!G|`292Rtm;u-5s%CdgRRDPX%wBMVLh02HY#X5@#TXCw_8CQZy#J}J~ z_|2-zkg*18w}PuYX*)Sf9zaQ5XKXh%n^rOZAsIHECu&GH0C!*{2Q|zqb~_h|Ldw>e zP#qvuLmYr`r^zS5#(=yzr>mc!_=KFCi9=TREz!Y8Q3b;7m){Cp>dcJ?+TDi}RAE?$gqA}dg%OTjua%tks zK$hgAcg3RY@9$EcAcjFu=c!`8ysz|=u^{>Gh_l3W5Y$iN@s0yrO~JWgG-y*h`C_2} zR>|Rmz1Vb@0?b}Adgo1Krpo}P!9uH1*iOaZegfeGM-!NgQg$E9G2tzr^_0DgC1x;T?V-K|B`tir#~SI9QEEf^rg%hSTvrOiAZpUZi07E9 zTqPg&Q*5@mvN~?EQkeTaDhChZjaWoX8fUcmQ_>WYfk(1Wpde*!di1VcfIQMR=e%-p z_YaQ&0(`Ny{uGQtsfRHg8G)i}>2$Ox%(RSiOmFlM)1#3*A&eGOPMoh53Z#?AkT8R@ z^Q9;^tJPy==6931YIKow)mc*Cl*OJ#Lu)TX2pZOj3zWUs!+*OEZ4!84@$P8<)U}ef zKNS5a+oJG&gvY~YFWhp$5}q;QBgp}F+~!e;5-U$G6{%wpbxFS87CI5lk5*0XYbF2| zTiVI>uO1J36F=TH4jX zY9m=MUr*nu?IMh|h|tf@FKnf6vw}i;1riJ63;`ZuJxlM<163WtWx1UgEK!Z}6xt0m zMz7fCq1#Fl^4UgC@fpOI$jm-kSim>a2L(pME|zf@$X+N;5;rP*1{0>zX%N~R?>;uE zm92Zmmf2Hpii)p0zqRr=d4aP-GNkY3YCTm*;Vu)DOb=3)lL_xH`oe{Mabpvr&z(C|@O0TVs; zsm>}La6rq|#%#zqFS$s)RoR?DvT2m)T*SVU_9`QZrd^CovVzx*J?ujb8#s=17CFa< zx(~7-qiS~~d?4(G4EuF1(HgK#`FsfJxHC-VFV+idj~7a?wcn-cNCx|BWIB^E^D~aEbr9mHTrYmBG8M|CW8}pjoQL#CO?2II+p+EO zEz51*dVHQgLQ}N_DDH7jewETwAXUL&F!#BUO_hxe(e&Qs7Y`}P1^woSExhBscD>_Y zoPhWyY??d@p)-_D>GhCE_Ygd{{MDbL#xLXOY|xcOMlhk;HR+SI#5K0Z_+XWhbj|#@ zK97}GbU+u!sReKTGM4r65!f2XrkDlGf)!2PAv$)g0Q)96ajGJlGv0C+s~ihbgjQ6b zH!@d3l1PXER9?_9N68BNcQU*a5Vw&6lA zRV-mfs;tfT4_(O)4mCSBcIK-+vv2wo2KQ9UG8ce%-TGn7K4p3;a0&j8#KA5$E+#|9yk zSC2{#i_QumpuTdG1F1!-CjAogZNU|BaVnU>T}TuIa4-Zy-x?uge6EER50|>Y+K(Y# zI5pJ5x7=qU!!^C;-L|MUtpP>AFhC@N?H&c5tKA>`8@=<(4MhO_SpkLu{sT9$rSXwI zwleN#FJ~G38@xIvWX%Na{&vV#n%7_sc;#ho1TWiZmA}l~~Vrdf+Do>+f_g@zB$Hx~8 zo5XpaeopD@~yZxZm%VrdauLKjV(_g{OSy|9OGH-?=|eUdm$XP=OL z*1`O(RDh{Ybmu7kJLnFx1CJfVP&1}~^HGTSUAXxB7Ny(C4XWhcTvhbcajS)(5 z8@+LEpQ*+Ro(Wo8aHlzi#VrC{pP!v80+$+Vte8OuNv~-}#gz@jTT-D3!wYt%hcSp8 z-14&t+Em1b$!8@oHZw_4dRMsCC<;tc0@aib9YJvL zPq9aQEm42f@l|aPIlHox!#+cZ!GmG#XH2mr0&oW(Cx{27_Cb$&QQWxWDk0=!!vZAJ zOS~uCBeZZtf*-9WC6_#5!f10cVL3CAJXFlnIN&7OBP0?m$J8IM*00Z&-vW}Yw=_$U zsvM8{c`@$&@_u(e`tNS`C7h>Rt(UD!9M$i+${M}Q_Ih@ncPe`R__BGbj-I3RTL!Uo z-J$<*)@rNiAH|HIzndu`j+P25hv4s7C*o)-a~$3$Lb3Nd4DX~xP&5Bnu6s>zemgxu zqCI29;Pu@b=FMtDS+fYW*DJ+-w43X&{r;Wl(RLk``YRSJ8FXB|#Yzc>mgENWY029= zUd~{-Mgd9s<*2}Ych{8Odx;ra;;y+?2Rx1aGU^Xb0cr0PF|nc z!N=sCvG87S>W$}HaEv&0ZVzL2p&c=jArRB7kk?J{`yiwjA0uKN!{m0ZoZ4Kk%EjcU zko++k;*z|;517Laav39M4MoEkZxoYL=W~gA!8wjU7{t{W7%MDt`*7uKR@qV`{X}_M zSPEuIF(G7liQ1Iq0eFMF+2CO}OUgl;zXxP!Aw)Pxmi1^lH8Kv@R+2R`gR#k$M_!Q_ z%K3itLhoE8!b8#733y0%1{mz~3Cl}a#9eV_rjwQF*MZ{gLemLdkgY~`BY*CA?L_RQ zexzvmLVK4ZKSg7Twl*8$ayl}#jAA*1bq4zPqg|lqu-4!xb`E6c2_i2v8*;0F=o_ss z0-TVBsTH)yQDh_O8kyrORKB>v77jGGlUXo~Og8DNIU?F^aYl*mVG3`Vq?%1Yx>N|3 zWzidYoS@)M!VsfFaZd43xm!8@IW?5<8-BO*K4YwRJc|jnG?d400G6j{VGF-*-LZ!r z>$#p$up~DnZ|cZFOAr`v(K$0swO(oZhM9_tYc3<=vOsk8{lrn-$F~t=GNpc2E{2d6 zhQgC?R)o-`hS{O3uUf!BU93RaIo9Ow^88c3Gi$@2hmxJN_{VPs7?*2uC4occOxH9F zl=A{Ls}^Fa&^oSu>l&;zU!i~-y%L~*VL|UO`-O;Hh;HIHJ?eSk1ZTX>uq;}{Zn3kb z?RHm|Pbc+6oDbugqNgz*Q|_EzqQwi&4bPval@D+9SAw$m8#8_aZG;KqmZyT*bRde# z6Fc&p?l6Njx~eUQXv>wGeDLtVXSnm#1WP4RG|WxKdw<8Irrzg(5z2NEzI}oA7ydDe z2seoE{`Eehq&Iq6Y3pvIz8V2UiP^!#e5LRp{F*P&V7Nd^BoxJ+gD!q0P%d`;bVWJd zm%Kijz#4rD3C<9vb;SW<^BT7+e61~s&kQ4b2SyT7R3yozQS3sw-s+&pES@}7@Sr0+ zJddhS$0#HVu{)-ppI_l6Gz81J;$8>}!$@dW#C>Q;+adBjFnCm$p&+=MO&;Hiv!=<* z^=m7y^#|>qS?m2-27ll{mh8~wA?e*Rfffjvnom$MaY`Zc-rSh{R9>%7W)x_O53VnL zN`0#E?C?x&F2WbJWgiO;KDJzn={f7K2<11BRA$BDT?MPT)T`MaAv+$bM;7k?=)X|s zm2QzQV<9cY@r$6M1m;Eq3w{b$W2h{~7u%Mw#@MI*l;mZ;lr#-0(;1uMf>54nmHo8h zG`u;X1-VaJIMBtAGd)GJc}>; zey%Pr)AE}z=7+h4$wtO~nP7DUt22tep1Jz|SE#e7;XAA&O)t#~FcVCH&P!k8XpdN8 z90g6Hxa1VeI}$2hsiO%4l2G0hGx! z@z0q&wrR0ReKSj`ghG_gCBmZYj|o(9QlErbxGD|k3Tg|X{~*lq1;*cbK&pFK3Tfi9 zBvVmVrj8*m5g9XdOc-a6;ma6fS}QxJ9Dj+p!uKi77CD2Uq9) zyvhsz6mxYQ$nM3wzK24fw;$$K*>S+9tBxn9=9^a^$zbtwu&VR* zQkJ}VoCYRZ^4{BA^XpP3oPl_=jR%cEoF!l>JJkxJ{md4Mu@O0PBhJ$-)Ghkc^x2mw zb7V^(hLPXwJxSdlyV&-1l43$Ju@GgO%!dK}DrxJX#f?UCJsoz}l$Pvo2WCqxB30XTo~O|Ej(ex@^f|ti z=fEX0%A%gLbx)Uznb%XAITlx=&l}z^ac&x_B++epL7Y%ZSjmth;D)<7M;BuWN8=Lt5jjVzuvj5U>|k zK_x_B-{ejxE4}Eh&W)BLZaWG^eX%otWwa>`t)(AN7D1^lfnic{Dr*vn~?Cz zxcf&-GefTbY)eypuGSUwYt%m zpc9%knjaLk8tHkq#PcHrC7uwTiKpBf5lttCqInk`prZD^>H2&rf>22dw428SL&ma8 zyI&a(KbJ!lB_GH^xk0rte7@=vYw4(;G-?+yS88g^_36M0jb?rGu?b#w zF73Yl<`FT2b$j}V=Fg3EP7oTub#y^>#>@ON3Zn3F{ViN!->3j(g4fQR4 z2_&NQDw_Mf`t<3&=7QUFv5QNPQfjydtUEm+QE=$?a^7oyfs72sg>dfRs6b)(tOSB; z3rLXju2zznwsEoBUW=@J2pzE`OJL{7MWwGGm-L;WhiR+-e83bP@pqskHb@dh!x_TM zqq1vlOu&wI%3!WKi=Whn9UJc=CW%G)JJHurD0^HWxd`m&>=t4j$Z@A1lbX@)f(Fs} z(mmLxm<2eA9Q=!h7pw-? zVNquBu$WZ?2HD(tWsVaM;1v93e9j0%%@e5%g%yf9LRCKQ#UMev7yH2CM`Tq;d;naQ zxvRJIb8e4znec7+74Z0=BwL|;p^dxT*=S?P{Ko$6xgq7?58pz?S*!_u6(j&k*f<(! zJ7Q~ra4?hG{h8w`>xX7@x-Pl1xe_9|xPFGAMxNT-R;vIeT$M~9QT{0Q{N2~5Pegb3 z_$2jchxVQchX(O`(0e|F9nrM;eUhXFO@iX0aO#p63rd^Cc}LrkH1_irm3~Ynw4Wm2 z1!zf65eEFCY)Mojt(2Y+q)=G2d)bCGW%fa)NB(d$xY1#oEszE*&{KD9zXd3zITsK3 z+-|~4p0tGNyjr5+APu!T_?`nsFABzt$9|86mm2+m8JUJnEX&2JSiMG;Jf+Qj5}Hc& z9ng-2AmTUfDd;R{qi6n8)qvLziMOIIf@c&lyFN0e=fHG_q_v`{;r=R$n^&QEXe9eU z?T=YLNa7PEvFyY4wyTBIig0ozK%7U-^kQK`T*d?<6m*js2nnJ|Pe5u#5$uY+)qrkw=?@`*Y@dOc^6Dg& zU0+rM*WZLsi;veC2p~O?gekXV{#I7Tia2OyR}x{2VFwY)d7G4vf`9mFPD)HTTugD_ zQMWoxm^f<>F60h#O?#LAdEzkiB&sJ(n8Uyzj(0O&j;{{m5<8Juo{?wX@PI6Az4)7f zar8AN5vQ)RJ8|Qefr)sHq z(eUc1Nhu?DQ#cZF{5J2y1d5){9QQZxE3N=bi%93w?TWV>88%#rucxrN@(`86l_}tO z>xk==SoIgZ4idWONs+{^{oQeXaNcBBbz?-NeYq*4dy7US^&g*bV(zsDR!y{p1HMG! z1W^SEY~nUmU7>(0U;eU#_8mwAR}(F{9UHxi9FBhXJvAQ=J`0Y&#Z7zyA^5|VVyUOo z21jLn_C}Xb-D=&6)?Az&9wkvm)N=gxsTG$B-^a+pm#YJXo)KToq;biIKT2k0(s z{R5n9F6BH0!unoa@mswg78Mb?F#zl8E^)q@`ecW$8~bK|k@>nb6mMlsjBHx6bd+KC zTT+N}-%-6ea#lol^vNeYy^a`SiLIYh`ZZcyV=9re>X3+?Pvg)Yu_Q|NsJ|wZF$%3JpPg?qh?fjVjfyb9-9NQUJ+YTFxP}2{}k9W4(wx$r2>*hAy6ym9RBO|9-ry zPoE^Xmmx`w%TF5b4RyY4cVMsp`Lq3*yye0Q`lL39kAQcEY*st~?-5CguoaV6{F|)j zLxOLyg!m`t%jTXvFS4OxdTa2*qEE-WF)Xg>`Kc7__zt|MT3{kS%DzT+3rr~*5b7&# zdX?7RI4Vn@CxrGJ1>G5_w!*KnAWG#}APEbosA;npctkQ}q7F<9mcQO^Z9o0tqA~@? zwf&*JS6u9STM&Nspjy>>MQs2M;7mc-ZtE?D0+Gn^gCuNa>k+z z{S1Q>*_sH)I&Q`YpNd*5AVNm`5kja;A#JAc3w+ul=5ggQEhztJ|MqdG6mGT;?V1ho(%2MnD?H(oy@r|F^*W<6Gvww_^%eq?mWb>u$Unvm zsTVD0hbWr9E^ZS{*LnYAuo_orLIr^1O&y)XQU#4#C{{T`zt-myN z^(patXRT~I5u?18+xR-ln5S#YOQY+`o6pskXM1`}ZTEkk)8?>eoq#GR=;RftgR)Vf z@nQ@^@g1p5Ng2@2OZEWuHhw|S9lP&g1IMw3$R8u0#Ctju+2wBQ!?DefxzgB+{2;~@ zvH%x}%Ddb4-_3E4iXiBDH;vD83@3zh(7`qHY`>?UsLvev3sl18DFv3vJyn?JV7l!0 zn~JDgKxMS0?w9NAJc+X@Bj{O8OpGKLo}DB6)|7N`-lQQv1-Ra*A$7#f$3cwDjU_87 zUDA^?#MP^;j2Ki~`nB*-FY~&fi}Ld919@sL@Mm-E2}aH;u!PVkBiib{d3zN-qEaKmbWZK~!9+F8U*+7RcvLDOC?4mGqu{ z2Wlh2Psczf?+^9N4}g0PhZ=@@bduF^1wd*F(oPVoPiW;CAc{yA@lnGqP(Q^0)w5aA zG+)Fv{j}$@E1;PNWHb!8Snv5FBoT`8rn_bJ-aeD z01>T$*9 z5w}%QrRkK&L7LBH%bFGBmEm=yHfH!GA@tMfI0to+g_EVU>0CwL7*3+;oU*tsg^M+G#}8n!M978;)CZa-U8bM9t;DI7E!`3&CMPmqf>!9@9iIF>7X zu0kGE;-mG!gR1;)>dpP+-%Snocnmt;0aZ}Na=%gtD)Pf}_}(BRs03|u$Hy|{+Q|1k zQ3pHtyIryxByukdfFTvj>w;1VyqL-HH^me)tu^aH#Jz&0)TrYqw-C5IGci?8VD`2x z_|ok#jvUjP<3+C5$W_v?f7X)Yl8idGPi!l+9Tbs{q5phv{Q)S~qKLA&b~9E%-;d|Z zGoEYZo74TJQ~51W@q|h%@b#?kF<0^GPzN6Y^$JxdqcHpb9fsuh5ZHcZ>lHEroIhVS z+92bgS|SZI2lrzM4ob(o8)R%%VSHYL!Ez<&9vIViKLWfEhDvo1jjvxHZlnYTJ%~ec zjKvoqWHz4nz$yX8c%YaF1*P}zcUTF~2+Y|xozNH#lUzh}I%Cq=2*^eB63FkUBKi`> zTheNC1u_mRo@DqMYyv%Wb*y?QAi4qpbQr?#^Tr#b>*_)9F9-zW(+D2TnLsgJN8lc+ zlZ>#cF;%M@a|opxxW)vmatJ-8_wou{+rW(jrJRL{^r^UA0&l%8(}p+5)z1e0h(Ni@ zxR<~cDC&@eqK<0~*fMAA!#h?HTMt`F06<|ebo+_0N$HXo1VJ+h02?~>LH%>0j-CWCA-sWR@UuZRs>vA-|+AijuleBX%* z;;Q0djf*YOu5CrN>tXDF6jlU9s#iE*5~65e@zQyoRw8RcNhCC1>$hw<cmhVa6DTJ!Tb8;8S3dbzPy_}3s-p@i4;~!H9v;)&Bg+MZ5JS^LG zR=PH>DDE%>>rzPcb(y{Dw9NlASQ4WW#mLfF8nvk;j?R`6h1Ee}LV$JLg79e9uCk(@ z;A)uXe1NGH>Sny;s)d~c`>B=q1i4s3fGelzSf$asd<4|H#k@~171pMQx$jXB1piDm z3b=AE**{oFO@+Z}G;S;n$aj@+ER95Kk&6^kcXI*`*rR9em4$oG$@6`E#2hm$LsAwV zy86u#?hrPC$fGgxEy6YK#7aPy*iRlXC{t*rq-M%+tTIkVUlRb>#m>n>6{9z>0g2PO zpO8BmQ1*5ap7*n-d}Zx>ouoAg(LzrL$dqGUpi}N4o))1h*vn?nE^y|}0PRE!T|mUL z44{38uU`&Fw1$pR7|@+%WYxopf`PBiAc+$ zW*~PW&p(a9v{5jbmr4cd#~73m*RY42KE{d;I*zdcFkrK6-gE=7)@QeLzr8QvMj8m^ z+!bOe6qM6cUsVRz5e?xqIEP#-hgicgN_|A~y@3cuL-y0!5MV1Kswv8DdM+OVM71qc zMsrWsQOu_fW#Xk=&2flPU@fyELkDSC3*@Tt|20_mDqBE;L=bb`ye1 z#1le*Y5Rd_8G=cQxtWPX!g%Zt2R=?Rbcr^nUsjxyH7h`U0$WsRKuDF}KmlqY-EsW9 z1ux@1J*tZfjv zz*6LYMww#OP6n3>XO z`+P5N2my1cg5~-%aM}q5BPMhqQUiKhF)b|_5DZMkAOO=pwL#xGNZ7(?%tXrU+PX=vLGIYxgpkJSUq8<2hVwAy@Xdn)lhjWaqhp|#<2Ne7tPvm#&|v3gWSOlTI*@nUphgU0Q*`*apJK1)(HkQ_L_!kCPXC_A5iS zu1u~^JcHyc;(3^|s4EBBXwFGrID&O9=(G#|tV-db>}bA0%gk@G8@w#TV9q z#8qw=2%36uL>mY|!+WqzP<{D;k{jw>i1#}`n?Zl<^C|9mKLgY|{>oW7(0_)U9Q3V( z51pnw%;(|VG|>Lxlr!EG_tf{j{J$;;pd;fn4b#@blXF>9c?I!d4}@WWGb~XE{A^g! z>{7TXoK8{*I2GqOL&}np;cK8V>b|>9=~ajJuPG%g?;N-as;dNy$tU+;kZ%_pRsI7$ za4HL&)J`T3sI9o+uP3HS_YPI$a(uE_!>zxjn~hKx>*tG0!CgKIKLn;e@cewxiF5?h zhSil1`qWh3&A;yqm&Fkcq*bF1aQq4d^s*TcG6`yhV1$8{Xc`7-;XwU2oUNJyW_k>Q zqC9K_L=lBymd-Gaq?D#(8RHbZ4a2g2a)xkVG%-d#()^M*SjzgTCT8+4`Rv1LBIEYv%J6t55!^-a z64e3B^c0Xx^p;)$126?pOtQIhFrzw(p407MkJtk{2W=#O!OlQR>TOVu(Fv`(^+mC+ z^0JD~_Ge(E;!&D0+F$xMsCfH)5BduU?1%u{4mA{q^6D_^auw==Ri>4lg{0woJ0Ohu(&by(g-*o1jzcWH{M^UxNM1&Y^Rh^*1oUu8is48^(eRW^{%})+| zk3xzIMD%R=c!mgA$Q8IkJ?N5oVc+CAEEYi$Kc)z!iIypA&EM>`i2zLFGD@y z+1MnxfZ6(WJ);_54)O*ahOT}s2)iucBsK^}I15KhHF46fqpG;KX{a%h%GO6Ea`4XO`r>=NNwd$?J{pwFL<#-p^4bID|vsG10f^gUf;*!mj&YnC! zbUvdqSr<5yoq!?M7QO*jFnh0pftz#{Ojf2KH?s{>9RAP2DJv9qgBYlIh|6CW;VEPN zc7X)0sg#7F*bjqs2dp~ilx18hOX6U#eIA25eGcfbb_4zk9Pnoz2b2^-=xR##qL9Z> zNYQ`cBq%S=LNJ|$v75q)LFqp|*j(8xxPoD#-GKZ^^rK+l`c7CekOh^-=9Qq87>72M zGB%Ydhnq_a*Nd_v>n*vG2&9yky)>>mT*x}BOU3jI*By4(pC4gj(R|`Y2|avKDg%sA z!q`acF{wz0D$EKiQZox<$;??@QfN>e`2rxJO{&@}l0@F`@BR)}0;5(vC#3=^sxe$d z*y0>74Dyw|d(O+)`G@7*KaW5dT8bxxkcsYLLPdlrS1e}^=2r`8>FsbgbQ`Z?O!yUJ z!U?tu-zoD7wI8rt-b80ks>W)Sy;Gsl2==Ux4pdd@Ap;gihR+7`HsU`1ev|}3jl!BGB77nsEXX~S z4%@+?`sL(SMv_eSSt>#BPxuMmx}S5_b2(a}mS(nEq0ml*dj0}r6ITo;OFb`P;pdb< zicL0EYAK?i7`PgMd_o^W?EBPz>ki2l#hjH)7Xgd4(hBD~eUDDIYNIYtTun)q(7!xmJ^DHG0 zO2Qw2?;e8!=~6BDH*kf@*cnudY{bN8KUNI`5G;vsQ#IV`3K#SVq28dChtvZi={g44 zl$!`yiis8B$%!{op<+yxsJI)qkER-ns}k}TbpY+Pfr+Z2smL92%5&*gFvKaR(5t^4 zltp{bDH@GB@S^s@WR7Y=k{9MpS24lJV0b>m(p?#U46EAkQjd}#D74i&mvqk9)(45q z+#D*;we>9Yn4Fy+_#B5^)F3|=WeQ!D~>T#8ehMM5MU@k{X`=hXW;e<$_Fiwp1LU}Bwl{U?6Qt~Sy}Q%v)h5* z^^bqh-*v`CGxW>P&o)pVr5+CE^2t-ZXJscsMm~?@ zuEDU(b8H0E^AuJW4safG1saKrr4$mwFN3($$+n`tXjh4KV#10zm&c=vm)IrjMvA9Q>Ms_o{AVS8xVFzX*X)3af%! zkOGatWwip&-iQ5a-8LXIND$RoouG~!2W}$HnrDEXf@E|J>pDwmOy&#`2qke28{~Ww z00~)8v)+JEI1eDiu9Gp+6V8%dfpnVne1kgz7v)=rNPA40nqYDmi2bw)7Mi%q;ds*> zbm0{4OTcXV^=exL`4mHxq`E+K`uyS;^>6V-`m%R;o}s(w8W`}==J5V&BVWt!e> zt@Kb{!07z;<=sZ4&oe*m6(7**_QEW`1ZL^F z#F>5xAc8XC0h|tX5#tEZ5l26jz%0uMs{+nu$Q{H)M$YuqEV-GCK<<}r$bJyYUBL^; z)EH=yvE98fNZdK&iA9+yt4>NcsC;q>AgG3p!=A7nFDlhRd^t^FnEN-AZg8W}dZlAk zwSqbb57el*?CT^uClj}#c_G)bvkn!sN0>dx-c)YDvTPcdyMmKj@tI`WXt&8%d5 zh=U@k^8ingDRl7+l}S55Nuyg5iCkn)NpMyr4!i5BIS$Js~`+zY6Uf$7J zMpiqlR8XxEVE$Tqwpxg~ed_z^1ZD?VD}@dDg}PQV5kHTL%9h|$zNDRGahCn4q-Ov(c7ZyUF)?DW z3h&s?6VxXdE^->_PhkPr@(&x%$e2#KH7gV(?eV*>Om-d;v=OMRngP{pr6@ zY&(Ung8T9Ls0e~P*H?M2jx-8P|!LZq}LS5dEK&B9oB^>u=Qi zJ`&%Fxh^y@HZ7rHzEHn3!;+UqSfL7Iy_@}!r-EZ9|S1zy3IjA^zC?FrDM0LxyiEU-j^j$LZkDzS(7JLPmNVdC*x=W6QtTYDs0mV@tV4L-NP!~I?o>#c)TxHl-;tuP6s z9n7&>IeEs)L}o5KAurC_FLPgNWXLIKKjiEKFLL3@9(6O(=+DF$+xz;>RNwRdy9yr? zra?{J)u(~~2B0nPV4}*oiAXJixFAkk{7DH9A7s$Gww}dKVRRM?T zZyt!wo`lXmqrWld`>1@j<=RBp+zWWfsQYWy6oV9n0Cc2#cfm%0%_QGSOIs9<9%Ti$OYbt~mYz7(g8 zJjVeH)Uo!c3$FGNOe?3%6 zSY$x>+`_HTlHd1Vkv16gdpB++&&&^#@>a$&xo;!+aoZ)C-p^HDU3dRd8lKA? z(EYy#HPK9{dD=sNUlX%%+PWMu7&m~^S-+_}Wc52O^YvKgbKVVVA!g3_PePq@1mFqg z)39Gf0Az6vlNL^F3`vBS@_l1=1l+X;Yzk(0e`tqjPz4TuSg{YoB&rR(>WfGmP&f-O z^4)iXahfaH_?xD;pQ(cCCaR4qz)6db%Gc(8N=Oz|R&To-zSl$Euea@?etjh7iWs2# z;;I)GcIBLnH~CW~OFx^vli)PhZ&aIs4{95De2VhjTZ^Z!QrF zO@9PND3wsRhOXj;mmw4EigWQ|(2!M}M0M6k#2_XoyA6!Vcaz?lcUU1yo(DYB#2$uD zykJM+;!gt|^|P~~E4|yg!@(x{E0)=d@IsM+k}EM!%)A_I%&?S)4m}M6w}*KUloLPPl1Yz?5d0Ro|ol&&nWmL=@!VkSx{XT*n zMkI-!D_=~iRz#+3x+s4h%wAbAM)DQBte0NBgOTQDnU}-{DyM+qAf~CF~Ld*`8)#WuM`-m9V|-8+~J<;llx9z zzNrRUXKQ2CCJt$b&S90XFj7(s6b7t^X)1^VpKt4x{iA^YoRrMk3Kr83-jKt^C- z{Q-tl0}|JE)zIN#y0U23Z}^@|IOz82e>Rw~9)Z%Y%4@*K8(|&w%b#$shtbN=#98yN zh$YMy@?H|X80peJ5@9TDKuyh6$%-{+Bor?^b+w;9Rr{`9boTF8@N-xS6cp(bS^+Qa z2!Di{!x0Q&I==+*l%47d!FNmbb{cs7&3hz}r*yMa&{5vCdrFJbx%Fi{W; z+|XABRXM5*%Jgek2ug}Mh(z{mQ%9-gY$o20rUkZhKiXJaVZa&mBE(}Z2n9pK9hD?|DYRDeQm5^H@7b*m>U#{g0y6JlE|1SWRM=bZ8ZD%DY zGJ(a4N*KartgaB+#r5L=-S2`v_l3qOAy5aZmXZj{%^1QI0vu2bN#t=~HLm473xNp; z_osMGT2xX(fN=``Tzokk7G;?!PF`3|ns9?aYsBb~lKEw3*=C(93>luak&WMI{!Y6U=@e-eBF z$nx16G!{vKpfV)@&X^?6OmK~Fp(@x0PrRU_t#hW}NSE}!3A>Z_KgKpWt2L(q{ zeL@2*2gJOI46@B9Zc;*^EbfhiwW;g@(cq$GCnY>MMsCL46b}6QiO^4~P6*3A=sT${R$#EFH#=2DSLomgIO!n7DNYAaf?o5SRNQSMe3gSZ z{R9k2x_9sogmR^QtO3+uOmntzZh8Nb8_;@0o8?V345uJkUVe#wC!~`JkGYZG?!4PN zVmum&Tr=;Ehw=M?3IbddjkH^kCm?5oweRw(h(Tvc)#H+3;PaO;d*f1aGT1c@OoPxa zOJu&CpCV^lcM3U}suHG#aIi^(p_4O?fiRR+gYy>kk{swstxym$=N$~L^(x=JzMkj) z4!ZxZfDmeh2i?WHoTMkD$SinqUIg@zse8R5QE;l9?jH%pxXkx^XPpE<-#Q$I%D#Pl z#LN*dU_MU;2bfq0fIXlYzHk`?azKAnaTwGHJ-hXCnbKr~ zVjL|~Dl^v!iSU_zqyg%=EKgMpR%$G=lv3DuBY+HHqXRsT$=Ysn#LZ}%jG7-JzwL?5 zRR@1i7`)v+dvKytnpNr4JIdtUKwC`jueK{#scs)(!u>(eregtR%<5fOjbQ;H{?*F^ z*^?~mc0|ZgID)0)8O=9gz^)2i{g|P3lp1LW1Wq$JkL|)Nzc`6AZ6bhpkLRvOj>tiZFtqRI_L{p825=wq66U{p*c1MuPR9~BuTy~EF>~Y zH$eV~;#hIulCs)M!a8*znCTQdX(_x{aImeASc-)td9$UHw7|db+>F+XNb+Vv!t?@) zTRQvZl3k}Fq&4D{)7PI-i8}qTa%_f7-i6zm7-6_(Ad-nbu$IbHLiZ;qfr;}?uDBkT zF;*sT9SM_i6LFrSz>{(p4{dWKzVo0e6nICQyAl%n2z)^qk({dn6w?DJpCy~m%Viq~ zDRQm!LA5ENz4uUsdgOX`d4Fp|Rh>&(1@i2(hk#M2M8~$yC!Vg>^CrIrhRgk^Gf)5v z01f?DIu6b(*d-K{jHXu*ug#b%MCFm#;C>GX?7TAHQiWnX9)TI`ZP3c= z7z)j9D;n!LpspaPoECNlV{hV{4^u1pi$_2mWyL;MdCr*q*tW7D`X-JeNh6yHcfc$Ob5nIv`GCNN;5OQo_Wj zBRP*e5?@-D>I|BLjZO zvz>s~kpt&i*(aGC3P#m85Oxdkzm$feYfv$dOou1Bc+UmtSS4S44jXG*8cRFyY_k}0 z4?Lg9Xb)3wL?a^<73OT8YAJ*m|8ugGeBY&{O#{(#AH#RfnHw>OZovCz2pPTbBywz% z%y1@2@R9K@hhZ0IkHa5@^2ox9B&6%ZQbEc(;ltvj^ul%yl>o<@vF*IN5o-J9Rn!f8 zQ7ze|T0RMZ>t4S?9yy7kEl$waF*(x`X@Ccc$+)u79za{*_*rO^P&tLze{WP$vmmQs zJ#TrA`1n-7v)0-mEe6Lt(IyN*Y(|opvH%ap@IC(08~q2iT+9cmh?oh7 z6Y8+WxutkcQz%D|rQahTJd^oI2#<#~&rslpU>Rldwj62%PDf>xcx@cXzNP09bA{+2KFQodgx=f6`MTjZuVRaMOa2uXT_WYUEGdBsz?Su*yP{H??O2@HVq~9M~75p_1j2NRF z0k;(4$422j^A+y%54Satj_4Xl`i(?LZS1=P3gM$fjN#O-FGLaG#Ixh*1DrYoCpX%K zI6Z}}2`!~9Ty%y5mf~h4>Lsa!=#24jeF>M$g5nkSVQm5uFqd2|5`5vd1da zAS`nL=hqO3qEJlSbr3?z6>V8{MLa*DLW{5OU%SZw0Y*qXAZBL_U|MX; zF=16J;;zC@))*KW4q65Z>5a{%g1*5NO6grShtw$?+e0v(&(SOQ!8m$H5!JC5028{j z&RD(c?-2s}hkO!*3Su^!xdj~eU&qU!HReOrV5Tq_PCr8pK%va0`-gPV6gHgybVoh& zVKjuhYmtLsJPlQhpoF8cNe!+33qXR9KZvZS>?J@lyg$)~lTC5RZ$x3ova{D?LO%}> zP8+fMlFZwGLwP_fCL?8ae1y5Lrr&Q-p^ue=cx~O{>`vN+X0fDU@16=mIW9xD7;^rIE>144Y(?lUT<@d#5|liA3fv8JXxtaFA+ zR|3Vx5KTg*HWUgg>7yP#k$RX^nd~kPFXjdsEx8~^@&HAc?8(s(aMmbzP+gFxhyWCo zhD$?u1q^v5BwxW$GYKvkS{jQ2)>TcXgGT&_3L_f-m$kSTDx=_03#-msu4)Sw*>Wi4 z^aLG@uhV%%J%*KcT9H3sPW{Zvx+GilLKFect|MMBOOi(T!w}ET7^~)#=g6x=O%?+y zMY|&g%!yOS^ONGp)3<^=49V2y$Oj-EAt`~+&sQotCdVhz1k1tzX>C@?Q__LwCpm(( z?g&er#Dh{C$e?|wreu6PF&Qh%6~vYM@gbyj zR~l@Nbkk1)ZSrQlg3_UFaY+l9p|ip&P7;FUE$%KkK{>nx*JMkym2Vt_NTW4_YoglL zRat^e65Em+AdcG()D9cUxMc*-f8}hpHZ?7W%fOgDg%kPII*U}7u$7?&(cC4qOh6mS zmADs(^2wMQ#eIN=oPr{C^R!{M$4sEXR@G zDunY+lP0BV?&J+ag_76DO?l`%etd;MkZ{nRkXEE2I?fR&z+6(1%(1<_gW$JfPYnvG zCAx2HrXa?IixMH|M4@YZPHhB~L5=wA5T9*R#AoApY+EZH+dABo;Su7LyO)VQxoo=v zeiqL&YXA0lu-JQc8VIjQf1D6#obg0R-@s-#CGk+WFo8;mR-436r+y9=M3lXAqP%x0 zO4@>MA}aizw1R?ofCe9opO0!+(zIU!|FS%vVG}=eBO}mp<+d=JBN0mIgNkH&MKiaD zsO@+S$|JGY3zkwwtmmYFXUe|dse2arL?W(6N+^`aWnj^DSdK|NCUlvoGz!@u84jZL zMs`>#A$!6ezkk7+{;|p$SWq1$?A9aX2CRpLIF3(_w5pXOas1@$A=0Na`V*Xmb?pvb zAu5SJ;Pn}~ubS!(Mk{@y|58N+mj$7ur~g7pgImZ2Z6S-oLttYYTJ^kN#sNK4FxtKU z0c|eRU|)I@%w-HjsOLI7hXDvheYLA5ID&G?)>e}ggmgGiMxSi24|db-X7tngivSR0 zH(?Kc*%XchE@~$l&Qad#Kf$GC;EuZRUh+$0|I-SOPOc+1v3EuY+pGt#Zt17hWzGjx zr4Kws(p4LU7VK<`a0tVag<(V#L~h3emOm?k?MX6(Vaim^D`nsvTnf1@2VjLW)=o4N zLtNz$yUzy0XC=rGt;xwtky0!CzNClI-~;|E_9|cpvII8Pk*FhNBxs08Aoe0U$g0t$zMu_clBo{D!dH&}H*#3GNt z8UHzP_*Tx{+8FFRdjVGE_9NkP1tB0>Gb|3Ohr+j<@Pv5hF-&1O$up@p@58F9;HwFs zgU%vQ7$$K^^%$;os7%|PS-xms`}fKMei{Elnb6R^s?4rGPn~{0pvB1LGpY7s85C4k zHF;r!_|8QRNz>&WWpGe!sp4?~Y@nXviAkMAX&G@mRuNxCff+4xSKK$`CSpVR6sQ=^ z3;L1_xQ~W_F*F>B;fmQWfKs9WpseWm41`htEsf<4sHrdB%Pb5>vgr&_P!u+<{}UmB zK@BoX1U$z12XCPF@KU7&xSp)0-&bC%ya;}$^e?#^^$VV=JDO>U{l7;Lj;uL+g9^V* zdfN}x!x_{hNsCzvJx~@cvbO+xU<6}g-i}!Me!@HNflV?R0OPkPN*W_|Ir({0`~GK1=Lzagj)IU z7AOsxbsiI*j4jE4=aP8^wuCJ`td28Sel-*eBUzn)|FP{bGed=LP!w7UqI+I%7$d8K zpP_o*UDY;`hCYd?^a5bS8_HyN+o}bW1^*;IcFQ2T^$cL`yi}ddsa$;=`nk*jPeHHd=8ZW^u--q z+Z{+EGvve=e=`&)k>;BG@=W|%3PWXVElB27GG>w%o4-$c6ancfSId1Yzh}h6&dGg7 za7pQlrtsZ2dP<+F?r7GIbG9J^YNDs_Ur{Ql%Wh>vYGlLYO5yjnHZ_%rHJ2;*eQfnr zvgty8X_5bgG{@`hz@{>^{AL-t%U`+h+0cLB7!rMr&?n&PJr|XCI*$9OhDV6%nzlBq zAi_<{RfuRQ62sH$E>KD8GU!3ve`{k|9q^B8o!KJ4sfdVSflq_#A(xav>Vto1>5*Hg zG`*wp3S>=XOUkujUh;M78lbi)h$cLlRAU-e$kVvl6X6*r`ETPgsA_LEm?xX;U{F>w ziTVzb@^^t08sDRWnt&|#Wyt3E#Yh-Pmt{^+sLb4ORw;V_k~yR};_$2&u_rWos0^Ym z-IXKY(~5fVSmnWXp-9bI9$_EyWz|r(kO?R|3aKv=Bm6(fbUFtx?(XndvLG33PiWLU zK+>H5cM*g&=OaqMr2ATgE}8FhMwp9Pu=Bp$LFh44>ewDI_rH8UBhY6tih#!GkP4fj z+;>UWmDgnH-qMP8!cF4y#@+pzACf#Ws`Sn5My9na%M^;gX($67prTl2awHT>gPSHCe%0K2^4}w z_T-uA_hyHvwP1&gL~&UG^djg{WWP%84lK7_ny=_6BM{Sf#Y24QE?Q#ZAt&?c;GkME z7v9D%hyCQ6%IlTOKkHNp6v73WsfO=8OQn*AM5@h3zF;KAVHdO^=zJD3D%SVcjdG91d}m)7~s(0gNi$mS~-NxMGS8-^hj@L3aaWA z%?pyoan2Qn&0#>j`9E0-E3*B-Oz?NVN>&r@mfOEsJ-^~DS^;1~$3jOeSEFfBYO6IN zR0u3e?71*@9EGRpr&Tn z4Y_osKB$g$&BF{xe!WG%VO>|aGl!<$%P z*E34WXm>o4xNr-hCs49PcHrwD%Tu`S&%kSwQk|6*1&D|9?&Z6vM7<)1f%sv(pYS|( zJIn866{kKtn}Ki=AUrfa$$8gwDdd;7o>CMs|H6o+kKLR`25zsb!Zou253QKbMDA4r z)D4JC#gTv#nE~5GJHYkLH9T9oMy1A)dOJT$wXsEljCo$ZvnVzPSu9<(bMEA zJXIV0ikaIOP%DSzL!RWHU9l6A`q-B5qXk~iXnDC3N-`FrLnOR z>q^MnNtk9$OiBfiSF+kh6~77TqMxv40Na$neQ+1yCtBQ=@-LF*^dv|YX$)OiZs+WG zhFZ5Gywh&Xc3FllX4y|%mxeIPvLgKR9L5VhmVMhpWi!H34C|0~hT$kTJjXnKMASn7 zKkmxBpimX!r01IlRqVE;7Ca@AK>iBDfPDyPbQVa|)-+(n8DDo+5%9+8fg~?q*2Po4#6!baBQ2?^Kvd*Gf|Q{U z60p(Y>WIRup{+_tZaX)Z^|7rlB~cjV2_7iAS8iz3p?egN=*%HY7JP}(;6W|vW&9bCGVGi&~TpJ$Jk2pH`EYJgk{d+GWHQ3S#hH} z@%$q0n-oodw6OH`1Yum3)bc^i9FiwXD*1LTJz71Q{dWQi zj=&?NrRz+X)i@d_D;8YwMN&sXrCB`rI4BHU|Cz-PR(EFHXXZK+SVrs#@C3%tA>4Oi z`2E=-FL&1T$~X@-+z+Xs^r0t}r^p(Zq!1RnZTYGW6xV6_2G;b{mBBb}GRY4m0j4E6 zbtO{ewN}Bfv_`sEFCN$pKGSU5+4`qQ3cw0?S=ck7cf@d)O0`JK~AP7dKu$uf`lxQLqTgXVcn^CS$U;%r;{IB0$a|> z!62ECC(y7^#>%T>;v|hPmBKIZ78nY$CfUILNsoaOGA(o#_T0w=%SoCv6Yqn{Vin^2 zq=0C;vV-y*0`i}dW-B_#+y--0r!ZGob8oI|rJBvNUVz@kG*p7JZh#LZWBg3;(qo_! z8NR!Qq7rC}3Sx4|PzXs~8s>+)E%pWNSRtF@M5=&LCBs$}hLF~VdP%K3=T$8Ml@wph zX;t-#@oHO@21Q2ZR5EbM1-XMlI%kCUWVykslA?cGDMUebtJx^t0)f-GzGptgn_ZffFdF%SF&`e~1~>)jf9?c|Ip4Zg|AW z9c^tE`^mJmRs5DaR0PE6QgY!Ukng1%&>t+|Ajf?f#49bu9oFz3i}G%6LwD`=;}}bf z%ZMIg27mV%=aNF)$5|oK)(AjCS=GyA5@C}sN=VN@S3L;S5&4R{i7QYZ9TCb|0ea{= zi19Ch)kfu091n1Qur9JmJz<|Auz^Z%fMp$JNlpY`0jIMOjq6(1<2;v;rZe^Tyyv z`DV=}sh#VDjK+2}CRo)=VbRaH!xcepcUD15cces{%3^!v^Pt*57cEhVSr!3R0AElW zC<#IX*CUruILmbzf24vCb;OX+XrPl;1x%9>;3{ef#q`@zU)5QRJVjaw@OVQJ^Unxl zq{sBi(%sKpIzRzrNd1OvJrji-!$Z~No{8bSU_|}%SXtH2cs%HdVZ@>)CS2X8C-3Bv z?~BDwe){Hfkr~~*WWd5OITda_{J`TIg}*m3|H}|&7z>4v(8(}6;`;2H%)ST=hmzxJ z6`IQMMdGzeM3Di8ngt%iGkEq_02DZboCCukgfJJ&=PF*PIbm4n{8_8x@P!dsoy%-39lD7AgXQ0F1(3+gq-ckWE9XEANa7_2l_e zfE+KAROpb`0icHIs6ub$dqcXQmPHF`(kbjo;)GD+(L%DFG<0GR%S~Q{BB0x1R~VD0 z5V}_sMPgF{0i@;D78vyH;3u(69uvMuSDNM9sosc*m2=Y99f6DoBvIJ>vso4Xow4ww z6t;d$h|r5@_0v}LSuUtTP_)wR33DdwP{y0-;LeLux>6p=Q8=sg#0#dV2@!+|`Y||w zuEP2cT6fmm*qh39c$<=He=3v22=VKmp#LnJ;KWDRFW>{jy*nV3V@(3j-j!7UUXvb0 zPpdw|gp+=~r@ES~|ERf4X4ji99|5O7Ywrzl&wyefT&}B79?1nA$;xe0P(3{^VZ@}3 zn1YI8w3Lxv$OmwYjA0%RIRKFcL2X6D@fy(Mo13(+F<+7(r2C~SAN@xI*$)y1!{d{K~`E$oLDU%y5 zHIc{g&lM`4Ls9hI+(aoF7Pk>@_!$z`Y^p@E#8R{R%J6_(aXcj+%mc~ssa?Ee_{xj2 z`uH9FuR4663j=}S*3q5HNUKD^muY!3e8?BWM$83qY+a|o8iA4sf4C!ooC_Voly=i+ zhuiZOY7mwNg(|)&%kE`*GyP7(kc_K+(1VasOPmv*}<%fL^>kkVIB z6hl!!)(n){eF6idV+D8BfoyLmS`=O{D+?ACm4-+_lcL(!{UXLWFFRWOg&cyL?8Aid z;7LkpihXk+Z!xFAd<9u${$l4aCV@6QZ?KO|oU2$a?}oxMJT$7z!5^ z4B0q1Lpr*hs$R}}btL%C*vXKIU_P70ie1yIW4&`e0CPZ$zYE5_N4A8!(Vj&SZ^|UTEeg>&c`*FTd z7-&v~n9%#j_njf2RVc2%yRU06+jq zL_t*Y{hktX78DR^kdS3@6}kQofXIz>&vcsD42HisU+(zK9tM1fIC=L5B4_Kh(()D( z^(;sub1B1je)(Nw%HHR4`ED8%9J(m}A#wxJf@3k6d%F9w7*;tcDWX6gcQ!IBthRq; z)~Vtu?tknSat_bZVq(uK)eE^JSCLanc%+khs1abYx=H~g>cg|h=$+n*dx(mRVM;<( z%Rr%&L!L^bOp+u2KxWiWz-zSOVgX_Ld%?M)azlLNW3EwHfxZ&ZQuf%;La%byg)~CMACE!lQ+%(vsL-^;^mijD&8bW> z!LwjwgUxNiZakJoU|h9_po|`ax6y`S!-knAPvgJ|{QM$30*7ji#ZQ!Ecz}#Td^gz~ zXKWJ%-(;f|N?F7mIVtQ3&y9-CW9D5*K*wYw6V667RhH;N4^3Td-r+y~z~pKRaKs!2 zs>4!9Da`mQd-&4*9?;lFxzU!}u`M7GA+!dG%6N#IEGuX&bTZj;`-FTaQ{?n*Au93a zY*CoyaWWuzIIymVG%Dtt;$1COqX7& z6m*fai6 z#3xCB4aCPYjAIPtX#RgDnC;18B$nA}&)U64rO+I`7+7CK2HT_-))Vvs>{izbaeP9> ze}?B|4EyGRa!~7lYO^L(^u{Jx+~%7~>lDLmei#HKKy10P9q!><)^=Ag;@Z(hFl$cM zHv0!4iB{5W!gHAm3K1uS@yd-(Z0FP_FG3MGROOr?DKPHLavJ>axSoNC&l-hsI*vi|G8Xx0afybS-nsu+FS7a037N4x;*Oo|iez&z!wM#p z+XFGc+5~&T?bu;$+7|G_!-HX5wER%h-beTX-Dj`cN!%pmVunpdswqO!6eig3_ z@@2zZ<{rMzj*$y-aGxA$KndL*6aHiAism&RmDz!olGWtG<5H-N0EI}@GnF4;HFBnm zDa7A1mGnUk0w-f$6UvTrQS#-obBaFt_nj4h!pR_nptdh>3JXr^lwZPbK2i$d(8VZo zpJH+nF|^nO6~fD4krl>d`*yYL=Kn)OLYExAB|)bzDC@7+jM6f{7b@a$?hJv^s^=@5 ziFzC(6zwx_-hZkT17XizNOT8OOe=l`GQ_J>nYC+3$nDTZxuu48Mj5sCid?_zG|EI7 z5`QA!G$rtyw5#N)Xd99`Z0bk>Fbu?Jjd>?~LS8WvC2st(wq2~hy)mqhMS5WFh{|{H{CC~0dfuux9$o@j|C)otqJ>I7$rA|33Es1a34HLuBy>< z;24%dP9jpB7=`fVAFG0UYh`AIcyyx8Cb(~sPF{o}a2U5r2eLK_;dfd{^*hvev&sRm zyuath<}wDoZW&kq9SIm#PtMqe&V3dKPs-?~J5^|jF?tH`o1gIj z_5g&BN#VxhJ%w;rDmN;sh`Uc^F%$tqKJ-{w7`8j~zBDTtJM@)3V@j1rsF+U;`$GTt zqw!6-yy@k~4ObPNMSKp%`W@E7@I{mgq0b%RktU^wX*?f>Nm#T-Hrn_A4j4YAGS~pm zfEIgF2s`KHNCn7lllclJtjA=CunQo|AN_McN`kmiqnub1v_1r$6kB*D_3H+|KYh{>eMT&Xylvgd&K0bu5lb z=ocj%uD%v>)3eZrm7H{$ey_1i`UN%?R^1gD5DwN$(}NdyIiFb(aNjJb0;M7_%7cGR zNSWACznCpJ>GH-TCZ662ABOnSQN)ZE`x7l|R@~D4O{maIU=ta>D2%z50RbFu(wu;a zGI^c@43JrooU;_>Q?3F@bOi}R#y`mebO2;=Ox`7x@J8)eA*%|(SS$L9&vDqG{*yW$ zGGzI`U?Od=Xc&%l7%%mgb(U4fvPr8lu2zTRZ@B!(Z4lPokaMWDD3~dWD$ZF5l+dIT zSlasl%v6$1^4o)Icz3}-pcI>mapXEB9AKP9MJYtRa%5r;q z-+4|nvKBPh7)uFo9|99b`K`x%(?mXmvRD8fr}y!0@?26&XM}9tD!N~x)r_b`cC9lC zp}{|b!8Vr*TDhWw-Mb}r8CR>%M8~mriq0W%;UO45!}j>gZg?M`HZAHbb^V1ngC}A^ z>=`r>0~vO8s0eh@iiBTU0>B(vb8$Cm1sVYIb&y_~4IxPX%j~>PD6vD-TSfQj-PD8n z&;rj(w~XRyWuj!#md##_1!swY*_lry`U7L1)*7-{ZWo{Lw5rJJ$=AyiTJUv{4DMT< zbO^C6;>4U63(Ll|_LKnR3y1=mht`qTA0ZYG1dughJ;9*GVRA4mLe&Yhu6aCGpNdb* zY_qnTA`tuKh@UJx>mx0^PN;2MQaqL>D>_Se@DZ`F#|Nk+zN`ro*8AE{1ll93%tZBi z@0lf~U-ewlrDD?KXfh>5-8~jE$WO7gh7&Bw%-K_0nxr|D6H>%Y6BL58y z5909|ieyNZFV@Tu1wL3E!k;zKmjCWb0~Td?Lfz{2wX!t6li(= z&U|Mwn?_pUEH|uf9)Kdj9}e6?K2N0J2g}qpjnIprnnxJu$}1^eD8f8RKuB-^g}DG$ z60-=AIW1NQ6VtevP_(5(#cCv z1gyxVgc#cPF>_AL_E_M-zw+Ir))z)ssaf^sE8cki7?hwZxfIX5)~K$q*dADT1QF3~ z5NS1Q;Y~1ZO|X19=P-KP-hrFH8}Y&HvieF{6^7Bfpo-@yhO75k*e# z`R7nE{TFePR5HJUhiJvoOo+dy1VSgXD$cTYEK)FBi3pW-%@&|2(PZUY+m6eaKRSZn zc?BM}d1ym<2Zf3KK=+U?r76Bn3hE|s``KiM;mI}tBM=(umGPz-rfNAh@5^DJqA*oK zw~$<*g|O^XY`M>i{LTro2MX_+f{SV5HuMibD2w6AgbEE0TEmWF`3W6AzWZ%LBcv|B z?vR=9RY`D&lpWJ3oXj;_vGF_@!ubFd>1;7cVGRgrr70{}Y>qmoZ3qhz`9;D*iVqBf zuqaTwKoPKO9%Vq8Ae%~nI!2 ziU8PFn&Md&0 zA)1RDh1HxC7NSv@d}4or)rT%A7mu-I^2aa~Q6G>?Ct3g0ShoI*UwcYMIMEHMB6_;+ zJAcc}wPb6&S7AA=KE|WF_-2!mNY1`!$)7c~T?SGf|4hU~S$*t=tZ%khDFN0JT)qd3 z6=)r{uN=9zx@t&&4`HE5AdR9B%3)PAkwy1lEz?~m6!3oZJ(CHB1X*j_p|Q~%^e~=q ztSagf2*?mcKb#2_!Y(vYP;)>gQd)yVE}5XBR}{4Ht4*1tX2Fbz2dmv)+8@$*f8M}D z+8~_v2T)F%ftsSV|0;{>djYEGy>@8UdH$@Je*f>iGOl}t1YKvsv{~!$1Af~%_2!wa zdX9YwaC6etv;-#=`KRvTp2-JmUkm$Au-6HxN7#oz3sH;uCr=q&H0G7by2rtNzTaP2 z##CFac3*>vb{_YgdfxH{n1ACbQ&B@;y+9$J1L@6UvbB0i0^gwoSW!$kQ%^@j5S^nd zUJrncQ41etx?^JxnXG@kobMmtA=H|j%|f)$Be2X7oQpKUP4l~_S3OI8KVKu;kDr$* zwH7PRa4G>}(PeSySwPV9s9x3VeXWH`U<`g=3i+jsNDa@-W%1)S7I-y-9;td$8=1$M59rvg|ZxZGXeEXZZYs;d_#NjBQFMa7ZWt zn&3=>JEhwAqc8?Z7E4<9%nxW`>3#jBZz?y<_=Av0ZV249Mk_k0rXYHIT6B+}#r&vT z&T{blZY~NL)Aaj5dE;3mI$JF;bJB2|5L79XnjkSQG{;%C?-D8rcRPe%)-T~MA5`N+ z@?1PJydi_@poOGgW?6V7O6KiFqw#yrLjif*q!vPTD|n`tCI~Mu%t5H)t0*_ni7g*^ zi72cqVBhLvsOV(PfgecR3TL?{AvdzgyaPAQJUy)Dol$o)Dnu_RW?IH`^F)V~^56lV z1y6tlJ`72cntJ5E>M|~nMQ+KYDxjKoKmkqzg>XSOs#$opD7*}lV&QqvJ0O&^K(@Ha zd#-<3!t)ss0KO$aV(0F^iLl#kxdmwJ%M^N<%rkZK%LXd)7%!90LjU5gNyWytVhMA$%Q2}v`%j13P2u4W=W%z0B3wvJg7__)2*U*Wn%da z!<@y+QHF-fyQh*iNHRTra!=+%36OutC@fy0P$?x;HZpdJQhrUTZEKtgAcY&&kOf4s z-E?=CJI&z(Z5l2q-4KczCoK!Za78FWpbRF9HALejmn%A5TT zSj0w;iggj&QG0Xszm;;k(fRIi=SuP|G`jHV>M0Pys>MlqbGI zc2*jpY-(SBDHKDU1*(U|TOcR=417`Z>(5p9isiT$B2D4utQG}k8n({DF@_Cy0+FT} zXZ0BDN=L&(_r})CSv*V02cy+V83H9R*2!(-wV4TC6R%xjZwzmM0o9*lFLnHl(8u3V z@kqWIwg_aowU+#_?no5Y91S4|-!sZ1Xl61-mIbbxtdUICP#fn#zgY@#(6cyQM)qI|Hh6-DNOn8Ay9v3d+DGi8$jO zokL>RlZo`lz-NjQ+QTIZ57!M8{p40vp2a;}q#%mIj$XPc-HIGkiC^|1rm|-VfB&sb zRMBAO`_T&fENjNP0+oob5+>=p{k|{cg`bD#V^bT!HpFb6^ zOt)kVY7ZDjpm(t2MtSKAt2;49MPjduGyC&CV;-hKQP4ynkHEeTX;LPW>-V04SS{(b z0%^w?ntG>tb{PmtaX$bKG6ME$OIVOxqi|+fcQR7Jx@~R8<%Cw31bs!JoG~$bd)ph= z5lz^lLLOOpFkCL&e2DszGeRh6FrdTaxgy<-rHngLQF)*)02$DrV1prsi(J!~<|p<> zIeW(yMX&kLdtxt)KGO&^GWu_6B47FjD!LRsE}^1d@AZd5L&bRi%4T@HUT@>QK4>M9 z{)fWB>`YHKP9^T*cj7K`yoALpY_v^y7$Jk@!Ox;aTmBQkEw7U-u=2g|@cZOQ zE8dtSx&D7y2wUx1DTlOP(-yS8N5m5kV@=!>OYyrOx^!P9jh8iE6sy)`DhhbM-cwtK zG|HnaVYjCj%$I-$2p{Nb-avGqIZRJbqSF&4;T$bE-hZvVPhfddD223`Fdj>F9FG;J zlYlZ>dcL@fL5%xVR|4jLIQj!8X?A?$iwWhES0BnAa2cJs_E63u-w|@1AdHyj%5s0p z@4T&Y;rfvZqcmzO%H~NY1rhp*@9pM>XwmrgUefLFV7t#3y>M0oFa4y3R0Sn`e1&uv ztTe9abYSa;f_YoW7IQ zOpeKxsjOhuROwU<6OL9;R4SR%rgP zQUpuD`pCx5I?l#%-YvCTIqR^a9Ji)U!jx$!-MyqrId?N8PQX?4mzHpMP|-) zG77y6##cKZ>%x)vaM=+Lnb;w}Ql9U;$>is@-qLqQu-&jLz46vRZ$(r3T2d0oBWH#GTOSZBdZ#;$0@nTJ z-Ixd-S|L#026Z??L^|xl4cKXp5eU|jFt5Nlq|+J{nt`@_9=2tJ`@*Oppqv6(p=L=Q zha$7j%WqZ7iE#TA=&ds5-KxKl~DZedO6>jN7x&lQ~ekoDD&n6Pnm;mPme({Ob_8Z z@O~RT1!I}`Szvw%DQwjK^OW>^ctElj=TH*K)HSh~n1c{xgGCBGm*wlf@ z8f$Q7G8py;hdyN@+$B!pM(L0CaC{i4NsYpWHmoPObT@7srOrO;^aPj#jEB(G=!pnS zO}t`HhVdB0-lmBi)PANEN2UU33-nHdZ#D_(jxB{9yI8u;q97*Tj8Y4+*z~~suf5=H zT&yO4E+Dc)3oA#79$_<(j}0LqCV$wRye`lnT1ZntVNX(Lgj^|2zuyw8r+}^fz6d~H z+Warain4W1{}-0mER+GgaWhMk<(=eLAkOZBKZwm{IO+2qJagr$jH|v(l+7 zS$LZS247X%lTx}IybGexSjgB8vEvq{Tva&8+WxPXy_hTTW|{QTu3|2SgHs7K2QQH$ zPA#q{rTgt@gTdOsoZ$cPUn-N417iD0TXHx$yv(o=dlqbKIa$^OOjo%j8mfDdN2;CY zoZ5XpJOK)+^_?|7)efdVtkD{b*G9a~LKzIfE4^t|()>-VA}~R9?3@e#X;u#sDq88w zwW{%iadRqxKIo}SnSj2rwCuG|yLLmkXugGlNDqD(%1tEiDD)V}V^8@ARl~+y!;sxI z!6S3f3{PeMf*pW((QbTR9c}zkaY(e7WV=2`(zkX#X;CyL&gy9U*Xxt+3NXy~ zN5}lqxk@oP{HTc>dDKwSWGsdPphvQ~#T41wZlW}fZaQ!6s8kqC79MQ(oBUOCiKIw@ zgnRaK5_q$L9Dm$U1~w=npVxOhAz2&y^|FLt0Y0Z6`)84wMI9%EkD+54N$Tu@I0z15 zUk0`|{pC7|7AEdLkiDJp+il3^iR-N`(_oV9(c87Z?z=8HY z+2fc}{j2q=^{e`lus`{*+c3NiLK##}*bs5|N~|J?!F=rdn;l8>ayg}E`6N}+jt=>Hy~PzEJeG}WMh4`T zZ=2XK3M2<-;Ml+2=`fE!yAQW28{av{QqgLc+c+&u%)nQTJ)e5zd}^AVhs zUdw-f1z<_u^u?y_Js%VEMQ0|z zCE$CD&lNTwM5BaAGfbI`l79*>w(e(3%byL!KSj1*Yh`Z3A|cBA4k{D*gY}xY%S;8W zcCzuODjLdvRy%9FI!@Ub>h|y2 zLM3kxr3j4cUw?kNSAcamV_W8NXuR<(S?JjtUC8(hTN73{_eB)q%-E*#eHTBunWDUW z7F0+4=J!IEu$HQ$oZgQe5lIE{H{$!2Lc^2M2ev(B2#ExTS{PnporxAgOgA>4Diu-v zPPv&hwD3IOPf!N!)S!v@lPE<1Tq~cdxPgC*6Jjp`K7dtF;=c|p*;>JBC*^JBA?t*F zLn-|m6joV(CS2BpdBqx`b%7J;4QmP?afPOocn$~`X(EUM;*ZkG>zH{z#&xrzWo5&> zF{lGteWaf#hCap(O4-g2@cwy!>OPqp6lNaJ)`w!bGs-ss9#BBGws^h#WnKYd;n{xj z9Z;97$Gyjg+8BQ1x$!#<6*jjg!sFf}>&oI~{vWT8%|v}Nz<~3|>E37U+VI&O@Xvm0 ztIW&DGuEq?muw$iS5A!ykRLjilh7w6q!q|0jrB-`wv&Q{9;Hz_7doVfGvD9ZP}(Ag zRAW&Oa~P?FYq)V!^_j)7=@24fC$}W*rOuh>ZzzLCaSj-d1xYb|#r=;hP9Sf#bmmwb zDFFz}n&`hw9zVRT8oN(eds;Do{)E1vl%GI4XY>kK?HK_#jFUcvi5M;H4N6RJAMkc) zZ-DOqN2u#DZSPVwVf*)rExP{sv)TA#w6~orcc~Pkxs1KtWln)B3 zr@W3IyO_KV^Gd@9CWn7p=lG`K`Az8HB_1xAWnRlGe+5_^#YXlsx_QeyP%}ULH@ytl zUSEj+9=M~fG%cA$mi8$mlRFoXY9QcyEwBC+@CQVDV8a4VohZAgIU`d8&&g-gcgoz_ zQ{;CD5MpqG+O8&;{8WKLCnff3Ka)CO?_NuN328*s|2s0E#@ZyK0qGC z_Dn7Sf6RCyTUe$9aXWFoj>HemWeU0`#ies}q}S_{`U;R^XE=KOZn#)j{1q^kTR_XlM;pr>@_x<)GoF8du7%Pcl4lRt}U49#T}!nfM|yiE$BKWVq$f+Tfx zwwL{j>zA@WF>E+?O?F>0;et)0z5e^ZbOmY`$s{ZK6_&|e3d!GHyll3_{9pQ?{x6>E zmnbOGGiv`S^GH%8mo<{Na2$4DU=P8bPF5eEtLC-)7o7PZtRUj!T zG**}6jX^l+C(r|Hxad;U42;@aO?5+?_D*GUOUfmCFRC!q)r)%+?QjjB?6DXCf9PDs zVKQpOicr)e=reo${=aqw=oye??N#mG^}lvK|LgM&yYsJ1+hKxY+B$aSLfMdW%wubH zoaNp93y6O)uo|!IC`1C$fV39H=u+g6jM!Vlyg&O5(9k6}no(T9iopKYdr{k9kDrWQ zz~{3MNh=htM%@mRNwt>9*)C(`Wasg!sEzzseJAZv7174=o%q}XUO^EjwnM>fA|%@N zV7$g5-j3RKExJkR_&)hv_MrOC*fNf%!tOrfz#yq7^S@8V;CI=ChN445Y)rx=GKE;) z7G5XJ97BYA(Jd2j`v1|}7xV)rFKNN93({r$c6q<}Mw#DW4$w@gg*Sjv*xU5|M)t4Y z#hVXg`T>W?S>v#;Kfk^LudhH7Tmiznzg>6LE;A`>1;%tM=Tt&jpAyL;7k~$7NbAxP zmA?6wNMU1|zMC5>61!3D zOG>lyCeLFv+$)BG29(^U;HHEVUcT=tO{}1ZK5gnFeL=IoPC&A#bzlI(Ox9&efqmwtl z(3wgq0eQpLmrT?;lBGv($*N;_9Bmfw_3Q<~YU9P|$Q7TKq$mBaK6E z)i@6C1NIm=g%`)T4t7=2Nq>Q+M5K|{auw^?x$Ma02dWv8UQU|nF|9rI*H_|w*TpBY z^NcARZ0m&Dfj*{09#c-y);89bGf((2a*2FVNIO4!M=1IVhscU;g;X2U$>@FFt;hi( zIomH2GzdMQMe+{m1a$eiF^;@Z56U#inTqJ!zRG`wgEEA zrXwAq%eBc2saZY3wRGHt@vEZ&5nF{?3K>}D?Y|-a?7j{P?U?JF5$va} z(N(Tfg{(?3SnkK~ETY>O94S<=dqeNVsj&UHPENBD;Bh7gU6V;WBCP%fcQQG2_N|@5 z3tPtD^OsGR?T$}xfX{AH2qOufw ztQTmow2NwBlR6a}M5UI1(Sc@zBnolmszcYQQ&c2(HJ8O{hNI7aI&z^DQuAnG)MDu; z)t%{Q;D&t)<7JvG^#B&{F(!e<3d^rh0$H$ZBVO@V0vyW&c>-T(u~SIKtP3-_R9w!k zKEEF;uUH=W-6*v1Ik_)`SD+;_I#HY-?F7rYnQjPnnPS+8BvSpiHd0EUUdf#D^PA<= zZad*pH3NHSCb=v>!d0Wo#sm+~#lNU+3z>=}zq|d!`_lba<>d8O>tWH?%JO~7nY*fs zm*sLt-#HQevF(~P`~8%>HRGJj+;hXO642uXhd+>R)6UAli*cTmflf>=V3*W-wjrgZE2%!OKl4aVUXHRm9D5l%+nd1dKo%>nAe_TqD5bz^%Q>W1(S7o#1ywbdm&#@` z#K?cfVpkf$Um-M9!{e-MZ8=HKzxlHafZ?iz(GNC1vL;k4wey@;tyc%3Nf*QBq{$}O zrT>(D)^@SBthlv>#$`_3f)yPPU_7R`{*EWh0F%|9Hj;(cQ$@Fjf*vO z-eJ`bff(PVO_rNOOlzZ+QPm>06#?BuS_9-9vM1y4K#pr^A8BN7|Nm+oJ(zy8L!@VA zH)&Hgt4*{Ft*&FR)VH3Alx=74BCPNr-jk{RpEz;7H!nnC^x0KR3%!dkmS2=BcT7yO zdU;a4XG~+VaqKZs+B#Nbv?Abo_Sn6R4qZke@F5*MMx&7Do_KY7B2RDu`Tsd1A!t8J zCEE{ueJOij!GE$iOilRq_P+-O_ZO`ADLW&iBD@#&YGw=5)yM6J*mznC58jlVnNrC} zcuMR%_P-)*tNiOm>l3E_ANb4;YF12tP15&cXi;0$Ekey%9GFx&qYqS7Mx}Q&a*@k5 zJ2F4BjV58KHFBPl$+Z`&IgiWxme`~ET~y3|QF@~nhAp9D=?m5bqmXTxwALG8`v9g=dknx;)uNpw2*g*Qq%#q zw?h?A8M`uAj$F8{ca8JDh;^S~q&4-6BIq zOq6j_W^2Mrw;l^8nxqoO&-+3Fjc*4_4HFiHp$J;9Mq!D6=c@UQi=6t*hoKYdPuM(2 zi*Ax0W$}M3X}MPlXFRVrGrym?n^&65uc*G$Q_+$kTA}Fgd}q`2#c<1w`477KexI+A zh{%Vsd1x(}6BH(6R-Odad0zRTVG-l*vT)A@`C-`!^Rh{>@Ux)5v>RSuF25899g@#2 zy>zLDis!=FRD7TKa@N047~0u^&T4!aB~8lY(!w{l)Q4wG+;G+W`G4ud zy3-GZT>|Y1DW-Y75+Y3CrUz59H7}4!UQ*ICRLzN);T+~C-$Z_ZJ=bZ~Yhi;V3eO`I z6w}j3w`5GCRc0l?bu>)>sk4wD<1IXP?cC>ty|^PHA3384Xvo`@*k+CGO$XUt<@_32 zOM{yilMnvhC!_aQl}4VY&Hac6l-Y@@5LavlDs1GTQgd92E*;j%O3n#+kD9+n(veNtH%rlByj-3H9IMnw<`76pdj+E@0JZ&4WbmG4gTJg0WD^CtrH8JlTc zN6{>sD^Zw>D2Z^B(d$Tw$O(_ZIm`)({Sa8$!J#5RSf~*8D{W<8rxx+XG{2jJ4j@m!{=%tExa&AKMao1;?(rOELGj z6NjyR{@0clM#Jp}rE@APlP&k?Mc5J|Qx@;Js6unPy=N3AWowCSPgH;Y^G>CBD*+yR z$lN2c0);r1q7?xVQ$|Uh9EuP3q@|=x7UNAYaZS%DEf7#H(YXf8?T5`j3b)@~Dtc!% zuPX253Lcr_Lejz!;JBe;@cm+qbe*tMc8{zlZW&G95GVC1ef^}u;MMA$H4k9;z-^Zg ztf9OQ!(w5a+FBPbZvSx;OkTCUV}Tz&0t2TpJjUOQTw6`b0-%AM9SI>BPNA@^>?y?G z2hBRD5H7~!zU;mUi7!*j>v=5|krt4D36<~`@H>3!{;{{<1r$bGG7WQUY z9$HxSTc-i$gv3VTSlPk$lN__LZ_LN|f8xbpnY3<1L(Tfv+ZEM?Wx*F;TFB)=dWgPT z2>p!FQ4N5r<%Q;j%AWSFbS-Sko}Wg-mn2ZM>Zt_IheyhLrFO}3&H8q0g=vBB%9g`SI1Nw)UOtA5An?^ag74G}R~ z2{63&J;GIWMr?THlmLy%N!uKUvvmJ+{r@}rzW3Zs^fWikHx=A2$&?eNW&ib@@>apM z()X`D;?`?{v_R)G{?=6Pe2ylejpeXC{^}6#Al^?4opJ>&cZq7u?V3&whu&5!2Mhxl zIw{JWkDa8>z_E`Sk8kaB%xr6It!bH??Nz2VmR7%Uds^zPQ8Bk*_XRu@zKZIB zAslTveTwcsKFASJ2~e0fAoObFp6W6T97GgSSNj59dgw+D3CIv^=JQ}~fO+hjcORkb z`zgGORf^SA?PT~v51{|n#;P!bi!VWAQ}{4CD;;4K3}0|GRw0PxzMGCmNN5c=@yuj> z^03_gzx;mx@ly%>s*X(AbWWykJ8#nxWjKNit#wM~5PCvHdnHB~4y5w%&W<8I^mwpL zqK?NzS<2IdVu{aj*omqisx57aMh)Gy$PuJ?Zaox6Y7?UnIg~wPO2l7nKO&?;AufE* z`&G?eFGGowVCYmpzM$8{-O3Yq8z2c%B9lMnGlWL9aAIC__=-&58lo4e_p(B-h|r`_1lrD*$KIYZDJBqHg>Rm zm&fd2@@Hed$N9uUF~*E(30VrQ4qEKgj@5^)Q*qTVTNYREN6}++&W=#&xuLl%Ydk|; zbnZX8@;Yg-tcx@UwKU`T6rzky-*#1>`G+W?`DaX6VK%*)f{c8PT%qRm`U5Wg#7l>ogg z#!=%dJZ9T5+f^vT*1p8sQ|=i;L#}CIwLOn*o$3AGuuudVIix)cy`AOzGW_pM#4^#X zg_HHfw|v26wH2MRcFWB7s;KKd65RLA+t^z7bJuc`4)fQitCGnkFcQ$h&k0C+^ff^?=WoFG5Cam_^~fUD5rFaeg*H(!EpEN*jL~dj>-hlvB`p zSLAzgWKJ%Hb7qvcYI~`Cg^k@T7cWBo$NEig*wPu()ggx~A8=azfyb{IhBkeqg;XQ@ z#?PMSjF3StnRMjnDBb-jG{t8MvbV>IUx-M9D%EjvdIB^K;)cjNhRUB;>l+iDl+=_&aMQz`X{}JfK=R>Yg0K;N?<@ys8p$|GBI`W-{Px zog?!C0q|ZED!g9wTGtM)B^UnnmB!0ENt@N}lwr#Jq!uQGA3eA(wT5qyweaMqaL073 zARnN1iJ@x>=Nv5!JkO|)T-I-MfU^F-g^_Ei1Xzu{FTz9bW_~A{vQkb{c>f!m-??9! zq8iyhK1#qmmZJ|zq6ktWvwj~BC`ps7HF~dA+~g^=1Q*b^mDWqp$qS0~Eg0_JyaCmu z8nCwKBQr}gkUi-ZajY~ZTyzx(ohSt|f;Itth_wV>&Zj=I`HVFjE+Jm;Z)qru$BnJN zTDfW5fjI@{C0HnC=}Pi}u-8;NGg@xa(zPeV>aPj59)7U5-+!?#@I*M0^!8nBTj#<5 zi|#I~D~o@;LiPZXI2bh+OlWelAv$FVlEXVs-%u7Pt2Yj zIJI%|)9dWL^Y>vW=D_*bldiRWGDM?&?ixIToG!K%K{7nTE-6iMUXG_Bq*|d^JBD7y zYtse!0zycQ@=eE5iuU}AIfnrIdI?sOSKOEnC$=X=N{0EJwCqH*B zD^bt0NgkKmu|hXY{IVli6}RK?47SMc>rX3WQIEQ1<-7e=)R1}7$6QZ;coCGpj+R3* zI2R%vYt1zXYDM&)w>CDDW-B^KzrZHKJb;#~2n8L#X)=B_SDIcIk9QK!4R*ABk|+Z5 z%TQdB-E)(2;mFNGO$@^Y+hI!#hP4U?MCtb`dZ{HVHA0{;Ku(-hF0O;jR= z+mn;%QFIcA-@TDN*RthOUiI;VQbFcPUaw=K`Rz>sDA>p;f7f25FuPpGxE~A87`~W| zf-_^roz0}_GSiy1CvVp&XpRTl+HUsltFaz*TigBD%Q=xwSaT}QVX6E`ip7bZ69XZj zv`Wc~&IzdcJshBoHl+A-Wm%8qh_Rx3C#`B?5C;g|t2br$k%I2x|tq>SSm zl`E}N#?+mJy~R4$?*KZOzcVb>GFxBt1a4FW9(EJ~$H|sH24$xqq`EN8)^YT5Q>ABY zuZPgXzk!B&0~RL}%S@gVg2?9T%oiAiy)t-hwJ^R% z2i=@2cmm~QltL)@`=oA8>4+vi^j==J{EM*eqW$(gBPP2$Rm+!Tr%Laj51#S8qv}g$ zx8hQJaW`QevnBc%H-x9aSpA>1mdcb`3uNTnZNd&{Ujiw1@^MvRzxBdE`;Zrnl=+0d z6XEkS;O(qq(qSUw$=-RKMm0#{G8(8g7Is6ZyqR=Eexk;r?#k0(kM+uhV(ty&{!V@# z0cFs^-nP!<2x9xpRz}lU+Yd|aRL$X=e*av-0SQr~&;n%G;qaAzRoso%{nExW<-@HF zq~ovuB1GgXi$AWeESvr3Z_3bJwUmR^!{-2+il0;nBEn1A5So_$y(cNv1tv1cvNtFb zGTqiUS9Jo58qHG49G(LUL3%;+CQp%G7U5Vd3ev*LzwU^Wk_^fk0iE8C?@WF(F~xYh zEeXAT9|dO5q+||fF0ZXoUd-~Tf#>C&`h|pH11;n&VJy8VxKOAZ$)8TLJti5sjvgEH zBfH@ROh6o3!)Uqg17Oza@o^F}B38(-So7=kaTp@mp^4$6_{vgHhkuPQhO?pz!Hl6H z_w@E-cCKlkO2}=K*QseHv}fVWahdNTWl6Uh1Nl$C*!IzJL!m zLu3?AK$H7a6kjBniGX9c^~*VjW$C9i%wripY&d#Vdj7skegVT~(`Zsf<9g#~dMX{^ zl@acGIPA7LKfnrTEv_hL`@KUx$qZsUO0!a~(tGAPz51oR@45KcVF<7xLU0K&DjfYo z09rt$zqt@LW-Z9k3+0fQk5a{hqn90*hD%-L*Xk>UVIR(6?N&dPJNKRd1W;W5UR6fE zL+Gbt!6W88mz?002M$NklC13WJut?mgk{Luj7HyX zRxn_9B!C%$*!OJ%Ir4oY1ud;xB1bGGz%fr;z7t0YFc(2gc6^0Z-916`VVP{UH%`Xr z(2$Pxb6dBx_H7#1G^YEE+iLhIJ)HOmar?(F#s2Mk1KZD-{6AaH%R0oC=_T;N?uIa% zF<-z~Hx;E8dT5p&Dv?U`vY3RP`$1KQN`Sv-Z#-W#UI+we1&>5q`x?^C<25Ln$*ctU zy`Woa#X1W8^wMMz*iaow=LFnOj>a^uXGcS_7xVYq@I`G2@b!}3o0>=c5^?N(#v_HHE%$Y<}Ju-IIle89h5f>$eW8E8}}q zQ1toJ`d1bzr6dqq97Al4;DhvT-on{ZdZ5Ub-zOub2XnFvVC}6VO1xEKO9j^YqqbG<&CE+R1tfTvbf2S zu#1@^evj3M!sXu6m-rOf`6oERj9U{NXA6cGPLBBgT@k9Ec%{5C4uy&T~EEue6NgD-2V${%KpRq9|v^&=a^(5o7@r z(4J(5N1#P}1Ukf|m+NRK3-$5dhK&a1gpT|im)tFr%EP6TJ}x*(kh|E z!kKRtA6Fp`?+n*uUW0{_33UprU7&mJOl<*k46XfeJYe!|2@{ArLLNz7Z`1#6YrB`V z{0oE~7y_yjt)TUJP{A>l%~wK%sGiQafsJ$p^s;8cveCs$&W_88s>3fk%&kj}`+d=@ z5E6CXcsP>_ld+Sx#25v%c+;dXDdEV6F_T>e{Lc0ybE+6cpcP2^RLQ^*15Tf4&zAc) zD3ML3_pc)9)0*J1zJ)#H-G)BW97RWgCvPZ^;A4b==;~|X{Xe;npYp7_A&I^QVF&Wl zGhgs6?nfrxwGht8&?6zGq|TrRFz>@^3f?>S!>U3=k|Uuf!1(pn6A`lZ^P0#39aUaA zy#g&pk05h86Wms>)9>w&FL)Uec>ZA=kJ9oy$O9JNd&+VQ%kQkgp!dlzpB5UrfA+4Z zyaJ*isnp5w!+c{7z)aaZ#y{6tg+|aH9|*M3#f)=L@O) z0I5KF0KrEgkJRIbQSw8T%?P8XlCg&?sXp%PrLK!w877^Cxrd&6VT9cKBh>4RQGns8 z?VI8?1Fu-(j7Q*55fB2$htIODTOSlnOsc&^m0mL$OJOE_545IHX#Mv_|ACLoY?pUH z7Iy@3%<1n|QWN)agn1@{rrIbeP&Yu5$&=tD+ZM_3_3#doQa*-fM{i3D!-lhgqu2Bbdbu<2jH_!t9^>}2-zhJj zw=N^uT{B3QjHuA< zsgW?O4j#Gas6rq)qXbAn!JHR+;4<9G<|-3`aIxg*e~P{ZS{_;y-s$C3f-gf>JMruo zJ*T8PNB$O60@YD>#DdoD$WuOfd>^^Y?Fvl6v^!arTnrNNZhjH}Y+S&%rcmy$Kx3v+Xm(l8r zxR*Z87VO}&x%ox;1C#mW6M$vG9h!T#m{iPh(Y)=2dl^-{?fw5p-C4j}QFU#6Bi-HI zsep8MD2ftx2Uysxs9<+vVPJuQCvz~R%G@Cko-GB^c)MIVTvzOMkyeCB6yNIC9@ek!t0qHz4^IbX1IXjA* zqB#zloAjf>^>LGe!YG`#Z>VQ_wXJCV;cv*y<7Vf`cvLX1@u4bd?jn+8LFAL>PgH>X z5zY4wdj4Z4i+^a((PGMr@bCHezF#5J{;oDfLw;en?qQ#tuyUVeQ|u;`7xx*rkjA`I z5(YlMm4qTDlRT~RuFy?`CZx8wN*Bmxx;6@!(XLMj(>k47&a8T)km)8jQu6-51c3rA zSY}T6?PE!9k0S6Y5aJEuFf_kC(8M&U5k85bKU_QSk6dgX7_wNFtJo(037iu*5P!QD z`qx(khD=CcA0-YmP(ERhcEk&&3%W=Q2HB--d8%4DULgi3AkV}RmdbqqqHB>ROj(53 zYh0<2WhXr<6cCD?dskVYhEb2U)}W=_1tFFgG`#=`&aqSHaI((>vvu44tL3i48bnuz z&!YX;f{WMx_xRhS^*h$rn~H~ZQzGkIEl1kHoIV&=t{mILx@+c(G6hA=EFOP8uJ67A zx4hTtH`BcM`Vaz$<7|FZx#g@{WpTOUlp79}Fi&4r(}F9$*ZVSM?gUe;$N}@gn3ERT zOPv*OHZsVgJC(VN+nb3IZda0QUvEAt#K!Qz-&0JtA2X=Wt1Y<0o-q11!%X@1&$$P8p;!DU2u@=D3vdZd80=eb8nks);b_y z4#^lCGx6Yx@%|15D6aq&^=21)_r+RgFneV1yogOUBp)%Kj9qH}2@ba2Muqa_5(RVz z^Vo%z?PllRac#0^jQv~Wj^G;N*aqeEn&q!`il_vR9y@NXe|NHZV(2n6_TgrxcbhWq zbe4UUL@%#0!j%D!5YHMJy$z%&`gE#l;{_-K1|!fw-Cvs&QUY{{tB1N69iJO#2LxuV zOhU-1AhWsYlVdED6f_dlAR`}XV*~x5g?7n8=+`s#`@dOrx1D&=Rc_mVwVWh^Id9w~ z(sguCLs9xj$4A=slUy!GrSYi|%#7X%6VNY38NL6&UQx8HcAIV7>x!o3%r8k*&2kmF z>Z#d3TtiGocgafVs7WxO7-aaK2=R52tVwvIp&A+zO!&t_=Sq*IA9*D zKQZJ6cPFYCq~Adav@VfkzU%ybND0s;n%+4wqc}k-KVa%n+jE3x zHK5i!8~m%xKrnsKf?mPx;{@$SWgbYG`b}@+He0sPAu9q8DzSh8;`YdVV!L%+klevb z!_9`(4=I%GkU1pwKW;X%Xjc*4h(IC-6@%ab@2}w4iY@y^Nj)}@MlY>CM6Mv>7LkO6 zws-+x|8d=fz=$W~mhFkxU0FX6YNJ?)^JAhY<$-x=)YV-6MBU<~R=CcGUzK%$eET z^L>&nM8m9;)OkJx#K|A1TfTt(8-cLFM`Y^&%hDm{gZl!ikWHFd64#-E(~7M?c2h2w zbsl=?M?Q%*z0%6%iuhzFaQx|ITcS=a8L z69J{;b?>mxOEvA*Tf2Sx2w}0-ct)|0^lg(WnDwxN5)HdT6ZZtjK znjW#_BgM&y4>(!}`ha5z%jfIKs@|qq=EFi_QS$=SkynUObUC+#r8TCH@`XX>rL^EW znJJE2ESHep6)3RzAQW%^LujvPuDQ7$T8sk0{DG97|C=>EPG-7tP+|%BD{j1r)(*58 zgEl-^Je&CSDH-{!>N`XuQe3iepUD*iP{0ho|I9tsdLy2QFb1@)QPhlCA(yMl;MNj_ z{t;2%-~~abp+0F#S;c3n+wN`PAaa+%tuFIzp|n@m^5~6#WD`B>NFjwTD7V6Hz>I-bnyO=% zQ|AUrXpu6-oLz@x#PQacHnczfck+ahtKH{U~yPyKvO|Kcn353 zXOO=}mH-w(EUEe6NHg-GX6B1KTbj?uEi+B~PcTn+uWIh=Dvljl1IUS>BJjJ0GH1|p z660O*a=z2gULlV13&MT$XyB!0o6KtS`5(*71K%t*Ki}8HG^kwE^c_6gyft<$yCVvN z^(`OIFm=jx3PYuV4x*kmZm>wM5G+nyIyUmPvq_qva`}=^! zIQxkdqD23GTsJ&Gxa6=lNxEdR1SLmj1^*DVp(@3Rh6Lk&6czpt=MKj_Lo+9{^SIWqo z`CKK{ye^`SrB~S|OLrFzHEmLKgZV>baNarux7M6#>PR7w07W7BL*g15BiI#kjWEP-S(pfD5QLGLT2;#UG&4(77_Lrw{B++rb<5X%2ymUC>Pk_Z*-cm-zvP3c)T zZID0EL<6rVZwlu=v&srz`NJCYF3?NLi{KRo!$=6GoWaB}*LJG_=5-$*XWk#R*j(GD zs+sd_Tl41VHKx6?n3MM&w9Q=nzzZE^M<$bMBILTY%j}-wA8c@n5_(I(Gv6MilAGz@e z)PqFw!k;6#*W59)*6a$=PGdM$EgG2Ny8Zvva$*ASir2F8U#BA_Jb8SN8Ft<`reA~c zs)V@0($f#_G}JUNG}m-~;ZISZY_(K4P?mfmi;NG}?J6-G!#WyCfUe`&ptc00io|PR zBX)I7=1URuR2^gITB52VpcTj!{Z!$w@g9|nJf1L#M(Pv{NB6B#Etb{#FkXJVq@Ha< zOf>=7r?_t_*GEi6pxMt^`n;*mUyOGGW+o&JEz->(k;@4|7qaU9+*HnOvb6=cp_nsH z7?_)CcK?g8wNBWExpi1CB0g-Ay?zQ7vZ<{bgI^yxPlzI*tK1wX?MkgRy?jNXF|$5c z)G!rO=`8VsBZcJpAW+xv@llqe-T!jBzP(Gh^zqfkl8MWAMV#@)IwrmQa;lIDS)yr` zDn+xIFRrOz&Q=mpA_5YIfIL|F?}csuLF9q(>nD<#4R*f1s&frja1H?-1kxpvl*pgW zbf^_j)ZYE~4_<68d~uv9Q6Q&T_S$)3*vw=q-#5}sTDZadE%XfHcMY9&GF#1&~C!gs{h#N zRJ>fSJ?tCFK_oPf+Q{6X#<3c4bZL z5pnIuDRH)5(an~MpRo^64c18ll3!zbDhO$&I0p{U{#)a;3GMDAN&={kCvPqjRv-p! zKjq!pYH&sV)9U4a&^>X#QCiay4mXIm@Y+C`{5x%TGlOTsw!xA;LuTXhmv^Uwjmof z)(nK>y4Ejg3*56FN${s92~blZptmA5Ic?jDp2Do2LM)$NRl$5Sd#Cwg#xApCe`?=2 z%#}~|tQBHz<>mcg>?#%QS!f4i9>y%y&$5HOw?v}<59FTU6UU+g?;cH7ZarvTyzFeb zF?OkZWMF`IZO1L$Wh&o0!u))H6Z6Z%ZOp4bFEkxro?_nWSQyRYp895vd41HHSY1Wk_qxg=LQsbijAVH-p?X6# z3ZSKM?lUrr2`W=9i{KpzJi{NQ0 zZmb4^?iMPX!yFR;;h^qSZY3sW5W=vZs5?*uMdStoHtHAZrZC}jV;Q_>Kg|qSd0B&1 z%G@!tHNm{1O(0Q~ZT#Nf%K{lXeZ5&FH*`4@{l2?CUTQMd+C`3U45rwks58SQ4~MhE34RJwawzWnu5HsBJds$0F&9!4LG3 zH!G84om?n#y|+fB#6{e8?{en)!%_r^&9=SA{oCt8H=3&&6f#XKM+8B_`u@^{HnH7A$OT>QP>dqB+eT-JhI7U;U#bx((}hn?E(9U$-?pK1hYc z4yD$lVhn{r)XO4DF{}}aO(LZPU~xjN6p%dLB1A6^Uu>%!;$ngvbMilBU30r6rm$Dn z?P8v6BrY+$%MkPAw6k(D|K!~H^Vk7knd?83K!Fswj zFK34SxysBFr#_#~^11cBsh)XH1=5=eS_vUoq>5UkcLQ;aH3OcqdY`%Mod11Az`aRv>$#&2=&1V4e(Q+>1dHFt@{5iisK5lE-p0HP6(~r`Y4t&MJez-l4SL{hIR&z$89oi?M~D4?U|{pl1Tk{x8Vl6QDkD1 zG;1Fq_t#V3F9@>)fI%{mz}kU&dc2h7qsr^3A$TYWXHK|xKu!tbQ>&Thk%T5_t^Gna zcV`Wle2B%oD9USm;E`NQ^EVze)04sn!SCjz#1hFn`L|@+n%HhO;KL4n8SR>wd_V~S z4LzvBTzT@DJFlu?jtLcoC2>;sZ@HeqQPVc+e*9yqid3$-2r+xM&0(gj--C(XYrmfEYvEbCdJM*A>Ll7E-Sk!RuuO&Ah%5w*~HVk-hQ=&DaC{{{6>7Qg35J7ixtN4Uk54+xy$kouzXe33zABbD#%G?3JDQ&y!M!>^Oz5z3MCs!%u zdVp9&@rO;+4Fu(Lqle6C|M9@U1FwgF*57YNYtc10Y|#LXr><=;#;-n^|jj>qjppq>}f@ z|Go8{2ad}UND5N|W5kO?ZiZKwl@hm^@Kif9c=9^);UBBaQ1Rh-DW-|BFdHRX6ITT< zA{Eg(&jFQ^~&mUl3{!%!mUUC7U>2_CGGOR)TZ>JnOi* zP5e#yO64)6G9BG^(0rli5La22%o)rLU8tV+^uJs1DBCDUSxtWa$y>tBBdmujsw}dDzB`sBKf-NNCI~bY zGgM@zM*b8UBp2xihUyjCZ9kGT1YdRgFvA|1(%weh`N8VcEpBqjPx!ZHrqyc}HcyKO zhRSO*<#SylgvHe=OIJj2yjMgG0KK+fye6Q3AKyVUtl1sgM;+<7e{&mdizj~FWbg|k z_#VlG^eX`dB4PqxicWc$+(0M+=nT9{fPo)=7J`zjLncTyv(JTuiKYZNr^Y%vlbs?f zgF^3)RBYS6W2Visf0`W1Z&)Py>)!IcMv^MUa)$CJb_QKLv@4!F1p*rBIJRXrA(yFb zvdeS4E5788b$mdR`gO4Wd=vtIBjj>W7c(Iuh>o>{TLz`pQv-3gU`Fh%VgdCk0oU(k zI&S!btpt!bkt(~GHjcZA^YDA!BiaF2Nn;6?0B)oHz6p%?7=Rd9Aki@P-nM6Aecj#@ zsg#ueJgusySV;bT?mH8d5py@0Cwn(A*^B2A98`s5zMN;?63L>Y>&TlktI4I`Dr?@X zvK~*G0|FNS_ekD<%uZh6`td3O`kpNxeI%jmEn^v!6kZ{4%=6&Hc?)JA}MV9GYUTUt3N($8=1|0CU&uj9_+YRv(Te@S@@S-{@lUCmYA6fwwYVS3xj+n z|37hzK8hP4pgH_md~Gxfi6;xUgwHtRwg0#Ge=&V$$W6e2{HgrVWU%_>-p^;-B)^Ty zOvTkfQ6aKjy@K_`EEwULpiLW(tAp*hfC!or;Pf(Kx?`SH<)=TNY!`w=F57a@)O={P zS+I78dF#f;X6>8jo7+272xUhX$(_Y~c1r`xJc_T~JEJd}BmTY5crwKT2my05TSd3SgXu*KVZ-g=+ zkPMNXSNQu$sLX%kP?P)m?@VQ7EW7*y*A5C0g3?o-mTT{g3#|K(rN4x$Ykww}OST1K zQL)a^vU=fIyDj{in#@<|-X73zlvnrQDbaXr_d%75D8Rl?IRh({)RjvV-PvT(yE!Yx zup-GuC7d#Dc!j(sc+TE#G15@`#4A`hUhB)v&=)1A9w$a^s1yo5d;37iwEO8gJ9!3q zNzt3}@`jK*6-gY^g8zAm)K=SccTyypA_zpGQre|jqgV(JTO_$bC885BYD8h+R_8jTtg*v6(w}i@Exu8fKMD__sC4VMd!7>L?z7%L9L(S8A8bXNjo1+x+WuHiQo}{{5%pd$ivqUf-*C9x?Av3iwB9OBFL$ zVa|K(k8=CLT?3Me0q7&475rFtm^W$X1jfxq9`1aqWC7d5{Q><1cx-s~BQcGV70ayJ z`O87_w0w4wd2RRtlP_0R^V+oyO!B8a&CZW5Hfsl5ESiUa;sW~VC?dFyLfKQ##N73{ zWd?Ix$%^s~2W4l_GUBV(BJh`$bnSToDbwi}EKX1zWNW*@*o*h4Ja%$+G;_E{h5eozM+B zpow5!Jx{x%Ksw9k-DBqOC>H7pYNOlv6jkYDKMJH-&N7%yp3#=kq#_b|;H zO%bGwrjfePws%IZFs+~dJ>tvD15ZI|&^X{j4Mkoo3yp%WlXV<7T#zL47QC5+=)a6Ia+Kl;b5 z#7uPc+g;7#*SeTi!a-9i({E{U43i28b+3{0@->U3qr>I;X|JHK;`qT>4dv4ro@!|uH;84g)z0Dc)E=N}`dk!8ohcux% zBzI8m3^2x?G?%m~Z(g3;+y-#H=VrZ@%H+=7nW6|jSXAGVVP2}qY$Ug^Nv}(LFR^ra4Mu8VCNv!nyRW(h=;n$g| z+biieZX8N*bNxkGh7F9-6v4VE2ZuKE0AlK%|NK}xv-1*I(TK8y_%R7!;M4cYGqMVx zr6y3^PyRS~yW!*T3V-awqLX;=ua4GF=JsJCbqdA-$V7HRjNly6PBG0%_uWwJQ+d{xc)L_4&15}o)^vSb4v!Z zSi+1gSrofxQW%4t8M!Hp@iN}|zMGwF7Hkg8m|-gYLxQkMQEW$PmMPvZvVyP zzj>IsZD8Q}_CiOwmb{r0v&Ks1O1XA^YLo$zE$AAGW%Bx%s_^2#%Vit{6km^e!-3B zub<#=bEdw7@?GhJZ~D&_@7+uM`qUrkJI(Fcxjen1{^SSt1NpPk_12PuH7!CwDfv3syGcmFVc7 zn0fp4t&jG8Pq!jye@;L!+AO@=Yt0CKXN62ZDv?!@ z66@HstU0>tu-UVIuUWQeyQ!sM9|5|-^5Hrwz#W`j>EI`%%`@r^`h7;gENi41uztZ0 z98Xs63ZJRQYoDI|Ny*2|dlOUKJhA#%v-7BVdwhxk*#DAqLbFWoaRi75Pfjuv3m+uc z&u3B=49;EwpYE?m&@f6CC~gRf5EbqHgQ;})FQ&p>KU>(}aXmj@O-k~18@7(m9W)KG z16(xt>2ael+d>?9nm5qP_S~5RFB9vrq*$&ni`lq*TWmPV@M;Ev&V zuhqeJ@;vTQ+~>cNmAn3qsQkUxL(S!Qg2KG4aar@3lJ;`x_bOZ_zv&PoyL!Fygm!Ck zRzeo43Pn=go-s01by+$o$I36TE>P49S+2p}3Hehkim$U@K`~u7wwuY7x16>5 zcqe!_5)@vB@!q!46v0w&qe$yKpqRU0THkN|tLa~Vgn8ws8K&MNBSTq>6k`SH+sr?i$cjRbj+q52TfVQ|NK&26cUdLvUfl@ z?|)=|uNAu{a+k_q`h^4^Hz4`a(SvjCch9Gkpwpc@_XNQW}#3(_lu()gwfW$ zhi%eLynq)20~3vxuc~7!aN)K>^5+aF1eXI~H|oC}nG&=Ja)-N+oI+`&v~XtNP(wtc z!=QQ?RucaBw{);&j3#h>o$Qr-ITQ5@8c@Czjyz3w*( zm_(Z0Q~hf2U+Y3hEZ5Jgzb>_|CHMW`UM}7m|DC8S*H9vIk6@w68r**vzSN?}&TUl6 z^i#gYWo;`M-sOxc9ORX6$E`6>zBR@4eS50ur{MZuO8i5niZiXtuUCh7$k;~g(gkEG zLNm4JC+4$uKbh{Du;QNiLJ40^T$VAyo)h44;?DI#{tYvw3>tA(dR)WvYsli{jFsjZd<0&&xHFcgYjf7$%vE=sH!D zawupsX+v>yw%j`v^6m~@QGQtqz@^@mRY3pzy-Y;+9LsL#qh8_7QUuu)i>PHu=5Q&& z!+~|+4ZuKMf#N{Aw=8e2)Js>Qf*uT+lGy|cEvlJS4Y_t&D2c4SICI(w@7_Y6vYH|A zm}VBf{*|u+FCji+S7X$682pbPgMb{g%+VxI_AI7Dl@t>*TD$aa->vLWmk>00^?p;Q zbS_gOZ{}3p;X)#tblTbjb|2ZRzse8qaL?WYm|^acFEvR65&04~wW;XcZP!T%yIHO^ z7iVw{Ix1PmCDpiXWVcpTA{qCwl<2JsC`gBeRa`!863_O_wM2$!ycrJtbbeucDBqxa z{bJ_U)@2m$`P=-le4p_KAn&g;OWVVqeRnxw%k_sVnK5e%gtj%QkjqpllFc*|!{mJz z*Rk2rpHED(1ZAM0{8kZsTQF0;KW2rwwpE3Q#g0f8V-`-n%|0z%wk$qw@(;cg@6F{J z-1FtgVz1*YJ@AcGh3s?v4p2uouUaG(q_>@7SJ0t@R|hUi_VPmApF^7E&X7w9m)+pM zk}MjD_st0jXCS=%znj~iM?i`z>IViRx9xN<2hZMPR&S5~+u&lxQcXm-XFRLF$ zT3*{UExs`o1A;wR|1rivp@TcBiyD!aYZrf96;kF4ESRdK-LPk7tMBR|Hz7Y+ssRv5hD<*y>MmiP~ZL)f?Ba; z*_Ob-8>`Lf+Q&@)j&IhQ;S0hMUI&GMdh*we=9h(g?5POAe$}UG#92Pn5V(XG@R+4P z)gyIWfKG5pc;RyHnFKlE7u*?exclYG=4GvWi0yBxv}lBwYv>TTa@q>4z$}?b-lsR$ zHDBG;QZx#I!P6fj$ebau`(9YjuvZ*}lq}Rjd2^TvicvgwNlp9rl1U9YoL?w3j>3em zDw@1-MIBpXV1N_`S-(#1J-?c@7VZx|Z*Ds=IjD@eCs=3xd&49Iwo-2`ZHk;@d0`=- zK)$=DxwUFspDa-j-rvo;@84Qq6o+0E0W}6}3E;Rd;$D!zm zIE4a3-hPdl6{I}L7gDU)3W-@$dVCzB!l%sco6SdrP7TOqmFlbb1~sgO&2A^Kh`%5zl|HA0a&Qma3_{?a zaGf#sWXix;8xYt*aSKtx*DGJHsBP;ad$)PlK|@|Sy1S*wAWllrE(&KghyWX1DaFJ@ zuDHA!3CI8`fuAF_i=_yLL^{B~?UweH?9BdiMb8o7ObGN5m{b$He`@O$V{S1hgQG=7kX14X2?F<&izsIamHd-ZYb#X6BiEd3a|J>jXoZ)FMmuc-ygFCypXuz}T`sB^c;G{!D#evEzstJad=%c1{YbDERgvcQv(W690LDBZBXT z%Alc?{nu(22}#6p#0Ff}Cf~EE?BVS#i<;8;v)BR4iy~rwG{NHVy46Tl^T!I9;XWz| zEBEob=A~4v>^xJhc#86MMQJwgNioCA)j{@f|&e1c-D}Vo&bIm#pVop$? zOhj;%e57n{WXg&irq%<$g>nSgMs_lg+?8)$Xf}P&&1N`LVa_YWChqtw1)+uVs#7Y5 zX|JkvIkUtX7QDTj`ue7Q|D>{R{JqvrJO~;(6w4hY>jW3vT=9q9cKx~L**hDn^k{bT zk`QY76!$4nHm|h`Htf%16T}$Qp|sKl@&v*VMEfe!ZEtO;ju*+oWFdH;O-8U1h%OBD5qv`oW?G_W5xMYoVQy zSEfYX9K#}z=HN~QY@29DYkLnpw1tH8X24~Dr?2n>Gc1#oL8rWnf6?#RB$s8C7^bw7XWkUuMf92uU=C(>fZz({yVN%=^8ioU(++KXBpj zTY4$9%j8K>3f{gVt>?*{G6Q5k44jBlF!oFEzie5vn1Q!8GehrcXlL||A0Hj&=AjvX ze-9O+C%+<+FpGa!n0_cVm~bG(RS*z9P<4CjM>C)gxvN*gUa z9Syn*6DF0soIKdRxcT(z3g+dDOPf!$j92E=9e1kB4GFvp@nS{Mu7PAZvZtN!&S#Q! zn=B<*OWaG>2^cYB<|aEPz%>R!he9$4!D7EK`8=t~tY1D8#We#z)YMo7u!J@q+S?B5 zwDpctLlhUKSe7f@%l_n}LRQXeVj9_Fn%HslGR0HxRt^-_z+}0RIs{__v`0`#*No|A z+BIrs9txt>0()ZqKQG$ec&h))Xa~COAStj4D4RA+K76u=36Qvg%dQ=6oR^!9u`VM5 zyeDNr@zNqJ$4uK`76}JUP29|yDLsBeJyS@*!#9;(e64}m zCF^zv2A5cEPWL_(8Uqg01tb^^yT3&kp&5e>8Nct#*MC&*vN4afwGd60(Hrf0`Uri6 zi^eO>D+T`k%j6y^q{O_JRlEaM%~*nKgncLzSCex~BVBlsD=6*n3 zP9S@ZtSN*zLW`$RF zN$qeMMH6uC&kLsNgxGPX8u{E;8yv?n_%~$z?$}D2`%tA=?Dr|sb)taz4YGL@!D{^u z?~6&8_dRRyncWh!xN6EBM}aEF5eEDZmFMKm8*u0F-&Q7@xRMyyZxUG@F-|D=ON*|W zWUx0CGj+%ykV4`W_?ndIdm$CrwG1QoA4=;JuLjcKB6OFp!q~4({TR) zts1|vT!^RJ+nQR#Kb`-Ld0p`X;8;$UDj8?ZX3-G;w!&nU<~HJux#POJHtm~avwKCl zY^pin7DCh-V`M4G6t0@U!3JSnuxpfBf{WqNTp zDXGUPDCm>VdttmOc+(H|<>q@L5-(1WBszzGTR^GcPS~aZTAm;p#d{6BaAf@6C1y}i zK`vv}+s406f8GKoZhzx)0iP&VLH{l#EsCYvtD=R_fbRU+v}I6)BZtAW^Yed(5^j3b z$#43!EMf;aTtI!Ltjpaw+|;=LH_i3~lLuluURk;h7s&|qe=Jl(!R@b@FFaW1wntiK zRXNwQ&PsXRcOO0>is-;OYd$#2ELf9Tp>5a^-apA%O`aGXmehxfr@JhJBFdX6+h@2f zb=XFk#1G1Kg!|1a{z07nt{E^TEWf}z*}zBqwX6h)??~(<>-!v)QUs_$k{d23Tz6y* za}D6yz2~T>3T64Tr_7wWmZm~W{Ts4Qneed$gcVj(qQZpXX%&-${%Gz7F+$vQR!G z$pR4_)Ab7laz}*KZ>P2}gS?x_-ZAlf)ou@XQs~P`-v$%xDsH02#Wz?ODI4bPC~le# z>t+7f)zSR%R2LhwbjKvHd`pv-@R!DOP{3L5+2CE(fqUgH!78}TyWPJ|g3N8Alz4IY zaS_c`u_@DiYR@pY{&|7?^F_@=$`JONLTEZ}b{qNl(TFac8m3QVt12ty)?jtrMb*t- zkwYPWC?Gv-bq$NzwHt!})s|w}tsl)R{CH1JZ!O<;A&YEk+;_C4lh_}03uRTn@2LT* zJ6bWnxl>s5#e&!UrksKwJb^tF7I0SzQUeX+Wh5^Gmr6W|H;As;nW6yW^>sS;GZ;Xa z_qJw){9Y*V1bvICE(4r8K~BHGU+?9xZ&E&&d9+h;^TOyYc0lhxbdfEp4#W{|9hZRU z`r+6sRTzgj!!->H8Hn%@uH74lAW)ktM>Z*w6Xwld7MUl0SZ1ziT+}9%OS;@blj{;4xo?Nh=ozUU{>?|CDlyR{u9hIJ*t_zy3|qzdSD*)spq)~ z^b$~VZr!^ie}GSb7ihmNUJrc)me-4NiIVv{XKk`|2jMEh8Nr|p9CYpWKP+`MZTv#K z2h>m_xu>wuAgrUrC7*Z_skCXGQsDv4czvXI|C;ULF&Te9uK13Bd!+B&C-|#Ih%fAl z4i!xm#b`3;PF6AN)sqr5Z5Nk5;OP1 zDEsW@coqST2E^*X?Ym2iqhQcqpWD>>^l{g`+iIlc)xfnA$;>J&?Mq~yy2NglPk+F^ zcFOns+UIjD`sabVf7#_zGg^ntCwE?o*pry%m0tw4TDH5WCDiKs?R=Z3(5_{9+XUCs zaajZB3R65s!K0TIkjtiew@>wIVCo4g?u5uamDQSw3+a%mrcnSS5hcL!6^rEnc|eB0 zcHKl=Ql{>|6s!a2A}T7I_cHmQVF2|H$kDEk7y>lhH*85+eEg`qA+^fVZ=JK0^Rn^?J70n(#V|Ux5Eo&7HADo&jX+tt#b~GAv}s)Ry+^<`-#uQ({fI4+ch!r z2WUra>_lbU;;rTn#T@(fX=IAXI{HUS;D~YxcFEJQO$-7%l{EUdp5L?dcVTJ%BeY_E zSyKq*DsL9s@Nf<0co*Jl^_#5?@ba8yDyf??E)zE#M}3^iPkYozR;GD%Q) zC2nR?AFF*#i+}^w!D3kjfN9T<)e#&YQ0u<@^KrpBt6O>q$l(UI8E*Pa=wjN56banx zc|rWYw=V)CWChCfh?(I$Hl5naobkQIObMmJ-y`%L*`G z*Q&hPE`LA8VjjD(p4lC=2C@sUwpzaMdO|EY3j|AG{;Hkk8X<$a=(x9rERZE)Y=Im! zH-8&MYl%v{hnInP-_esMPu4U4qQ$yq24b-0d~oeiY83x7kl^w~;Pp?LCqOYVM)#81 z1I#v~$@v~%v$sv_DtXLsp;A864FBf^yUkv?T%0iTC2{NF4ytA0sffk)2NcAtQ9Orv zecYBXTIk_(w;4XA3uaTXn)Xrv8BCX#Cz)ZrDwz$t!`%%9v+i-HN@!WN0E#+g){P&# zskYodXu9cheoZs|xz6VC!Lv=Jdq=4Omh`se7vrzYi6;$Oyk! zza76DxjlYnT>rIszjv&j$K=xN*e~4sa)q)f*q!n_`P-c8_ub;%iiaqR_bz#_VVWj( zmq-yZ%g-;XzyG^rZU<)k-ga&s(#dv8+{-Jss0ES8voT{=RTaMyWsYJ64=S(V`PnTk z{mqb0fth?sDFR605XYhRnP&Rmt*&J$Cn5!t@lXs>F`3WX|L9I_m-S>xkU6Y$A9GUI z`;!=rFH~mqT}qyN>X!qmPq03uI5+^8JD!y9gd+NC^4bt;AY{snr3$7p35W#QIEB@KL_gJ?MN~lWF=y>LQDi?Tf zn_}k9Rz=OK?MKXJxm>cyB(G95yRDT=KltM}?m2EYX)xcQ0eF*U_Zzjul8YqeRXOcX zRg`;h$YRs3YG9`3_dDmxs6jOPPm!wI@_A7NDdGZ}xuv)pJo%@2=zO^yRP^K^AowUk zonv^9R*F&tby~4-HjBhzmfckDGCqExH0T%8cUX5=ejQt{Oil}8c>01;rnZ#m0P#nm z6r5n4;YQ-~h6WMnT%NzYw%yIW?$xZY88mHY7=p?j%aVJKVu~iX!xnA|w~nH1>`15)?~3~hw7rlsjC3( zWOM7;v&{I-<;~=+Wh@X>9Pt&scGj}&A~T=Od+`U{Hzw%0-!x^qQ~k@6uy6* zxo1*mOPTtnID{N;hU=5e;2|Ax=5W_#XWKCVB_PYqd^>VQh%d+<n(RKzOOP_-j@IsUZU+)rRfBG&LRqb%!vDNY2~+EK z9o66)u9#6`euA@D*8&Fpp^hbDH3{uwP%o=N=72Jsse=F&21I#lbsb*VXXtN?BGDZAE2$yt8p?Bj2I?i-u)$*?>2*awoXgy41>V{#v!qj1_&xd=1dsq)5Gj zLg@0+c)RI{;t(YDb+4V@{5XHN`C;yEd#}Ci`$!>|5JD@ORMc~FCKW;Qq46F71Ostf5?RTjc>A%~*L380ITu~XNX)@>@-^^&r%UL8@#bQEpGuNthWJa$s?{NeCTuBdR_-fohKaU< zflT+=tkF!rasgE&lGYtPBET$4{y;6wo(>C50i-NUf-^^gk-+(YTYBEdu%@xT#8m`# zW|x-RAM0$f_c7S?YFgNIuUjB$vJmAd=WaY?W+qY0JTNfF?lom^Te*FhwMO$PL(@DRx+fdH8_o_C;Hk$gwkQ*Ser$!M|Uw zKo+xdN4V=LtZ|etcEo;M69)P+`7@j9a(&e(md(15P}B{|;(ACOtmXc;ld|K3 z@V74Bdc+Raa4TU6IV%);5%`0clw2-_z-P(U!*whEY5q&_zQ| zhY;LaY|ylfxu|)0!RMQpDtULRW=m~z^kh!+w%pul zn3x9qS&=T*w=Ln3NSbAutb#E*zN4>?u&+Sb-oC!RIse7+mQLbVxqB!W;{?bku&S$d zbDx;jR&@xh9#oM zGraA*>-jSVo(H!L)DW(*(M$HGO9{As{7dd9mJpwjivxRgTdlQJOUeP)(7F1Qj6fPl zYjQMNRpOJ|FuR|n`~AY`&DOG_AIR#wLaf3Yukh}Rw0?HU98t5$BehTKwq3sBLH^_Y z+0g#|{_iN(m#(TSi0niQMZZC)!@SDrxm|-NHAg@N|D%EWuoNV9Nb<`|@PwF0mngB0 zf>VbCV#p+_hGq>*nNgxQX;fH6votAna8GwWuw0R3#`aP+Xj~~ziUm4qT@~}9@~7ZG zLkWNqx?WTvd6aq1PzAURF( zpy{6LO49l)&-a+C2`hqNFIWXJ6#?zg%#_)~Z+X)n7nvWGH{h+RLmMiSjq3WPau3cm zJx5+{h0WPYs)1F} z$v~l8pp&5900R5sS$c`~$pzF>3ZZSIGG>rChFZzo`deH;Zz!9Uq@H_4jhtSI_Yfy* zHg~3_Nbbz${VU3wqFSa^isi{{GlTK_Bg`;&i=T-gFaGC3xs;_D9B7#FPnXh|E^A_@ z1|x^E@t*UWS-a#aIebb=fZ%8z1-0`kc7c^f=CN1CEZL(OcK8gkR>44!)!Q!KdKR-= zLAt-i_853Q7_jGTIAmTMw>9igCOs6O#|21H8EQC3OBf3~Fvb_aBh-lC^Yg;MER^4Z z8T_p+io|r~(B_NlDw^BBO|thHWpFBj1p;#EObHaqvBdFC#cJc<2d%`z%Csek@#%px z1u@QS+KOwbq9%E)pQYa{r#_q+07*-+BQx-R`s;G@xD>`oJ<|l6i{{T^F0H&cl@j25 zJbRJko%y1>RG4D1Rp$-(c}~pDsce>WprF|u7P4UzD=b?FPCfj zo$nSIVjQKb7P7Td4$GRE)W3seaENr?DBFf=@*Y`ZMK~Z$ia;GtkyS8K{hSw}udq!$ z1h+&HP)Fd(F+I(f?SBX>BS~X!*e$hYSr^hHQ`=k2{=IdkhWdG2afLsXKfudBU33V* zrWS8h;qUPmny+OUc>m7dq@{C&FeGwZg=a{a;PNeE%jYNi$GD$U{G2wPD#C( zUN-@WY(14Qf)dyy zmtvA2kxn@TmVxikaimAENI(sBk+p+Qf4y8l-1`zjSm9E_GH?P<5Ht}JSEbz&a(#H0 zJ?a+pem$-0{+bc~&eNj^=!2RNUFw#!g^$RV$1C8ZnqmM~U0hv>9)U5ENg#@VB+{qF zJ@mL-!I?BjlXyn4tB4X|d>t<0%h@}MiG3M>Wk7(SU#mnjq+O}CW`H26SB&my7Anu7 zrVvZn#VZa(^Mq3L}|E!+Ob32V#)LhyCe_03zfHLXejEi>45l;D49 zQUt+1AJsChBKUdsrqCtK*-_kFGWs$zxWmv;=5J`HR!GwLT=@j=D|zEtA&wporc_td zOH3db?v9mP_NjBprNugS!k5D@xO`^lEeAh%0Pn9_`Q7 ztO4H~7Qsad){trF6-c`Z<<4dcdBgH}p=K0mRs6E&Hwu59L27Qua_{4LkC2~UlU|l@>&O{DN)uw*&-C>Hr6{tzo(6fOISjgu1 zwDN3st0a=Glx0hib=XyzU@XxRW#M(Zx%QEk-22``0yBQMzoHOI%-jiPPD>?HULFrJ<}=6|S-djvyb{OECO1)bwb?u|%@c@+G-;pf&Yj3IJ->3w^drU@ zNO&nGKl$ApH?j2qLtdC@b~ecZ}tiJbWRdz+hA#5CJmxNi!)oDL-r>Ad+e z?TEBF?zU?A!=H<|2PDvd;3ouXOf;yj#yx-~bjQbH+I^y(p^gEGi_z`{aT>o8;ItsG z-X6crbRMwWR-7ZQ;|b>^aAp5Z6Y_jw31pV1B974S>pPoTlpKALolg16ij@i|@ih=D zxKvze2p}S-1o#f6gTIzK+x?!Q4~0}Dr(lQZrpaSM@@6|?YoxHwK3wF`NjP~|G#JTp zL(iPO*<=tS^5i+2O$Ws$z8kmNH8ERMyX2_Gqj)skp#uUd1EmCB_ic;&H9d+TWdx4W z{hI9u3a$vQx} zTulRF6loQ&8za)O@m_*R$0WL~b>%!ETz;a*q@~^HI3+Oo+bNJj8Odnkg+@kebE@0R z=Ra;T|C5}qZYt*qj|ZfylLQX}LDEE7?eIJ?Xh9#n=IySQWz^fnE5C1!8Djw@M0wI% zhO9L`2Chg23HJ8&U$3L6cE(Wzjw9?;ua!heh%ucE)i`p%6GJR6%M{_6Y$tp^lM@mw zk;kXDOO-85A$usGojl!WoV6SPv~*Lg74|uR4CN%d2?6PKO}pdX{APifCb!Sx`CCmJ zDW~Pi91kK|ZIjiq91m%nVgl@?S8>NjSdP`UJ0fh4yD3xL7O5M=ji4?LVt3&SQl$pl z!#zrLnX_!W^~b%S7Xp(`WNtXHi$mVhp_Q7hneNf{q;;a9eb*{aN)%pN^~T+x=5$!3?Zp_8vMWbC5VmT6>P)K0R&${LsUd@k_Dx$3=$_O{i-?Ak#0r zINchQHr<8VYAvt;fnEHw(S+omL<&2;z=pS<#MmU${A12s}RU9h}SOC{=%6z zMA{s-4T7_`G?Azz_f{oMDnOVKH#i+aP3W$oO7@E8CJ75jr2R+Ia6rO6pPq&y`i+~U z7;iEa?@Ea8;hErfDkdglCnXh}{aY~t3e)UXJRn&g=w&L&rANI73meeo{cjD_9J-)S4|9)eNP22_JU8Nzr!D1<_1&wo`9CQ{3Oydxi1W zi{#E^3DV-X6#ciZ;m_rJZNd?VALpkgIjl>~d=a}CBM7Bes2&A*9WD(=qwMy_8tkK4 z1XSOm$a-kd*RupSQF^3F@yLokk-jpW+-uG$de|)3mNJ0&k7I!G7L(`iEu+B%r9jZT zVzFE{_TlOa_>WKTcb=#v8abgSEGKVYMr$=m3us^fud2Yc}Y@_MDmh>K{`9@qh z6-6-QuXVOeC%=(j*65jnXtH_X9O5ZE%N$mlPEE_0*T0@`c3yqd24+G1{h}J)4~UCw zz_`E76_pp-SSEcH%y!6T4-?z;O1Fwjts*!a9GfUkk6YRa%7LU<2S=?T=45Cr?o)oj zfbSPs%It$4odV!!?UroTNIwCh(9DpSVOn5#|3ygq)NV1bMJI6ze|ds zRKk}+Y-z3BqI@V*iSQikA9QKNK7cqg^G~bf(sQ*$u?1>KP;PRx01ecYhRDw=IW!S- z@{_DRKFB9ic9;%IO1r*EAw!_~zmQ`=)K08(Lt>d8Vd8MR>P45$xzj*c2|v($(bf#q zI746;8Kpd<@^T5~DHU)FWmjOD`$_4}2Zi%T7RsU>Id8K_Dl>*nJPRms?3k*F`DLl% zpok-fdv+;lUS!hIlY>dv2g+J zRJV?mjZpy>*hiW9zb(iY%El|?Bp~q$v)D#a{>!c(Fs32^`X;Z z;JpkuZ=M;o*}QWJ#a~kPEZK6{^8dIk5Y)x8mZDh%WPC@HOtE%GJ1ENbBDi-Fdx0YM z(9l{Gi7SGLoy6GK`Gr4ieFmrznyJ!QW4R~l%EF50USeQCs3AcOV?HE5QsIpgm$m}z9n=e)Ul>!^$6u%kQ8`TgMy|}oGjze!KZ13YltUQ6ibhMr~B~FiK900 z)J^Q_h~N!wAmE!w7FkO$3zX1h?A0^AUw$`|y=Dfh6VA{Qx(?po`vqyQE8E}3U1eDmp z2;~G^s1)z-I(=^kb7&?=NV}=V9LwSdL0aX!2W=m-RaNM0nOF!j_`4>ZZ?)%>^(RL&P=0Ncr5KsuaL%r4XOUrxEe!o7asy&SbK z16Ors1*>wLTtIILS>opuigxBHYxbLA^OFr6H%>PezYXByx^Qxi_m>z*}j>w*u$;C`+qOiiR1P!N&C?}DQZN|)p| z>O{b}i{KjK5hDk}OS@rr>OYR%DmKUjGghnG`qQJUuw0fFV{8#3EhNEW#v-JE)-E#OH*-+@}Xi$1!YAedo*^E z=X53|Jt{Zuo9Z0^j_c+g77zVJdPu>~BEJV8`nILlS{@I!K`=LOr?LXi{maG=h_+uj zrn~v|g2BoJ48%V$ulQl80#qc(O1f6F{qCCmBe4IWg(0}3QrR~3iJU7W01gd;LkAVB zs8P0ntxF6dsn6NdZ5skTE+deVaTKN~oF|)UUN!Jw*hXpm57$sEQH<)03#4JMD`0FmMZcx+dpF=9z{iy-H#_J<3`y=`8 z{l~|9fBfy;_Z+gABGm<8;`zLM^guarDUVcnTs}^y`qrm&75mi{#JMzBR?@X$na{e0 z!UtyR4P+FD#rdMyhiQ4WTu`?vS=PxbQV_TNd68*eGD!>xh2?I}X!>-lWI8CH29{(d zw|=QuF0bLOr8Y}~P}=wi3jaxh-(!zdkq;vN8&gUb3=F_Dny5j)q1-a(o?F7+^rL#v zot#rJ#fQ5j2zJGZ+apfN} z+xMqDtXH=v7ng^|&voPajiw;j?`SJrTelo?Lq$_w{%oj|BgvmD_L*(GIC<1uQa#xWT96X(E1_WP!ySu9?1yBw4(}|J|2Q#)@J}R7;Om)qiVNbqUEVsp zZkt=8ND$mY5c|D9DaCDywb4}WCyL-i6SBYie4Atd4BRG#&_GP6XF?LO2x9%6v977H zX89lfk>8?q{MEv!ia4?RXJ*`{@?yUYxOa}6$YkD-&)%EB)>TXbeLl+&R+iG86O<4+ zOJ4aYshS15yd#9s*Q#3fL91U4PCJg8Wxw&m6oA~D1x@BgS z*v+nIWTQX1W-Ff6DqI?zNg)ZDFPCj z;>qRp3Yfv-Y4S=W*O1!}{WOwM&tBhOHd+Q!w~vytzdh302AE$Kx7M$7HiaxG*Tya9 zN%7w@B+0Z93E^w18h5%S5oMWPH{nIXs%mHVxMaZEqM@^^R%dRNimRb${ty-9 z=DqqznR`wc25&+r=li{R(9c-4X z3r_Ol4eZ@0)lC1LKew7uOH=&qEvgk!VV2x+FF4xYA8k7w##5e=PD-(szvSn3(yYuu zljUUi;OX|Ib$NEa@Ock07Px*+hj=Xls3EKl@pO#eobFa#9E43UOl#l z6hTw#)qrzo-#>-TP3>!%33})J97?syW?@rR!Plk=(o9*j&8A~tsVF`LYO<=z4_G70Ts|xl>Z-9N%*9Gj z>M7Et@245FIJvMA#sZ<&mNM_k=MK2T(86T$QDpul`Ttxt@O^)Ew#99(5ghdzDTiIE zElS~MtOp|dB!v**d0i%8<&V?F;#c3hX!m&zl~9C3C}A;_;HjYL=#b-oYi( zf%nKo_EgVWHYgcsKUvg$yVtUm9&SIrZ+zlT;suFaJ8!JWZDSd2JNu$p1ni3@Kw&{d zIk~KAAn@wGpUN_K2Eh#Tt7y*dfE$6nrmQ_+$`r_YD&5*+9sdnqAPA%`!ICY9^f_#6 z2jHs*P3M#-f|fXMJ@IHOs%Z_GEME8$mBZt~izU!+JO8D>9YJCqY$y1yUE+(|=9bZ70aRv+ zCedO9(b}`!)$?_28Wl})tEawH&i~alQ({?kIDTb{^>HdE@P`N>cmu{sk^5J=X&_Nd zBvMc{mhU{;J?A>}k?9{nDA4k6OCry{-$F|3HBy^o($gJGol50RKNZ8GdLgn!#piM5 zcm-GS19ko~AN;=3Cua8nmI3?XKrp+(W1Eed^*cK_Rai=AOI!=E_O=xa6^(aHHLojV_-a^E_DKu zEVty&1lmPxlGZ2SP4$w{ROtLVB~qObn&)M6w+c3DOdTnLn=YspYO_gJ!nZP4^A#+w z0uHsz9p}t2)$?tXHL%{cAy(i7%b=KUyc1#!pnq^Np;%xrB?$bU0yh4j4#xm9y?;ta z(^-{+TB>{|vQ0clSOd68o)aAg0~|>yD1r3)^5e~D{)6|={;l!;V=x)myJ4zKVUF_$ zi75xVYk!KF?Cm?+-yh2&NNe&Q)xxN}<)m1y6Q)GwZ9_`t1=RZ{?;QFavLaeNsxbRHBwXm^$_lXfC z%XJLg~vodT?Q#|^0RZxzkWr)m!)0>b!$tW{Y`;)-{kGtD0Jfs$VPUvjp2 zvPUfg?Rdk-Mw^$0PB2CG4Kj6)zF?mFY@n=^8DWzrvgut-@O!SQYYWzFT&1{Nu-?Ww zi7A5cAgH~%#Q#fJ5y%>-qHbFx+BMoZcZ5jyuT$2Up+7A#xiw(tm2a&7t&MCV2b2O$ zpBQ5Zc0Dc4i`ITowTdz25Yn}s6v%qW<{-H5%=~*+3TV%SE04vjT!ORX^2Cnaq{|LmP9=f)!O>WDnG4OLSC?LFZ zH5Fh^K;|dVCTf$EI}o)AGw74F>k*?#s$IaHE@=`OZ9qZkzVA*on>3p|DIEA6H>eJ$ z@Vmqm;Ar1>ESsf;V3uAim@lyJSXLXvO?4t|$f;njiw(S|C_k0W(C(20nu-Oxidn)t zr(`huzyZS(Lu}xl&u53x93(Pxi*&9d!3^t2``DI++Ij!Oa_4zfEGwqF#O5lh?F|wW zm>i~(e$ga=ph>+qmdh+HNDjuTpP3~mq zXrQu>CAf~R(3moMeWeh+4ehfFc+$CSpe8Za0u} zn6M_dw6AP6TG+D-l7mhG9lnws+zC_$iwxK?E;3FtcceM1Ge z8gV&oTWPaM^wj+t{26gSrr7MreWc}{Ojx;36b&gZ zni_?Vm_lc*h;%8ZyscB|a0LUrGCfIp-U~^@B8X%lO$gT5_V(r0D~JJg+>`B0GbwFm zlL&+E6LM9MF!jG8LH3K7mvb!6L@MUN!JSwY#c~`78;rfj!G3&?C?uYdWdK?*mXsEX z2!(;S!pS)Ww^?@*-$57=TSVY~x>kgmxS>EWLWl3fSs*=LpJaM}Jl#BceLa)0V0Nnn zo*g({mQafJ?cY8 z>

D@LvNpJE-Qje!#_M#`B%b1}(iPIDh;9;(H>BAl^hOk?XEkKEIhFFiI>T3H7Ol z6U=*}t#_n!k=lbiM7&5YTtpHphu|iqp6W?N-Isp1!?_ zIVR|EW);kN>Vs(^>Koc3og>6r1AD^$->Yv6!?vXH3of6CA|-%5GE|>(5gSCV?b`eHxp4uc&PcICDCJywFH=9DbeY zKH@U-kp}S;@(>2exA%GbAFUh7T{;^4Z55n`K2kd6zpb(WMIjMQeYC=|iHOHqF~_>rmOedqe#&v=N0e9H&jR4x?HG zF`~tZxC}TSTu6&Irwq(z$<5ZL=)7o`avHXuH22n971qyWUBik~z}fpzLt&iJLBvlMN<_=d4m# z{Qz%Usw|RQ;EK+8_pwg@04I1iV3u{`M+U_UmMc%Hm&oQGn$p1@hUeopc_2>6TAM#( zlX>ZjxnV_VXvFW3NTaFs}PuNQy?Oev{T`Qec~g^d{~+wZ#W=npL~QF@@F#_URcfY1Z~tzXxR9* zX1i|k4Amgu^R#fjF2YZo;497i$xEPIB}8>^Eof}F4L^UdWia(#L;o4AYz{&(y)f^L zT5jQ{-zY0^pYjciW(miGRI~Bmmfk+S>kb#}-R50SPx6%a_|v(*S_lP#``$Er*FP>I zvX8y;gJJ`bppD)((Z>5|+c`E-*U-Sf!e`^X>g+H%PHy&|{RC^S{cjy1(R8JIs2 z(ERAH?dH{VX5J>^8Uj)Z*VE>`DZy&~FoN_z6mbRpf0RTN!G5aOoT4x$L6v^t%g4+Q znnX4)zFtuCec~b#ACcU`CACg|0Rk5h<#L&|Lo90f?#3+_TS{e|4oNeA+VER7dY=oF4(=tGV&FGHAQcd=7a2=L=kYJ_SN#3FQ$jP!SH=k zG-cTREkf7w|7k_UsBvYFp2<^@<8n2_#>ymzJ>ji&$}s~twf*=a0 zDA*Ny@4a_pVmC%(5~Jz8YP#u(C2IbrXo|7--h1yA3yO*j5iEdRzjNO1TV|Ktodu1W ze7V0L%slLFf)5yZ2xgvc>^3m@mTDM`0#1 zC7TD=r5YOkT?U0MA4GTZFj)k=PS$Gf2Gm2Viuv4KwnT#ji!&cHaW$yBQV{IV?4Dr! z1D&?zMbqbRU*e(!XWFkn{;kU{;cMli)rBzmNO@MjSbSP0K3Np;4G%^)!`0pKFrLw^ za&DOh@<0*^8ikW9S_Y(v_@{{{IW!lN(ys{UmTmjR3Rw+gq88%&nJk%PeK#4?Z2IYP z8GoRxog!fSo*4AM*j7hXSJXm-Poil_FSz zoAwd#`NQU4Q~rI&9vCCIfgh}1<$RJOFbCP^9GjSazC@k|Osxx`I7c6FiTgskUWK1- z|B(cli3xy#@A*S$g_2nwAzyL9;ntg-1$*ha4g=SwN;DsqnI-%srX<4cd z9{#)N!9$Ga;GvTj0iCUj`{*nOL|^Pg+*i6qJP|4b$C%}tPHAaZxtLpk0L0W&&%W013m7MaWqfwAuGkb-Xugmm=}}k7$!Zk zR!^Jt0gma4 zu`EAwaIWIHzha#T5@_s;IA-QdnWR4^z6ltUxIe?CYcd>l*t-B-Ss6)hyHUiRN`TVG z15!uTF!eve{NKRe=c#wO-r`CTq}Vhrj-_ep%zyPLf*=#u9YrocHU+`_5kc>YJzcr)9}t^R=XF;sx9-d5zwz5G)r?U6!$PBjpkv zDh)|xq(2CdGS)g^a+*;V0Vg~JiENZJR+v~JSRZMX1QWBCT=5RnFKIo+-_oAg<_{+C zl5cvEO~kplOCobTg0ufy4udpiD~`?7XFgLbVB|{9f(CokYJ0zJuX1q`l-VBI>FW4< zwY_WjD*0l47-C5&--EG&7EbCsvqbhPSiZX8iM|GIPm8oIn+tyH+wv(Y$5HxY@L-(g z+@-71`tud?GZHh2d~+wXP^pyD>*{pZcUt%5%$9QRTpdeyWqM@AzO%xb#jF89>_3Gy zho1xD@WruS(g^iQG$fh<>6%t_kK?&WPD$G8{wN?*3N$r4KvmB%M2jD2qMKm=FIhA| zGGWY7lTz$VpoXXEY7n)L$`48)00H7ZXC07grSr-Q0AX+to;WK~@e1(}BJ`NSe;4u! ztb(C<&r;A7CY`0&xAqN9e}O}&K}@{8qcj28lOGDV&xbEf3rj{WX*br!_pi5eIdsx) z*|y`1}x2PX}b+u zD;3|IF9A@QiXhhH9dh+OMxqQYi&%99qo@|TfCs@{9eXiJrlDRj z$7-^T(v`hCJ}=zG_H$ywNQa=n3v5i2CSWCrl=6D`S`4%UT1!0>eLiS2aMnYAch9 zJ=2#)zyEhXZoa(dlvwF7mIv${1sPME3wGdwHQeHT*FH|~@3`W6VQORX%)vp;*Q7X_HhmibZqw^K6CJ* z%VFKe%@p^N@fyaji#C2VU55pnN}|stO2n&kbnV z>kad)kSh6#%9c|WY&lQ75Ea~97(0sNo;>$jIO{V?CKD_%8DK}RvbTm<_messJ(<2eYyOpok~xu{$@nmIuCK`#Jv z2B<60w_UDMS+KJB{XgMSSpcT&b;~i%Fsw0zs zJT8HzAbi69aD&fXa#)(9jBalv`~5n3kAjcp56A(KP0A#iRvhVfh@fIUYj^Y_4yS(+ zR>9A(3hYf2herCND~n*QZs2aoc)*W~B87Y&#$+3X_4tNQ*d29*alN zEG*n=?&Mg!e#;A4+bP32|>B{)yzd z*hKq5oyT*)XP(E-FI(9Kjrm(S8)V%D>h<;9ucg@p%j_L;b)CfDGe^jolnXqoh%B=u zZj$+J{*b6%-^(224G{svDuuIABV}Jgpo&$stre!&4w|(rCRs3^XeHpRUr)Dj9J+os zNs{5JZVs>u$G=tUj@t<4-T@?75B4J#9+dq3rz_&R#vd=0@aJc$gjZvpmYegI!M?_B z_S@}pstBM(qS0!LT}vk)8pGFC7jB<(CpQZ(l>TK3dCnQUViK28m=H!GCG>)Je@F#H z;VE-*BUY@8mfMLpECp=T*0ld4b;10(2j%GAb5ab67%z1#hZiNI%v^I!X3jYPdf80S z>C-$SRC{afZBZAU?AvoeRm83W#-vQP?FF(Ld5$*70n3d)X|dOzeW zeLS#+yg8t{RIHLut^@ROe1Du|g*(qf9q)Kb+hjxN*AG|iXKy_zucMk{7P*WPmuqE2y}8n*@Ot@l%2s(5$!8u)eGl6qhr+c?a=pv4 zhw!F#XT#+S1cb|FUkN|x64?flCrV#XM2tUJCRKn~Lo)MuUzVvYCMwoGOK-SI7#tlD zCVHPIOKQp<$Xg&ZZ4j9MhELjKGu8Ltx+cxs4~Qs~0W6T$dRDN)NE=J9#g8cF(dWu- zw4G+<$uKqBVp2zX#LP7m;QbInh8(^qec1zl*=$3qOjvu&p+(9^x(Gj-x<|^tF;B|8 zHdkTX7wfDEls3yGE&ZpZZR_9#(xZ$yl-5F3ND5?<=ffhoO){R%-XT}tqd#2C z^s$qUhDl$Pjb{od1_CCHF#t>$Iicb$XZ1vl;c`*~^;6zPz}*k9%?ucZonGsBA7V8DC-FXU;^hoi;4E}E9=8cMtvz6o2}xt0d)m|M-Y1kaA>LJNXj@)14vxjK|&2b${>7Zcj%- zLuc+Sw+CS--&wj?NDEDVGD_0Ttg`-e0kzJ5eCIpP>m~$*JcG7%8y1Fz3Bn%aA`Sjw zqj=-mUI)k|JKV@e5pT?8Qq0NLvB`=TluRzrfd|0VG<@7Pg=pjw*1J@)Y;bztg$sZFBPZPXT*qb}S-47r<5bt0fa>09UE*#oYX z5p}1?Q=?YM=*5SmGc+Ep0wd&#oE|I_M<^DB%7I+nKfzQxaq6tR|HC@D9CI1aN|%?W zj&t^puS+JNhPLlKE1&#tvjpIQaKxprMgaNRxnzafXxpfW>V_{Ga|*Pq1xXcMM$d!N zH@y;t%x8DP8nyovc^7<8KO(SYi~||3eJu#KJoH-{50hu=1WB2EmKmGf4i_JWG&m}4 zLgu^J``|WbzH?Egx1K7+bGt{1IlID672R6{&W_=>em`zhuu;;0yGvYT41vIo;D@Lv zjs8yl7-Y@lsI@qYSv$x51Dh9DNm)yfKfrik!~93ppwD)P5WDP+TE=w!lFQ5|AF_Qh z^B**Po!mwc`2BuWBp#F=Ly_D?bC~F!3z((f3t^hqd}I)h#iTbD=cKSqW%VMK925@n zLs5&MU&J=Wd**mfQesfz-N393$J`T(fc@<N~I8ynE&RIWy*S0`||CTee_a^Rida9gWnIye~8GqVO+T@q)gpioXmkp0dukaao zF;gr&lSA(7Zm&CMWLDc5QphhQ2yAu%{sEs;-= zTt-Tav+)ViKVq}~Do&Syo+Y_@97HpF1MR`mPA*B4UTpQSX=zNj zsv)fRbIG*r7?@?OEv$u%pHv1c^gsqb{y4uPC7Tqjo>&O}__5-mFUo~ufbl_rH*Ho_ z9s#|DqcGbz4vA*;*)>5z2xSh?0^}@uVO2}a7=q;c-j!|f1;^vfJ|8i$26%j+kNHbr zcAmd;f7i+Zb}kG3LOGtZRq0&vX44RI^j@R#0K(81fZCC3ZtSJ2rqxPa{O#T;@^_ZC*-|n ztBW^shi(8Ead=NGxzRn!6L57cdOLGR2p`RS<%WDXdXqv+lG}`2MSV(ck{{-7R+iqQ zD3+t^sOw|(@>HTF#$zlHrS~~m9&@tI=_WHpaM0;dhK+fxjG<8%{S903g2UA^z2#pv z^X8omO%!h5*ofp#>&{pT&Fk&t`x%46F^rT`&H#x-iq@e&ZILTy5?yNMEHHtuV_?r+ z2-tr_;g3Wo$jsRXq+q_B3Jsw9*GrP!(Sitq=BNC5u&gwk(FY2lxP0Edg4A(?yifY5ev<1>M>91_%C+c_0WFKcITXoZ!%s6R5JJB9*MgqZ_Yd+O@<$k?qj25*ow2V z5d?N-^yRL;JP}=F|7EbAt~?{jqpM@M-5JE!UHf~rFQ2cuB1o@Az|3Mwdz6sWLYn9i z$YY{(!Xz;pX6VBZsMSKO{tL*czmAON#Yn33$&pnmlncV75(CRU5WZ8F0L%XT*G;I4 zyiVmXy#N{uGM>JVK=5CH)o00gQ+iw3P+2+AQMR6g1&!EjCC^~(_e>E$9l94COg){P zf=19VQ3g6D0U1=v|D19ky^ezMj`A*U!0!ht*jWZN;}|I?$rGsTrSl<7 z;e*IT_Q#KCB>BpW&oOXC&6yo33Ggcxz>VtOwuFqFx?ANFIG#5KQFkA>hp6o-EQ@*k zvY)g=n*M-h#T=Wb>9g4^hETukneNTQm8J_zW8;blWOk}xui%YclnVBe5<%J3rU1-t zzv);I@L#G|b9sMuj3QDyb1Aj;N^Jh8hzHDXGggA`6PcX(6?@(y)15?lr`(@1=h2Pg z=Vk6&rkf}7%oQ2C;ha1>AzB)Kzh4GmNysR-r&VYnu-qyUT)(eA>NbYJzA-*M5DD>M zGUUmm%Gt#y!`(uupD$%BBodJM1&kYBgJ1q8=sD;e&{7czr_(@3A9wzWvA{I~R_3?;T}_@D%szmOW}|Ri zosW76EE&sj(F57%K^xV?tT$6dkQaDZie~KO`YD4_V7<y9C)yg|lK*ey2^_zl2-PI`)5 zum-w4Qd*K>dVLG%*X4`Xqc4UbqwM4ns=gCjYqFh=U`(rEtNV|jY!v{JO zG`!W-=~#^|JyN%1UUgVjAQQDL0FM}@0$}e9u%E;rZ}q(`D7`XD^5Px)W8;) z)$K|1z(kLi*0fY;9lf0aOQ56dwmYW&+wJu5!yeRPb|+zhmRYpBHurm< ztJ=dpNV0jmnFZlxye2P9+gl($poKI0soUda)#1yg?j(JTUX~2JCZhlgJ=lA^ycIEC zyzj*5UH|xHmfP|2WZ|h2*?WR~)hNm%3&HVA-?&TH1Qo*bziyGDFU*pc0a+v+yq^D% z`{*~&Ej%bGWHKqz)xOeteWaVF3&j|NTzx7`b>nZHygDM?!r>;K0Pd^80Faa{3;rR1 zRrYT?CASl<0wkO^VZ1Uv`ht8hYNKr3v`Xe;rq3ED8loP5)l20v_-0KFE}()~3%3wi zPPGusLXQfED>6EGD18x}wjYdL9BAgGOSuvk9ngnNWsq<+WpK*{UZE|q`J_tTQ?{cx z*pF*EUE0p+F3&7)3%K21ivM3XD2hPwC)Jn9fK_c|W~;x{O=8kV>p}-*<-S-6L3ID5 zl?{}El;#D&(}BOiRaeMn_|3-dFE8Kzbsi6R1_=fy2y+CA;|Ja0f;^4qy#Y<{SRjK$ zRCkkf!(^!>9A<3P{OAS(apTJS;A6-z5VND0G?d(R2?7Rer1*yL48i-hIzmalqNl)>W@ zrCDf{;x^OyORR`U$?X8C-2@o)$0*EnE;dQ#u8o$?a1T`in1o8e6OU(3pxw0nq;V8{%Z2-6k_dkbu^7;%2cs%Hcy0@cM^OrJzQby8Zr!Ms|vT zhY$lhuJLr~w4jR&0JUo`5WKNSj1RP8#oVW*QGp|}JuX;S;_Z!!Yt&gC(4G9$9+eB9IQ2OIRFv(M~a#U096*SEOsHQ&J-+ zTJmK%AQ|tZ-S=&Fj(@`bOm~u{VAdT{Fm#7>3x#VkL!cZ^Du(AaRDRzbEGIADpJ#&s z>1z*I3Gnv{4e&Dk+4da2AWgw)oK|@f>;`08?Y8KeG=+CT%l4>q(y(a}@yTniguT78 z$d40uLje?#ULbd)js`VEul>nMh_>wK`(diRd}{A?X5 zS183I*KM6Fn_%1BDFQOrK?vz^>-a5*dIGyoWRZ*4y~Ho;RirE5!HnakO5u(Out$VY zNerzwUlnp@RxhDld2=MmIgq{$EVoHsD!)OVSkh5t1r|n%b@iZ=(s$V@$w$&BSRE`> z&;A{Sl(AF-d9o(~j+U?1R6pfZ{6+y8OTnuKHXOq2^B4E!f|H$fOzcrc8 zk9NWUGNnoA5l~FbQ$%l((2#wg0P=Vx$@kbca!)k{sbX;m&i2QzKlIf-9 z_cK>?p1f18PDIx=IebslPS@UBkRvq zpXv8+J*S6S|D?uJ-IZ<0I7SE(ZgmE+ZHHl{Td`d-Y-e@(YW9Bh-3+^&troy!-V2j@ zw;U**D1u1zUd!1T^fsN`a0qZG9rdQq-w#ZlbD|4OT@G3Q;qWgi;%3rsYS@WUC6Kk6|(nA!|&_PmgSL6O&+n4;ni9^#3;6)s9Zj zchtv(``?gmrO!%(0>`CTj{TD5&IP^ezrjD{PNaK{RM&Yk9gtSR`~zKkJzvBZ3d{Pl zVe;#){IchqxmzXOC%;bzhU7F?1P74(Nic(-2b2`fE}QX?K*TYPieTZU7^w@dz(ptu zjt6T&ka!XZ#JXTE&4}1ZW^jegUKK5o$K$0^;Av@9=#aeJ5}t`1m*m6E4OPC;psLHI zKE@_TiH1+#-#XbP$5ByO2U9@aYMR36EWaSW)rR;!z-#F;MRe6ncU(`vVeT)TQuHMY7%p?YbDIE*%lfFwk%IZgc zmbj}ql$)q32JchW;4sahPgP4nc|bh;@R?Ca81>Hj#!@HbxQuBy(;5@7G~_v+K%ZSv zs;(~*GzeRLV_j3Vvt`3@iHDE$prNp}MG|ZhngZP60lIS2;LigoXum?9vCnY@l&4IiDN0~DJpfra?(7aJ$*$y`k zCxILHox#liwBkd{fm+6$3Ll1tV!qv>9B-I-8shCdar81`m0p=F6H*qor;Az(mB6>P z7f3AfmYC^m6f&jG1;fE?)JJe9Kck2ar5z|SJdg{p22wPUivv>M9YaHy6pW+>8a6py zt$=iG@_MX187}Xl9Gn)KH_t?DRdldp5hT{8Qz2v>Gl?weMpp5Lb|Wzqv;OubA1EEqUFZ)V!K)p!!K+%IcgTpm~PpEBF5)@L* zENH$p2Szxm{d`3`p@nhM9UkI~cji5~*T zmoSFC*rl9pH$g6R`@9Xf=hMsE0j(2c+sD`d$D|`xqDs20mz*eU+fP@tkJWus#4iD3 zRe-HDudR{83iAYrD0-{X8nuT(W4gvyAZEZ)n!Ofxk}8w>%arIU@^R<#DmGw7|6)DG z5r4Crg)- zr=?!VAqmcMP%`KsuO|op*XE(T1EjgoFjYr4(%4;j<<#Z$Kj-)Q3zrHqk9F|fB6x=u zM0zBzi@G8#Tl+DB#e<)%CKr&?(63!d`3V%!ELq9%ryqj8u~&Sg2VC5fT1-|R3wkla zd@smI08|KBAGEQdLh`T-FJbS`gC2+INlFplc!e6`1eqvEXR7ZxS+_3(bknPC^9$*<8ShAgw8VHG0QXS5yJMUx0vyl}e~-C4&~YCtBe6W? zU#LIuGN4bI@sI5|V`pIaS>z5(K!!1CsL8RhsNHW0?Xx7Nuo~d0Su;X$bSb(=UR&Et zL8h2|K`D=<3<@c)Da+x8VqJsw1~ZFR!b}8P=ql1O6_&t{k+tOA{^cbB$zJ{l91Wea zLpszAlNqa{bu-)2A4F4*!X&fx@U81Ia*q4?nSRVQ@H4s-28sjV6MfJ^s^lJJVl6>l z?*qc@SDX9;+zGa8{cpwNJ7DidvWKaT-xCNotxM;C$LC0I!{ULihM|-m85kurn*(Bc zgzug+c?v~o&Hyg@*_h4p?laXS1NwggS;=GP3WB+ZRLt}3N~@Jyx;Idb3)}s!sBSu- zVi?higEuu$u=iyr!sQ1L+Vw2H6BH6D<3It7b(Hh)|2tCz>i}(M#_%|xD|O6ZEwYMl zA$4mkSWrU)y(K)4cbXIG4BwSO$=>+;&af@jYN}r!+JkrO<5Vp@*f8kPvWj8!mwgpe zwdT!X{GiX$4$z{O!IliAEOWWF^N;c+EP?AcGs)PmP^P<7zL9^OPSoU${afl zMZg%q0l1;+Qm*-VF?(g-ebRI<$hD51N#FEpENn9VUzT2 zQ$lvZom&Jf1ij%c`0AH!;+H9tVlaNTV}v}9I_C3^6<1z~&ucCQ`X^pqT-`!>A_kV- zh%sxiENuISBFG$4bE$Iw?1l@8?(3(PwL@ZT4OtXZM4eK5HjL*d{RNp6MguL$zO|GH z00jtOsu8I5QVW&lbXGN40N(m*htwXlSV6!^9pa%pWA*a5Y@SLHpk+J!r8vY~9-4Xw zgE=fwIRXPR0~?M)Q>$l*T{2*08@ot4+YvJQ64J3r6_F=Lva~@0#xIDD>zDwG%7??> zb_@)mF+dYBsiH&pe%mP)9V;U5S6!u`RSZ0Tw57g$vc09e^;8W>fIpAiA3uVk_sNc> zbRE%ygTTTM0_}P}aDt<{CeZt!*K{+flf4Z-}+*U`Hp9+VI z$6J1nEGKnCj!Ah30y(;#-dNuZc~;YeNw!8IC)=Y%_t_PLqHCG;H|paU^5p@Rd(75Id7zK=IJu|IUWSsdh6` zpBL2CWZoM9tKiD5%uog?)&&dRL?WQF9c3=Fg14KBg+dFVS)nK)xgDvbJI(JZlcQ_N zTTfS2g&+bz6fz8CTfJJ9lnlTK{{Rm1?oErOIzKlYM=|L~zFDm}-B<2Cq1u#0_MTY= z-wF>N(h|YW%~Pir^54e)?!f<}JKzbLZWWTkm=&KMVL3=gVcqALqZcF;l=UYf<0MD0 zxAa41@dqO}iT8C-aG;#*hXa7piK?f@l(QJve}xNYJisiBMH)q;gfanQJ@0ri6=Y_@ z*v@XF^iTPq z+HzU($T-P%Ge$lFPnd_&++90oilC&s1I+f(1pDRL8Zz}rnN&ARAIm^4J>^UUGYVZg z?^azY|3hXTBl9eK$%HY^@F`^ht0E?M@TP`HGCQs^lDVBT8ZRh@KanfYY}Vs)B}at3 z1oly84twLr{&@6ed8Si@WI&K*2I_%!X;KWXp=*)@?1YYT0WPEYAdRXNcuYRT4X2n{ z1GFMox7SRH1*ATo*)jj^|L+d`f4Bpl1dN$fw(FStJRMi}Do57Tir~dA7|=H!mph=H zE>!}YPrJ@ac2p*Ny;lYK_?OLc8%brXD8{jbV@mUxz2pzLn_sWEP6dbwf7fyac}o-` ze<(d+El?ckaHs^n+*VsAAy&#dEv|$)3Xc}qD=e_{$hWJ-D+3DJz&)k=y9Zc<%*|X z0ZYVnY+O{bActV%p0m=sjdPtx*x2td*;v4y(jLWX+zA88t_r*B;|hZ0&M!^DetqPB z%m41czyA&t&y&TYFS%&fX^+~m5fj8dnYKr&zq?Rcer}o684uolFkYSlWOOJ>;<7qV ziEw{eiMkEhP^b9Ar>g@!v|pn4os(;@LO7~i zprV}6(09F`OLCzNMciO=%Slqi5EC%A(d&e~$HTq;CF-|xa{4~vt8|Z3bb=a7THd&E zRpx=-#{;Q`I6-P{Xg$!GB5)o>HJs@@vH%->yi~%TnO-8bF`0^0zS`A@ zP?Xce#5xLLQX}DW`|UtES&W1-qjWC1R|Semw8W}C(~gufsku;qCgbGx%9l6#zT1#&31bc(d)glbPSgv?kyz7i(cg{X@8tO7R z*qMefRk=?zC@ddN*)8GOqr~UZM)`ALki0)342sBz2$wT=iH{{R9yYDgxYQ3;U4XgDyu;}Ab! z!TG}Q;rD$sLS+oIK(s4iY0Hc8SEWt!VlsBh9yxcx9tlLK>d%d4s$>wNkoKI(FhApzVH~%iTHdWXLRWx9le} zj_q&V$pi}Ftd5&geNMiW4bOS%Nt3bR4NwtZ#j|N97p|KRs#k7gR`1V_bEZxJv(w#^ z{WXf@k|}V{Vhfc!Dh6gMOfznQ5I=vfaSP%E;W6 zN2Oh3&`u+M`0X!STevRyUm~0N<5mCQTS;3I8~Gq9jZ(2VvBm325X6nujuO1>hE9 zR$l#l(a0PwE*+o=KStnrZ(Lp#UC#^6%cL^K5h#rG7evM|X(P0c?*AR<#n^fuYcyFqm`rj0G{^u3fnx^Ox7%@%Lh1R6t3Aae)REYNIsd~V%!5SXF2IaYk74^(fje0|MlmnT+m0RY5g6q_fW68 z7I(3$*4kCeaYPGQK>0_PG$rzAg0uzK(1_o*%YetqO5b*+O9$A=CIv2*o5`#HC9mNbJb36~3LLY;d!&s@f@ai0!+9AMG>519V{;Zd-M#ko>%_vi#I&20tnxi6&w6qZ)Yh zPSexllKQ}zh9Iqk>uI&+8UPb52>(CqIjisnkbj$Q9pYQ=VEa2W)KNn#hC^Mb1AGiq;DX@dd}gk(`@1$^>cP03T(-_8}} ztbgOS>_{kU2#9OrhJ|J3ileq&&zIXM4Ga&>hO?oH469B_OYq0m&|zi12mZOj2tWk_ zEB&9`yF0M$bawfEFOkj&cMC(^Pv3M(3N zc1@YJCR%Qw_{-jkk9er37M*UsAQq6X6fynD0uGn444(8NW|8lQO(n7enmiK*^5;-Js0&a)@dj>iXMH-`mW&_o zB3wkLK@eBTI>=YREa4?USB@u2+$k)brdR))&+`AP`(U385(-P*OqPN&nibaENmh&#tWd_BrVC^&whCwE zk68Wf-1O<#Es~7d#Qk9b97R%S5(a+nd_KZK-cunuu>ssmZ$D94lJDe_5hy&v%8Qim z5FGxX+R9X2(OpIMMpRkl@hLHm(B}2%Z*HV zWF!zx9?CR#?NTWMYvUi>9mIX)_^w{Mgc`j0nGjDFmvJVfn|KbHcyoY(9looEtOuu% zqcFX?U&J=V1F9>(CH?YDN_z_xei%rhJOZuxE`l{#gS^qk{D;a0-<~(F(@~%Yq!7xy zZ9ozj11`f+2!|Gp7Mggw;J52ur;y&{bjcEr8xEP1Vbuz^_y0NV>^^KPfR~Jw-u_MU z=#1*9H06A9@ppaC>lp&1{jAmxl$PP|`fd-p-v%YkCryM)E&^4wnY;m};cUJ|r18w& zLfUBN8&N*0w#+uDl12TqU$@GIvq_StNC09vDdT`y|Hl1{O)~G`MI^B9$<{-vPI^Yo z*q4JoCubJ>SR{`lG2N7w6J_zv6Ebbn2`PnyHcC}Pop;t)es)D0mATBMG%eqQ+TzR= zKbiPqZ3%X$yR6$dEN|lT9}e5>KBgWeExT7@an`P-lMfxMh03I;2(^a;_qW??f+C`V z?S?xZ!ZhmfNTOgu21~X%O5c*(gmnbk!3Ff>vi7#!l@ZDRbjpOn9^Q861 zU6y*(ZKyEI24&VCALx=wVD*Phg|y-S7P)dWgY;O?Qf_6qKg#w_hAi^x`VPW)%fCT7 zpg4PFBvd^tQY+lTC1!R#Q-J?B6DE;Od8Mx83ksF~;5loF%3zxiY$YgUXq|Zq<-dU}2gIBCBU>?fbYT}o z7FP?Tm%1+SVpJ;&EgB&4*4(3Pm?-8%u8~jX^eG006%wITUV5^UG_O`bhGJ|XL7k&8 zx$FRtK!*S}88m5^1fb@MCZ=OtfUfdyfHcxE4SoDmrMJr76A6lUNN+P)o-^4Gyr*lK zj9t&V-feLYb*L7O=y!9ZOHWoM*jUYn9NN#puhkIcBN-boGLf=BDvd$NAZAVDWLN+! z^gLu+T@@MU{@P`Oq*?7SNdz^-Wx_%EXCkx$c5gqe0zkZ(LmI78L{9am_mOrji>m}P zU;H?87ao$$s7%H-U)5PGV-SgFlE|DkZqK>rtXMpj7 z#szCj1)nvpzQSE^-*mB|e6+fdX+Pbo=9UWi&3)ab9i^c`()By?)7rCg@}hZ`DdF8? zP{+3^CUOs(ApcXU74Zj?uX~p(mB2!{haQ1f!c3@GUTa%Q{XPD}dii!k21RpEZnKR5 zg{(b+!Yimt5Doy!9>7y$06o>h$!K?w(-Yit+5bKeI?8%2vJz zP|D`B`DFZ|a;PjaBng9YH2PE9TM z9+W}tsr@7dzA#F?3L(<8ei-PY5zyrZ>&*|qARi5Qm9N#0#$84s5@^YyC^gGxq8V!) z=)w@Jl6L0!Me#>k@5mWD<=T~tGPv4$g>E9>#|&5@e>R|5~y<5-~HalYkLuli*$cIK61S&1eUP9r3WslznU=LAFm!LRgoKEW;NaI z_o`4JhrH1wL_S@3%CxJ_Gpotw9w)_5j+(YjYlGNZC8g`kil&FvE|ODTX%zgo-xqx$ z)Q|J`UXUNxoRu?|%#}b3)Z`u2ud1iMWqq3ax@=S;m#HG)1@Rs9L=hM-{`3WltC$l$ z29AdSrr8ja#RDfU%BA>|s+K^rMp1B4XHoT02woX9DnUMg;=JhIL0~+MZJpB>vKqYg zOjVgW&sQSGTY|e)^PZG-kNzydh>en}f|h~KqhkKlEui7rW59PMOt$48b0s`b1g0je zOGQBU5K@wf9_sJvVz{04itCrot1^L^0!>RL`R`gVYl1R}^q-=^UfS^(-+44*&_1WFON$Zcf z+pYGM$Gyq(ki$kRBCUSi$IWEW#9czlZCA7*#xJ}6Xrl11K(4IPzMQ|bD~s=$(;lX0 zY=5`wohk;%fwNa+%8mrLT_xYhAde$nFs4=%A0CNt8H1yqq~%GN53^BKY`dg)q5|7+ziUp63t1&891g^$v(3W=1mE zN%KMz&jX4{=ME6{^xlR>@))cEvW>cv4d5`}f6@|9aM!Lygz5cvcldqVmlHvZ?|N5~ zWrG^4Ae5&kj=6AMepq!@T8%s)FHbop3-@1?D;@;%J?-Dv?)9emgw}zXY(>0a=zanX z+z;Aj{pkI-#Y>ecG^^-^`HFnj4!I}DKK(m|uChUMVx-xK1M>Bf)22#*18R>tVY2g! zmj4JPz=C(AHK63a9KFZ=y$K5KUzW5gPjzkC(AMY^tZ2Hl3N&q z?na16${n6d27|id^p!j=8Jd^JgrR8EF_))e^6p#f8cQx;U+Gyl%w;>n4*S`&VTWFI zs|a8fY(T@!y0NPz6F26))j%TPy7_cVJ-hnEJciZ*N#)<3QhR@=pJ1 zNbAigvGC2^2JeSah~fVOJRe$CVCHWW`uvAuCd+K(Q4MD+2q-lrE0t*>9R(H7B&2dT z0gNmTRsiD}Q;`;KB!2n=F^c%x1?8JwmGq%5mt$8RakzbL9esI+0V_<1bejs+#i*WB{> zc0-74Ppso{-!2nO{j-A@d7eE&K@QmLSOccRPlnCv)s_Nt6t6Dys@9Fo3& z9FaM&1k7;s?2;T=%MmF332qH%g;`8a`#^U$EA3H|l#KK6UF4S`i}2~Ic@#~9@yoHU zFmeCWFj;jboUzljO|Jwc3m`rjfJ(GfKX-P7`)!vIh<>|&by*tUKyeEhTXTH6jPjS& z9QcY@f8{u8AuykcV4Nd2s>qx->wEgU&NQCG*}6;DkfHG~tp9HK>~xiQR-#clg3F(j z5KM|7SB4fUgVLx{#%ws6VF4%<(YsA4S+VxGsutE3{6E)e3mOtRvR%C)vAE%MH~nvK z6Mc?QX?U(bBFjqG;(PRI!PsZP@UrY&pVHe^5{GT4 zb%mD6X!JkjDKbH;l1&gY)JrDOb2G(9dslGl4mVYxhQbvGK z(33$&e=83DOP~b!mjjuZHNt#^0rR>=d?dizlgCZ>lzPeIzj>sf^uu6irewOztcrL+ z%w5F`mc}&|2BFP_F_S(uJ%;j`b*J2OyH8z_Wr)cvKX^%Kd8I{)hvbyOs213$F;g*R;0@}mlg zL>w*ahEgWXJ%E{i2JwS6@Y{le(l+dXkTzP&%F|&eZj%!A&z{+0W#q_mBb5@MrNEj9 z@8KrD-M);ptYH4qGdAD}Y^{BH=kQs5oe%qxKIKn9(Yy8YmdgAz%dmF#eUee!V;Kgr z59e828NGgsk}+#zW#5@A%8GDyjM=u*!P(`#mIch3iH;YfH@_g}Mmgy-H|6X)yN?T;Pr4~{5%hg;_6gGS&$`F&Gdnv_7{ygn)rymd%R6-1?5=$|T_L{&i2 zrzi5;s-vDXQ*FA|Dx@r=qTv-J@@h@_YI|Lk3Cx{LBxUt6E%YvEXBC<5Eh9Y>xAxOL#EXb6O zfTGTLt)6-xJ%QL-uWLIzI|r@nE*GX#G<0$eLF13n!w8qX7MuFGE2YCucp-Dv{M9U~-@?#L2SsqQpUL3<@&EH%-upn z(ljWV-DMyDq#Z?qd}Iu`+26*60@-@h1Duy^n7QA28pq%#a=pof6S5#;v0$PmH z7oH4q6V2Y5RfhMuZAyD%JQnMJIed2d(bFe*B#v%n{d3xpaU*|_KM+73@N*WGAxx@h zW(+%%b_ir;M#1Q*Gb5#IhZMBV1|*D7+Jsq@8BL|ZRaPqh80lXV;je2AYryE|UwWdj zlyxZmrmF}YOvtU`6Ra`tTV#2ek8*OpIlN@-vz4R^eh5!wJJ{2=;hvWqF zqbqr_VXz#Iy($|ph`N*SHU>+h&^l7l$6`^sYs-DKAbKrqBk@-o0$UI5jW!Ib$wyBg~o@r9lGy~pcClC3K21UH&Q&|6I7a6cm)vLQqOg&_rBkQX7*W ztU@)+*d;1fDxnL=C^`6j;9f3QHNV6hJTIGe$4ZN4MWrO_sL)HY8b~O*hjbZU9?N9ycb*4Qj9D_V0nd70G@i&|+W|Hy^+_Z#M0Hfee7c^OnzZ!0u+ID5a7Y>K1dhwcj zc+(R6fI$J?(zgp{(ZC$}yY828|^eC~* zxXI@K+lbWi@)HKw2&hosC#%YGJA7Z2+nKw+fSy-tG_~ueU9Y8A=Qw zr%}RMvYx8z&l^_d%z9?Ra&lg%2DBcgQWazg@`ml-Z~5Wt<~v~v0_%S2heRty zz&JqdkmGu@(BHrFe6YOlx5BoKD~VRu5b0>I-OehlD~8C+2!_@!;ru1GJJ|5y3=&a1 z;Wr`LrcNO$zmx~W(9J(?^#tI%tbo)7aU5d-a6XXan&RKd_+*)}B}VA0O>a$E#~VY% za`gN)^=I5izqc>kO6m_*1l}oA(eZ+5Eq_D(0@oJAtW&M!*BybT{rTd&1MB9MQ^?|t zOS}mP=XE)ra7~T_&wuzFmRKk5;a&;jHLVc8>JICQ4Z&wb!l2Go+zqx73mTe`cDQV0sM4BQpi$|QdJZpk6lTr=*`0-z;834{qpp%O6ieltld z@p@J%jQRyeiMwK%-8H}q^gXchCGwd=0gcD&bS~#T%W;xG+&5El((r!!#s$w<}UZmH(*TVPiBCH4gNrLCSGhXm5 z>KD9T`Y#-m`u90}t%?j>RNSKuFX7z15zPHNg@bu3Oj>BUHi`&#>HDX`T(K`!7`vJc zWlY8V>$4si?SJRx-?P4Jgl16?$#WouGn0{v56Uk^()QgOqP{wV?7ttVpxiM=3TU2> zs3KB9J1T zN+1chT=6`zYE`r}Z&X;u{IyFKER2#S&59^kJ&W1Q24gDYo^%EM3V7)^SW0O6Ail6oR-OPjkDJn83Q zXcK)dNzL+kEtSIqY)XIuwr}R_m$N7t=qSXlli+Iy5}5eMby{EPK^-~veYc;7ZVHiY zm+PkL=AxkX#FRRzw%^YUbcHeK`v$6Ht^S~?L=9^zKlH6C&B}#1RRW|y{~Ss>;?=p* z`tuclG#^(mUnW?Q2kYM=wD=yWY;gsfeJ8@Y(;BzpegEr)+Oi`)9OWcQEuPixG)z3B zd&p5vCgxO|67UW%uYeMOz+gTUrlHVcp!7qKnaU*ziLaDvunLNl$|I5c&PrA=DHJd0 zFAJ9)k*6LlBbyG!N)+5+0dT+4!$BqR0#HRO2RBKJ5{ScT{!k}N!#|HK0w{sT=yNvy zOrbCg?0gISxu?Rq!KXp;^f>Uj#}EivyW2AJXFcOm0sKw^cTom83HT|i_voLbfI|W3 zgQ$g|nfvs)3CB8}Y#EsIgLN~#GGXm8RVUT#cruNK`syn*YyI%=|9s|NkiA*7GEr50 z$=;o?QzimJ%bEFy2-;76xsEvlUv2;ZKmbWZK~yvcf6Y~-(0jp}GYSA=-s zd|ie#{}uS#7jx;Z;|j)edAiuB;K&Uc2E0DZ#zyTOTaxq zdKOx%+D;ba|b`&*>DbYYF639a2QFa8MFCi9@4HeK>AB9D4isCnm zM1h@BWrF2QT(T^HTeVB0qB3F0VSQS)+<^jGX_W8j#!urhZrMjwUr+*tu`(5Z9*v|+ z@a2xirtLZyBbYt^pyWb^@(ZBG9R_ZpVkic!Z#<*-S8iE!Rwk=O;GG50dV|n4Rq(^9ae?QMN#nz)rV0upRP#$vxzu}*2qSdu=M$bXK?U(!QawwP?&H-#L z%60Yzt0NUcNATOTj41~?qp&tB^E7m>28)YqgkN_ftP3u;!lje|ANSuax_-e-#0wAx zl-D+Nl0E1Ceux+S{IsfQ=2yd@%`9%_-FPBYz;v~eE9dzZB@}XvgC4D{EkjzUpfLmY zjNwpOj9+t1-a$a0NUwi`M)-F>Ig7)TidG{&>swb%P-jjjE-sq`Ga zPTJ1NiQ2%k6$cUb=ZB}^2*wxIQ_;d0<=$>1P*=UE^jqFuSm~@Re&0%2EZS;cLLQ|E z$f-sM`#JoE1>qLj2&<(k2LCH~9ZJ1Q)qFAwhaX>O=kxSMLW}b^cj2UpX zvTlTjGQq=kcFjDo2nav2_*d)>b^d`fzO+hm@=fX3yf|tO+>-2o6;g`lO8L>VX1Wcp zu4QD&3{#D={!9S{t5P5Ek9h*d;4!x!OSEWb4oZ$C@Hps9+kC=SB2M??xSS3iBNnJP zlY+=vtPX$XzeR@3*lUaNY&;w%YY&`LGk#`pGLw_?I%fKm*M8ro1|U{iT8zTtkJczC zG3S$I_O_GK4r%?2Avg-NBuRs8q(bH~JK<8?1?0qToa4~xOZdB-rp#u?^Ems=`US)G zwUxl^*JR4RdyDYO4(_aC!8xTEvU^F$SSB==TCzHvr^1O8OQ7~e`9cn8d7yANBM?b$ z9a1X1+^VE3-E&4+1l@jQ58Y?O;qqIk9;TM02~kj#9`S28>zo-lz!ladVE9PzkIoPEU_TyKu{-F)}_- zCA-o^#0l*RP~>~>kCUT#rgx*NVP`-_lLK=|<;wYFB@{Quzt4Z(tYGoFZ@qkJ+*VkP zc|Dytw8e4X>tj7#x>W?Q1}dPVR-SgocKJLjoo4HjtfN_RL#PCpE&M-N1C-9i_SxEa zq12@=Gv}4bVhLtA1EKRuY7)-Koumu`r|9J~Ch$M2Hk!j&e_B_hdT>(9Xt`(~H zIWE73FWeJ(qIQUazIxL3VQ<4i8LXP5g+YG?AwR-PLJAQI2R05=3??&{poN1z+xJF7 z;X2H4UWJmVQ7llFzSlUFm7tH&OZLgX*1%M2p+$Bart@K36Mf$?KqygR9EUqG23hH` z@X^vOl~(y?W6C+wax4et5V#rh2V@sond+LcWa3>>C;)DAw1(XE&wI81)J55LBteq# zJW`Q7`;#Tum;>kH^U0Y%Gh&B>?t^ieJfKu(FqufUy)hS2L@7+3Y*a*98P(risJ2mI zyop4~e@ki7$|0GB)ENgcF))+w!S4Aq@+;DieXF`;?CUctQ!yC{GFm|&#d5`iC83Nw zCVTBpeHrnDxd`YEs=C6CPKVS`<=%V>;@8N zjk96Uf45&1xq#U^{hrxi6%3uaT_siIf!W0*3N2Z2Gw)cD9(CZGqlPtBKufruU15C- zK_eSwYg~x>*cc`lh48x9{@5lH)3ZL{JYdCrX8KeX!{ zoXGP&6DX9DV3qy4XC)P^`UNvB4#<=@5!5_^!T4LNqU2!3nJ5*(XRs!y1Ss_DvOi~o zBcY}_k&IIawIAr~b|&1;+7SF}Qr1}uK!g#0AgUw|v%zyV6FbqC-|EYL)^?xe&D$zJM{<(fh{lF^{ij8IjG=4;UEf3@hK6b5ps0o+5wC+*Q) zr&|7ucaO@RE-Vu%YO>$yZnqWzj;P`ED3gu!Pa0>Gj?MX!y@TQytop;(|5D6l88a)z z0-y#qN>(dh5Vz4cM`pea!1gj(jrB)QC;6x!tpI#^M%i{QpBjKTb0wPM)!(;Em{*jH zYrIJwU(!)EJ&z!cD@DNBt|!u~c79|ddeZWr(T0@bzYVOZ6esf{m>kGi_Yee+DTUyB ze!O0|Joeoh<+kAf%J={+EzZ!tH2juq@2a*c3*r!B%KEEYKj%coqO1?Xd@hFvo)qPt z2nTB)FCUe_=t=wg)#ex!B?o%C7U?2t1xu&P_iI%#5q%AO_Bgv|OooJpbPF+Km~J*I z%6$E&E7&scF(({UaLa)>`JzWfn^iCrGvH?d-=!ky0HV1GC~DpmXd_SWpT3rdec7~_ zmwb==#R;965U|2$l+Ui2(CVa8B|_-=a+`$7Wn>&R3WxRUw^2qD@k%X3DYD&R#1Bdv zg3GLZPA`TQBZ(cA3}pp=!v!cR)2(s=`5K9;O#mSchBbT!)~++*H4-BHeecT7b{n@9 zf+xop0b_H2w&AE0wPo07ShY;44I3O+IpcE_n#iu$0F@GWs+vs+B%e-HO2GJov8tF+ zvSqwwo56E?vrr3$`(&~O!o~UnA>Nnmek3Xf{*@_^OCeo)k6b663$2pLEhb5YJZEg( zUv|D-*OmfxcpSqnW7X7<$Hcfv&6~kK{N~>AzBE$nIz3YeD zXsdUhxS%XN# zz6}f8KGP~;tq8VdCOn1To9CldK*^ppGf-F-YnU@<<{tdplmNdc=8w|1u4h40TCP;4 zo(NrzRMyNHc%$N)R7!w-6!(vly;fJV?vo{WdzaiPUn1elXfa&6?&UNSna3qu^U{aI z-LqRM`ND8D&H&wh#bUW_`<$(K2#w{GbT*Vh%88tH=CI9d9_wV7x9{N-WDmEgm|s}w z$|y8pbWl-m&j7Xa&XceNfTg8m1h>W%Bx(_FPB#Q!7>W)Es}K06%TDUg5mr7SqJm1} z2O>n2ff}Q1j;UNtc*G68TnOgzmR-g?dLjq z{5M6#safa~^$RP@hv#?=*YX3*ddlh19pQ4^ZbLoo$Dq9N)8@)er5fNLIjT6=kWq6n z278{b-;bMQdvv^9G@wM5?l~*(cPgX43#|jXy}H#3Q;N1dkR$%TXI1AJ57)<@uUo5R zC|YA$Ve9k#TbCUoa>$sKS?unatMB?T-eFR4o6$1RBIBfoxP4YBG`gjA-(_>h%8s~T zsffZhMtQZuTG;^L$_uMn$uEs&Dy!lW5?h^7Qt&vql_p)J|+f_3~a0*9Tpdl{0q2blwqa|uEV0?fkEQRg`M|lIJWeHYa zzc0q$5AZS(c|xo?6DOFxOaGpuyuNaJ;B$!(@&h8_bes zppm6~4eiYmnp)^fbriz2n6hprL>WBxFSQ0-eRn<69RGYRl~+It3i374H%}pC@h7mA zHv4Ru`b_JPesRWujDyu0>wwQjF8~@j8rkF(Fraggr0~Ia40Lv>SrE_16?NXT7cEN$ zs`*Fv5DTyv<`bA&A86<(ZcBe(bc-gJSmoY|~;2 zwe=Z_1~DGP+QazVwo7XJ6nIEP65f~ zWl?<2_W#?raiG?NHR~SJRm7k!=K@R^qYy7&?}H_3KzqA=gmAJz(KCNOZ3NuYHi@g9p%Hv%E>5@i*+En2o^^U_zEh#IbSt%S3HN;)3-qp$L>@r|8%$yXqoW& z8wd!WFM`*cq3fi`0Pk#Sa$`X+S*#JB%ZNy!MZx1KoPE-oA;L#rQ-v^p1v>Z(1N!t= zIa#v^D`t_=RSOjXpFakNd({n7&mp0xdUC~fv`YTJ%cEs|Wb7JCyu|EYkngN3D5uRR zs##re!zayU+^Qooc$%NAI<-+gsJ=qz{-Nllw%T`tdXN+mI5MQH4wkAM%f;>_*LDdlTOm76G-aQK$^g7-9sC z@*lPBUUni}rHa2^X{~fDobnaE-Cj$&6x(Yz`_nUAeK2Qjp420zue?XaH2*mIG!JBX z8G%O5&N-t@t8n&84xy&yf>C|VlAmGhgJ>GgZYdlHXQPbX>@6qN%$a_F20014q%bJD z+xilaKZOrEg)|BDu~VFp0+K0`a8zeP_@+B?bY13!%O}5o3bvotN+q~Ad7VZfJ0x>Z z82IJ&(S>Pr<*+SbtQt+kWHCYI`+t&fKH0Bc0r_@e$~*7s`f0I_0s87WD0wrX z66ZbaT(gioJ|dU2pBtj+pLLz**Cvd@8yz{Y7YaP%o2`XvXArk^a6aX{O3f|UhrwgVBV3&?^1<%9>$e$Rw z=nxcW2KbWklH-RboSBmbin0i-2q(S=ub~9%TYv3P`~1+h6QH=Xbh(eN3f>n6d#Mzt zR5+>N_dGVDz1{O@d^S~Q_{V2-HK3>S;nJE91)y^{`Zp=2n|QorKy@JQi(@R$;|?BR z!^g^b%by#(obp{cUKY`Ry)nsjd`^w+E+k!-S(vaec0|e!PG)Pon=JiMP-{l(zm#`@ zwNX}`3d3a55I?=q+kj@poF-{n4|81fhgC2fR)OV(bKGnF zZ2tzQZe*$mXcBW!Vel*d8Y)87n=c*b<^mT=N)WaNs0HXKV8Mnjtmp}Ss;Qu#9@4FX zjc0|5fH0{I2-;}IKMwM1&rrFgNB|VUF%Zg;1BB=x9V1|3*}BuAvaG{6_2cOyhHR;a zx}f`%iFYQ+G6?3zOKmjqXJH1*8HAY>Kr&IgQkL1RS=)zgKj|DQzqJ5-ED@L`l`svY zIlF$wahOxUKUx>H;Krd+Xa*M#O>9TuM8QZ8Og}jToebuZq)yAa1957VF92bvR63M> z-?WxD|J(tK9svk8}+T9`idD7%*K~jvN^1!eKy~GiSn$iC8*{35^yGBHA^?;4YJynAF6C zFFzoU6P7LOGdxp(#!{CeR{H#C<*-pI5OO(<@ON zv^R7)Yas_w34hBotG97UH+D8F6%Ic?QPMIgcs62-w3yvf*1;0Yp9f=B=|Jg;XW@%6 z&NGU8)Csd$ns1`4FD+{dCne7#bNV9?I(i_b(53I%`TP9){CBQ3L(M-f#_QlI}TXI|JslVM(Q(i(am`Q0`wj!T^oZ??0v4e8< zie>i5tWcwH=1CKuFTXn}j}mhpLfqYT^T77;p1=LqP8q%Uu)N-`6pEY_PM1R97ocl_ zGjskiPQ<~Cv=Izqa=_B=>vsA7Z#C2 zi%>O+1BELgX&Ik?3cnklAtF4OBcY+O(_XFX>hM(C8IRZ*eE|f0XH+nIJuE20Wv6QI z=?1#DM%+cYQBTivzuL7b0cvsl_KvPP$MbVwZw8m9Ga-z*+pu+#@#Y12w#;5NZmh$k zbIIP@s`*=$*CQU8;yMe$xWX7DV+Lgd!4`@fg353U8HKbG*$fte-WQZ3QCLiC_^#?Q z8RU5HgyoV1%qQP?qLK_6nc}_B?a56=q2i|X_fhp_pvsPteeiC4wWbc4KPXVs;sbr4&Gs2jX$xSl3L-p-@C3lmI4$G8?r;oe5DCWnP<$ z%+X+FKC{h~s0is7u~lJv`I!hIk~irxa{d_j`I#EJptYz3E0po`7d0&}J&&Ud1-ExE zm}c6y#~+VU9xt#_I2-I$H(X_O8n-*H&)a!M`c%&?h5hlR9x@5?%_2nty(B8mlDOjT z@;ETiWx`s-%t-A77oIUN>F!up`#6)Q`-6XaW<|~$cdi@V7n7@uu>m6w(Hz7a_|l#R zTP-b;(+O8GGrs~?$!(S2z?nLcMmM3DXfZenXYEGeWTOjw!5Z_=eV34l9Bh3g=+dDg z;G}@o27`5k7}APVOFS#VP1uQ)v}&(kOOhq+$J-$2|Hs~Sz&CL` z-H{EZ8nEfrruW`^@4Y5K2uUD7>OVasffUjUgak;1KnN`a2)*~-dv7*wbZiV58*J%& zZ>19_S>wo|l=2?-3zuUZQNIonigm!xoYw*rPr+dpG_nmfOmq$gQ`FRha(6dI@TFz!N zm9YgDS$^`Itv;x$Q_{wR6HP`W8CUa0 z6oJb@bdALH^FcBS=utS`;M-p`De?k?-S<`dPkPRJ=YlV0wO90b|@piETp%(^jh*D$-C)G4+9>iy2J49$Y5_1oGGNOkRpRA6MUW>87ErKAsv(D= zvr}FJPejHA4SlCwkDKRoQv`0tw>C2vN1rfPGtB5^P=$WAa=}E0cvk@0;70(lTe>bx z+BFH4Vkq*Gw}f@o-x_K?2mE{%Xwa+B;HLmR+zFrb60?83N)D;OmE5%u9s5wPFaYtGB$entM36J;Rk`i|}aR>M74Wx_@T z81ESW9Ow?=M8XFrQ?8ilr~fBp#P0|3y$Z83e!@k=ATix9T)h)PL6e`1x9<3&()*g} zTDfu~Q7&VWWtA{Rbay>TVh|YS_pvhKM=yaU`tO#LF>%uJ!!>rYzqFp8E9fqjbIB{P z1o$2LHwxF36V_S-r{1p5+iJO2J#aIvDXPfhn0$H%t9dE`3PCD!fb?lRZ;*OTPs3wC z2)$ivqrDFU!vy58Y^5f*vGUhglG%0~MYG<3qBxrnBEP=`#2$W2u{u}fq=m=iNg&wb zV8yjY_V_9r5^hAT4T=qcW3XIrzO1)|aRyCxBlg*@Y!2)QVj_^`Vn8-az2#PlJ1#uR?i01%vjD)rfh8v(*!R(R= zG}Q`ziXr^5T@H`(@Qo3L1lWUI0D|Kn0&2TZJcV%rK1fSZHrEx&1%;%=6`1fbVn+*g z8ADo@a(g-S`;zv6C=^dZ&isw%3P`ii)B#aX$NgRVcrPEV1-8R-Ic4Cw`8GszezmYor|WLNktLoo>FvC{H78907k10SB4U>TrwRuY3@(WD^}M_nct z0$WaAR+IY$#3dN};N(vwK(pSR^py~IyVA8iM;3pn7n)rf6wfa8@z@)cAa5;*ysvW< z&z(tzw<##KLsDBv_cd@jAH3wmZ3)v+pSv5L8QXf!D_8xvka(nJb9rg=MHvUgBR^Z# z9w3!O^*ra*Aq6n`l8u0Eofh|3c}^3WE|KF1+P=D_om%w%(0q}7_(TMg1CVh{VK#9` zAcZn#$}GD-X{#164A|>)H%K&>jD>`t?nGmFBQ!;j!DL;${Q&nj!7^kq)#RrmH6`j! zDH(=DT?676=x}jB1{ZCvn)ohXa8=!@=N;_YBij*YQvN zqpRriZpEb6xmz-B!$mn9;~gUFe60Z){iIo$9O}V+S8pZDE{wP9+)ecy6_?t)b{-=w zuTyOK2HuXP6+>PjJ-1Lp6bDbMB49aABUYie>q@WvFuJ8;!7Nh0M4&V*kzL9c%nDlL zd!u_^X5H)OYO4SM216U=mrj*(At%UHkAF`a;`j3XdSljrRyfJq)&H-3Ir(;Z4ViW# zS{?_egmVNZ{T4;SlsBOYVvrgeY1?9_WMNo2X*3rlCXvueMB~aXQyo_7%u}MrzPS@# zmY+bAqoo3jJy1`+IoMcMjcDWmllJgF|Maj=?J}we7NECBMZgu=V~s);I$`>WD)KTk zXl+E&{Ssp&SB5GQi^=>EB)yDB=CC{I-Xv7YgMlv@NRYdT69nhVB(azXIO!XaI|%Sz zLvKIe{2R9@A)VTmmPFj_Wn0h5_(N7V6UQMO>pI5wf)_w3UDWWgys1t`2sV_<8|{$uj;{A!TNr@kEctQ z?tdJ*rK~e=gE8-ebl#qX->sk5o|f-{%cq-f`-P%P5zxQSIs_kzV;OB`1&r=Tl(PJm{7V1z6CSx=Rg zuX}|^wIYtFh_t?mf;j`EOO+t`sB=+S@myJ%`*dk}t1WT~;FcnfkdZ_^SLgo!rU*FU zzucmL%pO!qmOo!k-s@0AT9?o1Q3>$A`7)0aUi^N?!m?;s8R-Yt;e(@ecjiO2~|GHNaZ$yDkdcVA1XPxR^zhGpl1XgVv%`za=-JRS9ctuMn zBl`iVDBjuL(mFP>%7;BxO%CZu7qxMA0!B}%W&^Qoj0usRU`*EX7^s{++|^XY#a;kO zr$GrvzrEi28nOrwCrCz|fLi5gPXm&Gjv1!Qk$^)V7X4~8wHgAJSRFUuI;?^hL9+GU z58K7!6uVrvH%9oVTRyLfqT@3MM9kCKJnxG|?n1fJ!7^{4YuUWJJrcJ=<;b)GKci6hT7h6FL|3J({vGk z@j>G@T{V~G3rV;m_#K|M|cFH>~BpClHn*#c@4_o!{_VEZ+{+j;MkzK zG^iUew)jhezc&zC;C>g`ak!pVZA(dZOm1^loR(;m`x^$X_mY+JK_TRo?|(l8UZk}$ z6BV5_UL3v$y)eVri zprzKmYLK$l=xTaU<=7RoEXD%Ph3>Q==;PwRpTm5{Bbqwt|#s#uM zp#uf4q!bvH_0`RozwkwaRr2v3(B*u+S;+Wn03uxzOYv}w^hLwGJvjyru_03KY49nb)^itcdHA|-Nimj&!@!|oUf z#fMW8sn<}4;t!>f;t8VRbZ-4ix`vJdqnnU)S4zWQ_(J6uil&E23rUfMPzG>E6ctJNFYiKBz%nd8&S1 zp_Q=gNSv%beqCa3TLWKz)wM9|mxXGyI>*|1nCw+FC_uVa$tBlsn!;c2$%@g z1lC>;tp4jkxjd+OAlh5z=ltpNXpd+utuN7j%_`*AUV40H4gvYfNK3O1P|UrkanAC$ zl$^*QZ&@>s6aqp~FLwZ7G2`bzdJ;-TAQuC%kja9TegLR+=!(7zEkrg2F2fmxOQI5h z+(26}T;7MkHIxtIcBmI3^EZX7ecC_son*O-cq=6&2bZ#rLV~-VC66HUxru!8XJKL1 zz8B#fPxHtlWYDl8u$_!nfk#Eaek4O;!4KvXe7d)>j07I`)B3Arz^WWFazc^}1*2Y; zqB&Gh_w{G$NKDvu*#s_)R>08ahwFe!fRa`vz+>qeDp)qR1Y@jqTOwd~MN3g64%LAF zZOPUM*#{rp%g8!y(yWB!&Y499{kUC0x}HZo!CBtxSy@^fUE|!G>h&>X=q@^TTe?-S zns%u=JRl8RO)+-B$D4o?9ye=t@?In``- z?(q-!D@L%gn*IRAfk&xec>_t z8z|sNmu4YS3ea&9iE+}_T+^(`M@}tI{uSEK%7#WicajC;Sn%Vj1WvPUJEJ5gYF+dO z#F@N9nxrIKB+1`;CM^k8+owIV*1?mAz4lqx$cQ4~VP1XKzAA#581xG~a&vTd!j;@t z1FFlApLVKP0gJ};D{~0k-t**1q+|a$AH3`6^JM38k_7WVut=bED^^ajLiI-=Tkslw zyj2k^fTfmZ$${wmhE39WTnklv(}*WXW?#{Me~stng2^F01*!aDW*O&Jj_rst_ICv?&_j75!Lk}tNw+|UG> zy*Dt;XU9Z+8$gwCP;!JJZgU3ln+9<0)T&+(XvF&$E^N=8jg<^ooHnRkSk8vU$p*wl z{r&vl0<|g-{|qLqgRK)^9$f~r^VylHOfD~j;??Kqt0JI{b1#L?!&Q*HjkKwJytS=0 zCdMR{S1_aX9QpZZO&JX>{K=HP3Psc#Xr7>=Ie`*FYye7uf2oZk4<~c6D;^Nk28}`_ z5wyc&Q4*6kzb;J1C=jjbW%k;$(gxqQF6~OI5B>%C{+d{Qa|*=?T2^Z;T>>u2PSNZwbMhp>7ts+Zt&qFRXlZ31aZX74nXJT|mtX_vJywEILR*;XH|k#)x|%FBJL zN`oe$V!`|{ZT2CxAkBq1&szg)NMpd*Pb0qb-OK~BYge?Sz-Nz8Wx7d5&Pj+9JOU6( zG!h_x(J2ACu9pT^)i(hG}oaS`2a(Lia_sDpT7N6;NdVkEDMz$b{y`|rQc zD9rP^lcd^YY?q{E<@?h|qa9Rzc! zRjq;)xGBgwXhhg68v@3^!3YPICaCP%MC)@ zpH{FQ}LpIurFwnAGY{hW@-$)t`HM|W_PSTUtf)Bq|%_5Q;@yg7YLo#AjnWzN}+?~K+`yGtMd1*C@ zZN2qG+)v9jhlng&WKGr<+SR z#8VB*8UTH!dF$(|YsfSC4$j6vBOy(^@vKbM6c8$+ahSbF{~ROqq=6pWXqn`MyNCo& z@739Wz;Yq9t&mW_O_|~M+1`e-`-B;fd#5CAaQg-!QV9WH7Q4CSK~>Ybx`y+0Lv2t2 z8Q6q5hvg>RHtvLkVHx30>W<*?Hy}DAwwqRfUigK3t7`>$1J$y;3Au5YTkuA+dl}8% zj`^?dZ(15bOg!|$KyOssU5SoMQgqqT*d|RyG#`Mj8r1jtA^+9eOxmI%ERiP@9w5uh zl~Ic13IK;wW)+P7S6}=;aB;uviZ{e3#7iTXgY3udWZ~{8`F-m-8UA<;xd|6>%GKL4 ze(qs=_bix8I0@$hd0`3=K9{hFJqRzsDJ%}VG!B)5U>Ha*p{D}0%+k6+s7%B)Xs+t| z$ZxXb2#{P@_%7TUCevE(P^WwoHC^H?#pJ6eDkFAT*yHOrXy7hIuTBoQR2SQN(JsFbU(;&Fy4Hn>mUw?gso|?LpRb z37L5vLXZLuUXMsrBh$uWfTcNtICT-k^~aZha4o&~Ln8$u_zyG_1C;+w02*HmV;-de5-lMGZ@0lXR-F>zOi+#65NZ-Lc3|c@TfW8(B<|C8jhZ1-w#_quB zt0~fV(s4sMBwVcR{J5RR3VUP`K>bl^*bH?xOnx$A{5H22QWmkwRw&R^5HR*6%#Pqk zP@om9=DOPheb^C> zsTh12`x9kn7z%j5_*gW__ZVd|2`89L2N!(&V`T= zl~&mamelZTcO(SHp>v<#=Jd9QSyC8cT_GlHk4foC>Fn?Y|9MDKybzZ`@^k`L&{I1io&=W&d@=GpUtX>=lDqTQMmmkLAYLi=YIKV3^zE5{D(= zMoix*hx&e}PH+no|I`yzYT3rbwd)G5jZK2?O(sJyxKJV%u83-^RVsV z9r!QYfvJ0M%Gj0RhTck7&@s#cD}W~<<@0xI&PdOm<*`_VH89S#HK4J9k`BK=suY^w zWw>oq0GuSbxMea~dPsJ}2rfokm%<@HO2QSz*Sk^b_xic5@=J^PcBWIFi}ewn-_Svh z+^Q~zzv}4G@^QMhF|Y<+b!v0_5>u^jg2Cy_@fv)n4GcDUG04YF;bc#=(D%(2%QBR8 zJO0>sncrb16u=HC2saUlnd;9SEPKHP{$`CWs@!CNU$QhT6d@B)B}kLjMb9Yy4o20r zI-wkQb+=!UE`C8}@%_5&gnTe=yF?-W53EO|^=U)&q#nRX1GC*$#^?zPuVpA~^^M6dkTj?{p% zpnST!i8RARPX)rF5tKkwAES`=l|q`&hqh}mY`-j)uEYDZA zM&sE){-Yu5y9kR)YjPLy1ugDOc0y0gn9m_0SdnPdiiYtl5z%!ppqzNuL)tJ zB%*t6eFqs(?x4yz*7nsc5CxafESYhtvb?vmxl#<=$GV?PNb6hru)U5as{>IIY!i7R z6atk1rDon3RDMg6+X>d2R{=%spM|wRAtLEqe2}U@^af%Pv_dE(no7inpORulAkiH2 zBn%w?@N{iyIC7PtmCX8Mmt{feoZuodoRjD2^bhwvyaWGwy1o;N z*xXWuX0#duOtw9XxhMg1QZniv2bipLPu$(ia_nkenRKi=DxqbUvLMRr*D%!G( z#!ggdtb1H7&v|)feP?x}*iOd1w#Clctq4L;DTG;3vShF(hj5$m3A&av=>^4OMv_Vt zIK4024wNtUG>|#%XG?oPQ#D0U6Zr|$&o@C_Mmg!hr>HvMUu7hPya1xQF}e&vZJ(>> zFP4Q#^}=N(B&S<4 zTwcTbW?aO8xQ>QfZr1#X@i@ zoyHf!n=tn9#-9-~Wk7L!Av~Lf-o|>k|KS~YknaFl0^VPCQ7#)~h-E>eH<8e3RG9Na zNhDGbz>b2sv&dP*4BW|}3VRjpjkZ4s^9$ka&cafWw=$NK;b2jwm~C0dp*GrRx^uQ& zEGl!_{jNYSZv)-9DY}@f>^jx11bE!|Lv@rA$PMDn>CamkP!EFDAQ~nVYM7eVS+yi?Mu-hrrf40i8B3#2GSnHPOLGS5A{X=Xg6ER zLJ8Cb&BbnHGt(l`BqzQo3>F_bYjtDs16c-oY;VGh=c&)uR}eFAeYyV>Agv#*xb&aP zo;C8`ANF~82edoz+r9+ZfWWK~VIIh2Hi{PLQZBrPNt+RBlSy-_MjD5VOnw$D8?_*~#2+EuY|9l{@{8q=w9u%(`d!U~5 z27ek$*lNQ0fi(gM^`tCBe4rtU-k-o6^f77-SHRr%bcH=ycl$FJq!XJjK-u0q(tY$s zVS%Rmly?xnP17K0Q3M@*y;#-+UhsNTNj3#a-xa+iEZ+48%A5Ii&5iOw-E}f}T^Gem z#9#^~GZe&+%@;~(SO5&3(88e9#|@_v*bo(>UTgnO#|KNDQn_U$Via+R`qzYZrkU4& zRWFrWN2Gc1Ur#SkPQn`KhTvp%Uk%(Qm$bVX3`kv1(u(r zFb2u_Cp_M26w7d3@($sHGpNPWQ6zlG(%c)}O;SE)FG)?feJ z0R7&mu~q02YWVId*$qmZGzyV0v(tDi&`Z8c72gjLsf$T@?yF7YjwzS)SoWwY?CfqF zV*HnOo(|1gPM%uR9W=1k%jBwl5-bC*>Pb&Q&C9-|wq6!d+F`iUaluHyxFX+y?5=r{ zaD^rsvaYj==<|zJ$W|bl2Q{fy5X4Rv^<|kjpI|6QVTwATq~3&(*~!n;Q~49#`f@+N zsBg>*7dP=MBSSo}eJs~wk-=vT%HP+UNX8HF2K>H>e}Q$y>*LsWtT5&Kx=vRI-^JqX zIv4=7Xr`+^|FJf}>}AA~^Qs!4k++sJYexBHSY1V6X{5it4;OLC$LF#D06+jqL_t&( z-rfwb@^64LqHei-_AYc;(~>`qxIquB$n#{5hgae^g*apxN~&43Bp zqz!7Fhh4IQRpP*qswo2IJ8|t=KYygGk1nAQL)C0>cQuHMe=U(DOF4`2$cyyVA^=-3 zANe5R=-WFjuu<(Csa2%5$NjTwN1LucsTWwFyBQL>i22o|F^WF0;Bwz@wn(pKedL=a z%Tq<AAFLxsz}qnbfn64)pSCGnp8jcv8nbni;;OXe`S4f=Qo34D7-T;s z3~k_d+hom%mR-wF%>K^Oc&HMgo zD1itseLU{=nGFl1a|1A9QBR|ig89ksx65R3Zf`txN%Dj1r$oL$75E4Oisvy@FFSWN zNj}|>PvLXxIy@I45Q6m^X?5}>?ulDgD z+v$`#0ZtG~G*ZDC6lRi~H>puPSQRd3R-+L)hhWxSzsyp%*nf8dPzqq?WHB#<^?KR* zIjYGzhhs3#)BUm>KP)K|z7)%jO|Zewi>Xi%@vB)^OqFY>Nm{b!5D7aimJ>&;llh%H zVk%vpkvvbsTK9oo#Jps#SSEibyjBMV!ydE_aNU+e`^0i^ulSXQtAgkAL8!b^z8|fQ zr=?*kR8&kV~v}Z{Lh;-~&(!Nw5wEp!N2JPRF|I z@rXx~+J+Jsa7QX*9wHUQ^drSoV3$=GFP=XNDu|=7ByBPNjJ9)utUMx{&li&or!7LlJjNpoe0@)YTyD9$^B8GQi$%Z`=K7unr|YF< zr2?|=beQZu6(e20yex%LDXbAJ0@f;^*~3+KK*kL6#lA+e8$pGytK{XAFKoe31?M=0 zUl1y(N|>;77RiYu4w0XJKO|tNRc2&Ol&LF#z|`c$SaIs)V_=0D)h__va&IzmXkBRx zMPO7JOx_d$w#`z~vFv{<+01h8tknWIa=_ay^SIx#VU?KYPPcw|d9Z*OFhoox9NmFx zfP`COo;_7r4dzvg#S#%F{zG2^YuG(BNU9S-38YNksakyH^ zdp`mY!xf8^qT!d%lsm8Z4ICz>;-&0|QpuU8O%%)3E0R3sBk> zbmzR>NLqe)3;z9M!lYJBh)P_GdB$08V2&Xeg|ZuqN-``{@@KgT#)Ly^TT=qebJ_Qj${q6*6)=7P4!RkH8}JUo~2(ycYzf?baaprjCt2Gh zs;q;bzqM+3H#atA-VD1EFlC43TDiLTHESz=Oq?5l8Q1N{c;Bjwj0#d7?JewYV#`*MOsUYdPY z?j*Zk9qZp*T7EmvOx!80;kS3C1hlb#L&17h8_S+Ao8&<3Vb#HVc}Gm#nl!ADJhrNb z9iGnPO6Ir*ZnM-@EAyJ@TH<@!1loEoQYqt`E|DTgB%`qMBIA-ZXAhM@YrD#uTU%p6 z+guihm67>pE67&xun~6pRl^kyi(vAm(VCzzh-^Ua1wIUPcGbnjH{4Y>hqn26<>*Lr z*lm45i=cS^AQi+N(`dOI?KeTzbekfpkW_KB-*`FFcf4%vIZ=*adtUolQZDE!;s%e% z_b{z!GC9jf_11ueAN@U>|Z%EIMWn}|u8@&ERb>UPzd(9c??*gf?3MQmc;i_iB zpxQ>etKjj=bI!{78^(Sxh6nz;J6?#9lu6^U;w>%y&%G{w-TR988&`<>%eCCRp;q`@ zNmKm$KIz>h16$g^&j7m>V1~cnyk)&Q-ua($$H3Y!WkwE3?*ZaB>TB^I@{;)V?5AG) zL+SVrA0>j=Ln^`hG;iCGs#)K_bEnLQJIp42J^PCU=h0p|JkwR=*Rq|pjmlusIKWxF z{?r`C__w_P_ZsR8L>f*SqZ{PMr|T$61*7kU9)>jrewXLTI|NRl1Wexj+Lo4CupCl! zB`B+*usmVzLos*(v0NoAa1sN&}EfGp>_0!Mr1nouLuk?%b1E_ z0JJjsI`l$}?$3aa(qH%L=C*ca@pjEkviC|s*^W#;Ry(VMM6rCCZ>dAeLb)8XC6<*g z5Gb@p`j6Wx&-JP-*SRvl7h=)oa2WuX5sTN*WQ<396imHgy(>wC3yKN4w0sfLY&_Yl zgyBPCZ{ATq93M|(c>KSmV}U~A|G{YSYunkn(rwXBObp(pK`d+G`b2=0V#-%Q1%eF? z1OL6LLS=hb^O|MW6}i0y8(7Vo=lrIE%6>18uv!2au_AX8PS6=%dPhv9$~o=9klQz< zYO^;aC5n006uUK|Ttc-xdR4`*ITV3*4M0Mr%=pDV+=I43gwO{_oIC1&F73btbYzTXFYo!mf_;yJFX zx#o%uQp&c^K#JL|ZgIpGvdfRFtwprzVh+;_>!h5x0bl=nt>mX6b>tOPKcqA-5@zp4 zcq$WH!>&8VgSdFz1_)~29GRspDli(57z2GgcJWye*hc#f&lf$8jGg@(g-XUu*<~`a z>x@Xp(37&b({zbR2$a?fAD2z%LmUpvgMjfYl(M96Z5&WQl>E4v&m#`-&bF4Shy&UD zf)TMVmi;je+6pEl1CgqHIvPdxKV`nWpDZ~0zQ4bhTN4B@WD% zNm2qb$U-QIVE7dEk_Rp%UxmEsgsB;o6Hq{}Er?-(qz6{8U~ss0qIuFHX1(7Yioh39 zfmrQ;E6C)w1*x?r^Ulp;xp71MpMO&Yp0#$D&zPL)*SAm7woaC)2rU1st3c=D%&V3- ztmsX38+k_?WkZ#{idF4H(Y1BIt~L8$fAhw-o8x4~p-YC(%Glz^k5)0M^uih{m7#2I+mcfgKz>J-1jYa~VPSd_Y3d0%PlKTI zkrlngY|1R(46Gs9P#eL3oHfwLW0y230-IIvgU6AvlW*dwW+mkp+&p~%*VA#F!2bmM zD6NP7D|&)xuCYCjfXA`8{j}C|<<}F{WMxE2HPp~bdw}q<$d89>*@vzNw-K5A?xa|6 zZcR(r$K*y0 zA0~9|z|2rOb6;+(0_Iwqx99DE*uA^#lDbxJeIJDVrkuHazf7#C1rxYk^iWZIqx%h0 zCMTsGYxU!5M_A6B6#w3jDN93Z^Ym=W6(oLDYB+WwNZnPQ0ao)0hN|nWpWt=PsWwd& zt2wlpmoIR5{UE%Uw(pkwwk68&rLz3%%oiINrfJa+oN&i+64vi~wSQIFus>RIBCvb& z_8oa1bqpr{c@zu=e$t?B5jhwcD|2^5szX`>UP=$-ZQGoojl-2Y*+CibeGe2ky@2E? zjCqB_{SXz9;)>t1q$;OQr%8sa(}~Q zPbk#{5Hs~e?10)+6Vg%qiMYaNdmF0)HYVineS_Qrx|L2rqf!QxJ)oYcg((dx<(I1P z(Y@D`;-3L=4x$OMBL zUY&xgDXyyi6OsmOyyfOihqtb9_pT;ghhFzySO8Gaiz$nvyV3t~Aam+9(RcB_O=zcH z5L*yFoW!zYv)!eob|DWziaJ`jPKVrkNyw{C6r2_4rw2vWg#pO1()jfy3v zmiNdU0B7le1ze5NxmAhU4sg!~;CEj(G+6vlKe{p8E$2}mm@&e~!5sX-9Mm(%@0@Vb znx*o~6Ub2_dx0iQETeKDeLbaL!w~sq*>Q=z7B1u9&ep64?qgQ+3mZEsx(U)xPXpU% zxva;)dU`{isl1C_6e#BT^&L^5ex*GTP3^$Hh6N)e5Omi@5?F1=?8n0VsCIl>pVO=e zaPkW{>F?f7OxS3*+C^mY(drJ=68oV# zgCP^w@vS+p=;?C@g2<>?u3Ws=l@oEHBKKaWbt_w-!;z-)h(kEJ!PtRGZ+bBmDQ0z2 z>l@u{n{wkj7I<&r!*1qX#^1M_VgHuq-7(4X=A4sSY~SlSS<_<*2pb8kNULy;(Mzc! zIV3UZu5<_b?+B`rRj8Ox&LUYfFdquhUrvyUSotsB0=F*!456j+$VC*5A~c*76Ei?k z8Ie?4S}ho;@cbN?#BfXy)A-Fkns-FLSa1+A%LR7oeC_D#2`BbST&ox}gsHa(nF*?N~l@4hza~NuEL;mJJ`F-|cF9qN_$<+tREEY$qCP z$23|YQ;?X^x%hDj!Uy*D_LgcGS_5SOAtg`Iewb;VBp$1Zyhwc0WDKgB1tH1o zHrzT#B4SlD`N!{9s1!%q@)?Yd_2sg&vf`8#31#${e_cO+-xUF&oZ{qCvMib-L=t%u z3n?d%y{w5}orYFurq+2co1FSv4(;)J%s<_M zxdJc$ep+Se8oid!5Ti@0m93qFl+D`Ws$t~DN+=Kb4joY za^}s7i8@7Ic&xh20eB=4i^G@uR+X_!kITNWSd}A0O6Xh3S%LcLA-hmBfF6osU=xkG zXD0+{5LD(i%v31?w$Q!|ibGRajh%qbv@eHiMieBEdn5@mIZ6kSKc;y(b3LJ7FC zT&yp2J?)$8>|6J-abJo6R>5AJdxN+0vv|uBFx8kR@Fi$mH6d;ET)23Mw{5ZD33(iF ztGQ z()QA#i!+!IFEE8#<5c?5ET@mBLMWMhq`NTXcSMUY(aeYf)L+NnxqaqZiaa~xl(iz5 z!4nT@SxQI&eZP_gxjOuPQd-t83i_r*>Do9{vS8w`RwX|;#Qfz3GKv`#jQ@cTtD>Au{ymostA3VSOZ&vho-czYf52@j6K1NL_RKdTwg|u&Q^KDM)sG8ED6GjhCvq%z3~5b+XytXf-k(7Nb8lt=spd2y7=cWEH&EtCA3L z^ZlXPG84Stny}m?vxK*U!-I)ugiX;bdt^l)m9DMT70~+Aig>Z=4%ysulB#0%&HlR5 z5w0N;(2<;uRsuOY^wO=wag{Ng0Js>1CSWeC^qr*)+(4{fTqECkSq4h%0s$yyJ?F6M zMkZ5cAZqf)^!>7Mca-+hr)SQIgp{?Z;`n3tGzh=Mug*Rxo6bGJ%D~ebbhFQ|F|0e{ z{&ZqFbxh?c2!qM41V|q88EN9zZxHPJw7VX(arjJ*Bqc$)#lQbx>$cN><tV#%3FGC_%CfP1Q1jY4t}EX8k5w@&b_ zZNE)s{c4Dyy$BXl29@9I5EoF5__y&q5>he$Gz6olUcSSe#~}0A#w$`;3i^KBCR^!( z$htDDwTrBI=guHP!D2jJf{2d4u+%3q(y|Dh9PJ<%M-dZC5+xJdEpMV2j8>3Y>q_@c zC%{L)LVEQ!B$~Zfdp*oOvwREw?=DOFsm#2ekJc1^7@VwWC9o_UGnbjLw)uRBQXq@M znJs*8!shs_k4)IsoK5n=j8)Ml=7{(5ILKlV4t&eM)vkyGKd*at#keUt|*dHEo^h zQpx#~JoaOu8%8gRqT#2nks|<*06uH~UO)wbC@ww35*aR*$a5+VCBt-mBuHrY^6o3vBX8&Lt70l)>U^8B|NrEoSlpm+9lik}QBr|@0 z&<}Duvp-9T3v4y&zD^?Lj$h?>C+nc(ypU)Bz(XN6IOH7+{jtep%47}mQ8CM z1~N)IXDVGzXaxYK;c&dfBiU!~cJX7P7fIUSwlRPE8L<2K@gg{^_=xKJhzfE%gZ&j_ z4(9K^5)*O;{GWYIT)Bj_&Xy(fKq%vAt@yR>BqkS=yLL>fXS^57-W`t3h?n?Pt>xJE z55Bs1%OZoPhspUk>+j6SrO-{h=*?zECpw2Ar))SYeZJlzMKc_f8Et0Euu8jSLrjSL zwi67#U==2R*hvtzH2|t7<#w_pVv^4anCP#dmY>!354dE)u^>#At*1>;6oC>9KfGRU zLwU5SR#-+(*{!UIgXb>F`)WaI5l;T3!l9f+t&{xOZpwkH1!T_Y@`~(|q?Zf8BKm5z z?NS=b;||x-l63vLLuZ-`(QyGGHLB1c+BAIgf-ielXlu18k&JEaV(ww%@h5 zWGRkG)C9laWlZcz3D+h3T!bvzbV;IMN?wgm0>faE^n>!DaEy*n2FpNmy*naV1(V1w zngg6fqfxM)nbDh2ZjXM-24(Vkdh0ItWks$9t0euoD@vuRyX@rDNVwd}qp>Qdp}>Qp z4DLe_ke-70edU&6eE_{+!nN$$qE_cAv!-B4hyWfCIvF@wJHasZhxxRPngQmC)4tVb-c z383Q1Su!f9cxHGYjvhQNBWrJj6_6qyg3|uwYTM-7EqSC(tq^fk*U;ZsTh+qv`x__^ z7PIPG`mpjaytbvCVm#*c5Ee>08?uo82?entvXl@7$;7Zx2=vC?$s$jz?k0yZ!T*lZ zxV5k-brxn1|BAT5TiaTzs%M9;7L@vB3xSfRmgIx?#DFk1@EjCDih;gfyQGUEz{QxM z3{K%}k9$2MI*`h_7JPV{!Sx?~-A|D`@uslkBzZh;B?l-77FYTi{mpI_L;yb6!r5;~ zZG2#i13ZHjLMJE))-1SnH>1qN#2=lILs}xxObD32p9N4%Fr&i%mk57r_DvM0XSXECn7u-mnFE}dw%N|q(D<*Bb zDdCls7sa=;>}*I3{3k8DTXu*jfkXB9QO(F?mP3M%fRa)pHkYxWr1rK8poK_15DoTo2xU4jZ1Gmxf*gVRG^=qs7>O+2I z>RzFrlM;Y2egX&5;I-Z4*-3s1y+FEcSF-Zpd1(#CLRX7P7OGzMRx@e;>3YL%A|}B+ zH~oZs{YYu4U(|<5#?{3=2yJBUeBn(=;p$uq+Ul>H6F1#LPOEW!7em{~U^avK)3RnM z{PN+c4?(swnN|IowGmT~e)v2wE7-*3j*ZmUggUHbQwb=x|7nSex5$tgXXSzq?jXho zm%Q0bN`dbyt#aU8tfG@~bv%FT%g>cUu=*UB>ee$Ai~a>Q=9y<^r-7v;pt` zSWLup9d8OduadW_0Mbo%0ZlI2su4CXd{#C6e8j2*x+2!-TxfGVmKnTaK&E}XrTtk%wu*Q3)=95f49~K z^(EMTz6kb3DhO(a#gEAtR1kZqe|0HVAV|*N%C3?-KB~J$;e1*2hU|fK`>;mBniv0npbSt~fb5_t<3AV6=@Y5G2$t;|B?S|;-=OD3 zfht z8!6p3!+;JNGX0F?RGzw}EljeBAw1a4?nE8BUg zh~hjW5^)S5>iR5V?K+qPH5@_VjWMCXv#loYiw(#o17ZhDB&Bzv&3MfvGA+1U&(}|UOg0p9G zI}W*wn6>xu?k3V<(PKzF8w_H)y6QuxLa3Ghyo%y8BbjO8aR|=7`@;^|kIX}@4gyh2 zb@D|4Mc@Z3;NGgfHY%vCi7cVcO{CHn4OZH}5E?x#QAv|p%$H2&%hG%7=2Up*8)rjLy89mDG z&hh1~`88-N{$nOehR-HQhS%R$45|V*kUKFW0Pd}zjY(q@bvKBsb{C4kC)bjzG4G@8 z$fXo{YU-&pDFIr$z6uvkMCoZX(FK73(!d`aix_`RPJ?Ei5`_98ERD+qHL?WkfUA|Z z8gmB1GPjdjvxg{3nv8HM4}+h7NAI6e1AU?VzWR*hw4n$2d?@Ui^eA~u)gFF#M>A!K zOl+}0flkzkbpObA))H`c8inh+P4=h+cvy#!lj`V|x>^Xj;f!p~!#umxNJn4#q_;(Y z8)DiE7twRLh)G5+gjBB6HigS6)D68KX^DUWoqeP+mgRpRllPC_KSLHA*j(pnDCFS1ntR`3^@B2qME^ z3eW+X@QXUB#X{-6^br~I(@s^GM)MkMIbnsNG7j)rzv_|;g)!U-sVJ7b*;G1ww%#x{ zn*n4hgW(-YNbkyyDfjNq^T4$;5Q~7YnLIuqfb)N81cJkG)3ERiGl}&At04L7k0is3 z!+o!d%yGa1%7U? z1Zh$zKA$-C0ox<*$}6)^D?XmodtJBC*G=m-ElPmY&`j=P$=jNUCH@B3`K5Sl+faZ! z<3R*xYul)wS&y9OW3CV7W6;B}=*+V1ky0D6x0^^NYttx1Hep-_ik}408*8FdvLonB zG1;hoZ@~+}Rez5XKpFuKdNMY*{L%go#e$$Z+WsBGLXk+HLs#?3XjlqNcr_xdjY265 zrsFgSCz0pVaGcje($yl+&W0bvFW7!td+q6&By2NYY$!Qx6C)v@v)j&A)Df@O*dot@ zsEIzn{$&n2JO)?XqxLa2kR8X?ZBPvSA~4hOjtT$@stH&3%voqM?@2}Zs^u6wS81no zMlEB?LR1W5KT2b`l^AnafP4f^=pM=m*ONYWP}~*-O0pftf;bEWF?D=Yy|pqANiE;4 zvMLvjv-ZdZ1gsNbDZp}Ads-XX?K6={L|D+YHY(7A^68SZGGXg=r4)@G_aO8md@}{m zP$~hseEeTPnMiQ*uuy%5m)^Eo2hMK z#rxkvLHSpg$YxdnL+nozEzy)r1e-3Fm9_7-w3m=Ahf0LcgG`PxZsq~SD3D}J1}%k( zW114+ep>Q$0pG#zl8I%rKsL!afHl&`AeJzp*;2Tit&2p(F>>s}n{qbEqZ>+m-y)yXL z-FRz5maA290?^uxsOO*k<#kkh|i3CV&FaT}mx z0}+8|!r024QL5DBX>15wrYNz0s_wZEzqwPTGe= zC{uO9%B=XJmX%DvIC~P3+^rtbLOOoF-XWRI(=psPX=9|s-7X}PDR3s1sL8$+pnpYt~Bo-?!B2O(yf zN?@fA$N1FM^GxK^P^mlP!oNZ5AF21GZec>!Pbg7GSIQe(T03OyrS5HQSpjT6SMnu6 z4Pi!o0ZJ+42ykW0Qgr++vS#q>$QaawKE1BU3S@wou+Z;;2WE7C>_I>i@#Ug)g{8o} z!-=|rI&~2{pgE{X7UVTh67eOWaAmROd@-fS`1*5@;pGm9l}E>JkxrP%>9z_%eB!n0 zTjWw)f=u5O&Td|%49K$9KpFUoxR|>uM&4X>5np1jr})=*F#j91sxj=elPh-fyctfdX?zLS4@+965)`yof}95-d#JNGyRMw~JH56f+;nPz z`{Hj>1elLy^u}19l>l-4>##D{in^zI16~^F9zF*^2+Pk>2~g;!c@(Z)f<8194$(O* zL(AXX`*q+xjf<9n-))l-zaNyxP=S!YH3Ct-8$@@V$fB*`lI@OJLd)fmr+e0x)tBnX ziy**U6II-H4w0~P*JT2ZXMmWbaU5H-1UOF+f=&+wdB~iV00&|25|ses6GNeJ_{;?^ z6(eJwyf=N3=r;SMMwsX7s_RlG_xuktm=K9s9dqeo&t30t?_a72Y?n6P=p{bV06gDM z)B^T5qYPNt9hrRA56a!~2d-FycxJ4uZ{4z)-`I`<>L@p24;O$!db)c>$qrP&aAfNh z!H2@)^sHIXeOX@>N2q`}z}vMp$zkL$Fbj~vN@&avSOm5}YI+L4kZjjjQuAlA{!lOK zN@@;9a<%DWpWIT};k$Ud5;CWUzsWYN;x&>5As~ zIWdQFSZ68>ucvZl5qJn%dmYbUhnx5ry|&jf89yW ze%nR%0xJK@uzD(al*-AVoJ7A~Py+66h{1upb^ALMK?83Wq2K>>i;}8%b+Tjvzfd<} z9)LTEykSKna0NrMDGDo(?E?2m3U2nT#Jdt)Ae&scgg63%Nvv!7*3&grKL(RYGfR$f zG70j__x{f2cFyrpb=NA$=sTdarthE9ut0XVLblp898(9!Bhrj z(lywGETX0gRkW9`pRr;m1%xvS9K5KMa1h0WD!Kd`T<6TuIYnVK>h^x zG~LdW(7f4Q9!_$+MSG&@g6m%>@vRUopB!2YlHMtEqT1^zEvDo~ygp{6*50WA4 zx`4yTPx&MX1^r-WGj$yCzTYBKFdWK;WKxuB@C&ZC@r@M4@iZT=!e6O}vV8zkm4PAF z9;J}0gh66T)J?16V!|6u+bqh)5$%cwIjavASY*9J?&bm!Cq`ub=qQeFTMb&6YfxGgFd$Qh$|pm|`;C zi1Acu%4L0|>7P$Zoj-<1#hK5mN2zK5lgcxnRZ#U&yP6?}P*fdT3?*;%5(fqJ*!Znd z=B35b2e9&yvkyw$5i4acAgTssh{1mM)$Q+41fglULdFbseAHH$fy``RQd2v-ikLh` zNx{t~-E2j`E;aLV)K5FqzT_KsWG4zt?*N=E4iL24m=rn5ujo2emUfRpTSJQhtTkxgI zg#Mo`^DzASy)XE%io4}72(p+v;fpZ9JQ*hDJ8*gVBE(fcKl6l4+=Z-J{0JTh0iA5;C1WR7}aroW~f_JDEt$e#0Z>hx8q4tEZ#AJP@XM6jYLQcqbGSyEbKz9Ed9#p(}T zx7HwzxfLkA+g6pPwacpWk${qlP%rzD0jq{O-h{tG3t36xJ&dx zx>+ap2DviQk%K@v0QEQM>qm(i{qcF<4 z{WjmX(|b47sH5+ZF57gee!Ka)s&iqKDw}ufY*6l@A6C1zY@Dv&>PB#COz)TXZ_jzZqKoKK)>F6cpoZpz`1{s#p1P@h zq=F?0RD%LhDi470&W(oxqy?%CQ5TN@QsARWyX@$_WF3bH=YefUE+Ob^u~SGeXMhy7 zy+rh@?lK@n7ZqzGFd^j#;+Uly*a*|Q$Wh8=5 z-=hR4kq1OOyi$FuD%H6M)s;yGW1#kPq`7%*X*FcZ_LEZKhn zR2~U3x@R$DO&UGwAL!?V$u~m#;Bb{JR?k>LWVmWpO2K)h?r?w)FZ6W~c%8^+(2HM_ zR+6&UYL&9x5{1PId58?Swo)k#(oX{|m7a1v(JXH-xggt48Fo>q(=!e*6L~)x*H(I5 z(@n(gNbyd|glb}B@+C-v3pGuM@Tm}dSy+D7Sr|9id%KM+c%w03pRN};nh{L0Ip!X* z$UIpDs^vMas3usLe#qJ`N(r&%F=6MApg2q+mubzOcRsgUJ1UIVpS3C;M{P-`dw9SAb`}=35X`U2Jgfd)Mq7K)$!f=Pgx^(sq=x zJe(Aasbm@9!!Ae=vz)Zz*6nR>HZ-WLwwp@D6_tjz-`D3;S5xG%sbM}V0s8OxT}w|T zz-wor_6F~+RFB5*uTl)WTAq&f-p1j#_arKV>E+ywTSQI{L2c zZNm)V955Z}B4UCGNvK{&5gcvR!Z8R%(+jPYT^FtJQ^phqm)|4IW6(a=!r9^#xz$Dl zvF=BhW3cIi)~d*jFXP1CydzIfJ&sJ|c>EZAIWi4>lU*nr7c>eEBbS6Bsj~{y1nH}f zUkB@Cjp1|=TsLbXD?t#~uVn|9!Kqho$fmO(9Tuy{G+#J zz~mD?QAqO?jMc3f*-}_sDm`T*;&+SoMk~7Kw`*=xkZb^l>{B}5+vK?%%7;hXH}69B8@5~wJcU%)cEdU>JBPPKsj19b>k|KNX^OPKedhot@n zrh`kjbI9Q4rSylo+opf?FINPPGnczdxsP_#Du_a@f@T;Z)Y~vcKn+nSIIAqi7lRWu zKlq9;2lc`l1VzwJe;c!WiT5WZb@Z(q5({_DRs@Avo46uy&DjfPlMeNYp{f*0(cQi) z2{1vOB~C%W3SgyPO4UQ){=1mawG6?yq|9m+&w@7;K2HUtbwf;Xm3u(HKZOY;N3Z3T zCWWoVa@a>5e=rTw<;PY`9Psf*?*;=MBheMV#84NI<5a0MDAIyD1zl? z*$#p`Ut}MWX%1aXmU_hl1q-6TMzET<=<)%05>?>ELz0whti0g7V>bg`#9T0btE9-&BR>f5zKQq$zSloy&6s5ll>3F?ZiX(D|CH=$D0(F z)5tJnAqoa=ILUh=bRvp}d_*itvkdeRpMXei|7lFH_~6Td$+L5lP-zOnscLnLDF0y= zX!wlOuSn)HLqVhfb9@(wqa@@XP*EhH#2uAJJbdo)ur99NOa$79m+gpc)OCXX;YWpj>nLP2&8mc!5Jor`L*pVrm_`14r|%4O3W)4t3H11yNRhvO^^Nk z`wW!iv-m>Z#KI0r&AfVv_;u;y`WPrC^Pe;A`&et4%JP2Y2$cLAe}WHKyfKoLXx&CSm4#t?Wd!p6><_Nu&2J z$kq?r2uUpUas*0(z!R>>U#Y%Lze`#F!?(ASx4Ko5wJ4vv4iptV5f@knR^mtTTe@)V zmV7bA>W-py@K}$Eatoe^84Hdnt71q6d@tR|Z~#si*e2M7viW>5K-D`-j=*5~zv z^VrRy&dm#)8~%#doD%;QfuHLIoakF4C!+~V_CXe`VW9Op&_Iv}h{6f@iPhcJbG?Gm zDqyQm`KEj3`@^+m^xg*cL@*v#5Y|THss*GJltUJ{p$M9}jSrQDtBnY6R3;z@5Q315 zIK%=aatDyi1Y|VF#^07R2reCj@}av$FT8%Pa*84ol3!k08Xtiwdv~9olxfLoMN`f+ zm#FW$=?}Z_Z7S1#-)^)oh`;1=_L_Ekp=2V7-$9L*~gqppK@)N+E%z1~kcnvbJx-B8tNey$b7j%97*q3P2;TRNHDF zPrt$WCqEXbS~BT%B(2q-J6Kv*FD(;?)>Wl?_5D3;Q*-CVcjl&tKIyXSZ&L)kS}23L zc)M*qMpC#H%ApNV%Y^a1k9)+LzVLDC8lcuU~^} zszw+8$W)r=|Jb_@uqe*1dr<*VilATvLF~O?@4feKG-`@Q6O)+km&B;i^cXdk7*k9% zw%B{`f?ZLuD}sOmHjtvA=zq=&vkuDwy9jFX=RVJ}%gj4vXJ_8q&OJAU;nUgCR$4bK zA(l;@k9W$8nIEx48z`4JB$@(%$k>kaXN*x3#ua(veu~&4Inmz%Y;{LOO$o_nMZn{+ z!jj$8*wounsSa2Bt1iXSq&thZ$UWS{cadsigL#Be9&SarBk4h^)8M3N)v~>^s;z*G zQPj`6oPd5Xi_6#*%)lgyM(}J)nX5*f5Zqs z7aF)t=C)d4a!Bh{gRpM70$P|Hkg|}7zxQ7pCJoUBR})4RK0^T)(MGE}E>s1eTt~7x zwv)`|P)T-v)=ELJS@>0aIE)pe`=LZ(dl}ZZimFpe1XOg|k^t2KaYL7RD$%SRi|+)X zkoLZA;ZW&{*14Jxl)`-E@K$A1V|s158SjhmjPy5S+!GJU;!~cr<3bVOt{19yZ*%s* z?puULl2wrM5~v^u%Vefs6S|JHs@H+~m6d}1%LX8ee@x{U{D3SPu%(yc{?W#3?U{pwrkZv(6O z(Vnf6v>lcm3x#m*002M$Nkl+2OLTKxeKOO;MnT>?i%DFoBP{%S_WzoO>;SXdqLP2I0 zEE)FA9Kd%2YY1VNd|12+)?A49<=aNtIH**EcVD2Wxnq<_%M;0|S_1j4KjU@Ke(pkonm z|D>6pg$RY0Q7>ZBP4wH;OWpu|Oc(S`*9)6wv6+2gkGhIxrv@HU9nG!tAO?C5)_VeDv*{Ry+ICO+&a!q!-ciCJoA(CE zZvShBT@v+k^1BX(|8wHQ>9A-SG<}~iW@?FW&U>+ey9}>i0C7=Ew#>n?umER3n7`VH z%YStF0>VYeGq7PilD$??2%Pw>yvu@KBClB|@QB+J)){q69>pPn&s+w1|B-{RvPZlb z6~l_e&VpoeW(Ou4s-nBN9sw^2%31YQ0I~$<{v?v{&`N&YA1!nCgru=-GutmiK=Vd6 zP!tcDjm@t0Bx598l;PCx8*Vmu{M@lE%=L9>e*_w0@$-1Ip=npXawZ_6Oh7=l* zjmK_L#a#1SFE>!hlWvC0#)}Y1_+vyp162#_0fzp3P+c=d1N$`dmdfamcpFBc3;1jP zSaDhc@!Sx>NCr~9AyzCrhJV;Z`ek?sq9AhUM3f zGyYuMHJ`lFts=S^ak|c4ae|gPcj>kSBW_Q=^GEpq8kkYpH$f9(v%f*-vKpY&&M9H2 z=u=$B8Hj;eDkTs?o7mM;D!XO>^|AC^{u`h63a~cd(={PryR|%={6a%7`SB&6rxFSx z<1SG`tB!=q@7pgaB1rAq5Dl0s1r8pGf-i=EN!h|yv8=+cj2GeB?hY6SeE-%EC;?da zdGgpu`0O4AYKgH+PRi^}M`b~qrK;A4`)6+PUEj8{3UoDW8qenOB!c^P^%?mEjiTuN z_Ce^Tn5UCO<2fN*^cV^+m+uM`!n~(7S+5|j+PU(bN{U?$*Pw~jFU?n>-Y7)BI@RY9 zJ3Gmld$r6p_@+V$j7qhG9RBB7D1z?zPRq0My_o%f2b2+7;)@j0By}T{)K~~7U3{T3 z8qt(iuukLk-bjWGXkS)r?GOWo*1rQQBFQK|XuM5!{ZH>8KSDcE%FOi1y{z$as@uWAaZr2bf6$| zAQ70TO*MJXi(ViF(Q5K0noUtz@O$*Co9qox(fqadvV2@~2M~Hesvz_NXscJESv{%d zDOHjG;=Oz`K2h4&_Y@C6LSvvM>SoS*Hwsyeu(o!%Mx{rf^G%^-e3f8UUCY8Kh#1$5=ja?pz!OdVUlE`>}>HSaY z+t`RWSqB%CrR%I-tc+upLZxO2D5MKPx8(2bkAHVI)%dJuW!yL*FEjdu*bAABFEkRZ z^p2U?a>kF}`2Db|*~o0H@%oZbMDz|S6iR+*jo1J8|I@hw!BHrpTo(ppShU3lY=O`y zp`onZ$tj^-MWcfrC|gbHg~eMvntO{I?j;)-W6WMLKZnOJmE^_)kQauU4c=J~bPo{k zSkOG&K&{Z#8}V{EEJlJN5gLR-%t-jG*MiqwrL>C_2E>$M;pmj(Ibz-2+xKLXU$BCm zYx^N3HJjE`@lb-mRy^-~Rr&iuCFKpUO8IAmtw>p6O4x0Y?4@KuXi~vVmcQ579IxvZ z_EiXq!01YtIzsj>Gn!_yZ^x$g1TAla<-BXn3*egC36?R$LHF;c%@+*b0f({xtuqoe zt=%uFs$*93QdofE^Y?4207WgppK`DQ%EL0CLFCr2A`hDDMeuc(J#de;z!X!OUv?gSI(07Xy^i<1NWCe~ZJh+&d%R-G13W?HyN+Xs6Eu#(r?>9#mpC&(rg zdqu<}`IjP03N@;Np2VmeECj2>3O8a564MWiO%iQ`CwQmDaR=ub4htq4)(kPq1)Xdq zpPh|t1aoS52Fie}iEBS*DdgJk_|2|#i4a+>+qOXo z^boOC@LhTixiDcTV#1EiX4IbcJvq@8fDv{Bo;eH0lHgrb1pB})gk~d66xz{&`aI&YV3EQ2&U+d_cT7I{)Rbx zL?{9u90CjHaNAvyFzV+)e`%GG5<_-qcgqsgb>_5r=D}dWZmIcJiWOxBA zezHX9y}jMOyb3qkWM`G2iIZS8yU+hNszj`b)rLiE8Cx0sLkQi@56{3J;UQZTZWRQK z`!+oO>=t{ln#Kd5kuP5!RXTDTpSK(gk#p&U)oAIr_P8W1KnLTVKN{7|`4w*v&x?w{ z2~c(RK7W9wR)njw!42u@SkM@>HK%D1uV> zau+lEf+vEbq}9Z27KJP5%CXB3e;`shP&-qTvv`D_Kqv_0G_p7S@tK@@ty={t0thF2 z9}_W<-Qt2{gd$nCA7$+R7f_sJp^#y8&4*15TQveSj@6QsUVzNaeZ78~T!LY+g&*kB z5B>Ro_@RM^rNZ37XPrt&wZgeA-Sofl8C-$W*OO$z`cSd7dl+|F9-#>``_gE58gd*g zpY_mzDll`efze0^xg$csxe*13i@7h; zP(#30mYR@|?&qd!qzV`T^iqH6P(?M(DraBNTQCf?4CHc!QTa?Ee4SOrFF`R>bB&Pw z$PFyM3FyzIj+6=i(yDu%^fzuPS*FI_-CgHtm7WL>~c ztEcQsivewYoL^vjTIO%cqEJ*5 z_Cenq*;vl}(9xWnOgAWkRob>s^Zluo2;oa&E_}2JU$9qZUy`)9lLz_8n{y7Mb!26k z)_6Uv-W2m8vyDxxr=sZYecGfVAe6L2{Ss0HmdY)71lb8AO++{d&$C#;?adGOv>f^- zf9&NVF){Zf4A#jeOU+T2b58>hPhI|CEs>rEjRR|j(!^a9vI zvR;`&x;;LfkJmx1Vy-lVr`rJhU-|Q)6Ifn^ZgB79q!Mtz^Y;KbI%_C{@}&!jJE8zf zQOgjltGyTsc2Ye>wf?&dK|cgGmSSI#5$HRhR{|WzCRfHnzM)^ySuGTKz!GR8m5G$e zWPOgUeyWNf`SP=_MOn$5<)AreMWyO16j4>vzGGHmJ2d|=}BQHDRYJJ)VCJ` z!ByE!-f!V5uKAv-^J(sBK6m4;V>bM5Tcm6~WqIPBxtjMnm6y@oDyhC6Iq~I~pR)%V zhn}A)Nsr`^d|_1QOe?8tB9OIt*S-9^#x|&tlNC1PRp?DCSao10Nlur zoJ2n4DKug^Z2t6DV>N~1oeogH=9C?EDgzjlmpJL*Mh7@^mJeHSdha}>TPJ}YBcCizCSlW*g zABwXRDi=X;mk94eD<;e6Sn*IEdSN?1CaEYSvHPyUCh3O;IuoGGzUWs?KlF(|v-KYf zVY>Jfm$&{nA`IDCB3l9?#Si3rqgt1cZk6)kp|WJ_|371y3|@LNUZ!mgmUs&}p?H;; z1DG|mwp8)R9F>-?59}t590`UGhpiE&%IyZqcR8At55EC=?EBhAtyXybnq!3@uyrvM#u+YK40p z?Pyu7M)YA>kXo{`nSBPlpcsk+rfvuNYf3osk0;RI{4^L1-bS-5V@0s{s;4RdH51); zN`Ed-^Yc?z1Swx`DBc-tGs_iXn1WXD=!GJ!R}WTwg_E1VUspUNY!&(KQP9bP1H1#8 zNVAu4qheRoB&l6Ya+mn0G^E#->EADh-w}tidEhR>eanH#$^jGV!6Vls3a;s`=mbDU z<4jgf>d#609D)icR?%6>6>!POW;W%cTsi=-P)D!H&L8Vqfp(= zu21F)5l&yMMNjHO_6Qew{Fh8Nx^Sl>ljMtyVR8nZsinf_;uEx19Mz$`0b*?FJ~A6m zI(V{#wsa4aily$!cJvH?8%6>1M>G;Nxdl&m2as^a(i7nkt*H#Dn@c<0ls9&Flv}8* z|90Ln!*Es?Gh9>+4eYa<{E?5e6Ld^0JaIdCG~Nieh5{HNmkozkAF82;vBreTMlzQH z5eQQC?}WFxyXKPuh{xOF#&O2GjddQ$Ym;n=6Y6H2J2^bV&sx)L8=E|c zL0Wvp|MOh)0MAxtOuLYlroASRV()a0YSswSHI9xT-wIzJQ>SBuf)AB~IUP zQZ5dhsx4Bb^i5a1EG?>ds0v2IiX8Y5g)HW$unq|~&58lnxkeFrw^<>0b~$iz|Datm z8T|9U+cJNDSeC9MDVJxyQri-EqQzH-2)?G{x5|Lhhh==-PgkSAhJIaN!*MDab; z4D5qfyGuvNC-ao350S(feCVo0=6>4}$bUX}axcS=lp>s$2Hd zK0Y%=z;6g;&=7B1@iIF$w)1p^ya*OeHi%|v_s58lvkQ=38`GsbfE(^Wq=#I%?IPiK z?Num+x#nW_G2#sc;eaADt^H&I#@~a5{RplADP#F!VMr|AW1&Q16K&N0Jm;*64U~g- zQ70+mUO-BN*vbV3VGjsr(}kc2Z%cwzHE-=X38A%;B1H<=$)z7V8&C%mmj|Gb?xX|_ znyd{`?Y8ZKO&cP=W6aTN%HkxTTf|U))oki|6f89#r5az z$q!pX(k;5m=!)>#eTfj@kfz@0#_BWL-ja2FChwIkCxYcr@7am~PTQ6UnKtMZ@M6=l zs&@fv8>)SD?hUJWU50Gy zCiYHVG6rGo_V8}Z%nv-1iel}=pQ$3?NkAF&#T$Y?<_Ba#kb&^}UJBQd8ER4J$rn>(iH)<5} z%FVlWshZq-7O|I34Lzkuaj+hycn$1fkc6bxkAELGz)ZJ%(n1xgaGz8JU#$p`D?@(Z zzXqYl({#m4=yBjE)6iIiEQ>iU>~X*Xz$xp`rK#>V=h$q3^GUm6Qp)-NhdKzn{ZPK# zd=>X@lsT6t%@|TGlemlpOF1oM=PWyFt@JK^QYHbYVJxJHUKUhGf~I#>&5!7V%LcI>j4u1%|=d^nu>M&1-k$a%hZHEn84> zp_X9M{9|$#MXU5sKCiP~x_O_?@MwI#4o0|(BkGj+o%DT`^&7s6W>clB^ihgHzdV!A z&EO5}%4AHAt$tQH0>c-=mtPEJ;E4s_7#3#o!2`*jZDU%fw<2zL3q4qyAdC~0kXJ$1W-Ln% z4YHkPU-V3Uh&qOch?|Ugy`KC$|Ad6X$|9p8D;6nKJVYrN3%~PNSYE7`AURzG++a2y zHx?3sg~2M)xAij~WA6WqQ4_2FVv~~c7Q6#`Y?g>WLJxgsM@!FIMP+0oXK}Di`@JmL z-}5?_Me_5G#>&q-f-PnV<#!^b$mBsar3}9TC<`DFbUYoBc4tf4KH3V(TI`lM3t`-JRJvA89RY`!V&ks z9X_+@jD3O$y2z^Vj>?VAodF1OMYZo8P`&)M@htk4C!oiGzr0oTfGR@GZ2#=>|VWFEyG*^b=u|Re|OC`Ybd~TjPD1(o2K3`aH@zl^0SE6JjSTyxm zcTR{@20gsbNG%k6q^G$pITjN~CvFxIc0^MNq&uLlrFVc=ag?C5r*h9yXHvP(U!XVf zA{3ykhBZ{u@v#L+uJxLWhfDkF#S|}kyDxX7Y8cZMRMZvVQnChtmdtMpPsk0p&KIV1 zmV!WRj02RD981g*m_kVn+}u-kUiDI6kIn4g>y%m<(0#A??mAiYcEfB9A*mVy-yr#D zfxp~D=+07M9_Gy!9@3>kUPBnn(tSLS;}3>CkO}L8mGZUp{8-cRTFWvr4h4l|rOgRp zsA?5LCA-muOV8PL4i>c2JzvGJY3jJ7Q}CWU%CL$GU=XO?OMqGTPD;qr8R5=p^Zcce z%Mtmp@dh>aD$sjtKnqP`w$ry=++dja(VXC{OSt6@XH-#rdc~=)@9HS= z_RWOMt>2;G@*Y~TzEgdl>W9p}C%_{_vMcM@)j0K*MN>tHM@3)!=DZKwGLFNMma#mXV_-GCZ0 zvRzq)(f=9~yI#6A_L4HdRI{GJ1|}9y*i7${B=>^}P)E%-41c1ETPf^e%HMl?TvsV_!KC zp6qE+3Gm$dqS?K^U~C^KCHkZ9@K-PRD5Mkt6JM`BEwjLYtml3rm(vXVW&`p3nkiGD z1UTBjKfPC>q zAt#ZSW3-~IE(}Bw;I3}x|hl4tJ z2D&K`u1BIh3W=^XMX&_b*DsVkp_bF)`3=o9KHlF-7M!mFI^cs+xdaM!kcZHOyg;mW z?D@kU**&h6IaQC^rkTF;d$IX>&$=E8W_SUe*({NL;A83&h`tj|++~oDqo7U1KT6mv zW}$zq%-Rv6DAO%HdD4!L?Nu3}yRrr}nx*?Nclje ztmRa=jiI)N82_q{2~xOlyp+QJ2=T1tb}iN9uMUhq^lJQ3E&MT*7*SaCjFumCP|q+s zrm-U$q1wLTCB!C=wY02UL~Y81{n!1g%e#Q>{tb43v!IS~gCZK-w4``uUO}uKehogn zr~UIR-=Dij49egqC<6lLXXBMTQ<`~&s1SP8@ldZT2!AX`+;JTWVUAtCZD7bV)aR)w{JiDjjR0b!07AG_L4Vpch&JTTTFTyz8>81(hwsQR`s!li-dCus;A} zU2(a*d|7X+OaSa|l79ms-w`bi<_{Ad=Er+?5X|Z)i5@q0j>Qy1^EuEZ8q~@Qi)pH=&II!Qcr+K-%dDSWw-+-y_>l zL0rIuLP|N6EWI^{3w`j*wyGTycJjX6dAIc%w5n z9?H12SF+SHlBY&;GPaGhU^2<_H=;N2C+^=L8tpUONBW(e0vvnFiF&fU{ojVg^m1vn zPej<0MpkurZ?)@r09*f1a-%L_3=**VCNXufp2k!A>P6+mg=lF~EZ8t1AH)4hxrK^c z3Q>Ti3*1(p`Df2HPgNn!To&_M39%uvxyuSQxf=#O;fFm}ODMyyI(Uv4^5JXJi#28U zSrkG-nS~?IMre4?wgu1y%|o@c)E|KelDY4zg>=bEgRS#c*-{ zgfh5@k4x}MzFWA$0$~9Zi){F!1FB^E515b;- z5lniAw1wr<30ypU9Y7D(k-s03-W&VK;bCvUSRD&gw%) zu%uo=Q5hv8_~kO7aoV&i19^ISHsjF>#?IX?D4_FEGN9~nd2MGGS%C^pEhj=^ ztf`GQNcWh25)cB+`j(3-6vYjSIpn>jS(N|V|(8Qo9)XHr5!%2YQtQUZc z8VTO0U8f^0+Uqs^UL)q?8dPzU51PA5!RM5!A`$eNbC1MiIT=g~llh#No0U@GJc}+; z76&uY&->UEM-D@zAEH2WV!iFki{>E+sUB%W+}N{yIc2ECT}2`s=rLo_yw|Bid{B=N zC7lpT`l#j}>4YMzS*RnRXr2M%^*!y9L!|Vs$uZUs_swmDVZL0v2?}Ykf$7uuINE-0 zz{?=2k3EVtVLuXj8v2?euDcTBBx8VJDh1EGHNvo(FfXGi1#MXlydTFt_vXNUv$V_S zQW5Z*KpFT#8T7};?Rc3TCgQfnLXA!rHK)q&>y|DkJIA(?p+M^N*pN#WwoPuDy}H8! zH96CQ3I#9HpRHD?SSxEDDi@3tGBr|;Blh_M&_WBq>0>PZP%=G|N=pvqkYAdwmX@mq%S1p<`CySEAU}%WD0SSfO8+t^r5m`_ znRI9S!kiE)uMMM{%K{{mKY-O}4#$%IJT{+95{Px#DvVE-Pf@%=+pa7yq;>7oSynrM`*99`+1gKjy^ zP&S}RF-LW4p3%q0YV#SLKzfIT66k|<^huxU(?L?Lb13x>2#Hdh|Ll=`5jXusB%tU@ z+o5upR<5xyNz@IoQol6fAOK4aOF5t#JEl;9V#5cH+*$k{iem4W_DXpIz_tzQ~X|5cLg_ zsHRSjpe()PF_gCJ+->m>Pm<~$_Tu=Ap{8*UtYpUCDEVStps*o}r9%Fh--p*j8^cOy zmzs7mwse1489#S-pwx6et?FI0?O7A^%8qXGMcr+RMnPNt9pKQ9tX+g`xCCYN>rf7r zz#?llUQh*L+Bp3?LytY`lt5_J7NJKQm8Uu!a8TLpvh)b z<&KBav9qz39Vlj--f)pr0O6Y^40XQi+fKgsZ-}B%cPUobQ--!Gk32$EA!~6}gn8Vd zc$llQ!!tv`g)LYCVjtK^yp@|cI(tg_lBL10TUovzSW}&ww(qC({w|cjqNj8$vkvoY z?!HV;4N9OhhOh!9?TnkrwwA1>+e#z?vG03fod*Cn&D=s}!eSm${Bpvi#lnyhg`UUn zpn|CPNwf^u(n}$Qn7EzRWP_AI;%O#$N0>xr9KWOIIr#?sNZr2QExntUQrlNWE5W+J z)35Ohk`9Qwt_NQ_Wz)e>sfG9?!$W#uqH8;lL0Tb95j4mcfQ0eqhnfN7oD9{|#t*A> zSXNxBAb;%2r6wLW^QPFN*p1Sr#3gyZhOd0S%nBBtgG%(O6*=&S*N@Fos5nQVU-DH{ zd|Dz0FWr*fv!mp#)?U)5>a!uTI|eV{8?y~wnA#A z~oc@_NQ12M7o zEPV#C`19(9^g=yAVq${$1}4dd;}P=n){6?MbPI7z50uEe!oBxK%y9-_gV87$Yv~cF zu&|AaUI8)OIc2HSLU+c?4 z@lXW&`4HlEA{v6py6|`h>5uc(gbxiZH$H6o+68(9L>R3MET;(k$Y4s3fCYH0tfbT_ zd{rgUr|wKpF;g=c6SYHI{LXv~N%9yb(n1L4?u1I*@8WGa5%ECkdO08oZ|;^)Hja7y zUk=`pv8ygwM9Zxm)f+&BrSCRY6If2PjilGwW72LA5S~|7d&G^avTWZ4adR$UvR?(0 z#ol?(V$u)SPEi>wJxZMg+UBNV89&r75~`rstga%wX(&$rm41Jbm35TM$HW#E?X$VKE8!o2@z zxe{oft7_LIdwXeHH;H~!RK5Z1`Zst|t?|6j%gAma5F`W!A*ms<@i(FpU^r-McAsx{ z?C*K>2tLIZbIy)0XS@%hZ5Wqdd zR7TbXmq9H+w4#1sGnWyt_J}4RdWbIVi|_&{oV@iis``HEx5-1If#)YBb)vnsYzKU; z2NXkOWOBUO4zYZe!QV&d!5TP9A~HPSUHsH+jdWb|qB;jE6tb~W7$zcL$~!1?-_rHZ zR0*=Su=>b})a#|U%*8-uY-Rro^ z@LvxpNUJ(AGyfd82=CyR82P9@d5cmbyUg5$*~8c?V9dH}LXRXX@Q{0D1<6^^P+XE$MUN{= z7{aMZVKHylc_4vKC>;L>er-^(dqa8wT+yZEd6lGQ{$k95ma+(mW9Ada^sJI0x{aY- zYb1M3g>QM9WX=6y-8tEe`UWP6zXe@~7Z7w5)&`7Sa#H5+rQ&*^_VEEK^9e0|Lg57P zF_ggiC)`htZT?&-0(b-T0$zO5Z%afFasH=)5;_aC(CN@SD#3aN|FigvLcWj*4R=Xz z#M3h>FiY=TSdUPzaEN-1t-C{xMi!N|;4)u%Dh^5})UX+ORpRekqm=!yVVU-UUIOsdTUm>!dRZ04g0C;E{n-Wj>Okj#gbW=a^!`n|;}>9A&i^qvC3F%+B;U6Rwn z5ZmD|+sP-3jzdvhvgmMB@SiNdD1Oxo%SSC-C8a#WqJ3mG*!RkP`E+I0asf07WV$=pvWn3d>(3>nd0{!(Pm9>Ct`s9*e=; zJ8jcBVNQ?6#jIEBsL-Q+hYV>Aoqa&=-n)nJY`9zqw3YT>Malk&Z51S&75?nm-MDD5 z3Y8LgF=2U<%HuKjkOet|ZN}oY6iPs+GPAI!9Ny%)QUv&}_3%m#xSRRK=?WhNvW7?+ zPV`JbGTCdYn-;5#3&TPx2P^#(@SSKJ>1G}(-C=}j(uL*gW3-Q4ajCrgcBZB(pJ%Ld z!r`WcD1C#GtMDl4EH9#Pj8XgJfj|p&EGPF;TK`!inP`=g zBVb_;<*jN5WF4TNzVHZEqh^6U2{ie%)-F}G$wE4^vuZ+OK9_@c!4jFggn0%{_}L~` zlA1*3v|1(oHuh36d?JbX5V4|}9tz!YR*TjtRZzvAvkUFQ!a8~f?SMHaf{BSnOJtpY zgd7XLBa?cSlyU{12xw+FEJH!lkPx2h`&hXfR+{mFMRh+8LF76ow3E^C=fMK+gQ{5; zLK#c7g5j#V_g?f_`34>%L!4*9(G}ue3p6ar;TLYR7WdOj2#cmC>tXEdzUrk`pT%=m zq_m54K@k;=71rJtMI!kEzz=!02g(6ZR!~^+KD6R7`CqNQ04*dbXd3;MS?j#`>cte=ltE85(txCQ%Zn2JbFW% zw;RAvYq@fW3jLa?2x#$N#On%P=kVG91(1y7z(3EkJa3Aif;kt9lRsgIE09F>JAYlF zdHBPYM-^a2ytl3tqxujOLFKS>-g~Mu665lmIAs2-MMLS_-$ zv?l9QAv6fjW6i;W&DQeaYqcc`AtEQlzh42J1V7)x%};rNW;D@2E>Ya_B`36btTDBB z%Al=1KxNTPnijik=-$RU1s1b0@kW9ydJq&|gPz`}<(Jbw>i-0EchiKGn#TdDYhU7m zs{SP0^`-6IR6?8-6^xg%5}EONtpN!!*KJV*H3(3`4mFB{Up`gjLEE)O@3fp7m3Na* zv)~;t@jQ6WSsB-*l(YlI^^*vqaq{uHa1YKHsY zlrW~xNtR(lGG|igIr60m!$Q{pb7nQIUP!O98K}2affZDumLZjVK+pZX?UIbC;3^N$ zx5E+Nch0g?iq_!{E*zBrCsWe9&?wPr6*&2R-*B^j_v$mltla-vgjuiObx<5w%RVSA z%_~AqRO{~&vIok63WH5` zSdccd3aKo7)p_xtJiqbq=3A~Tp*oG#0WaCa`cMK)!a)pH>WPWpGV4Vh z>Dm8zJPSPgbKx0eI#ZL(tl8(=6r@u-<=%)XPDJ%?dSp@BxF>z*M9IngDiXAz;9`kK z;R+ufVtE{ad|#mVgocvN7h$dP^WEy4o zhQcrszdx(D`C`*_uFsBM2(;wr9W<-xCU2q}7UQ?(3M-gDUVcF?H1?3!8#v*6o4e)X zaX0TilGhgpXQ@KCDbAU&P%?(*q;OI^gU~16iPI+qN=2LGNt}~@L|lFY{Bw0+d37pz zQ=rDC&TAWbUpFm&*|3U}_V`216vHSzTYj(ggDa}ZnQWuvtJ;r5ag`KA62n6j-bXB= zq=CapTQB+u3FH4Ho?|m9lFw0?pxyCV3#o(J916YsF^i9@`-{)lm-Y8zzb7OZq>r7} z4U~>0&dZ0G-1W}{JrF{uCoV54;qWSNMBkM$wRW2f&pKhBECOX%mj;sdOsa$9j|-v* z7I<^!-=x|Bo|E@1JR&)F=7@tcEEJ4afsBsAN#S=`2(#dVYDGM}NnQYYhHkCCFh#!~ zRxrk+=!DH_XiaA(j8X2n>ZwZINw7r*Qo67V%@oQTugJLapjudKTtha}Yryui0+W;z zw6+=}R^PvDfGQ-Thw#nO#wx)}1u?zJIztl-A||@5>o3E5R+l`muqb369S=gV&qvm$ z`66Sc`l;p1+b;sb=P{y)+cKt~JJ%yQ*n75NpB&6YVYB6fkt^7u++wu@{Aq^11x)z; z+I*E_PSuuIS~yaUfy_?3KKw2XmcA;b+>6WhF)bDJk(L-)Ux`qf*Lpc>B)n0bTm~%+ zy%j8wGzqg_t(|R&W^y78o(YN!#K0|;_EihZXKh^(k~4oxCWVJD43f)ea&Cz*h4XI5 z3W^s;>sV*W_LhvDyzZ<*yybcr3xmO0Rnl@YJb>Sy6CsHXX_PSbPK&$^+p=vFHStJC^xWP>!2V*Vz7?_(4snbM?X@j&TS=CtwxwEIV` zOy>^`7lx;-;iX34*$hTINFw1_*eoSVcsZ1*`ctghYu4kyo&tRJSk0WMiFY1S{#dHXlKVSyy_ih#uaEa4Dpt>M5?fgohhktu zYJO%O!57vwn^?18t1Ln)l@VI0f=Xb%GyJ3#aHV&SWd97qwvqAq6}+<*Af&WBD7<-B zgMxSBk*2Vwt&}pLQlN18o9N{_`lZ@(H*aonfpv5}GEQ=%KS5$doJ>b^dddf|fZndU zUwzj8kRq3Pg3-uP&;`~D!%|BwR#X+w+H!g*(@xZt&*4eEjCidkb(aiPlYxdp;_@Gl z*OhONHBuA^q_o}&*lFi)cd6@e`h{tR>p|95LRVMedpPH}m3f#vSgM~L!X)ANWhdo_ zwPy_pe{=WS5RFJCbt?wtmh6FLwA~FTfg$q(Ev{`Kx@r!*09wsCE%d%vq00(#dXtGs zx#TmTaJrT}qvGT|{zrbTVLfk!g2*Gows%385tQj5RwTKiZ?E6du!+*>nQ*A7%mHLm z6ZXrfv3P`s3WTK)If@@2sT1b^Dq^#SwB{7ND=mYcL${UQq2qGc~0 zbiIp9<8B2z8bWlhVaq?gXP>c({c9nPP_p^BMaVY_*A-v@f==&m*{`?r0nQ6$0WLkll>du1e(`+ zner5@b8||4q$c#b_#IzEaiKAajorfP!yq9AvtG!g%X^YBe0n+a-)x|`wSL-k227$y z6$kp&c7qf3rZl_KxXk`N%Rq5zXD3+ZN%NdA*C03xb%?u4C;l%bB7{| zVUZO$iO@qF29+yvWJTcp&;u$AYL&uvu?AyAzpc5S>GhI(U0t_oKchDV8Y8bcFsucC(pXFyN zgggKi(4E(WZ7#L$9O=akCSEX78_QPF_(P>e0%mt#-Pg+WQa%q zY|z`jfev6{$k8!RN7{HAapW1|vq2BY8DZN($Ws(VZv{pO9BdNtn?F)sIU7kOVxQL$ zilS#vPrVX6ef_);g8hDluoVrOxo^}Lwg)vsdO#7ZFk{?vIpldu7DFRj#Ung48CPp; zR<9V7mJ^V)B!@X+WmF2Q4&_sXY>b(91FE1MIDbg|rndmHay&i+p>Sg_!n>dg90Jq@ zZ&JoOX=$*!m_oPL9&Rubtfi1ZV-k%8W{rwnNp+)hEEz%FVcCybd|%z*TsE_*Z?ffu z3fkXWu0YY(3(_1h*-C)IYVsOls8j^=&sI&N2#5rUgD1fUh87$x#0JP=96)y_z0@rn zDy-r(mRE32e*#y{umlTxdV(nJ-ML2r)hngCN*Tw5`7pCH+dz02Y<$8*Gn=h<0MF5r z#?M)uyJKuiRe-h>UZAPBSB8>^eUKz~pd4`HOaGpgWDi2SyZx_82@g-{ zx4ADmnXOSce(q1N1o%InA9}qpi8iSC4^8Fyay^A1D59j8AG-~T>w8cR%%AbTXIQEr z!oLg!YvJ1Dtseg^iV#;pC=<#}B*o1TCX+UqG48n?^4uwclq>ZO-h1Fxp_Ib8@p z<7+Cg+${83=nE370B4yBo^{4FTcEVP4kkYz)BqSG9Q4PgyL|;Q@XL5zWH<>E|HWu$ zxrBE;<*k03^ge6dkhq>n?%rr|IS}z)Eo{T(Y<;<=z~-OylOLW| z5i6KA;lsyd;MyZal_DUaSIf$U(b?*n>-E+CzDkGr($u!owgySXBITt{@yECR`5Q?xZA*};;Uu?G@&e$^nlKNx5aFNA zUFT|x(!BFt*mR|oTF!fn!u>GE^uw_RiWf*N#GfgPPG}!h%?bZ$QS^*7bdCi6zqm|2 zQeH|GwU?UUA@W2m%55lw=1sli5Dd0%sK}Q*W#xZ=A?VYOc0&5neRi<0|(tyo$)N?%GxeEh8Z+r9>Eiqx);zEbS3G6(xMze3BVO3P0b=a7NQM!PcLiPo z3FPVk0=fac1X|QBA=^PF*PupGnZ4q)xC0?{4rF({;p;&mv4ayCO0}8?TJYZ^0m~-g zxq(o68?+Q}R6eL&UNVg`U+{CYwT1^~Ho{6Ioimj*CIqni`u>=l7pn1`G#V~@1>VM5 z#e<}Mzn4)v&=Hgygy89g&AW&1>MmDibX5&H=xLGCpoM#&%tg&XMnYuMBqZwTK~Pvp zJbPH3Y=KykVC{|H4yWq3o6)(j+uYJuN(tt3m3SWZcNnTwqcYmWu#QRLmG3nH!)1oR zHp6&xK9JjH8xrvGpfzX(#8qYuf<9e*LfGhM5~{{ov!a(DaE)pC685XfL3n-d`nFXy z0{=rV0D7F7uwMozP2*4??-l2 zIVF=QExU1OciA7GfADW0la4l!O`zeOj0b?I5H|Yw&cC62h}r~754iY*<*62xP#6qJ zX~NHRdH1629?R%?&b0J5gVUXac$#zr`efHtuQZCFIcgRh^2Ev#V8eNpM1?7dM*ZT_UW@^sSs9`(@mi*gR|PQ9p_ zj-YaA;IdFHrq%uhI*HLZAV(0dzuxPlXG)%|<+^+UC)k+?73~BRSVQ?RjL5>rAr+1r z__*j@R=yc28?gNxOzs-=lLw|nPIO&;OvFU*X+n?sT}P z>hD|MOX`;Kk=}p1fXirZD%h!ZK73~w^nEBvhC>^JtpET(07*naR8GmzOC`D=q=jF5J$>w-UJ6+$=k4}Tih!Ihq=R6e=mqD1 zb^>%YGhqQ7AIy<}yY7Ut>G<_d*)_J6xED;Woycta^wtxmy7A-Y(h0CubDG{P+J99B z)O3>hhaTrx(%Uze(*s!lQ8Ou+jm={S!E6hDo0i4S$mi7qR49&>;IIF7NO3%A=feUy zQhbm<%MtV*xMh@Z($Wim$A(3(7^=&s_}7!4F{ux&ctk!yK@~$Dny{FQ9mr^%YfakO z=%wrWe(HCpXWPc(qA*n0%KcKRiIn%&bljV?n)wWsE9M|Asyivv6njRHuq`gZ8#yI! zRJC7M%)PvqShw_E5 zQ7q%)l2>xUQ>cY7(d`@Y;tJBPs^IV0hKHU)H<%9{UyRrHMJKVor2~{jMXQPyAFZ|7 zEmq5GJ31-YD-jU=5H3&;EBYUhTZm&c(L4q|1Z*PycD4P${g+3DX9d+Of#aB~xE1e+w^?7W&W4*J#7RmRnsZ0fq!kA;B2t{A7PC1q~(X+k`$|(jOh~ zcwIPQ(_3afPD4=5*nD0#`S~kFKu`kDBR`%AcI+DmLc|({uF4qHK~M-M<#*K!_s2X8 zUt}sJthadzRHcI=vh(dwXQhyNI_0XD^GYT;ncgY zmXJ61bd+xf)mHcLVQ_oJuhzffAAmJqW>HjKYsi*yjG1HH*Olv znx-y+%AR2xg{Sg>Tu*J9wB6O7>U&8@J=d~a~0nsL9)EVVi|#b)9O2Y+fC&w z{1DRl{fv)_-7==h*et_6tRVGq3x`XOi67QHDK1#C>3hvn+!0zLI!Y53@mvPJdksPe zgwqmQ$I8v0*fgj736+Q7SQgMNz~tTx7NcIsbHq3=j8nz#ND5z8ct_pMg>Fz}WDV61 zPxmve+E(xmqJiJuaG`*rNnl0tlL{vQB9xXL3{f0Z8SO9R=G}}|t94WYv^=v@32?_> zt~e!kBmHCo!iiMqM3?cmVbR-PP>$8mWve=9MpnVwnz8Y;{0e2yd#wnp=&nG$jR1AJ zRsqcYeTybXjJp$LKq2hX1w30UU+mFY-u&jSA!>m&L$ zET<@@bKz#BN6P(^s)JsR1;YHm3=76TmqY&%9)aVYH0GP||0(Cf$J^^jb*L(=W8O1!zGV7ckd&=NDYO6f(71L+s9)r`#|9cva- z7NzzbviijOPdbPDCQL1LKuAavhBf&zCEmr8b4B;jf5Q^tyq#XNd3G<` z1*kFm3=nFJsFjp0?gH3vDH-0Xj3tLLHJ0AM=cZQt)0X`s6@mUDLn#E}|FKXAizlI{Wa8y^iIfLyJ6Ms2Oqz|xeBaU?vp^B;nU1b&?yfT1- zGP&oDhTDX*jmBi5XaH~=3Q6$(fOXCnt1LSrA3()WyGWQqc>LLVC1UaIRh8-dHcJhO zW5!a+2s!RxGZ5AVs|4V;pvY!X5j_Bgmnd}QS+v6jI}A55N5BF&8=yycZEB9*sLq?H z7YaS0Ur{M-N;ol9Lc+Kf>I+ot8H$VE46o^rO*cq~)di9KJ0yp`Y^(U>P3^;yWwhk~ zey5QN8))Ze$@WYLuluMe`q?H=dX{XfAIl^#X_7C)^JDDU)gdp8fFx;H=p>pJManSn z?GHl?Uqh^D)wpEvWUhno+{Uiyhop$)8o8^3`~lP!y+pn6_t=lNlav;S$fRy@E%+V1A( zFhz#gs8GBtkFKcg+VEc1!%1kh$00;IurvA%|9nsi7Ro1t8(e_`Nl*A3E<*2tV?no6 z_>WfnTkXna*k_wo{;#jqRWBM~TIedhV_2|!0p=gT9{%|PUHb16C(3T>?7F|h^V0pAR(>;`%)Fi}W_Nvo9;50iXd0c{=7 zxRh!tqTm0Md?xJ($|ur!kmTHi`v}njK@(~HXI9rzThaOMD48;P~2oKr9fe_ z0I_^RJsGcN8&u|ONaJugvY0AHWM5}YGD3tCm1TLC8!!;URoIV^O$Y32IVNd(b?@N5 z(~vmYIS^g*-rlytigMCUFM=WPBf=s?)GTPdGz|}zwjJNcA-T%xc1?JG-+-QkH7^WL zl3m z)+@CT(t0F+&i5B*B*pijLmp%Hl=H|BkcOI`C(nazGl_s>e}wp?eE7Xus@T4EoTu=< z1;^-$-zf9=;!`-8Cq2Z!QW5Z?KpEu2+flsA@qcrK$tv=mf3y0uB*b4=y^EQk)lZ7t z4%IXS|oZD-`0uF94xL=%0E>Q(%Qu1mD)*tZ?6im~wx5ULkHf z5%5Ctwh&v+O6Yn^hbhbQmu9O~B{Jj7+rTbLYf_VZu;f}H4ov#tybh?IhJ~x;`Ro9z z)jFwJ;XzNtWOf&dH7R8#&VzWYJtoNI==JQ6I4~1@Gn=kcUI-NvJ&LdTCnG*C!jn23 z8m+o$5!zcFG30fln_3#fRSk8%gHks~7$05&Pl6TDPb$BFhT|>Ja7?VX4a~Uos1TP( z5qggd328zeo-U2Nq#RH&_i?@M0R|g?FHwaKgD?sDU%Vv=tj0AVLQ0!DWq~9)g?g4cB_tmF{BSe*rP-=f-R#(mh@K_yRaVDSvFr--R7a^&C<4jsJ%%~} z6Nk=p5k1JVf4!*`9tVF0MX+Sm8R-q!^m#yM%cHSPC=7&Ls6e(a?x4b?V`l7E`(?go zc&pN?*TGmeMY4(pAOWGM6gFQDBE@^>buTEGC$0XcBwKNuF8>xKz-#vS>3&LA@M#?e zir_mO>wR;N#|pxccxW$PjZo;9S1TMz<2p0eN)}F9ZA{{JLkyi35tFle;myAo@%%}s zx+K*EohfvZ@qTDo&IW>n zRl{`Y*%^%tsGNLQ2pWNk1wsL4vNus?ZT9xw>HGBs|a+ro=mD{Fxwxh4&ky=q7vYRA^UZ$|6P#wjpE{ql@Hvz1}bNqbb7C8Kd%H>OAB zOncN)r;*R<1i}=2k44~_eWjTIcZDTSYo4K{_W)hIb}L3M44j%OKSJ8>S5UM^Z>=*W9?3zJ!{!%N+B^jaz}lA%H7Zgz%w$vq&57PnbqnDBYuehzPs zc_W4znI~Y8A`806Go%TB^OEo;2{9%Oiv}yz)@Svd)aI;7u)^t&0VC~%>3;GVy53Eh ze_V!juPAd?ot8I-_(&XbZ#%aJ%3%O9DD;YBAK21ykXO;ojAVJHdXCgvI#dc4^i-id zKjiYl5O&4gB|bp^)IuMPY!p5;3-B8HZ&CuhK98St{`W7D6mNhS%uLHaIY}@CG;#SU z@pib532C*Vo09%=@S#14@I?$z7)8NSNEC*CGNnaz5^gZPgpG*XGK9lkzr*m5vx1rN zUb@Ac1nq$snu%sB43U@;7VqTElZ0@o@ zY3LQphl+_>mh4YF)C7|iLO7@(r7)L4tG^s#?Kl~HZ`0N&>maBU?BVI;K@v1B4$W#( z#@e8ZRRkujD^LhW@NjGR{#rnrbZuEmZX@L3f+C73e;$!Lv2mc+_EQ*h!W7dJHWO#_ z8%u>fEb1e^9h5Q~B39v{uOfgsHwptD)dsz)9R-XiJu7#ZC zWl(LzU~;5Rb;9*afY);oR&p55F^W{Ekiq~K6ozH&4q66Bz>5=bz2j39h9g374-sPz zICoRl`dya9`<$neg-waRLWerisPOk?r!dVUnT(LsBorqy7Mi?)8vpcr^uPJmyqk+unh1bZTE@AhY=3V_)0^S291 z5FS!KsESk!*l@Bj(i35hLM!*@wO}%lePUV2m4Nf-M8M`Fl-#iFn^Z3%wP3kB;hdu| znWq^2YJEn3@<7S$Iv6IEV12p6;C* zy@D_W-iGN0~*;^@-BG-7h%cnfj7s=>!tSP#T|uIHgd6Ud)b!fWR}MuMm#w(Z$WN7#(1Y=X zQ9+OZAP%M*!lCc$>Y21c{-~nJQpZ}&#{X!neApMCSo?Vq0n05#F`ah^k>7-}qqpFIe2F8%LQ$9%IY+y|^P2-D$QF)dV+{mJp>4hb^7`PKu%a54;SOTri9J9S-esJd_ycQ zDh79*IPkOnAr_;K?olWpE1< z#+xY2Vc*vcAfnR?Lptja<0q*Y_i+~Jj}QFXsKg#)!S7b{Q>`$KU3n&i$&h;brknVJ zw}|dMA)u6!MI5CeIQdHgx|avvAvz`b7)7;&1a4O49=5fHW$RI{ka(g2j2&_Ud9b@j zxSsP?pON#(AEYO~CnLmHk{|r{2UM3wKpo}71UU82qbS--OW|@03g!TKnOM7!6(KeG zW*|=(+t*}3Bd>Io0LOfT7&esvljNgcttBy>h!MW|cI6pE0+$FdLV%gj^+ZwBVDMN) zVcs5yP{>kT1OK4pqmAlORw)7d#D_BO*F(t*js70LbVDj3pTvSgD=26y+%L+*;y7Ga zd;EVp$!H_pzjqj#Ll~(yD4w0*z66|(kQMMqe?9H28zrhXfl7d0FDF`};HqL0&WJOL zJK+69E1w~tjD-8Sarbc!LK{nz*?WU(NHo3&J)+qQPe2L80(Ey#Diw-UY`6iqe~;fR zqL6d+daGi2P2Bh71R~Uyo-P#vp|Ji4*|Oawk!Z$Z5AOwrq4DDM{%?bcA5ZB2KQV18 z{==^We)!4w3ZtIZ*C3lIEyj==cND6pt;Z#W?4cD#ca^A&VY|9X6LlAoKC)juORahyfY5>^^S2|)OBxCV;fdem z^I7{-#q?93A#w(&Hn+vKpxlFT;^txpJ{}MiB4kt&c^l@MED?Gy5AGz&Iw*t_07g)F zZ#vuDMLvtoS`eg%Fz@vq3eDcCc0m1a{D+k2tyc|F`b;@7~}Mst88n$C>uDexNppJD<1=;x*v23GF1mKa*CB(UL%}`-c89 zd01y@2kHaXD7=hGU>Ups#!QEHEiXk-im$9VoG?2#S=~#Lkg#ZFNe5iIneKzaFhyyE zb=+O;5m&bpXM`mjQ2>>M353l*@SHt0$qO&Q4hM`!vN$$AK>`ud*@b2!vATG0dMCqB zNVyt?VY#6fuHO|Tn;%MRu$x9j#L84qE*lGUrfeA9{E7Ml_ny30)*cO$Z{dZ!iGrl` zgw?1of)j{T;^k5KoCI15Q|H9(Sus8W9!C`BZ(6z=w_TDLT#s&@%SmqhrsI$+GFK`i zq}C021Y3lgs8SJFR0cyXSmH_e{;IKyxjWO1;{)#u#T^Qdc;)UO2}g0GDIu`njVfu+ z_dA4oW}j`S_<48_$0sC!mGqd5LJw_P>Qn&RLrTgFP?j(Up-J01?$Q`6yo69wDFvbH z-n>m0)M^sk5fR54okEE5Gg({_mcI+?RxAW`{hyx zxi}TP?+Bsv1;H8{v{2|e(-MogXD?kFdP#K3IFzE+HM6?iR^=k;9ECEcGMRVziLXx~#Ln$s7Ob{zJUXXU}%7`tB z&@?tyQ`<5;$I4$4gk?q8hk^~@_n`-~EsmR>kO`EvB@^GO4=x*#NvMD|PVh5%+y!0= z@&8vM;-mts_R^Kygbf<6AZdIJ@%nm=Jmr9Iun7tO+4X=x4x^OP8uEZ?r9|axKfj z=|mx%nrIysnh~B#@j%G5OJfkgf%1c%uMO^%N9a~I3kbbv(5}#vpu%Boj42`5;V_g* z<6=REB281{X!#G=+EaB<)33J&+HLWuMybNT`t?Si=Rgs>Y_#nE&A8Bz>MR68JWtl&AQKmZ<2O&QQC<|LdFJpcj-v1B}~k1!eCM+oR1kSk6I zB`^<>lcEyLNZ{6mwf6`ij5x&b@8BVSh!eF#7cocVR5DToBT+m?gc9W;CjSml0(tP| zzWw8{xPvEV_zP9#L_kEEx(ifsT^l?Q6VfOr{Eb_aJmy4&93>{JvN&&rmp&<9dP&op{PN*?o#&YcX6=B7;UI>@= zzwNF16;}Z?)Cr+1UNd^751y&f1*0yPVIek}d|_<2A2C9p{n3p!c*Voa&7 zjX#2Cj=dGwTbPjTSQO)xLGF${Ge|FCrff8G+`n?zztSV%F9k)=25*~k`d4F;*!!*3 z%9@&w&=6bsHCgepV&VL%{uYFV`hiQRp45PqNW@A-=NmEyyfFG!f=uvEIbL7&AkbIv zX64+;XVblzw|JvM^)?_@(MS-v> z3hHky$#2f~Ssmkm@R1du%`vELAPqz!?(>)wqld6wf&-jzP7d%+YyqKt2(N{;CR#X> z$fYEV_eFSc$FZ<<^Ii-Kky+Igg!MNRK>m2Tz2XMChCEz-^i3v}2H!Oy67Oq};xQC; z^y~Inr3DGa{=8v8rti9H2mF`5|0_KL{#N)=H$xdr$4BN1a!gpL^8LUXs%7J-z3mlK zXjrVh9I`5=7(PSd3Q1p3BYd)$mBCl#uQtn&2T1lJcv3`c96(1Ty3=Im)RK0VoG>xx zEo!5=2NKZ_kn_VMED7`uEZIpG#?sY)h{@u@m1tS#cg3OvF-gaYf`~5x%1SRxnqNeI zWB?E^xnW69T5t?jW>Kku$?!)oNScx@phD)0p#Ub5$M>$PNYPAhwAlA+&j4Wu!k%^gWg_Uf8D3>bN|S?lF23knO$IjhLPxuBnZGwk25t8e zSKC{tgb!1cDMUN5V3J;9%=&g z_-=+BKIl?WW}*_cgk7*K1o0P@0IM=Lk~SC>zU(K`v*&WqtO!eT7FFiAds zWL>#sBE&&T?nFW+@jeq!+)6;mv~J=he;}^h1T`AJuT5J6K&!c7<-%(6A!eV)_M6Z~ z*x>$2gx{?gJc2QRjK(445D(6uS?DvJo=_q8$F(>0y|isufjwcGU%D2uHqO+0DKvwr z10+}(pp&d%h35nc%+ztY%nr%!t^0!2J?5Ct7D?j!Dohp$OWj;CMre_a`_o0oRjm#5 zoYcnTKCJQ)g%;Wm==1BlI*H%JcESconvhm}3)oHB2qzSYZh9bufU_roncc*+U1{(9 zL2KcDGyjWdTmFmQ|J8~>`<Ayt0;A)OM7~J7J{D01Z8d_%JI}JJl?v`l`l9 z2g%Bgix9_usJctBXMvAv82J9rf|B`?fj@;X)5gnR!2_N-!>D#DEx`L;2@``8Dr0Sd zWxvP5FcTB3HvUQ8iG&FPifR6)iw69>i!EZ`9+wrhG>dy)-quy2rr1GLoQxNTtYX`xKL9>&{8fj^!jAv<`nFw8HJb{_~isQhft- z6OVwbNrbh`oXKDC8dyX{;<`~CvQ$lKI4vqLuaT={;v4nk7G^4&*!%J|l)WZY!b}nu z18U0#ih{5Fh>d54=sE8n4oZk%Z8vG&87?Uc+6NENHQ0hRmKE-yK%$+wRY+Z1ZG+n2 zTxy9TI6LROIqoUg^Pb{0umuGPt#*WD9>8Nee;G`wo0HK$+Igk-{vnJa)^QjM;k^G_ zp=+|(=Gdd`SHn2rhmvK!w>xvl)*xr?m)ac;#C7L zDg|QaIO}FSD`9{+LZUGZib#Y|k4d{F^2Svtfn^BSBuU#fgH#pg#?EuoFq(4zY&1je z7d9I=N9<5_S`b!uBodKVpnS;fUXpN9T+(8%+t&s<%a`B_nTzQI%?-x_AK0{`#$#loH@E=RpaK zMpz*T72B0c7LXpD%BxA&3QB+$4MQiL5PF$`YTg~EBA-|h5Cx={{0NiiWh81J-2Sh< zvw)BC*xLADf#U8C1&Tw_AfY&vqE)C;gBtg8?^nAOs9j2hTct{kB1Mar;_gm?00{)Q zAR&0+`#-aJ!)Dne8>2wq!*AGRcV5}q&77HYp7T5;osS=bUYeEaO@yY-Ize*pjy^x% zTDPbrR`Z5#ii+U{7;HP0+2pq{$~lFoL_|ORb7&2-d^2!cC@*Bqz~(orTf&^-J0Yi- z+IETec8J9J9P&4j< z$LspU@62Pa6Yz}4-(pAL_2CEnBaR~nogGK{F+aX?Sz$&l*km*@O6Wx)AF+vw zt<+bk%lo8aHtjs+KJTaE{ka;@$)>EB^OCR(B7t{C=nNKXBGOA}qK)^2J}-zOVa>Yz zW;7GIuL(2$4y0xs;iUJqom4h=h0Dg9*;0L;B%zzTcn)|rC9#4p?XG?%+_fd9>RO~~4^&)-6Ns`L zQz{lbO$bpALcIH8xK*XPV}eXdHEhsYkEVh1yb95jur5_PcY+}*r+=W2nkaIR{)pIf z46*khlZ|j(2Hu0vVS`7ZgyzKu72i39=a<&;HDHQW-iH$ye+}HrHE|2BBM9JQz$uiX z+l~Ob+AjS@uQwk|++c<@|J71EdmpFp&lakGC9=H*%=Ok|c_E9-O|m$vO&ojoP3&j! z*NdR-Aj00;Dd5UXXrfialICJ|k>v$8+4{SN2*6ga77<#weOV-Uq7yhp$Vw&s2cZp6 zl3S*o!CMVxSu!&HE@6_Nw$w0RtgmC$%=Da{99caJs+d&M7xW;iZDQdRQe`N+f=BPG z#!dhy!0BH9a%t=?MO^n6K)Af~{VF@*XyQqUZ6V)KlNymHI#sq@`8wCRgQv`=e7=q; zjB_`9|I!lvc}sPxRiRk^-5{P4tMd?=$dHFv#%^l?4mUo%0bNUrhbBcLtV*4uAgAa1 zFGFJh&4?MVG)F`!+6-T~likn(y8{!;Yg>}27lH7eNrhd4K8rkr-=YYa9RkgDo>jXn zjNRx$-a}$0E$JdanoEIxYD%sn7y!UuV1j-1!U-(=3$A_5Uea0dUb8oNjoetlK<-0T z!~X}=@hb?_if2D*CH_POEjCl_2(}{pp`RTSmR+&*wjgLEpLd$%*&O1f3uE}$oqq8> zMpG-0ms~_){;=v08X&`JKPoX3Q5^#@YqX0#xbo%rJnZWoQ_uO zSP5?lpv>P3P99P`$4RIl>QNw*IY2WB%9SC%ER@LyJ3DBREC}u|VX=Jq<0y#c~eGYJZKibzgf#eTD3UA_C zJ>1<91n|kQBWO!EPuXxh{go3?!I;=gop`u!R~5Uc=tU66TKrMwW_#_=Iu4v`;gJ4b zi|@IhOzBMX+Qm(yI>nN-^0uoyCe@`Y_L+%`cEp}u`3gyIN=j1U37G_UL`kedpXEUN zHbVyHukJzj(zC-YrVwZkv23QqgoZjs_+&VWX37tUq98Am{KtsC9P}Zjd*i7F#Ou!h zIbCC$)1nX2%DQQbvazSyv}&*A8k*bX zyEqPVIS%o`8C_w-JZ27GENLDERvh21K;wR5cNB$aQI-!%%%wq@C_dz#gmu%nD&OF& zgq!nvAdiaM%PpP;T6>17aZ!T&25I8v2+#e*cMjD6JcGiR!eCk$wHW`fX;QgZ zpv<80oi?%O7t!ILv=umj$yTT3dy60PS1H6$mehW$}CuoAMeeqa5xNB;`j(Nmv z1`8iXkyW$^!&tS~VPX=OzOe3zSqG3n!o??MB9`j|Iwmt)|39^QgOIjVjZRb06;p z|8Dx#pJNW4%4#K3ozG6rTf4WOGdkNE6@_rrBs_Eva*;)fu$_htdrVOGr74sej2AauoOldq)+4Pq%i1s25;BQ+f z7U-BJJ}U)!rW?CoVE8!3AScwps&rLLu63zf+`P!DR-vMVOqI58e!Id7-ZZFQ#N;Q0 zBC@&oOn+;+iU05CkM_IYw#KOe5bedI$a6k~27*Ivtrf14k@E=o$b=4(oMn4hC#8V( zkNIt^BhU_@ch_nrd!cL=x|&+}c9D}+10!CXT}3)p$J4oI=^XpoGj~?Au+B?3haldc zEQm0dOqJjN0joav_pNrqu}}K^CrW~M#%%oqL^+7$+T(2R1-5{*)ywfN{LwhNEKst^n`V+R{q&|JU|FyI;&0xrQ)1d z6IV}G|4Mjf0kbaqgCzF*XfscbC})3HqF7MTu2g3F5nbYd?aEy6(9LCXD8vjww%ef9sET~ zyymAaL&S8lLevIal2eXZwJL_+scC65bV60rx7K3w!{!=PjE^=2K=-_fHn1jTnO5Fy zo0lOje|h(!=cOighW8c16@5^a)C(@pRx}1*LrRY3E z*rkc+urjE$Oz`tRi)7<-gkHRp$?7MoTWBwmmZeO6c!0*Q*liAa75O>21QjE8fhM{- zN_x?Jue`rUp0jxVSMK60PGHV*7<4&C)zllQ0f^5DXaUik5aZqDm8bQXc9sH6+}EU- z^HiXZ5bQvu#QL9k}v|UBM5x3zNPE?fp!CT5vgrG}6sE=QbO43(mQzKAn8%eMV6NTLm(ZT^Tr8944dWa+WnmkPBqhV={Y zj=hW|2LHFFp_#U;JbopeRkM1g`ZCxdx5lXz&;bWP2PuyID7gSZB@b+iG?C!`bCVa) z>RELRTIun&03&aho4%Glak7L5lc+@RUw^hmY)O>E*Cgzj%eGivsB+p^(MJFr`+Ojzn7W9qG0EeCOq zT|tZ6%9#v=zw}*c->j_JfWsX*E6lm1%`J5%f!>=?qu{UJCKl858MB!eKx74A`WDTg zjiilZqQ8t>2;gA1OX4HoI)cQ<$m#JpKRin-cHtxnl~)}iyhB_^`U68CMpHsvIfD0B2iroyK~1KadZ^0v_^q4yWp;Yg zuWCVy))A!l0=mIAHlof?IZ`OVEI|4DAUB9onPx_1i z;h|ujnnk;uCG_c&lwTJ?_1g!!WoP9XQf#OB1gNHH8xk`ymWHym-i8itcvK#9AUZqP z`pm@WRrqv~RsRK(4@V*nqjEVTiDmD%;`PpXrDHsumH+olWQ#xn$pOe&Jk1W^nQBYz zuEFW+JnEXGwpTVkZmDV3A1-8{e(kZk2&rc@13p=7dcr2U4EXCE&_Rqr-myIeVENG~ zIE}};08CO7p%5p_76OF?dE?KNt0;h|Pl21F%@J1IA{FyBg;F-KitgVh*i4ujYT7j} zZL#uMnJyyB~;q4%*0xxMu0txUidY$b3w4k#P<{?oKjyXuYt(_fh6?PuSu zj8h=hy9)$(+;^d(ibfz4>EP!fPSXlqXqXXOtKvY90qvY;Stvg^V0i7*CF1Q;$#LkQh3z zOE~@o@)mLwQW0xiYCB+pgtuqC=X8KVy`pmpz7H4J0G+o9>DVW|e$b>Z8jMOLeJiqX zshszaX;^5Nt&n%RY1jC{s$laSA~7e0Z{iM6-&(K(%zp`cKV`We*`T!z%p)Y(U#&aGI$`@H|0$pHeC>til_gnBR-8~X z#A$w|AnpRtt{uwR_}R&6m+>UY?!w2dr#j-dNGhJX~=N(vRz7!2rI;onzH5)A#MEs>{q%)s|c2*z7%}&2!`*GNiv` zK5X=xeP2=%Q%!(_0`fhV^Sj6uKU&wouKde_RH{!nuP@_U5{-udO}yr#0_^K{OXdi; zjv$ExhclRrGpNg9TA_p_@$fj^%YguSoj-atRfSGtYdsCFmARWT+7-8A&WiKa25F(N zPR)G#?8;uNjWSqpqttM7}feifJB&+1(e!>ZIcY}|f1yenwq zU=php^BytPaA?BCE4-15mXpwxb~(y{JJy2<p*ppv=z-K2L@$Hgx0k zQ|*Bvo-Y-wQblu^tXVUd&=`!nV5S=hV-$z5&B9yR5mc;E*qr70Y``;>706AYpSNw? z5ov1HsbVHTuGW#Hty3YLlZj3C-v%?y{Up=GN zZ3UATt|-A|MengF9$2ZA?H;*h6%%ZIn{)6?-~O)d5vXrjJj~49SBm6vE%U+JhBoBa ziDJ0Mg(KoPUh!NN$Qq6Gg8vn&IQ?DRIl;t|24h* zLf}7rz~9{Az`MIWlQROYBS^-m@x|Q4Va;#|4S2kVrphH{y^FxWH7s#m$?V5Wk?c{XNY;~_p5eU9``t^375vk1?|a zT)JrXk%$%5^cX0kwUSknxQdMQfVDb^$TT9BUx4`dF(%KWUk0!wCEM7M_Jei6BSdm^2Z#086b+s0QeZU5Sgj z!y$#cpHSC2BqpUAcM&D}+yq&)>qyUglr^Dlz}J*Wo&=2a3mjekd?gUF&u#Upr$eFa zn3CIV3I8ZWbcTsvN$4y%v5kbBKAgY9>2}5Ay*BQT-ULqmDCjK}|2ZZ!&YLTmS{c;d zRC_CYqb3NcnhLpoAhf3yzPxOQ(pxQROgRKqD?1g-&iS0j>_O+QNcd`z@g4by?S!GK zqt)FJXW`T@ILF;{^9EkeYsTzs;yjg<$6a)OKPd;eeUmo=t|Lg^xY?s{2ut`ujlZc& z`FLnVt4b?PD--iroJUV^lpg{yRUnI(MQxC&4XyPFGnJjft57T`xxD{WcH2yVQ6Z_J zwE_3vjDj9%AAGPT*n9)SsS<{-6VA{?;cE(0^@b0=EyumxaHf^r^zNhGfMYv%95cmm z1T84E5`=Iyxeq0O!{G0CYzwppE${+2mjdxO-cq8-+#>JG;Su| ztb(ILI63W7{=Kjbn*iefgrTa{C}f6@{lj!3+|*bxbmHE=1bad(<)uh$@q(RKI00R6 zKUKxvrh0{`(1%xGXCTvP2$3`mhb9xLU0oP5OO(lLCXxVe0oq9RC)bgQFG>@zCdBpZ zxKke%MTSZ?Lc)o){hF~;p8i1$|H#6(AzYw{rJpZMf!2k{*sm-NhF7Zpvq`f zV!L=ViOL5z)Z1abnhoS;O6 z?N^-s#Cf>rS)Csz5$8+}>Z8|%5b6N(rv|GvP4+56>N@3KvxzOf;Dqrx0U=@DCiJ72 z_rt`#eN8N?VibYBJgV1Ug3{nH;R3FQ6f-Sp3{g z2h8(7uQ9XMg`1k$SC~$OF9zPXD|SVxIt8tGl`|-uHOlhv|IF(C@ds*}?yS(Ih!yFJ zi{*v{(ac2sz^RKDOb0OewZX&>rdZ6|vQl&4Z5L0TH^C@pc_W*$;EWxs&3kE7uekYf z>_&4J0w}6)kR6F}C=bu<;&hFD>`YPMuxA@F?w+Tlu=U_)i*3Dt2xPlFixzeTNWfuJ`{xaV#> z#eq$V?1ac}r&)5Kgn4vUCz9R^DJqQXIMiR?tJR>?`r?oW1N*!MN3G=WMBuA3QLpbi zcG~>Lck~S$!)U^Y1A(%Z%z2z-e|}q(SP;7OB$x*HpZrZNNWw90*A$F^>j+XXcmW>z zDgBQ#wu0Tro=XjIrrTOD5UhtlIAv~F{Jr8BNUUbX;OHL(1YxgN9tIz zyl1~#VJ?vbK0%BfXpp)6wlXG)3As5FZmvSvO?eP9(ox>E^O$Avyqh??#7y#>Uu8yy zOhFCt41{W>%{SoOj}op5BP2Bor{!x>!`i&=fGJz0pvj6eQ5eV9gmJ&Xb#wC4D3aH{ zNmlh&*vH$%{@J8Xal112e%|?6Bx@@Cs!}?yIZ56jLl)tasP0{{$97R3phB)AW;YeS zTbTIPG9iyAcT(f|DdrBGV-7I+Z;#tx?j`hBgq?<*KrV78^A|*z8HB_VGBM1q0cLUZ zpws{WAl*qsK~yVE`_Q zuAn;HyH>>CwIm=vqSYwVV@5X%3x1oNhj-FmIiaB~$Jh#LQHx(>NAf9o4~4&EjVtCi z9T`7`G({|@g0%)!FVW?rc!f{PeVERr)C_ z^^blFH9hXFW+9?Zf9Fve0qJuFnJi4w$7qte95{HXshuyJiqrL$wbTh`ILG85wA0|` z{Fa-Y~@0J3ElFr>lg}j)f;c`oYQ&y$Gz1}da8IG3lfGO z%)a2Q9{0+J#V*F56M5<3Tg_RZu#(h#^|K}R6hhZ1JQDz8wTzHIX7My)Q|V zN;{(c?G@}bvH)Kl%`QsFDqkzkdu?`WQ8R6Egy}>5!v?sK#>@>j)xhzuL6D~-!Zl)p zbxa{MeHW&J&iNgOFK6(3ryp%T=kDL`HZ6;VA^*6->24n-i8^^_c~gs>;)9jeS(vC^ z*vfb2(V3m?XRlg_gka2(XS14nr`=_%(BFex@0m89-GTRoBvTqec&$8(fdElL7dgHQ zPCz?r*RJ9SxQ^f|4K~i;b}lu7mOYS5h7vQE!r9J4wO!>~6wKF_l#osZq*-bSsimZQ z0fD8F?(S~sZei(KkQC`!x>HKJJC$x;p5OoQ&Zqe_*EQFinse@RAHZmdA|QDNlc!Y+ z1*4rdAD{ll-pqmu4F)C%#uY0FP5`+9j{ zOi9+%#9}O){N5W?fofzb_0@x3&cb~F&~4yFV?BAucta3fOQ(_;uKF5XjX-47CJG~` zlIICSN+;a)vZ9%=P!ty2h=a;rdxt%dA^f87wNk$@X-2Dvk5<}&TRz1nvtM11p>ME! z%TelzYHz{SR{4TCzt*xU8-4F{_)7N)wHXjmK4JcZuG)bnDoE?LZrGx~M&x~u1($JP< zmgqoAR;Mtp7i|KkS9r4wy&LjvFsA$c`vE44guF=O0>KX*0Oj0BH$(x^)ZCY{=E09F zz41v&NAZLWf=E_~o;DQU5fGb6ppmufn`Vm$vWx>u=x869Rhtt*-}w&5&dYgWCh9y( z9%8x#(xheFsl{8*vvhOl`)+nmFMb2S-AZ&iC&5)?7SAhR@w8Xo9@??5GS(`S;w7Dy zoI|@GCY0+(D~lb`#Hm8hMDW6yC6XNjx_Qbx?a1_ox7pLjvgn+?L}rPCvc#U5-Q03W zKDO}05qzncs{#^A;H@T@2m3||)r+i>)!apSVJ{h>1w(0&EMCmzOAi(b!!l6BGE*jKN+}Pz=3~PN&-hE}oH|_*_o+P1q^|+sh z&3fbxtIJ$>qUy@O{L2>)dO947b7KuYD=SqYI!5}D@_bgt07Ec>S$o~60o(boed{n# zOc!~`CEqco9w$Qt;(efWaj#x131rB0yvkOy)yL<0CW8so^v%cu9Mg5g4e9rN4xhiK z6v)md_5O%sJ$PhVpp5+^Ypo8&s!07xOe1-7>N`{JUzM#NHKXyvC^3r~eXp|~mcZaFtPJSo9$h;w8i3yTmeyJ!7xBwuTpQw1es%n|PTUW~yGHG$jXicV_fsMJsJw3#epg#7{P!^e7( zk%_6xVpgX&_^qW;^cM+_VMEFO7R}YUjFvW0g(*4JCR4o{c$)(FjjM5@=sJKGwRqEW z8LGe>!yDc=Eoh z!>$ii--!&xvxA*3-rs+eG8nWy8)_aP6tdsc3RV94fGOSShOB8dxqxMvp(&g{l1;NP zcM<)HE}-uA4bS~++gxmz_O@lMt%7xg80S-$?6W#dw&c?Wp>Uc63K)v^>9yD53~NVLpJl6fuAU$C5IiVuJ+F^!?HfWvn;)IoRJh9iXf5OxlrE)98 z=JCochd}+?Z6xYr)9||>+cSfT7`KlHe1pM^^Qx-!CZ`oJ5a;z!CyRQQFQasVG|MA= zr95*s6FVa`&sp+gBQT7lgX)RUyg5WoAkt+D`3!^*>OX}Cz2E**zq{aLlP(2X^F}Lw z8FJAcB8u4-Mq8Mv=A)+5i^89_$P2zjj-$!X^;O)WkSusaa;B$LUNVNCtxO7_@P`FF z)eZY98S9-=3F~wONAJ!dEU)pO-nj0@xZkM2F=BF$SCyPA^_JJz@?gq|kehl#YxaJ- zjy~6K=o+PE)qx1?LXKRUXTZ_Dj&SImpuBd`pY?>uh;7%xbdHV{CSN$bN;uV$>T@ui zve!Fp|L&M2ZLM1Y#C8S_G4a`>9$84abTI{WEg`g_vbx4*E^M^E!|*k_cf3Mfsa;cE z_on&0^kSyDBypTUVq&hQD6b^+IjG0P;NGhXyYO!mm=IguoWVRe_ZePZ5z4^Kn&(*y zmRtIBR%Y&vlnFs&q@I3cXb-fHXao5QEt1o16S8dwI%h_jvZ>FmjV^{Q8>37o(AC7W zl?y9--&auYu2pLa*M@uE{~@UJL~ii(P`?0-7htny(Abm3ayfzTf)w=Tb!jdb`Zgxx-Kc3+Vfo0lxe(nubt_>@dJF{6ba_&PKA~Ar0qB3nZyob zb^IyALM310$b&8kvwWPGla;&Ym}_lwIKR;uw<#Qxnr=W$SnBqGX&2YRvx>?7ZxwBf zFEDVVb4KjKK9hk}vjwoJex6m`bb52C8I~3W+#Go5K<1`e;k@se-a+ibzy!C<&Jr6T zsk^5@yDR!*OwO09jJ5GODaf#2de#7xrL;0N+}lJu!fLdt_136M>}4sni478*x>2XRBH zO>ZMf*8ErhY{1I3A}*gPwA^tU3}cVy*c9>mX|eb51U%NaHS8~?>*hgfi9uHnBsiX#f}&(_(oD$y_PNGN6n=^Cnk z2j4RibOuIS+K+9}^k!i8WpKMH>v%OV3{ZXDxdxj%qed=RZeF*;we3yrG1#|5d3_eb zXkggoTRo6>do;H7#5>jJA^Lq~+CDef@&iNr*J{FHZrqF{TOg%csbfj_VQr3xc7Yi450zM9nH` zkq2n6Ya2z7e&cxFtmVbw6ftaT+h=T|vR_9Du&4DK8lzP=z0BF%3KXqCJ;*zxGL2u= z8281nYee?mPSH=-oVCoSW(gvAKL6*P*UCmfvPgpX!k$(2>shjRVhvNuj8_= z<$Ue9&38jgTmL?^afPLF_1-!!Sz;0;0sS-cVc>1Wo79|Cd2_B{Tjq){t*-ilM*IYZ zz5`w&)iyuUSuG~5F3g{#U`j#lX$AKrQE(_P&~6vE^$cvAi`zaV|4fT^{9NZH2sY6; z*nD&VBQ_#47EK$D6=$=Mq5JE{iQXmbPw#=1T-1)~)gxJKMvs_>r!XERCV78ZP}%z6 zv|A2@UD_KiK6y0k+&JYZ9IMpht-S$WVfU4W89jDnLV3?d8z7|o^ItDfFz(T@j}dTH zO<-YAUQyvYU;cKBJ;#`p_(>(q-;xQmXz+{>mR_GLCuC!S*bp^FY8OPuDYW$E4U5dT zo;ZC?b7!NUl+pNS+8NOQv3QV7^~FW~;P%*-cB2T5gdDJ3elMkwJO#MxiUqB;m4qF5 zXu4gzccaP$j~MrddO5!>Ge47&{h~o!BD4;N?vAyVXDV(RPSs*gv-J+iEhq)OG{#n) zO@@6k&xuCyuUO)W{m%|rasT|6buFB8CZ|?MH+ups(+oZMBdHOEu2Fty42DZxnAXRK z%5i)*t=DT2b!X{KN+P=rDJtz2E0b40uVIu;Y5W1YCJe*#G`=#Z5XG+juI|(~)jakJ z^clnKq!&JqHH%FUT56EO*PXC>e&ep4?UT&k97{{(V7xoaVy`9+{54JIXr_}5mzesq z6inUxkS5m-ur1!(iNxnnEIUhLpQJmQaK;QgfUykgZLg*ZvoU-e6~`S0uL+8%xe|b$F0)3NBj&++iHjIPmKw#sVjNwH-Yt= zo@>dBsO>ipI@tXidQGCZ{Vqw8>`ouF;wcRV-*yHjgJ}$d(fG_6Jacc z43b0zFl%pqR#!Hbe7eDW&9c(zHe

%q@vQx8UAmUlf(S@~JrNYp659f|i^GGLTT7 zrUNnVko>0Nhu&2mKSrR0mZmt)nEBH->swq< zbggV~(f$X!v);z@e=&+kR*>E=@{@lva96u-4l6r@(Rpjs*ldgby37#Eas3MyOSf7U z=91L$OL)Vig!QkR@T!LRIPzjwC$lL`T;&dM!uW^3e+Ti| zz`fusP+MH$2WSP)UHC1S^NI&)DPt%v4pIt;>A=K89!0c-$o z@6e)R%Nj9K*y>A7VrGJtpKJ}qZeJg8Y~@AQ@L5i&NF<*E04}ttymin`TKSFl;t8z@1R8O|AFMo~}CAr6ej>{7NUF6-o< zGKxX5s&s`oe{(Q)M~Gj?aJ~sJ@ru}CKh$)Hn@uQ1BVA9-$Q}*HJp#UczMtmms@Z4r z!yYTw3v-2IHBOx%#X%ElP-2>3buPMoP3cw!CBgK4(JnPJ4=DTTJ6Hl)-X*RRDH^nn zJN^QdRogjy?#SEkEQd)TML#N5YwKc9oa~>I+5hcdi zq6!$}ynddo>iNv&v%dI>98%Zq#Zy%TaBrNaqPF(S@5M+%1O zW2sR{Dg3m)CpM``D=cum)JRo$+9+HnI#^b2ARu&?^Pt{#YWI~FISM;d3sD6OtdkR%H9D(sb;dh$2=u z=8dQmD1V8vEVsLTrU-Dh_M-2i2IY`GrnCv;((CizU<|+y#L5~@O;5%wzxZi zpc6U=8C4@7OVWVaAi(o%R1>P}9WRm>gKHN8hE4>B`GZ&U*du+2;O*SLmCa{cq0+5Q z<+eH%qj@M7U!M+{4VK#VF#a3b+X>A~9_Cl=YGYf0(Mc%wo> zA1hE6_iUJm9eho1dKD4Vh3mGaCGBPKA?KsD&UMsYo59UZZHVSL#b>k7jof3sJ_C~J z52-)Fokr@4k1XZR+WJY=n$uDFKNx%yOF!6_0B*8VAV1#!9h2t@FS;b5ORg_R z2V}Vg>!4+n<TiP!p`B;7WhocbJ>666f(#whQT26DOSguz;B{WL;BzQ`tQK z*g7Zv@KBA`!w9KkHUERR#AN6Hr9z${fCrviX~{CZ`{`7B+8Md3LSAm9ym?RQAKK;7 z9|szM%3vwNn1x@)<}_JkxyOScJfqG!{_8(^B9^2w(}Qgc`pe%fVH|nhNf|iel~ftx z25KrgTk(#r+ZQm&Uvp?hDHTB5Gm@Zz)Rf8}Xs&>1K3tM(-UijhcwoGmK1{3(OO@&nn?jJhvErD35i0*mIY?s%P2dSl#pbF z9;5wW$7F|A&8XKNiV)c)=#0u(`z zT-mB=r>0Z;Od?A(KH!W>@=Vj+3LUFD6|eh3m8UWwKeZCV8l5cbifZ$=LD_L9ATClR zVXX2m68frEgta+(Gl`ix#ZX8yDn=AKOP-B6N_pf+O&FE_A`j56fM2>IKQ7YP_-*p~ zjU)aLcUPpxp7jzRowvHmSD37l%S5)iZI=$4y$kB()E!85huf4VsHb7iPmjtXFVd66 zSKh=p;$L0nFBE^eR-)CU3rJ$NXLiUZ{Gcomg4_hjKwhNUR3^;}uR&9mh{Da9gMB$= z@#*;`h~5o41dpamAuQIYpo8oEKy$dB%zqHNqy3(9k8)7RxzJjQMj8wh`77bc>N_>) z5kEI_<(w966t#Q*Jyuw|e%H!w+^77AgyRNrkbu$*GCP~SnO9WSMMPA-%+}O+HIw&@ zFxcqr`TH8z-=9DXJT)*#69y3>g4HsrEZpp5_p~#*_garCS&bZs7usmDs zb+p=;K7PMqlSufDte-klR%qZ6e^wAxy3^3d+j5EVBLShB=}MU7%C5h4B9MC#Eg$*BAHQHs9~$tuaLYl;vA1Gx zfG;nL$fGk0Ymob{iEL3wRU6G-QAAVv+b=VnfirUH&FL$9c|qM2H{dUu?*sm#(4sGc zK|u6-kPmMD?V2;lWSaSbNpJ8 zU_kNpbyMtaWepj)67d0?GivdLkOiseGT}hiBoUnn&ZW@}naWVDey~@wbp zB3my*tdqz&`)GV47!CQQcp(V(Wm1qOQ;W5gVI$O`PJS%4$t436?~I6{ruem%Zvj%f`tBVwZapMRrBB7>` z_GP?PYIKZZSftuvl^A9W;fd)Y0&DZpk=EPwGaZL6l&d~e9Gf4f%B@J8F{p-$q&+AI zmoBY(CI6Gi%Wu(V4H<){yY-3x+N<{XPCwgkjs8olF#`wuP8su>A#6D)q8C~67Rn8c zW0oxRMvzhcl>a&;tD46QKQlMw!RTH6H#86%TZ%fPsWYIIcrL^s@G-LRS6a#uLw)r= z%VMW&S$4KHhQ{headG}%;dsa{@iEYfj)4}4kl~EGCZs*F%jEm;aM#XjvcG};@1o#g z=1SxhYSHv8(gQjRlsm@GNyptA^7Q|W3~LKCUTKvjmJ!YV`4)Lpzf6xd&^ZbLQfj$+nQ{$JexdU-Gmp{zMz$ZH4mNehdidM>~N<`(-I`1!4r4C*w?guRrLhR?^ZMX za>bI=NcXmXg2!7x4GTmC;y+khxBLS)@Q-0~Mg{D$(j8p>g>I|k!YrI8D!LF0NcXU<)2f4v~a=v+8h2bT<-8u?_c?UWE!B+MyM*fCY*L9e|2E>Zs|t? z>vbSc@h5zaF>|{ggY94y1zRmVGdJdl_1nm)<| zq92Rjs15G#J-~@@A$jHl!0=7xj%SjoD;aU07;P zV>{RM2gSuNO;8|sAXojyTgTDH02zGkTi9CJ#zwgzl{}Kp1h)tx~BH(G}CsrV*pjh~tW%cwSoktdkm*7M} zM`wXwNhktF*K89|i|6Qq9PkY%OXPI3O;K^qV_KB}r53zLAIDl2#?5*9mA+Re-#m}W zK2|6?avLfy`%>KBzS4^|Q^G`2fQV_tB^6P`usvtL=zV(SoWZMH)Z4Tx!tYN7G?5?Q za;rF1jA>wZ%FS%g@d$V%`%f63r70U$nF%6$ZKEgalI9MTis;55MPK%ioUC$JEfMTwSuX`E^@1({+U50O1nkhRR zX?gEO|35p@@n`P@zC`ATY@BuN*T!>#i#-KVr@*^tiXN~7Kkj+Cj{WzD%Xp45o&Qgp a+km<8z9qh_y5%>oOHN8jvP#@A`2PR~HvF>y literal 0 HcmV?d00001 diff --git a/settings/main-style-preamble.tex b/settings/main-style-preamble.tex new file mode 100644 index 0000000..9ae0f4b --- /dev/null +++ b/settings/main-style-preamble.tex @@ -0,0 +1,116 @@ +\usepackage{fancyhdr} +\usepackage{graphicx} +\usepackage{xcolor,colortbl}% http://ctan.org/pkg/xcolor +\usepackage{titlesec} +\usepackage{indentfirst} +\usepackage{datetime2} +\usepackage{geometry,lscape} +\usepackage{enumitem} +\usepackage{caption,subcaption} +\usepackage{multicol,multirow} +\usepackage{float,adjustbox} +\usepackage{fontawesome} +\usepackage{longtable} +\usepackage{tikz} +\usepackage{hyperref} +\usepackage{forest} +\usepackage{nomencl,imakeidx} +\usetikzlibrary{mindmap,trees} +\usepackage{booktabs}% http://ctan.org/pkg/booktabs +\usepackage{setspace,fontspec} +\usepackage{amsmath} +\usepackage{spreadtab} +\usepackage{svg} + +\newcommand{\tabitem}{~~\llap{\textbullet}~~} + +\spacing{1.15} +\babelfont{rm}{IBM Plex Sans} +\babelfont{tt}{PT Mono} + +\titlelabel{\thetitle. \quad} +\setcounter{tocdepth}{2} +\renewcommand{\nomname}{Термины, определения и сокращения} +\makeindex +\makenomenclature + +\newcommand\lh[2]{\texttt{\textcolor{#1}{#2}}} +\newcommand\hrf[1]{\hyperref[#1]{\ref{#1}}} +\newcommand\hRf[1]{\hyperref[#1]{\nameref{#1}}} +\newcommand{\wordcount}{\input{/tmp/wordcount.tex}} +\newcommand{\code}[1]{{\texttt{\detokenize{#1}}}} +\newcommand*{\nom}[2]{#1\nomenclature{#1}{#2}} +\renewcommand\labelitemi{\textemdash} +\newenvironment{frm} + { \begin{center} \renewcommand*{\arraystretch}{2} \begin{tabular}{|p{0.9\textwidth}|} \hline } + { \\\hline \end{tabular} \end{center} } + +\newcommand{\info}{\cellcolor{green!20}{\Huge \faInfoCircle \quad}} +\newcommand{\excl}{\cellcolor{red!20}{\Huge \faExclamationTriangle \quad}} + +\makeatletter +\newcommand{\setword}[2]{% + \phantomsection + #1\def\@currentlabel{\unexpanded{#1}}\label{#2}% +} +\makeatother + +\fancypagestyle{plain}{ + \setlength{\headheight}{33pt} + \fancyhf{} + \lhead{\includegraphics[height=5mm]{logo.png}} + \rhead{И.И. Овчинников \\ \href{https://t.me/ivanigorevichfeed}{Telegram: ivanigorevichfeed}} + \chead{\thepage} + \cfoot{\faRocket} +% \rfoot{Всего слов: \wordcount} +} + +\geometry{ + left=2cm, + right=1cm, + top=2cm, + bottom=2cm +} + +\setlist{nolistsep} +\setlist[itemize]{leftmargin=10mm} +\setlist[enumerate]{leftmargin=10mm} + +\makeatletter + \providecommand\text\mbox + \newenvironment{arithmetic}[1][]{\begin{tabular}[#1]{Al}}{\end{tabular}} + \newcolumntype{A}{>{\bgroup\def~{\phantom{0}}$\@testOptor}r<{\@gobble\\$\egroup}} + \def\@testOptor\ignorespaces#1#2\\{% + \ifx#1\times + \@OperatorRow{#1}{#2}\@tempa% + \else\ifx#1+ + \@OperatorRow+{#2}\@tempa% + \else\ifx#1\discretionary% detects the soft hyphen, \- + \@ShortSubtractRow{#2}\@tempa% + \else\ifx#1- + \@OperatorRow-{#2}\@tempa% + \else + \@NormalRow{#1#2}\@tempa% + \fi\fi\fi\fi + \@tempa} + \def\@OperatorRow#1#2#3{% + \@IfEndRow#2\@gobble\\{% + \def#3{\underline{{}#1 #2}\\}% + }{% + \def#3{\underline{{}#1 #2{}}}% + }} + +\def\@NormalRow#1#2{% + \@IfEndRow#1\@gobble\\{% + \def#2{#1\\}% + }{% + \def#2{#1{}}% + }} + +\def\@IfEndRow#1\@gobble#2\\#3#4{% + \ifx#2\@gobble + #4% + \else + #3% + \fi} +\makeatother diff --git a/src/dec.sv b/src/dec.sv index 91f2073..556ef72 100644 --- a/src/dec.sv +++ b/src/dec.sv @@ -2,19 +2,9 @@ module dec #(m = 32) ( //clock and reset - input logic clk, clrn, //control slave - input logic ctl_wr, ctl_rd, - input logic ctl_addr, - input logic [31:0] ctl_wrdata, - output logic [31:0] ctl_rddata, //memory slave - input logic ram_wr, - input logic [3:0] ram_addr, - input logic [31:0] ram_wrdata, //external ports - input logic train, - output logic red, yellow, green ); typedef enum logic [1:0] {RED, YELLOW, BLINK, GREEN} FSMStates; @@ -25,29 +15,6 @@ module dec logic [31:0] greenSaved; logic [31:0] greenCount; - logic [m-1:0] divisor; - logic [1:0] contr; - logic [2:0] colors; - logic [m-1:0] cntdiv; - logic enacnt; - - //control slave logic - // ... - - //semaphore logic - always_ff @ (posedge clk or negedge clrn) begin - if (!clrn) - cntdiv <= 0; - else begin - if (train | ~run) - cntdiv<=0; - else begin - if (enacnt) cntdiv<=0; - else cntdiv<=cntdiv+1; - end - end - end - // we don't enable counters, if color is green always_comb begin enacnt = ((cntdiv == divisor) && !(colors == 3'b001)); @@ -63,6 +30,7 @@ module dec colors <= 3'b001; state <= GREEN; end + if (train) begin colors <= 3'b100; state <= RED; @@ -79,12 +47,14 @@ module dec end YELLOW: begin colors <= 3'b010; - if (enacnt) + if (enacnt) begin state <= state + 1'b1; + end end BLINK: begin - if (enacnt) - state <= state + 1'b1; + if (enacnt) begin + state <= state + 1'b1; + end if (greenSaved[0] == 0) begin colors <= 3'b011; end else begin @@ -96,8 +66,9 @@ module dec end end GREEN: begin - if (enacnt) + if (enacnt) begin state <= state + 1'b1; + end colors <= 3'b001; end default: colors <= 3'b100; @@ -106,6 +77,7 @@ module dec end end assign contr = state; + assign red = colors[2]; assign yellow = colors[1]; assign green = colors[0]; diff --git a/src/niosII_tb.v b/src/niosII_tb.v index 8ce3bb0..df606da 100644 --- a/src/niosII_tb.v +++ b/src/niosII_tb.v @@ -1,64 +1,55 @@ +// niosII_tb.v + +// Generated using ACDS version 18.1 625 + `timescale 1 ps / 1 ps module niosII_tb ( - ); + ); - wire niosii_inst_clk_bfm_clk_clk; // niosII_inst_clk_bfm:clk -> [niosII_inst:clk_clk, niosII_inst_reset_bfm:clk] - wire niosii_inst_reset_bfm_reset_reset; // niosII_inst_reset_bfm:reset -> niosII_inst:reset_reset_n + wire niosii_inst_clk_bfm_clk_clk; // niosII_inst_clk_bfm:clk -> [niosII_inst:clk_clk, niosII_inst_reset_bfm:clk] + wire niosii_inst_reset_bfm_reset_reset; // niosII_inst_reset_bfm:reset -> niosII_inst:reset_reset_n reg train; wire red, yellow, green; - niosII niosii_inst - ( - .clk_clk (niosii_inst_clk_bfm_clk_clk), // clk.clk - .reset_reset_n (niosii_inst_reset_bfm_reset_reset), // reset.reset_n - .sem_export_train (train), // sem_export.train - .sem_export_red (red), // .red - .sem_export_yellow (yellow), // .yellow - .sem_export_green (green) // .green - ); + niosII niosii_inst ( + .clk_clk (niosii_inst_clk_bfm_clk_clk), // clk.clk + .reset_reset_n (niosii_inst_reset_bfm_reset_reset), // reset.reset_n + .sem_export_train (train), // sem_export.train + .sem_export_red (red), // .red + .sem_export_yellow (yellow), // .yellow + .sem_export_green (green) // .green + ); - altera_avalon_clock_source - #( - .CLOCK_RATE (50000000), - .CLOCK_UNIT (1) - ) - niosii_inst_clk_bfm - ( - .clk (niosii_inst_clk_bfm_clk_clk) // clk.clk - ); - - altera_avalon_reset_source - #( - .ASSERT_HIGH_RESET (0), - .INITIAL_RESET_CYCLES (50) - ) - niosii_inst_reset_bfm - ( - .reset (niosii_inst_reset_bfm_reset_reset), // reset.reset_n - .clk (niosii_inst_clk_bfm_clk_clk) // clk.clk - ); + altera_avalon_clock_source #( + .CLOCK_RATE (50000000), + .CLOCK_UNIT (1) + ) niosii_inst_clk_bfm ( + .clk (niosii_inst_clk_bfm_clk_clk) // clk.clk + ); + altera_avalon_reset_source #( + .ASSERT_HIGH_RESET (0), + .INITIAL_RESET_CYCLES (50) + ) niosii_inst_reset_bfm ( + .reset (niosii_inst_reset_bfm_reset_reset), // reset.reset_n + .clk (niosii_inst_clk_bfm_clk_clk) // clk.clk + ); initial begin train = 0; wait (niosii_inst_reset_bfm_reset_reset); forever begin + wait ({red,yellow,green}==3'b001); repeat (29000) @(posedge niosii_inst_clk_bfm_clk_clk); - train = 1; - repeat (10) @(posedge niosii_inst_clk_bfm_clk_clk); - train = 0; - repeat (900) @(posedge niosii_inst_clk_bfm_clk_clk); - train = 1; - repeat (10) @(posedge niosii_inst_clk_bfm_clk_clk); - train = 0; - repeat (900) @(posedge niosii_inst_clk_bfm_clk_clk); - train = 1; - repeat (10) @(posedge niosii_inst_clk_bfm_clk_clk); - train = 0; - repeat (900) @(posedge niosii_inst_clk_bfm_clk_clk); - train = 1; - repeat (10) @(posedge niosii_inst_clk_bfm_clk_clk); - train = 0; + repeat(8) begin + train = 1; + repeat (10) @(posedge niosii_inst_clk_bfm_clk_clk); + train = 0; + wait ({red,yellow,green}==3'b001); + repeat (200) @(posedge niosii_inst_clk_bfm_clk_clk); + end end end + + endmodule diff --git a/src/sem.c b/src/sem.c index 45b744b..674f409 100644 --- a/src/sem.c +++ b/src/sem.c @@ -1,4 +1,3 @@ -#include #include "altera_avalon_sem_regs.h" #include "alt_types.h" #include "system.h" @@ -21,19 +20,18 @@ int main() //program divisors p = (alt_u32*) SEM_RAM_SLAVE_BASE; for (i = 0; i < TIME_SETS; i++) { - tmp = 0; for (j = TIME_STATES; j > 0; j--) { - tmp = (tmp << 32) | divisors[i][j - 1]; + *p = divisors[i][j - 1]; + alt_dcache_flush(); + ++p; } - *p = tmp; - p++; } //since we use pointers (cached data access) to write divisor RAM, //and not direct i/o access with IOWR, we need to flush cache - alt_dcache_flush(); + // alt_dcache_flush(); //select timeset and run semafor - IOWR_ALTERA_AVALON_SEM_DIVSET(SEM_CTL_SLAVE_BASE,0x00); + IOWR_ALTERA_AVALON_SEM_DIVSET(SEM_CTL_SLAVE_BASE,0x02); IOWR_ALTERA_AVALON_SEM_CTL(SEM_CTL_SLAVE_BASE,0x01); printf("Ready\n");