diff --git a/03-fpga-lab-04-report.tex b/03-fpga-lab-04-report.tex index b47c39f..e3e7b61 100644 --- a/03-fpga-lab-04-report.tex +++ b/03-fpga-lab-04-report.tex @@ -18,17 +18,21 @@ Осуществить полный цикл проектирования цифрового устройства на ПЛИС на языке SystemVerilog. Реализовать генератор периодических функций на основе метода прямого цифрового синтеза на микросхеме семейства Cyclone IV E. \section{Задачи} -Прямой цифровой синтез -- это метод генерации сигнала заданной формы путём вычисления значений сигнала в последовательных дискретных отсчётах времени и преобразовании их в аналоговый сигнал с помощью ЦАП. Структура системы приведена на рис \hrf{pic:synth-scheme}. +\begin{itemize} +\item Реализовать модули «Накопителя фазы», «Просмотровой таблицы», «Сигма-Дельта модулятора». +\item Реализовать синтезатор по схеме (рис. \hrf{pic:synth-scheme}), используя разработанные модули. \begin{figure}[H] \centering \fontsize{14}{1}\selectfont \includesvg[scale=1.01]{pics/03-fpga-lab-04-01-synth.svg} \caption{Схема цифрового синтезатора} - \label{pic:pic:synth-scheme} + \label{pic:synth-scheme} \end{figure} -Инкремент фазы поступает на вход накопителя фазы и определяет скорость изменения фазы формируемого сигнала. Накопитель фазы выдаёт номер текущего отсчёта в периоде на просмотровую таблицу, содержащую образ одного периода синуса. Значение с выхода просмотровой таблицы поступает на дельта-сигма модулятор, который работает как однобитный ЦАП. Модулятор формирует последовательность нулей и единиц на высокой частоте, соответствующую текущему уровню на входе модулятора, после чего двухуровневый выходной сигнал модулятора подается на выход ПЛИС и сглаживается простым RC фильтром НЧ. При изменении приращения фазы изменяется частота формируемого на выходе сигнала. +\item Осуществить моделирование каждого этапа разработки. +\item В рамках самостоятельной подготовки провести расчёт значений $u_n$,$x_n$,$y'_n$ и $\varepsilon_n$ для 5 тактов работы дельта-сигма модулятора. Приведите значения для каждого такта и график выходного сигнала $y_n$. +\end{itemize} \section{Выполнение работы} \subsection{Самостоятельная подготовка} @@ -37,17 +41,44 @@ \begin{figure}[H] \centering \resizebox{\textwidth}{!}{\input{pics/03-fpga-04-sigdel.pgf}} - \caption{1} - \label{pic:1} + \caption{Пошаговая иллюстрация работы сигма-дельта модулятора} + \label{pic:sig-del-handjob} \end{figure} +Регистр $u_{-1}$ по низкому уровню сигнала сброса инициализируется нулём, поэтому значение $y_{-1}$ становится равным 127, как следствие, $\varepsilon_{-1} = 0$. Далее, значения изменяются по следующим формулам: +\begin{equation*} + \begin{gathered} + x = 6;\\ + u_n = x - y'_{n-1}\\ + \varepsilon_n = y'_n - u_n + \end{gathered} +\end{equation*} + +Несколько шагов алгоритма приведены в расчётах ниже. Из ручного прохождения алгоритма (и графика на рис \hrf{pic:sig-del-handjob}) очевидно, что постоянное малое значение на входе модулятора формирует переходы $y$ от -128 до 127 на каждом такте линии задержки, как если бы это было при входящем значении нуля, и изредка удерживает $y$ в значении 127 (примерно, один раз в 20 отсчётов). + \begin{enumerate} -\item $x_n = 6, \varepsilon_n = 127$, уровень квантования 127; -\item $u_{n + 1} = x_n - \varepsilon_n = 6 – 127 = -121$, при этом $\varepsilon_n = -128-(-121)=-7$, а уровень квантования -128; -\item $u_{n + 2} = x_n - \varepsilon_n = 6 – (–7) = 13$, при этом $\varepsilon_n = 127 - 13 = 104$, а уровень квантования 127; -\item $u_{n + 3} = x_n - \varepsilon_n = 6 – 104 = -98$, при этом $\varepsilon_n= -128-(-98) = -30$, а уровень квантования -128; -\item $u_{n + 4} = x_n - \varepsilon_n = 26 – (–30) = 106$, при этом ξn= 127-106 = 11, а уровень квантования 127 F: Un+1=XN-ξn=26 – 11 = 15, при этом ξn= 127-15 = 112, а уровень квантования 127 - G: Un+1=XN-ξn=26 – 112 = -86, при этом ξn= -128-(-86) = -42, а уровень квантования -128 H: Un+1=XN-varepsilonn=26 – (–42) = 88, при этом ξn= 127-88 = 39, а уровень квантования 127 +\item $x = 6, u_{1} = 6, y'_{0} = 127, \varepsilon_{1} = 121$ +\item $x = 6, u_{2} = -115, y'_{1} = 127, \varepsilon_{2} = -13$ +\item $x = 6, u_{3} = 19, y'_{2} = -128, \varepsilon_{3} = 108$ +\item $x = 6, u_{4} = -102, y'_{3} = 127, \varepsilon_{4} = -26$ +\item $x = 6, u_{5} = 32, y'_{4} = -128, \varepsilon_{5} = 95$ +\item $x = 6, u_{6} = -89, y'_{5} = 127, \varepsilon_{6} = -39$ +\item $x = 6, u_{7} = 45, y'_{6} = -128, \varepsilon_{7} = 82$ +\item $x = 6, u_{8} = -76, y'_{7} = 127, \varepsilon_{8} = -52$ +\item $x = 6, u_{9} = 58, y'_{8} = -128, \varepsilon_{9} = 69$ +\item $x = 6, u_{10} = -63, y'_{9} = 127, \varepsilon_{10} = -65$ +\item $x = 6, u_{11} = 71, y'_{10} = -128, \varepsilon_{11} = 56$ +\item $x = 6, u_{12} = -50, y'_{11} = 127, \varepsilon_{12} = -78$ +\item $x = 6, u_{13} = 84, y'_{12} = -128, \varepsilon_{13} = 43$ +\item $x = 6, u_{14} = -37, y'_{13} = 127, \varepsilon_{14} = -91$ +\item $x = 6, u_{15} = 97, y'_{14} = -128, \varepsilon_{15} = 30$ +\item $x = 6, u_{16} = -24, y'_{15} = 127, \varepsilon_{16} = -104$ +\item $x = 6, u_{17} = 110, y'_{16} = -128, \varepsilon_{17} = 17$ +\item $x = 6, u_{18} = -11, y'_{17} = 127, \varepsilon_{18} = -117$ +\item $x = 6, u_{19} = 123, y'_{18} = -128, \varepsilon_{19} = 4$ +\item $x = 6, u_{20} = 2, y'_{19} = 127, \varepsilon_{20} = 125$ +\item $x = 6, u_{21} = -119, y'_{20} = 127, \varepsilon_{21} = -9$ +\item $x = 6, u_{22} = 15, y'_{21} = -128, \varepsilon_{22} = 112$ \end{enumerate} \subsection{Разработка модулей} @@ -55,19 +86,109 @@ \textbf{Интерфейс модуля} \begin{frm} \begin{itemize} \item [] \textbf{Входы:} - \code{phinc[7:0]} -- величина приращения фазы за один период тактового сигнала; - \code{clk} -- тактовый сигнал; - \code{clr_n} -- вход асинхронного сброса; + \item [] \code{phinc[7:0]} -- величина приращения фазы за один период тактового сигнала; + \item [] \code{clk} -- тактовый сигнал; + \item [] \code{clr_n} -- вход асинхронного сброса; \item [] \textbf{Выходы:} - \code{phase[7:0]} -- 8 старших значащих битов выхода накопителя; + \item [] \code{phase[7:0]} -- 8 старших значащих битов выхода накопителя; \item [] \textbf{Параметры:} - \code{WIDTH} -- разрядность накопителя фазы (значение по умолчанию -- 14). + \item [] \code{WIDTH} -- разрядность накопителя фазы (значение по умолчанию -- 14). \end{itemize} \end{frm} \textbf{Принцип действия} + Накопитель фазы -- это классический аккумулятор, который сохраняет накопленную сумму в регистре и использует её в качестве одного из операндов сумматора на каждом такте. Второй операнд поступает с входа и определяет величину приращения фазы. Разрядность аккумулятора должна быть параметризирована. Входные значения складываются с младшими битами регистра аккумулятора, а на выход поступают старшие 8 разрядов аккумулятора, поэтому при разрядности аккумулятора M и значении N на входе приращения фазы выход фазы будет увеличиваться на единицу один раз в $2^{(M-8)}/N$ тактов. -\section{Индивидуальное задание} +\lstinputlisting[language=Verilog,style=VerilogStyle,caption={Накопитель фазы},label={lst:phacc}]{src/phacc.sv} + +\lstinputlisting[language=Verilog,style=VerilogStyle,caption={Тестовый стенд накопителя фазы},label={lst:inc_lut}]{src/inc_lut_tb.sv} + +\subsubsection{Просмотровая таблица} +Просмотровая таблица реализована на ПЗУ, шина адреса которого управляется входным значением фазы сигнала, а ячейки содержат соответствующие значения сигнала. Для реализации использован модуль ROM: 1-PORT с файлом инициализации памяти sine256.mif прилагавшемся в исходных файлах для лабораторной работы. + +\begin{figure}[H] + \centering + \includegraphics[width=0.9\textwidth]{03-fpga-lab-04-02-phacc.png} + \caption{Результат симуляции работы накопителя фазы и просмотровой таблицы} + \label{pic:phacc-lut} +\end{figure} + +\subsubsection{Дельта-сигма модулятор} +\textbf{Интерфейс модуля} +\begin{frm} \begin{itemize} + \item [] \textbf{Входы:} + \item [] \code{val[7:0]} - входные данные модулятора; + \item [] \code{clk} – тактовый сигнал; + \item [] \code{clr_n} – вход асинхронного сброса. + \item [] \textbf{Выход:} + \item [] \code{daco} - однобитная выходная последовательность. + \end{itemize} +\end{frm} + +\textbf{Принцип действия} + +На вход поступают 8-разрядные отсчеты $x_n$ (рис. \hrf{pic:sigdel-1}). Выход $y_n$ -- двухуровневый дискретизированный с большой частотой. Частота изменения отсчетов сигнала, выбираемых из памяти, ниже частоты работы модулятора. Это обеспечивает передискретизацию и возможность представления сигнала в аналоговом виде после НЧ фильтрации последовательности нулей и единиц. + +\begin{figure}[H] + \centering + \fontsize{12}{1}\selectfont + \includesvg[scale=1.01]{pics/03-fpga-lab-04-01-sigdel.svg} + \caption{Схема дельта-сигма модулятора первого порядка} + \label{pic:sigdel-1} +\end{figure} + +Если в работе модулятора использовать 8-разрядный регистр $u_n$ периодически в работе модулятора будут появляться переполнения и будет наблюдаться некорректное поведение, проявляющееся в смене фазы выходного сигнала (рис. \hrf{pic:unoverflow}). Переполнение регистра возможно наблюдать при моделировании достаточно большого числа шагов алгоритма (рис. \hrf{pic:unover-model}). + +\begin{figure}[H] + \centering + \includegraphics[width=0.9\textwidth]{03-fpga-lab-04-02-unoverflow.png} + \caption{Смена фазы выходного сигнала} + \label{pic:unoverflow} +\end{figure} + +\begin{figure}[H] + \centering + \includegraphics[width=0.9\textwidth]{03-fpga-lab-04-02-unover-model.png} + \caption{Переполнение значения регистра} + \label{pic:unover-model} +\end{figure} + +\lstinputlisting[language=Verilog,style=VerilogStyle,caption={Код дельта-сигма модулятора},label={lst:phacc}]{src/sdmod.sv} + +\lstinputlisting[language=Verilog,style=VerilogStyle,caption={Тестовый стенд дельта-сигма модулятора},label={lst:inc_lut}]{src/lut_mod_tb.sv} + +\subsubsection{Реализация синтезатора} + +\lstinputlisting[language=Verilog,style=VerilogStyle,caption={Код дельта-сигма синтезатора},label={lst:phacc}]{src/sigdel.sv} + +\begin{figure}[H] + \centering + \includegraphics[width=0.9\textwidth]{03-fpga-lab-04-02-correct.png} + \caption{Моделирование работы синтезатора} + \label{pic:synth-model} +\end{figure} + +\subsection{Контрольные вопросы} + +\begin{itemize} +\item Почему именно за это время из памяти выбирается один период синуса? + +Столько тратит времени 14-разрядный регистр накапливающий значения в 8 нижних разрядах так, чтобы изменилось значение в 8 верхних, отдаваемых аккумулятором. +\item Какая разрядность накопителя фазы требуется для формирования синусоидального сигнала в диапазоне частот от единиц до сотен Герц при изменении инкремента фазы от 1 до 255 (период синуса содержит 256 отсчетов, частота тактового импульса -- 50МГЦ)? + +В данной работе использовался коэффициент накопления 16 при той же частоте ТИ, то есть на каждом такте значение в аккумуляторе менялось на 1 в 5м разряде. Это тоже самое, что если коэфициент будет равен единице, а разрядность снижена на 5. Один период синуса проходил за 20мкс. Таким образом можно сделать вывод, что при коэффициенте 16 и разрядности накопителя 20 период одного синуса будет составлять около 760мс, при разрядности 21 -- около 1,5сек. +\item Дельта-сигма модулятор первого порядка. + +Позволяет снизить шум квантования относительно ШИМ, за счёт увеличения частоты выходного сигнала. + +\item Конфигурация ПЛИС Altera на основе статической памяти. + +Конфигурация ПЛИС хранится ячейках статической памяти, изготовленной по стандартной технологии. Достоинство этой технологии -- возможность многократного перепрограммирования ПЛИС. Недостатки -- не самое высокое быстродействие, поскольку после включения питания прошивку нужно вновь загружать из памяти. На плате необходимо дополнительно устанавливать загрузчик, специальная микросхема FLASH или микроконтроллер. + +\item Язык SystemVerilog. + + Языки описания схемотехники (HDL -- hardware design language) позволяют значительно сократить время описания схемотехнических решений и значительно повысить качество за счёт переиспользования кода, б\'{о}льших возможностей по моделированию и тестированию готовых схем. Также повышается переносимость решений. Язык SystemVerilog отличается от VHDL (Very High Speed Integrated Circuit HDL) лаконичностью описания, а от Verilog расширенными возможностями работы с абстракциями более верхнего уровня (не только сигналы, регистры и шины, но и знаковые переменные, матрицы и прочие). +\end{itemize} \section{Выводы} @@ -78,8 +199,4 @@ \subsection{Исходные коды проекта} \label{appendix:src} -% \lstinputlisting[language=Verilog,style=VerilogStyle,caption={Семафор},label={lst:dec}]{src/dec.sv} - -% \lstinputlisting[language=C,style=CCodeStyle,caption={\code{sem.c}},label={lst:sem}]{src/sem.c} - \end{document} diff --git a/pics/03-fpga-04-sigdel.pgf b/pics/03-fpga-04-sigdel.pgf index c375d55..8a0043b 100644 --- a/pics/03-fpga-04-sigdel.pgf +++ b/pics/03-fpga-04-sigdel.pgf @@ -20,6 +20,10 @@ %% %% Matplotlib used the following preamble %% +%% \usepackage{fontspec} +%% \setmainfont{DejaVuSerif.ttf}[Path=\detokenize{/home/ovchinnikov_ii@RISDE.ru/Documents/PyNb/venv/lib/python3.9/site-packages/matplotlib/mpl-data/fonts/ttf/}] +%% \setsansfont{DejaVuSans.ttf}[Path=\detokenize{/home/ovchinnikov_ii@RISDE.ru/Documents/PyNb/venv/lib/python3.9/site-packages/matplotlib/mpl-data/fonts/ttf/}] +%% \setmonofont{DejaVuSansMono.ttf}[Path=\detokenize{/home/ovchinnikov_ii@RISDE.ru/Documents/PyNb/venv/lib/python3.9/site-packages/matplotlib/mpl-data/fonts/ttf/}] %% \makeatletter\@ifpackageloaded{underscore}{}{\usepackage[strings]{underscore}}\makeatother %% \begingroup% @@ -98,7 +102,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=2.243182in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {0}\)}% +\pgftext[x=2.243182in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 0}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -109,8 +113,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{2.583307in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{2.583307in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{2.691529in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{2.691529in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -128,7 +132,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{2.583307in}{0.990000in}% +\pgfsys@transformshift{2.691529in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -136,7 +140,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=2.583307in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {1}\)}% +\pgftext[x=2.691529in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 1}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -147,8 +151,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{2.923433in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{2.923433in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{3.139876in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{3.139876in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -166,7 +170,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{2.923433in}{0.990000in}% +\pgfsys@transformshift{3.139876in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -174,7 +178,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=2.923433in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {2}\)}% +\pgftext[x=3.139876in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 2}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -185,8 +189,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{3.263558in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{3.263558in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{3.588223in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{3.588223in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -204,7 +208,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{3.263558in}{0.990000in}% +\pgfsys@transformshift{3.588223in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -212,7 +216,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=3.263558in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {3}\)}% +\pgftext[x=3.588223in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 3}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -223,8 +227,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{3.603683in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{3.603683in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{4.036570in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{4.036570in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -242,7 +246,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{3.603683in}{0.990000in}% +\pgfsys@transformshift{4.036570in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -250,7 +254,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=3.603683in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {4}\)}% +\pgftext[x=4.036570in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 4}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -261,8 +265,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{3.943809in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{3.943809in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{4.484917in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{4.484917in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -280,7 +284,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{3.943809in}{0.990000in}% +\pgfsys@transformshift{4.484917in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -288,7 +292,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=3.943809in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {5}\)}% +\pgftext[x=4.484917in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 5}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -299,8 +303,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{4.283934in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{4.283934in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{4.933264in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{4.933264in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -318,7 +322,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{4.283934in}{0.990000in}% +\pgfsys@transformshift{4.933264in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -326,7 +330,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=4.283934in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {6}\)}% +\pgftext[x=4.933264in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 6}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -337,8 +341,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{4.624060in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{4.624060in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{5.381612in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{5.381612in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -356,7 +360,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{4.624060in}{0.990000in}% +\pgfsys@transformshift{5.381612in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -364,7 +368,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=4.624060in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {7}\)}% +\pgftext[x=5.381612in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 7}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -375,8 +379,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{4.964185in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{4.964185in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{5.829959in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{5.829959in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -394,7 +398,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{4.964185in}{0.990000in}% +\pgfsys@transformshift{5.829959in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -402,7 +406,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=4.964185in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {8}\)}% +\pgftext[x=5.829959in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 8}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -413,8 +417,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{5.304310in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{5.304310in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{6.278306in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{6.278306in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -432,7 +436,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{5.304310in}{0.990000in}% +\pgfsys@transformshift{6.278306in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -440,7 +444,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=5.304310in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {9}\)}% +\pgftext[x=6.278306in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 9}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -451,8 +455,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{5.644436in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{5.644436in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{6.726653in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{6.726653in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -470,7 +474,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{5.644436in}{0.990000in}% +\pgfsys@transformshift{6.726653in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -478,7 +482,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=5.644436in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {10}\)}% +\pgftext[x=6.726653in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 10}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -489,8 +493,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{5.984561in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{5.984561in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{7.175000in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{7.175000in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -508,7 +512,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{5.984561in}{0.990000in}% +\pgfsys@transformshift{7.175000in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -516,7 +520,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=5.984561in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {11}\)}% +\pgftext[x=7.175000in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 11}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -527,8 +531,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{6.324687in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{6.324687in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{7.623347in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{7.623347in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -546,7 +550,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{6.324687in}{0.990000in}% +\pgfsys@transformshift{7.623347in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -554,7 +558,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=6.324687in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {12}\)}% +\pgftext[x=7.623347in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 12}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -565,8 +569,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{6.664812in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{6.664812in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{8.071694in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{8.071694in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -584,7 +588,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{6.664812in}{0.990000in}% +\pgfsys@transformshift{8.071694in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -592,7 +596,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=6.664812in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {13}\)}% +\pgftext[x=8.071694in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 13}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -603,8 +607,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{7.004937in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{7.004937in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{8.520041in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{8.520041in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -622,7 +626,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{7.004937in}{0.990000in}% +\pgfsys@transformshift{8.520041in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -630,7 +634,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=7.004937in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {14}\)}% +\pgftext[x=8.520041in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 14}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -641,8 +645,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{7.345063in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{7.345063in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{8.968388in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{8.968388in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -660,7 +664,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{7.345063in}{0.990000in}% +\pgfsys@transformshift{8.968388in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -668,7 +672,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=7.345063in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {15}\)}% +\pgftext[x=8.968388in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 15}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -679,8 +683,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{7.685188in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{7.685188in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{9.416736in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{9.416736in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -698,7 +702,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{7.685188in}{0.990000in}% +\pgfsys@transformshift{9.416736in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -706,7 +710,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=7.685188in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {16}\)}% +\pgftext[x=9.416736in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 16}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -717,8 +721,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{8.025313in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{8.025313in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{9.865083in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{9.865083in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -736,7 +740,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{8.025313in}{0.990000in}% +\pgfsys@transformshift{9.865083in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -744,7 +748,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=8.025313in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {17}\)}% +\pgftext[x=9.865083in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 17}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -755,8 +759,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{8.365439in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{8.365439in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{10.313430in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{10.313430in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -774,7 +778,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{8.365439in}{0.990000in}% +\pgfsys@transformshift{10.313430in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -782,7 +786,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=8.365439in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {18}\)}% +\pgftext[x=10.313430in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 18}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -793,8 +797,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{8.705564in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{8.705564in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{10.761777in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{10.761777in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -812,7 +816,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{8.705564in}{0.990000in}% +\pgfsys@transformshift{10.761777in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -820,7 +824,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=8.705564in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {19}\)}% +\pgftext[x=10.761777in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 19}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -831,8 +835,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{9.045690in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{9.045690in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{11.210124in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{11.210124in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -850,7 +854,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{9.045690in}{0.990000in}% +\pgfsys@transformshift{11.210124in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -858,7 +862,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=9.045690in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {20}\)}% +\pgftext[x=11.210124in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 20}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -869,8 +873,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{9.385815in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{9.385815in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{11.658471in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{11.658471in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -888,7 +892,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{9.385815in}{0.990000in}% +\pgfsys@transformshift{11.658471in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -896,273 +900,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=9.385815in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {21}\)}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% -\pgfusepath{clip}% -\pgfsetbuttcap% -\pgfsetroundjoin% -\pgfsetlinewidth{0.803000pt}% -\definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% -\pgfsetstrokecolor{currentstroke}% -\pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{9.725940in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{9.725940in}{7.920000in}}% -\pgfusepath{stroke}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfsetbuttcap% -\pgfsetroundjoin% -\definecolor{currentfill}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetfillcolor{currentfill}% -\pgfsetlinewidth{0.803000pt}% -\definecolor{currentstroke}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetstrokecolor{currentstroke}% -\pgfsetdash{}{0pt}% -\pgfsys@defobject{currentmarker}{\pgfqpoint{0.000000in}{-0.048611in}}{\pgfqpoint{0.000000in}{0.000000in}}{% -\pgfpathmoveto{\pgfqpoint{0.000000in}{0.000000in}}% -\pgfpathlineto{\pgfqpoint{0.000000in}{-0.048611in}}% -\pgfusepath{stroke,fill}% -}% -\begin{pgfscope}% -\pgfsys@transformshift{9.725940in}{0.990000in}% -\pgfsys@useobject{currentmarker}{}% -\end{pgfscope}% -\end{pgfscope}% -\begin{pgfscope}% -\definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetstrokecolor{textcolor}% -\pgfsetfillcolor{textcolor}% -\pgftext[x=9.725940in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {22}\)}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% -\pgfusepath{clip}% -\pgfsetbuttcap% -\pgfsetroundjoin% -\pgfsetlinewidth{0.803000pt}% -\definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% -\pgfsetstrokecolor{currentstroke}% -\pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{10.066066in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{10.066066in}{7.920000in}}% -\pgfusepath{stroke}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfsetbuttcap% -\pgfsetroundjoin% -\definecolor{currentfill}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetfillcolor{currentfill}% -\pgfsetlinewidth{0.803000pt}% -\definecolor{currentstroke}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetstrokecolor{currentstroke}% -\pgfsetdash{}{0pt}% -\pgfsys@defobject{currentmarker}{\pgfqpoint{0.000000in}{-0.048611in}}{\pgfqpoint{0.000000in}{0.000000in}}{% -\pgfpathmoveto{\pgfqpoint{0.000000in}{0.000000in}}% -\pgfpathlineto{\pgfqpoint{0.000000in}{-0.048611in}}% -\pgfusepath{stroke,fill}% -}% -\begin{pgfscope}% -\pgfsys@transformshift{10.066066in}{0.990000in}% -\pgfsys@useobject{currentmarker}{}% -\end{pgfscope}% -\end{pgfscope}% -\begin{pgfscope}% -\definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetstrokecolor{textcolor}% -\pgfsetfillcolor{textcolor}% -\pgftext[x=10.066066in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {23}\)}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% -\pgfusepath{clip}% -\pgfsetbuttcap% -\pgfsetroundjoin% -\pgfsetlinewidth{0.803000pt}% -\definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% -\pgfsetstrokecolor{currentstroke}% -\pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{10.406191in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{10.406191in}{7.920000in}}% -\pgfusepath{stroke}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfsetbuttcap% -\pgfsetroundjoin% -\definecolor{currentfill}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetfillcolor{currentfill}% -\pgfsetlinewidth{0.803000pt}% -\definecolor{currentstroke}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetstrokecolor{currentstroke}% -\pgfsetdash{}{0pt}% -\pgfsys@defobject{currentmarker}{\pgfqpoint{0.000000in}{-0.048611in}}{\pgfqpoint{0.000000in}{0.000000in}}{% -\pgfpathmoveto{\pgfqpoint{0.000000in}{0.000000in}}% -\pgfpathlineto{\pgfqpoint{0.000000in}{-0.048611in}}% -\pgfusepath{stroke,fill}% -}% -\begin{pgfscope}% -\pgfsys@transformshift{10.406191in}{0.990000in}% -\pgfsys@useobject{currentmarker}{}% -\end{pgfscope}% -\end{pgfscope}% -\begin{pgfscope}% -\definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetstrokecolor{textcolor}% -\pgfsetfillcolor{textcolor}% -\pgftext[x=10.406191in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {24}\)}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% -\pgfusepath{clip}% -\pgfsetbuttcap% -\pgfsetroundjoin% -\pgfsetlinewidth{0.803000pt}% -\definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% -\pgfsetstrokecolor{currentstroke}% -\pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{10.746317in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{10.746317in}{7.920000in}}% -\pgfusepath{stroke}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfsetbuttcap% -\pgfsetroundjoin% -\definecolor{currentfill}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetfillcolor{currentfill}% -\pgfsetlinewidth{0.803000pt}% -\definecolor{currentstroke}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetstrokecolor{currentstroke}% -\pgfsetdash{}{0pt}% -\pgfsys@defobject{currentmarker}{\pgfqpoint{0.000000in}{-0.048611in}}{\pgfqpoint{0.000000in}{0.000000in}}{% -\pgfpathmoveto{\pgfqpoint{0.000000in}{0.000000in}}% -\pgfpathlineto{\pgfqpoint{0.000000in}{-0.048611in}}% -\pgfusepath{stroke,fill}% -}% -\begin{pgfscope}% -\pgfsys@transformshift{10.746317in}{0.990000in}% -\pgfsys@useobject{currentmarker}{}% -\end{pgfscope}% -\end{pgfscope}% -\begin{pgfscope}% -\definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetstrokecolor{textcolor}% -\pgfsetfillcolor{textcolor}% -\pgftext[x=10.746317in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {25}\)}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% -\pgfusepath{clip}% -\pgfsetbuttcap% -\pgfsetroundjoin% -\pgfsetlinewidth{0.803000pt}% -\definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% -\pgfsetstrokecolor{currentstroke}% -\pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{11.086442in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{11.086442in}{7.920000in}}% -\pgfusepath{stroke}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfsetbuttcap% -\pgfsetroundjoin% -\definecolor{currentfill}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetfillcolor{currentfill}% -\pgfsetlinewidth{0.803000pt}% -\definecolor{currentstroke}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetstrokecolor{currentstroke}% -\pgfsetdash{}{0pt}% -\pgfsys@defobject{currentmarker}{\pgfqpoint{0.000000in}{-0.048611in}}{\pgfqpoint{0.000000in}{0.000000in}}{% -\pgfpathmoveto{\pgfqpoint{0.000000in}{0.000000in}}% -\pgfpathlineto{\pgfqpoint{0.000000in}{-0.048611in}}% -\pgfusepath{stroke,fill}% -}% -\begin{pgfscope}% -\pgfsys@transformshift{11.086442in}{0.990000in}% -\pgfsys@useobject{currentmarker}{}% -\end{pgfscope}% -\end{pgfscope}% -\begin{pgfscope}% -\definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetstrokecolor{textcolor}% -\pgfsetfillcolor{textcolor}% -\pgftext[x=11.086442in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {26}\)}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% -\pgfusepath{clip}% -\pgfsetbuttcap% -\pgfsetroundjoin% -\pgfsetlinewidth{0.803000pt}% -\definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% -\pgfsetstrokecolor{currentstroke}% -\pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{11.426567in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{11.426567in}{7.920000in}}% -\pgfusepath{stroke}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfsetbuttcap% -\pgfsetroundjoin% -\definecolor{currentfill}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetfillcolor{currentfill}% -\pgfsetlinewidth{0.803000pt}% -\definecolor{currentstroke}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetstrokecolor{currentstroke}% -\pgfsetdash{}{0pt}% -\pgfsys@defobject{currentmarker}{\pgfqpoint{0.000000in}{-0.048611in}}{\pgfqpoint{0.000000in}{0.000000in}}{% -\pgfpathmoveto{\pgfqpoint{0.000000in}{0.000000in}}% -\pgfpathlineto{\pgfqpoint{0.000000in}{-0.048611in}}% -\pgfusepath{stroke,fill}% -}% -\begin{pgfscope}% -\pgfsys@transformshift{11.426567in}{0.990000in}% -\pgfsys@useobject{currentmarker}{}% -\end{pgfscope}% -\end{pgfscope}% -\begin{pgfscope}% -\definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetstrokecolor{textcolor}% -\pgfsetfillcolor{textcolor}% -\pgftext[x=11.426567in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {27}\)}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% -\pgfusepath{clip}% -\pgfsetbuttcap% -\pgfsetroundjoin% -\pgfsetlinewidth{0.803000pt}% -\definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% -\pgfsetstrokecolor{currentstroke}% -\pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{11.766693in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{11.766693in}{7.920000in}}% -\pgfusepath{stroke}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfsetbuttcap% -\pgfsetroundjoin% -\definecolor{currentfill}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetfillcolor{currentfill}% -\pgfsetlinewidth{0.803000pt}% -\definecolor{currentstroke}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetstrokecolor{currentstroke}% -\pgfsetdash{}{0pt}% -\pgfsys@defobject{currentmarker}{\pgfqpoint{0.000000in}{-0.048611in}}{\pgfqpoint{0.000000in}{0.000000in}}{% -\pgfpathmoveto{\pgfqpoint{0.000000in}{0.000000in}}% -\pgfpathlineto{\pgfqpoint{0.000000in}{-0.048611in}}% -\pgfusepath{stroke,fill}% -}% -\begin{pgfscope}% -\pgfsys@transformshift{11.766693in}{0.990000in}% -\pgfsys@useobject{currentmarker}{}% -\end{pgfscope}% -\end{pgfscope}% -\begin{pgfscope}% -\definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetstrokecolor{textcolor}% -\pgfsetfillcolor{textcolor}% -\pgftext[x=11.766693in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {28}\)}% +\pgftext[x=11.658471in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 21}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -1200,7 +938,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=12.106818in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {29}\)}% +\pgftext[x=12.106818in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 22}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -1211,8 +949,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{1.750000in}{2.005000in}}% -\pgfpathlineto{\pgfqpoint{12.600000in}{2.005000in}}% +\pgfpathmoveto{\pgfqpoint{1.750000in}{1.996765in}}% +\pgfpathlineto{\pgfqpoint{12.600000in}{1.996765in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -1230,7 +968,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{1.750000in}{2.005000in}% +\pgfsys@transformshift{1.750000in}{1.996765in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -1238,7 +976,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=1.336419in, y=1.956775in, left, base]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {\ensuremath{-}200}\)}% +\pgftext[x=1.279657in, y=1.944003in, left, base]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont \ensuremath{-}100}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -1249,8 +987,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{1.750000in}{3.211897in}}% -\pgfpathlineto{\pgfqpoint{12.600000in}{3.211897in}}% +\pgfpathmoveto{\pgfqpoint{1.750000in}{3.232059in}}% +\pgfpathlineto{\pgfqpoint{12.600000in}{3.232059in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -1268,7 +1006,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{1.750000in}{3.211897in}% +\pgfsys@transformshift{1.750000in}{3.232059in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -1276,7 +1014,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=1.336419in, y=3.163671in, left, base]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {\ensuremath{-}100}\)}% +\pgftext[x=1.368022in, y=3.179297in, left, base]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont \ensuremath{-}50}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -1287,8 +1025,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{1.750000in}{4.418793in}}% -\pgfpathlineto{\pgfqpoint{12.600000in}{4.418793in}}% +\pgfpathmoveto{\pgfqpoint{1.750000in}{4.467353in}}% +\pgfpathlineto{\pgfqpoint{12.600000in}{4.467353in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -1306,7 +1044,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{1.750000in}{4.418793in}% +\pgfsys@transformshift{1.750000in}{4.467353in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -1314,7 +1052,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=1.583333in, y=4.370568in, left, base]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {0}\)}% +\pgftext[x=1.564412in, y=4.414591in, left, base]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 0}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -1325,8 +1063,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{1.750000in}{5.625690in}}% -\pgfpathlineto{\pgfqpoint{12.600000in}{5.625690in}}% +\pgfpathmoveto{\pgfqpoint{1.750000in}{5.702647in}}% +\pgfpathlineto{\pgfqpoint{12.600000in}{5.702647in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -1344,7 +1082,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{1.750000in}{5.625690in}% +\pgfsys@transformshift{1.750000in}{5.702647in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -1352,7 +1090,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=1.444444in, y=5.577464in, left, base]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {100}\)}% +\pgftext[x=1.476047in, y=5.649886in, left, base]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 50}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -1363,8 +1101,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{1.750000in}{6.832586in}}% -\pgfpathlineto{\pgfqpoint{12.600000in}{6.832586in}}% +\pgfpathmoveto{\pgfqpoint{1.750000in}{6.937941in}}% +\pgfpathlineto{\pgfqpoint{12.600000in}{6.937941in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -1382,7 +1120,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{1.750000in}{6.832586in}% +\pgfsys@transformshift{1.750000in}{6.937941in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -1390,7 +1128,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=1.444444in, y=6.784361in, left, base]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {200}\)}% +\pgftext[x=1.387682in, y=6.885180in, left, base]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 100}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -1401,36 +1139,29 @@ \definecolor{currentstroke}{rgb}{1.000000,0.000000,0.000000}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{}{0pt}% -\pgfpathmoveto{\pgfqpoint{2.243182in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{2.583307in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{2.923433in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{3.263558in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{3.603683in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{3.943809in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{4.283934in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{4.624060in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{4.964185in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{5.304310in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{5.644436in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{5.984561in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{6.324687in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{6.664812in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{7.004937in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{7.345063in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{7.685188in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{8.025313in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{8.365439in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{8.705564in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{9.045690in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{9.385815in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{9.725940in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{10.066066in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{10.406191in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{10.746317in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{11.086442in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{11.426567in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{11.766693in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{12.106818in}{4.491207in}}% +\pgfpathmoveto{\pgfqpoint{2.243182in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{2.691529in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{3.139876in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{3.588223in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{4.036570in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{4.484917in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{4.933264in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{5.381612in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{5.829959in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{6.278306in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{6.726653in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{7.175000in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{7.623347in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{8.071694in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{8.520041in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{8.968388in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{9.416736in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{9.865083in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{10.313430in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{10.761777in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{11.210124in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{11.658471in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{12.106818in}{4.615588in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -1442,36 +1173,29 @@ \definecolor{currentstroke}{rgb}{0.000000,0.000000,1.000000}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{}{0pt}% -\pgfpathmoveto{\pgfqpoint{2.243182in}{2.873966in}}% -\pgfpathlineto{\pgfqpoint{2.583307in}{2.873966in}}% -\pgfpathlineto{\pgfqpoint{2.923433in}{5.951552in}}% -\pgfpathlineto{\pgfqpoint{3.263558in}{5.951552in}}% -\pgfpathlineto{\pgfqpoint{3.603683in}{5.951552in}}% -\pgfpathlineto{\pgfqpoint{3.943809in}{2.873966in}}% -\pgfpathlineto{\pgfqpoint{4.283934in}{2.873966in}}% -\pgfpathlineto{\pgfqpoint{4.624060in}{2.873966in}}% -\pgfpathlineto{\pgfqpoint{4.964185in}{5.951552in}}% -\pgfpathlineto{\pgfqpoint{5.304310in}{5.951552in}}% -\pgfpathlineto{\pgfqpoint{5.644436in}{5.951552in}}% -\pgfpathlineto{\pgfqpoint{5.984561in}{2.873966in}}% -\pgfpathlineto{\pgfqpoint{6.324687in}{2.873966in}}% -\pgfpathlineto{\pgfqpoint{6.664812in}{2.873966in}}% -\pgfpathlineto{\pgfqpoint{7.004937in}{5.951552in}}% -\pgfpathlineto{\pgfqpoint{7.345063in}{5.951552in}}% -\pgfpathlineto{\pgfqpoint{7.685188in}{5.951552in}}% -\pgfpathlineto{\pgfqpoint{8.025313in}{2.873966in}}% -\pgfpathlineto{\pgfqpoint{8.365439in}{2.873966in}}% -\pgfpathlineto{\pgfqpoint{8.705564in}{2.873966in}}% -\pgfpathlineto{\pgfqpoint{9.045690in}{5.951552in}}% -\pgfpathlineto{\pgfqpoint{9.385815in}{5.951552in}}% -\pgfpathlineto{\pgfqpoint{9.725940in}{5.951552in}}% -\pgfpathlineto{\pgfqpoint{10.066066in}{5.951552in}}% -\pgfpathlineto{\pgfqpoint{10.406191in}{2.873966in}}% -\pgfpathlineto{\pgfqpoint{10.746317in}{2.873966in}}% -\pgfpathlineto{\pgfqpoint{11.086442in}{2.873966in}}% -\pgfpathlineto{\pgfqpoint{11.426567in}{5.951552in}}% -\pgfpathlineto{\pgfqpoint{11.766693in}{5.951552in}}% -\pgfpathlineto{\pgfqpoint{12.106818in}{5.951552in}}% +\pgfpathmoveto{\pgfqpoint{2.243182in}{7.605000in}}% +\pgfpathlineto{\pgfqpoint{2.691529in}{7.605000in}}% +\pgfpathlineto{\pgfqpoint{3.139876in}{1.305000in}}% +\pgfpathlineto{\pgfqpoint{3.588223in}{7.605000in}}% +\pgfpathlineto{\pgfqpoint{4.036570in}{1.305000in}}% +\pgfpathlineto{\pgfqpoint{4.484917in}{7.605000in}}% +\pgfpathlineto{\pgfqpoint{4.933264in}{1.305000in}}% +\pgfpathlineto{\pgfqpoint{5.381612in}{7.605000in}}% +\pgfpathlineto{\pgfqpoint{5.829959in}{1.305000in}}% +\pgfpathlineto{\pgfqpoint{6.278306in}{7.605000in}}% +\pgfpathlineto{\pgfqpoint{6.726653in}{1.305000in}}% +\pgfpathlineto{\pgfqpoint{7.175000in}{7.605000in}}% +\pgfpathlineto{\pgfqpoint{7.623347in}{1.305000in}}% +\pgfpathlineto{\pgfqpoint{8.071694in}{7.605000in}}% +\pgfpathlineto{\pgfqpoint{8.520041in}{1.305000in}}% +\pgfpathlineto{\pgfqpoint{8.968388in}{7.605000in}}% +\pgfpathlineto{\pgfqpoint{9.416736in}{1.305000in}}% +\pgfpathlineto{\pgfqpoint{9.865083in}{7.605000in}}% +\pgfpathlineto{\pgfqpoint{10.313430in}{1.305000in}}% +\pgfpathlineto{\pgfqpoint{10.761777in}{7.605000in}}% +\pgfpathlineto{\pgfqpoint{11.210124in}{7.605000in}}% +\pgfpathlineto{\pgfqpoint{11.658471in}{1.305000in}}% +\pgfpathlineto{\pgfqpoint{12.106818in}{7.605000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -1483,36 +1207,29 @@ \definecolor{currentstroke}{rgb}{0.000000,0.750000,0.750000}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{5.550000pt}{2.400000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{2.243182in}{4.418793in}}% -\pgfpathlineto{\pgfqpoint{2.583307in}{2.958448in}}% -\pgfpathlineto{\pgfqpoint{2.923433in}{4.575690in}}% -\pgfpathlineto{\pgfqpoint{3.263558in}{6.192931in}}% -\pgfpathlineto{\pgfqpoint{3.603683in}{4.732586in}}% -\pgfpathlineto{\pgfqpoint{3.943809in}{3.272241in}}% -\pgfpathlineto{\pgfqpoint{4.283934in}{1.811897in}}% -\pgfpathlineto{\pgfqpoint{4.624060in}{3.429138in}}% -\pgfpathlineto{\pgfqpoint{4.964185in}{5.046379in}}% -\pgfpathlineto{\pgfqpoint{5.304310in}{6.663621in}}% -\pgfpathlineto{\pgfqpoint{5.644436in}{5.203276in}}% -\pgfpathlineto{\pgfqpoint{5.984561in}{3.742931in}}% -\pgfpathlineto{\pgfqpoint{6.324687in}{2.282586in}}% -\pgfpathlineto{\pgfqpoint{6.664812in}{3.899828in}}% -\pgfpathlineto{\pgfqpoint{7.004937in}{5.517069in}}% -\pgfpathlineto{\pgfqpoint{7.345063in}{7.134310in}}% -\pgfpathlineto{\pgfqpoint{7.685188in}{5.673966in}}% -\pgfpathlineto{\pgfqpoint{8.025313in}{4.213621in}}% -\pgfpathlineto{\pgfqpoint{8.365439in}{2.753276in}}% -\pgfpathlineto{\pgfqpoint{8.705564in}{4.370517in}}% -\pgfpathlineto{\pgfqpoint{9.045690in}{5.987759in}}% -\pgfpathlineto{\pgfqpoint{9.385815in}{7.605000in}}% -\pgfpathlineto{\pgfqpoint{9.725940in}{6.144655in}}% -\pgfpathlineto{\pgfqpoint{10.066066in}{4.684310in}}% -\pgfpathlineto{\pgfqpoint{10.406191in}{3.223966in}}% -\pgfpathlineto{\pgfqpoint{10.746317in}{1.763621in}}% -\pgfpathlineto{\pgfqpoint{11.086442in}{3.380862in}}% -\pgfpathlineto{\pgfqpoint{11.426567in}{4.998103in}}% -\pgfpathlineto{\pgfqpoint{11.766693in}{6.615345in}}% -\pgfpathlineto{\pgfqpoint{12.106818in}{5.155000in}}% +\pgfpathmoveto{\pgfqpoint{2.243182in}{4.467353in}}% +\pgfpathlineto{\pgfqpoint{2.691529in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{3.139876in}{1.626176in}}% +\pgfpathlineto{\pgfqpoint{3.588223in}{4.936765in}}% +\pgfpathlineto{\pgfqpoint{4.036570in}{1.947353in}}% +\pgfpathlineto{\pgfqpoint{4.484917in}{5.257941in}}% +\pgfpathlineto{\pgfqpoint{4.933264in}{2.268529in}}% +\pgfpathlineto{\pgfqpoint{5.381612in}{5.579118in}}% +\pgfpathlineto{\pgfqpoint{5.829959in}{2.589706in}}% +\pgfpathlineto{\pgfqpoint{6.278306in}{5.900294in}}% +\pgfpathlineto{\pgfqpoint{6.726653in}{2.910882in}}% +\pgfpathlineto{\pgfqpoint{7.175000in}{6.221471in}}% +\pgfpathlineto{\pgfqpoint{7.623347in}{3.232059in}}% +\pgfpathlineto{\pgfqpoint{8.071694in}{6.542647in}}% +\pgfpathlineto{\pgfqpoint{8.520041in}{3.553235in}}% +\pgfpathlineto{\pgfqpoint{8.968388in}{6.863824in}}% +\pgfpathlineto{\pgfqpoint{9.416736in}{3.874412in}}% +\pgfpathlineto{\pgfqpoint{9.865083in}{7.185000in}}% +\pgfpathlineto{\pgfqpoint{10.313430in}{4.195588in}}% +\pgfpathlineto{\pgfqpoint{10.761777in}{7.506176in}}% +\pgfpathlineto{\pgfqpoint{11.210124in}{4.516765in}}% +\pgfpathlineto{\pgfqpoint{11.658471in}{1.527353in}}% +\pgfpathlineto{\pgfqpoint{12.106818in}{4.837941in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -1541,123 +1258,95 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{2.243182in}{5.951552in}% +\pgfsys@transformshift{2.243182in}{4.467353in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{2.583307in}{4.334310in}% +\pgfsys@transformshift{2.691529in}{7.456765in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{2.923433in}{2.717069in}% +\pgfsys@transformshift{3.139876in}{4.146176in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{3.263558in}{4.177414in}% +\pgfsys@transformshift{3.588223in}{7.135588in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{3.603683in}{5.637759in}% +\pgfsys@transformshift{4.036570in}{3.825000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{3.943809in}{7.098103in}% +\pgfsys@transformshift{4.484917in}{6.814412in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{4.283934in}{5.480862in}% +\pgfsys@transformshift{4.933264in}{3.503824in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{4.624060in}{3.863621in}% +\pgfsys@transformshift{5.381612in}{6.493235in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{4.964185in}{2.246379in}% +\pgfsys@transformshift{5.829959in}{3.182647in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{5.304310in}{3.706724in}% +\pgfsys@transformshift{6.278306in}{6.172059in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{5.644436in}{5.167069in}% +\pgfsys@transformshift{6.726653in}{2.861471in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{5.984561in}{6.627414in}% +\pgfsys@transformshift{7.175000in}{5.850882in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{6.324687in}{5.010172in}% +\pgfsys@transformshift{7.623347in}{2.540294in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{6.664812in}{3.392931in}% +\pgfsys@transformshift{8.071694in}{5.529706in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{7.004937in}{1.775690in}% +\pgfsys@transformshift{8.520041in}{2.219118in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{7.345063in}{3.236034in}% +\pgfsys@transformshift{8.968388in}{5.208529in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{7.685188in}{4.696379in}% +\pgfsys@transformshift{9.416736in}{1.897941in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{8.025313in}{6.156724in}% +\pgfsys@transformshift{9.865083in}{4.887353in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{8.365439in}{4.539483in}% +\pgfsys@transformshift{10.313430in}{1.576765in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{8.705564in}{2.922241in}% +\pgfsys@transformshift{10.761777in}{4.566176in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{9.045690in}{1.305000in}% +\pgfsys@transformshift{11.210124in}{7.555588in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{9.385815in}{2.765345in}% +\pgfsys@transformshift{11.658471in}{4.245000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{9.725940in}{4.225690in}% -\pgfsys@useobject{currentmarker}{}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfsys@transformshift{10.066066in}{5.686034in}% -\pgfsys@useobject{currentmarker}{}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfsys@transformshift{10.406191in}{7.146379in}% -\pgfsys@useobject{currentmarker}{}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfsys@transformshift{10.746317in}{5.529138in}% -\pgfsys@useobject{currentmarker}{}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfsys@transformshift{11.086442in}{3.911897in}% -\pgfsys@useobject{currentmarker}{}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfsys@transformshift{11.426567in}{2.294655in}% -\pgfsys@useobject{currentmarker}{}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfsys@transformshift{11.766693in}{3.755000in}% -\pgfsys@useobject{currentmarker}{}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfsys@transformshift{12.106818in}{5.215345in}% +\pgfsys@transformshift{12.106818in}{7.234412in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -1716,16 +1405,16 @@ \pgfsetstrokecolor{currentstroke}% \pgfsetstrokeopacity{0.800000}% \pgfsetdash{}{0pt}% -\pgfpathmoveto{\pgfqpoint{11.864660in}{7.034198in}}% -\pgfpathlineto{\pgfqpoint{12.502778in}{7.034198in}}% -\pgfpathquadraticcurveto{\pgfqpoint{12.530556in}{7.034198in}}{\pgfqpoint{12.530556in}{7.061976in}}% -\pgfpathlineto{\pgfqpoint{12.530556in}{7.822778in}}% -\pgfpathquadraticcurveto{\pgfqpoint{12.530556in}{7.850556in}}{\pgfqpoint{12.502778in}{7.850556in}}% -\pgfpathlineto{\pgfqpoint{11.864660in}{7.850556in}}% -\pgfpathquadraticcurveto{\pgfqpoint{11.836883in}{7.850556in}}{\pgfqpoint{11.836883in}{7.822778in}}% -\pgfpathlineto{\pgfqpoint{11.836883in}{7.061976in}}% -\pgfpathquadraticcurveto{\pgfqpoint{11.836883in}{7.034198in}}{\pgfqpoint{11.864660in}{7.034198in}}% -\pgfpathlineto{\pgfqpoint{11.864660in}{7.034198in}}% +\pgfpathmoveto{\pgfqpoint{1.847222in}{1.059444in}}% +\pgfpathlineto{\pgfqpoint{2.446777in}{1.059444in}}% +\pgfpathquadraticcurveto{\pgfqpoint{2.474554in}{1.059444in}}{\pgfqpoint{2.474554in}{1.087222in}}% +\pgfpathlineto{\pgfqpoint{2.474554in}{1.900044in}}% +\pgfpathquadraticcurveto{\pgfqpoint{2.474554in}{1.927822in}}{\pgfqpoint{2.446777in}{1.927822in}}% +\pgfpathlineto{\pgfqpoint{1.847222in}{1.927822in}}% +\pgfpathquadraticcurveto{\pgfqpoint{1.819444in}{1.927822in}}{\pgfqpoint{1.819444in}{1.900044in}}% +\pgfpathlineto{\pgfqpoint{1.819444in}{1.087222in}}% +\pgfpathquadraticcurveto{\pgfqpoint{1.819444in}{1.059444in}}{\pgfqpoint{1.847222in}{1.059444in}}% +\pgfpathlineto{\pgfqpoint{1.847222in}{1.059444in}}% \pgfpathclose% \pgfusepath{stroke,fill}% \end{pgfscope}% @@ -1736,16 +1425,16 @@ \definecolor{currentstroke}{rgb}{1.000000,0.000000,0.000000}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{}{0pt}% -\pgfpathmoveto{\pgfqpoint{11.892438in}{7.746389in}}% -\pgfpathlineto{\pgfqpoint{12.031327in}{7.746389in}}% -\pgfpathlineto{\pgfqpoint{12.170216in}{7.746389in}}% +\pgfpathmoveto{\pgfqpoint{1.875000in}{1.815354in}}% +\pgfpathlineto{\pgfqpoint{2.013889in}{1.815354in}}% +\pgfpathlineto{\pgfqpoint{2.152778in}{1.815354in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=12.281327in,y=7.697778in,left,base]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont x}% +\pgftext[x=2.263889in,y=1.766743in,left,base]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont x}% \end{pgfscope}% \begin{pgfscope}% \pgfsetrectcap% @@ -1754,16 +1443,16 @@ \definecolor{currentstroke}{rgb}{0.000000,0.000000,1.000000}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{}{0pt}% -\pgfpathmoveto{\pgfqpoint{11.892438in}{7.552716in}}% -\pgfpathlineto{\pgfqpoint{12.031327in}{7.552716in}}% -\pgfpathlineto{\pgfqpoint{12.170216in}{7.552716in}}% +\pgfpathmoveto{\pgfqpoint{1.875000in}{1.605661in}}% +\pgfpathlineto{\pgfqpoint{2.013889in}{1.605661in}}% +\pgfpathlineto{\pgfqpoint{2.152778in}{1.605661in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=12.281327in,y=7.504105in,left,base]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont y}% +\pgftext[x=2.263889in,y=1.557050in,left,base]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle y'_n\)}% \end{pgfscope}% \begin{pgfscope}% \pgfsetbuttcap% @@ -1772,16 +1461,16 @@ \definecolor{currentstroke}{rgb}{0.000000,0.750000,0.750000}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{5.550000pt}{2.400000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{11.892438in}{7.359043in}}% -\pgfpathlineto{\pgfqpoint{12.031327in}{7.359043in}}% -\pgfpathlineto{\pgfqpoint{12.170216in}{7.359043in}}% +\pgfpathmoveto{\pgfqpoint{1.875000in}{1.396358in}}% +\pgfpathlineto{\pgfqpoint{2.013889in}{1.396358in}}% +\pgfpathlineto{\pgfqpoint{2.152778in}{1.396358in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=12.281327in,y=7.310432in,left,base]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont u}% +\pgftext[x=2.263889in,y=1.347747in,left,base]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle u_n\)}% \end{pgfscope}% \begin{pgfscope}% \pgfsetbuttcap% @@ -1807,7 +1496,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{12.031327in}{7.165371in}% +\pgfsys@transformshift{2.013889in}{1.192501in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -1815,7 +1504,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=12.281327in,y=7.116759in,left,base]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont eps}% +\pgftext[x=2.263889in,y=1.143890in,left,base]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle \varepsilon_n\)}% \end{pgfscope}% \end{pgfpicture}% \makeatother% diff --git a/pics/03-fpga-lab-04-01-sigdel.svg b/pics/03-fpga-lab-04-01-sigdel.svg new file mode 100644 index 0000000..8e3f4da --- /dev/null +++ b/pics/03-fpga-lab-04-01-sigdel.svg @@ -0,0 +1,185 @@ + + + + + + + + + + image/svg+xml + + + + + + + $Z^{-1}$ + $u_n$ + Q + $y_n$ + $\varepsilon_n$ + $x_n$ + + + + + + + + + + + + + diff --git a/pics/03-fpga-lab-04-01-synth.svg b/pics/03-fpga-lab-04-01-synth.svg index 99aef8f..e9eaf65 100644 --- a/pics/03-fpga-lab-04-01-synth.svg +++ b/pics/03-fpga-lab-04-01-synth.svg @@ -13,7 +13,7 @@ version="1.1" id="svg8" inkscape:version="1.0.2 (e86c870879, 2021-01-15)" - sodipodi:docname="03-fpga-04-01-synth.svg"> + sodipodi:docname="03-fpga-lab-04-01-synth.svg"> + inkscape:window-width="2560" + inkscape:window-height="1376" + inkscape:window-x="0" + inkscape:window-y="27" + inkscape:window-maximized="1"> @@ -49,7 +49,7 @@ image/svg+xml - + @@ -227,13 +227,13 @@ к осцилографу + style="stroke-width:0.264583">к осциллографу diff --git a/pics/03-fpga-lab-04-02-correct.png b/pics/03-fpga-lab-04-02-correct.png new file mode 100644 index 0000000..7a7a528 Binary files /dev/null and b/pics/03-fpga-lab-04-02-correct.png differ diff --git a/pics/03-fpga-lab-04-02-phacc.png b/pics/03-fpga-lab-04-02-phacc.png new file mode 100644 index 0000000..3e04379 Binary files /dev/null and b/pics/03-fpga-lab-04-02-phacc.png differ diff --git a/pics/03-fpga-lab-04-02-unover-model.png b/pics/03-fpga-lab-04-02-unover-model.png new file mode 100644 index 0000000..9b1b409 Binary files /dev/null and b/pics/03-fpga-lab-04-02-unover-model.png differ diff --git a/pics/03-fpga-lab-04-02-unoverflow.png b/pics/03-fpga-lab-04-02-unoverflow.png new file mode 100644 index 0000000..e04f170 Binary files /dev/null and b/pics/03-fpga-lab-04-02-unoverflow.png differ diff --git a/src/inc_lut_tb.sv b/src/inc_lut_tb.sv new file mode 100644 index 0000000..7a7fae3 --- /dev/null +++ b/src/inc_lut_tb.sv @@ -0,0 +1,47 @@ +`timescale 1 ns/1 ns + +module inc_lut_tb(); + + // Parameters + localparam CLK_PRD = 20; + localparam PHACC_WIDTH = 14; + + logic clk, clr_n, wr_n; + logic [7:0] phinc_val, phase, sine; + + // Instantiate UUT and connect used ports + phacc phacc(.phinc(phinc_val), .clk(clk), .reset(clr_n), .phase(phase)); + defparam phacc.WIDTH = PHACC_WIDTH; + + sinelut sinelut_inst ( + .address (phase), .clock (clk), .q(sine) + ); + + + // Clock definition + initial begin + clk = 0; + forever #(CLK_PRD/2) clk = ~clk; + end + + // Reset and initial values definition + initial begin + clr_n = 0; + #(CLK_PRD*5) clr_n = 1; + end + + // Bus write transaction simulation + initial begin + // Wait until system is out of reset + @(posedge clr_n); + + phinc_val=(2**(PHACC_WIDTH - 8)); + if ((phinc_val <= 255) && (phinc_val != 0)) begin + #(CLK_PRD * 256 * 5) $stop; + end else begin + $display("Error: value of phase increment is out of range! Stopped simulation."); + #1 $stop; + end + end +endmodule + diff --git a/src/lut_mod_tb.sv b/src/lut_mod_tb.sv new file mode 100755 index 0000000..df55bd1 --- /dev/null +++ b/src/lut_mod_tb.sv @@ -0,0 +1,55 @@ +`timescale 1 ns/1 ns + +module lut_mod_tb(); + + // Parameters + localparam CLK_PRD = 20; + localparam PHACC_WIDTH = 14; + + logic clk, clr_n, wr_n, daco; + logic [7:0] phinc_val, phase, sine; + + // Instantiate UUT and connect used ports + phacc phacc ( + .phinc(phinc_val), .clk(clk), .reset(clr_n), .phase(phase) + ); + defparam phacc.WIDTH = PHACC_WIDTH; + + sinelut sinelut_inst ( + .address(phase), .clock(clk), .q(sine) + ); + + sdmod sdmod_inst ( + .val(sine), .clk(clk), .reset(clr_n), .daco(daco) +// .val(8'd0), .clk(clk), .reset(clr_n), .daco(daco) +// .val(8'd255), .clk(clk), .reset(clr_n), .daco(daco) + ); + + + // Clock definition + initial begin + clk = 0; + forever #(CLK_PRD/2) clk = ~clk; + end + + // Reset and initial values definition + initial begin + clr_n = 0; + #(CLK_PRD*5) clr_n = 1; + end + + // Bus write transaction simulation + initial begin + // Wait until system is out of reset + @(posedge clr_n); + + phinc_val=(2**(PHACC_WIDTH - 8)); + if ((phinc_val <= 255) && (phinc_val != 0)) begin + #(CLK_PRD * 256 * 10) $stop; + end else begin + $display("Error: value of phase increment is out of range! Stopped simulation."); + #1 $stop; + end + end +endmodule + diff --git a/src/phacc.sv b/src/phacc.sv new file mode 100644 index 0000000..fa1bc47 --- /dev/null +++ b/src/phacc.sv @@ -0,0 +1,23 @@ +module phacc + #( + parameter unsigned WIDTH = 14 + ) ( + input logic [7:0] phinc, + input clk, + input reset, + output [7:0] phase + ); + + logic [WIDTH - 1 : 0] sum; + + always_ff @(posedge clk, negedge reset) begin + if (~reset) begin + sum <= 0; + end else begin + sum <= sum + phinc; + end + end + + assign phase = sum[WIDTH - 1 : WIDTH - 8]; + +endmodule diff --git a/src/sdmod.sv b/src/sdmod.sv new file mode 100755 index 0000000..1bf4d71 --- /dev/null +++ b/src/sdmod.sv @@ -0,0 +1,23 @@ +module sdmod ( + input signed [7:0] val, + input clk, + input reset, + output daco +); + +logic out; +logic signed [7:0] eps; +logic signed [8:0] un; + +always_ff @(posedge clk, negedge reset) begin + if (~reset) begin + un <= 9'd0; + end else begin + un <= val - eps; + end +end + +assign out = (un >= $signed(9'd0)) ? 1'd1 : 1'd0; +assign eps = (un >= $signed(9'd0)) ? $signed(9'd127) - un : $signed(-9'd128) - un; +assign daco = out; +endmodule diff --git a/src/sigdel.sv b/src/sigdel.sv new file mode 100755 index 0000000..19d5764 --- /dev/null +++ b/src/sigdel.sv @@ -0,0 +1,37 @@ +//top-level module +module sigdel +#( + PHACC_WIDTH = 14 +) ( + //clock and reset + input logic clk, clr_n, + //control slave + input logic [31:0] wr_data, + input logic wr_n, + output logic fout +); + + logic [7:0] phinc_val; + + //control slave logic + always_ff @ (posedge clk or negedge clr_n) begin + if (!clr_n) begin + phinc_val[7:0] <= 8'd0; + end else begin + if (!wr_n) begin + phinc_val[7:0] <= wr_data[31:0]; + end + end + end + + phacc phacc_inst (.phinc(phinc_val), .clk(clk), .reset(clr_n), .phase(phase)); + defparam phacc_inst.WIDTH = PHACC_WIDTH; + + sinelut sinelut_inst ( + .address (phase), .clock (clk), .q(sine) + ); + + sdmod sdmod_inst ( + .val(sine), .clk(clk), .reset(clr_n), .daco(fout) + ); +endmodule diff --git a/src/sigdel_tb.sv b/src/sigdel_tb.sv new file mode 100755 index 0000000..e5fc8ea --- /dev/null +++ b/src/sigdel_tb.sv @@ -0,0 +1,76 @@ +`timescale 1 ns/1 ns + +module sigdel_tb(); + // Parameters + localparam CLK_PRD = 20; + localparam SAMPLES_PRD = 256; + localparam OVERSAMPLING = 4; + localparam PHACC_WIDTH = 14; + + // Wires and variables to connect to UUT (unit under test) + logic clk, clr_n, wr_n; + logic [31:0] wr_data; + logic [31:0] phinc_val; + logic fout; + + // Instantiate UUT and connect used ports + sigdel dut(.clk(clk), .clr_n(clr_n), .wr_n(wr_n), .wr_data(wr_data), .fout(fout)); + defparam dut.PHACC_WIDTH = PHACC_WIDTH; + + // Clock definition + initial begin + clk = 0; + forever #(CLK_PRD/2) clk = ~clk; + end + + // Reset and initial values definition + initial begin + clr_n = 0; + wr_n = 1; + wr_data = 'bx; + #(CLK_PRD*5) clr_n = 1; + end + + // Bus write transaction simulation + initial begin + // Wait until system is out of reset + @(posedge clr_n); + // Check if phase increment for required accumulator width + // and oversamlpling ratio will fit in 8 bits + phinc_val=(2**(PHACC_WIDTH-8))/OVERSAMPLING; + if ((phinc_val <= 255) && (phinc_val != 0)) begin + // Write phase increment several clock cycles after reset + #(CLK_PRD*3) write_transaction(phinc_val); + // Wait for one sine period (for 14-bit phase accumulator case) + #(CLK_PRD*SAMPLES_PRD*OVERSAMPLING) + + #(CLK_PRD*3) write_transaction(phinc_val*5); + + #(CLK_PRD*SAMPLES_PRD*OVERSAMPLING) + $stop; + end else begin + //Output simulation error + $display("Error: value of phase increment is out of range! Stopped simulation."); + //Stop simulation (small delay needed for $display to work) + #1 $stop; + end + end + + //Single write transaction task + task write_transaction; + //input signals + input [31:0] val; + //transaction implementation + begin + @(posedge clk); + //assert signals for one clock cycle + wr_n = 0; + wr_data = val; + @(posedge clk); + //deassert signals + wr_n = 1; + wr_data = 'bx; + end + endtask +endmodule +