From 84277abd9d5b260579997b1bbf6f59a42680eef2 Mon Sep 17 00:00:00 2001 From: "Ivan I. Ovchinnikov" Date: Mon, 30 Jan 2023 18:21:25 +0300 Subject: [PATCH] wip lr4 report --- 03-fpga-lab-04-report.tex | 159 ++++- pics/03-fpga-04-sigdel.pgf | 763 +++++++----------------- pics/03-fpga-lab-04-01-sigdel.svg | 185 ++++++ pics/03-fpga-lab-04-01-synth.svg | 26 +- pics/03-fpga-lab-04-02-correct.png | Bin 0 -> 34843 bytes pics/03-fpga-lab-04-02-phacc.png | Bin 0 -> 85308 bytes pics/03-fpga-lab-04-02-unover-model.png | Bin 0 -> 40777 bytes pics/03-fpga-lab-04-02-unoverflow.png | Bin 0 -> 5602 bytes src/inc_lut_tb.sv | 47 ++ src/lut_mod_tb.sv | 55 ++ src/phacc.sv | 23 + src/sdmod.sv | 23 + src/sigdel.sv | 37 ++ src/sigdel_tb.sv | 76 +++ 14 files changed, 823 insertions(+), 571 deletions(-) create mode 100644 pics/03-fpga-lab-04-01-sigdel.svg create mode 100644 pics/03-fpga-lab-04-02-correct.png create mode 100644 pics/03-fpga-lab-04-02-phacc.png create mode 100644 pics/03-fpga-lab-04-02-unover-model.png create mode 100644 pics/03-fpga-lab-04-02-unoverflow.png create mode 100644 src/inc_lut_tb.sv create mode 100755 src/lut_mod_tb.sv create mode 100644 src/phacc.sv create mode 100755 src/sdmod.sv create mode 100755 src/sigdel.sv create mode 100755 src/sigdel_tb.sv diff --git a/03-fpga-lab-04-report.tex b/03-fpga-lab-04-report.tex index b47c39f..e3e7b61 100644 --- a/03-fpga-lab-04-report.tex +++ b/03-fpga-lab-04-report.tex @@ -18,17 +18,21 @@ Осуществить полный цикл проектирования цифрового устройства на ПЛИС на языке SystemVerilog. Реализовать генератор периодических функций на основе метода прямого цифрового синтеза на микросхеме семейства Cyclone IV E. \section{Задачи} -Прямой цифровой синтез -- это метод генерации сигнала заданной формы путём вычисления значений сигнала в последовательных дискретных отсчётах времени и преобразовании их в аналоговый сигнал с помощью ЦАП. Структура системы приведена на рис \hrf{pic:synth-scheme}. +\begin{itemize} +\item Реализовать модули «Накопителя фазы», «Просмотровой таблицы», «Сигма-Дельта модулятора». +\item Реализовать синтезатор по схеме (рис. \hrf{pic:synth-scheme}), используя разработанные модули. \begin{figure}[H] \centering \fontsize{14}{1}\selectfont \includesvg[scale=1.01]{pics/03-fpga-lab-04-01-synth.svg} \caption{Схема цифрового синтезатора} - \label{pic:pic:synth-scheme} + \label{pic:synth-scheme} \end{figure} -Инкремент фазы поступает на вход накопителя фазы и определяет скорость изменения фазы формируемого сигнала. Накопитель фазы выдаёт номер текущего отсчёта в периоде на просмотровую таблицу, содержащую образ одного периода синуса. Значение с выхода просмотровой таблицы поступает на дельта-сигма модулятор, который работает как однобитный ЦАП. Модулятор формирует последовательность нулей и единиц на высокой частоте, соответствующую текущему уровню на входе модулятора, после чего двухуровневый выходной сигнал модулятора подается на выход ПЛИС и сглаживается простым RC фильтром НЧ. При изменении приращения фазы изменяется частота формируемого на выходе сигнала. +\item Осуществить моделирование каждого этапа разработки. +\item В рамках самостоятельной подготовки провести расчёт значений $u_n$,$x_n$,$y'_n$ и $\varepsilon_n$ для 5 тактов работы дельта-сигма модулятора. Приведите значения для каждого такта и график выходного сигнала $y_n$. +\end{itemize} \section{Выполнение работы} \subsection{Самостоятельная подготовка} @@ -37,17 +41,44 @@ \begin{figure}[H] \centering \resizebox{\textwidth}{!}{\input{pics/03-fpga-04-sigdel.pgf}} - \caption{1} - \label{pic:1} + \caption{Пошаговая иллюстрация работы сигма-дельта модулятора} + \label{pic:sig-del-handjob} \end{figure} +Регистр $u_{-1}$ по низкому уровню сигнала сброса инициализируется нулём, поэтому значение $y_{-1}$ становится равным 127, как следствие, $\varepsilon_{-1} = 0$. Далее, значения изменяются по следующим формулам: +\begin{equation*} + \begin{gathered} + x = 6;\\ + u_n = x - y'_{n-1}\\ + \varepsilon_n = y'_n - u_n + \end{gathered} +\end{equation*} + +Несколько шагов алгоритма приведены в расчётах ниже. Из ручного прохождения алгоритма (и графика на рис \hrf{pic:sig-del-handjob}) очевидно, что постоянное малое значение на входе модулятора формирует переходы $y$ от -128 до 127 на каждом такте линии задержки, как если бы это было при входящем значении нуля, и изредка удерживает $y$ в значении 127 (примерно, один раз в 20 отсчётов). + \begin{enumerate} -\item $x_n = 6, \varepsilon_n = 127$, уровень квантования 127; -\item $u_{n + 1} = x_n - \varepsilon_n = 6 – 127 = -121$, при этом $\varepsilon_n = -128-(-121)=-7$, а уровень квантования -128; -\item $u_{n + 2} = x_n - \varepsilon_n = 6 – (–7) = 13$, при этом $\varepsilon_n = 127 - 13 = 104$, а уровень квантования 127; -\item $u_{n + 3} = x_n - \varepsilon_n = 6 – 104 = -98$, при этом $\varepsilon_n= -128-(-98) = -30$, а уровень квантования -128; -\item $u_{n + 4} = x_n - \varepsilon_n = 26 – (–30) = 106$, при этом ξn= 127-106 = 11, а уровень квантования 127 F: Un+1=XN-ξn=26 – 11 = 15, при этом ξn= 127-15 = 112, а уровень квантования 127 - G: Un+1=XN-ξn=26 – 112 = -86, при этом ξn= -128-(-86) = -42, а уровень квантования -128 H: Un+1=XN-varepsilonn=26 – (–42) = 88, при этом ξn= 127-88 = 39, а уровень квантования 127 +\item $x = 6, u_{1} = 6, y'_{0} = 127, \varepsilon_{1} = 121$ +\item $x = 6, u_{2} = -115, y'_{1} = 127, \varepsilon_{2} = -13$ +\item $x = 6, u_{3} = 19, y'_{2} = -128, \varepsilon_{3} = 108$ +\item $x = 6, u_{4} = -102, y'_{3} = 127, \varepsilon_{4} = -26$ +\item $x = 6, u_{5} = 32, y'_{4} = -128, \varepsilon_{5} = 95$ +\item $x = 6, u_{6} = -89, y'_{5} = 127, \varepsilon_{6} = -39$ +\item $x = 6, u_{7} = 45, y'_{6} = -128, \varepsilon_{7} = 82$ +\item $x = 6, u_{8} = -76, y'_{7} = 127, \varepsilon_{8} = -52$ +\item $x = 6, u_{9} = 58, y'_{8} = -128, \varepsilon_{9} = 69$ +\item $x = 6, u_{10} = -63, y'_{9} = 127, \varepsilon_{10} = -65$ +\item $x = 6, u_{11} = 71, y'_{10} = -128, \varepsilon_{11} = 56$ +\item $x = 6, u_{12} = -50, y'_{11} = 127, \varepsilon_{12} = -78$ +\item $x = 6, u_{13} = 84, y'_{12} = -128, \varepsilon_{13} = 43$ +\item $x = 6, u_{14} = -37, y'_{13} = 127, \varepsilon_{14} = -91$ +\item $x = 6, u_{15} = 97, y'_{14} = -128, \varepsilon_{15} = 30$ +\item $x = 6, u_{16} = -24, y'_{15} = 127, \varepsilon_{16} = -104$ +\item $x = 6, u_{17} = 110, y'_{16} = -128, \varepsilon_{17} = 17$ +\item $x = 6, u_{18} = -11, y'_{17} = 127, \varepsilon_{18} = -117$ +\item $x = 6, u_{19} = 123, y'_{18} = -128, \varepsilon_{19} = 4$ +\item $x = 6, u_{20} = 2, y'_{19} = 127, \varepsilon_{20} = 125$ +\item $x = 6, u_{21} = -119, y'_{20} = 127, \varepsilon_{21} = -9$ +\item $x = 6, u_{22} = 15, y'_{21} = -128, \varepsilon_{22} = 112$ \end{enumerate} \subsection{Разработка модулей} @@ -55,19 +86,109 @@ \textbf{Интерфейс модуля} \begin{frm} \begin{itemize} \item [] \textbf{Входы:} - \code{phinc[7:0]} -- величина приращения фазы за один период тактового сигнала; - \code{clk} -- тактовый сигнал; - \code{clr_n} -- вход асинхронного сброса; + \item [] \code{phinc[7:0]} -- величина приращения фазы за один период тактового сигнала; + \item [] \code{clk} -- тактовый сигнал; + \item [] \code{clr_n} -- вход асинхронного сброса; \item [] \textbf{Выходы:} - \code{phase[7:0]} -- 8 старших значащих битов выхода накопителя; + \item [] \code{phase[7:0]} -- 8 старших значащих битов выхода накопителя; \item [] \textbf{Параметры:} - \code{WIDTH} -- разрядность накопителя фазы (значение по умолчанию -- 14). + \item [] \code{WIDTH} -- разрядность накопителя фазы (значение по умолчанию -- 14). \end{itemize} \end{frm} \textbf{Принцип действия} + Накопитель фазы -- это классический аккумулятор, который сохраняет накопленную сумму в регистре и использует её в качестве одного из операндов сумматора на каждом такте. Второй операнд поступает с входа и определяет величину приращения фазы. Разрядность аккумулятора должна быть параметризирована. Входные значения складываются с младшими битами регистра аккумулятора, а на выход поступают старшие 8 разрядов аккумулятора, поэтому при разрядности аккумулятора M и значении N на входе приращения фазы выход фазы будет увеличиваться на единицу один раз в $2^{(M-8)}/N$ тактов. -\section{Индивидуальное задание} +\lstinputlisting[language=Verilog,style=VerilogStyle,caption={Накопитель фазы},label={lst:phacc}]{src/phacc.sv} + +\lstinputlisting[language=Verilog,style=VerilogStyle,caption={Тестовый стенд накопителя фазы},label={lst:inc_lut}]{src/inc_lut_tb.sv} + +\subsubsection{Просмотровая таблица} +Просмотровая таблица реализована на ПЗУ, шина адреса которого управляется входным значением фазы сигнала, а ячейки содержат соответствующие значения сигнала. Для реализации использован модуль ROM: 1-PORT с файлом инициализации памяти sine256.mif прилагавшемся в исходных файлах для лабораторной работы. + +\begin{figure}[H] + \centering + \includegraphics[width=0.9\textwidth]{03-fpga-lab-04-02-phacc.png} + \caption{Результат симуляции работы накопителя фазы и просмотровой таблицы} + \label{pic:phacc-lut} +\end{figure} + +\subsubsection{Дельта-сигма модулятор} +\textbf{Интерфейс модуля} +\begin{frm} \begin{itemize} + \item [] \textbf{Входы:} + \item [] \code{val[7:0]} - входные данные модулятора; + \item [] \code{clk} – тактовый сигнал; + \item [] \code{clr_n} – вход асинхронного сброса. + \item [] \textbf{Выход:} + \item [] \code{daco} - однобитная выходная последовательность. + \end{itemize} +\end{frm} + +\textbf{Принцип действия} + +На вход поступают 8-разрядные отсчеты $x_n$ (рис. \hrf{pic:sigdel-1}). Выход $y_n$ -- двухуровневый дискретизированный с большой частотой. Частота изменения отсчетов сигнала, выбираемых из памяти, ниже частоты работы модулятора. Это обеспечивает передискретизацию и возможность представления сигнала в аналоговом виде после НЧ фильтрации последовательности нулей и единиц. + +\begin{figure}[H] + \centering + \fontsize{12}{1}\selectfont + \includesvg[scale=1.01]{pics/03-fpga-lab-04-01-sigdel.svg} + \caption{Схема дельта-сигма модулятора первого порядка} + \label{pic:sigdel-1} +\end{figure} + +Если в работе модулятора использовать 8-разрядный регистр $u_n$ периодически в работе модулятора будут появляться переполнения и будет наблюдаться некорректное поведение, проявляющееся в смене фазы выходного сигнала (рис. \hrf{pic:unoverflow}). Переполнение регистра возможно наблюдать при моделировании достаточно большого числа шагов алгоритма (рис. \hrf{pic:unover-model}). + +\begin{figure}[H] + \centering + \includegraphics[width=0.9\textwidth]{03-fpga-lab-04-02-unoverflow.png} + \caption{Смена фазы выходного сигнала} + \label{pic:unoverflow} +\end{figure} + +\begin{figure}[H] + \centering + \includegraphics[width=0.9\textwidth]{03-fpga-lab-04-02-unover-model.png} + \caption{Переполнение значения регистра} + \label{pic:unover-model} +\end{figure} + +\lstinputlisting[language=Verilog,style=VerilogStyle,caption={Код дельта-сигма модулятора},label={lst:phacc}]{src/sdmod.sv} + +\lstinputlisting[language=Verilog,style=VerilogStyle,caption={Тестовый стенд дельта-сигма модулятора},label={lst:inc_lut}]{src/lut_mod_tb.sv} + +\subsubsection{Реализация синтезатора} + +\lstinputlisting[language=Verilog,style=VerilogStyle,caption={Код дельта-сигма синтезатора},label={lst:phacc}]{src/sigdel.sv} + +\begin{figure}[H] + \centering + \includegraphics[width=0.9\textwidth]{03-fpga-lab-04-02-correct.png} + \caption{Моделирование работы синтезатора} + \label{pic:synth-model} +\end{figure} + +\subsection{Контрольные вопросы} + +\begin{itemize} +\item Почему именно за это время из памяти выбирается один период синуса? + +Столько тратит времени 14-разрядный регистр накапливающий значения в 8 нижних разрядах так, чтобы изменилось значение в 8 верхних, отдаваемых аккумулятором. +\item Какая разрядность накопителя фазы требуется для формирования синусоидального сигнала в диапазоне частот от единиц до сотен Герц при изменении инкремента фазы от 1 до 255 (период синуса содержит 256 отсчетов, частота тактового импульса -- 50МГЦ)? + +В данной работе использовался коэффициент накопления 16 при той же частоте ТИ, то есть на каждом такте значение в аккумуляторе менялось на 1 в 5м разряде. Это тоже самое, что если коэфициент будет равен единице, а разрядность снижена на 5. Один период синуса проходил за 20мкс. Таким образом можно сделать вывод, что при коэффициенте 16 и разрядности накопителя 20 период одного синуса будет составлять около 760мс, при разрядности 21 -- около 1,5сек. +\item Дельта-сигма модулятор первого порядка. + +Позволяет снизить шум квантования относительно ШИМ, за счёт увеличения частоты выходного сигнала. + +\item Конфигурация ПЛИС Altera на основе статической памяти. + +Конфигурация ПЛИС хранится ячейках статической памяти, изготовленной по стандартной технологии. Достоинство этой технологии -- возможность многократного перепрограммирования ПЛИС. Недостатки -- не самое высокое быстродействие, поскольку после включения питания прошивку нужно вновь загружать из памяти. На плате необходимо дополнительно устанавливать загрузчик, специальная микросхема FLASH или микроконтроллер. + +\item Язык SystemVerilog. + + Языки описания схемотехники (HDL -- hardware design language) позволяют значительно сократить время описания схемотехнических решений и значительно повысить качество за счёт переиспользования кода, б\'{о}льших возможностей по моделированию и тестированию готовых схем. Также повышается переносимость решений. Язык SystemVerilog отличается от VHDL (Very High Speed Integrated Circuit HDL) лаконичностью описания, а от Verilog расширенными возможностями работы с абстракциями более верхнего уровня (не только сигналы, регистры и шины, но и знаковые переменные, матрицы и прочие). +\end{itemize} \section{Выводы} @@ -78,8 +199,4 @@ \subsection{Исходные коды проекта} \label{appendix:src} -% \lstinputlisting[language=Verilog,style=VerilogStyle,caption={Семафор},label={lst:dec}]{src/dec.sv} - -% \lstinputlisting[language=C,style=CCodeStyle,caption={\code{sem.c}},label={lst:sem}]{src/sem.c} - \end{document} diff --git a/pics/03-fpga-04-sigdel.pgf b/pics/03-fpga-04-sigdel.pgf index c375d55..8a0043b 100644 --- a/pics/03-fpga-04-sigdel.pgf +++ b/pics/03-fpga-04-sigdel.pgf @@ -20,6 +20,10 @@ %% %% Matplotlib used the following preamble %% +%% \usepackage{fontspec} +%% \setmainfont{DejaVuSerif.ttf}[Path=\detokenize{/home/ovchinnikov_ii@RISDE.ru/Documents/PyNb/venv/lib/python3.9/site-packages/matplotlib/mpl-data/fonts/ttf/}] +%% \setsansfont{DejaVuSans.ttf}[Path=\detokenize{/home/ovchinnikov_ii@RISDE.ru/Documents/PyNb/venv/lib/python3.9/site-packages/matplotlib/mpl-data/fonts/ttf/}] +%% \setmonofont{DejaVuSansMono.ttf}[Path=\detokenize{/home/ovchinnikov_ii@RISDE.ru/Documents/PyNb/venv/lib/python3.9/site-packages/matplotlib/mpl-data/fonts/ttf/}] %% \makeatletter\@ifpackageloaded{underscore}{}{\usepackage[strings]{underscore}}\makeatother %% \begingroup% @@ -98,7 +102,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=2.243182in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {0}\)}% +\pgftext[x=2.243182in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 0}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -109,8 +113,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{2.583307in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{2.583307in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{2.691529in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{2.691529in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -128,7 +132,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{2.583307in}{0.990000in}% +\pgfsys@transformshift{2.691529in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -136,7 +140,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=2.583307in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {1}\)}% +\pgftext[x=2.691529in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 1}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -147,8 +151,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{2.923433in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{2.923433in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{3.139876in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{3.139876in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -166,7 +170,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{2.923433in}{0.990000in}% +\pgfsys@transformshift{3.139876in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -174,7 +178,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=2.923433in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {2}\)}% +\pgftext[x=3.139876in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 2}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -185,8 +189,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{3.263558in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{3.263558in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{3.588223in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{3.588223in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -204,7 +208,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{3.263558in}{0.990000in}% +\pgfsys@transformshift{3.588223in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -212,7 +216,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=3.263558in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {3}\)}% +\pgftext[x=3.588223in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 3}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -223,8 +227,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{3.603683in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{3.603683in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{4.036570in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{4.036570in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -242,7 +246,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{3.603683in}{0.990000in}% +\pgfsys@transformshift{4.036570in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -250,7 +254,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=3.603683in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {4}\)}% +\pgftext[x=4.036570in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 4}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -261,8 +265,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{3.943809in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{3.943809in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{4.484917in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{4.484917in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -280,7 +284,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{3.943809in}{0.990000in}% +\pgfsys@transformshift{4.484917in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -288,7 +292,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=3.943809in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {5}\)}% +\pgftext[x=4.484917in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 5}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -299,8 +303,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{4.283934in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{4.283934in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{4.933264in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{4.933264in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -318,7 +322,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{4.283934in}{0.990000in}% +\pgfsys@transformshift{4.933264in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -326,7 +330,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=4.283934in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {6}\)}% +\pgftext[x=4.933264in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 6}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -337,8 +341,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{4.624060in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{4.624060in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{5.381612in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{5.381612in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -356,7 +360,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{4.624060in}{0.990000in}% +\pgfsys@transformshift{5.381612in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -364,7 +368,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=4.624060in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {7}\)}% +\pgftext[x=5.381612in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 7}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -375,8 +379,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{4.964185in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{4.964185in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{5.829959in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{5.829959in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -394,7 +398,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{4.964185in}{0.990000in}% +\pgfsys@transformshift{5.829959in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -402,7 +406,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=4.964185in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {8}\)}% +\pgftext[x=5.829959in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 8}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -413,8 +417,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{5.304310in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{5.304310in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{6.278306in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{6.278306in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -432,7 +436,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{5.304310in}{0.990000in}% +\pgfsys@transformshift{6.278306in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -440,7 +444,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=5.304310in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {9}\)}% +\pgftext[x=6.278306in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 9}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -451,8 +455,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{5.644436in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{5.644436in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{6.726653in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{6.726653in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -470,7 +474,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{5.644436in}{0.990000in}% +\pgfsys@transformshift{6.726653in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -478,7 +482,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=5.644436in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {10}\)}% +\pgftext[x=6.726653in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 10}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -489,8 +493,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{5.984561in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{5.984561in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{7.175000in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{7.175000in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -508,7 +512,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{5.984561in}{0.990000in}% +\pgfsys@transformshift{7.175000in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -516,7 +520,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=5.984561in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {11}\)}% +\pgftext[x=7.175000in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 11}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -527,8 +531,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{6.324687in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{6.324687in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{7.623347in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{7.623347in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -546,7 +550,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{6.324687in}{0.990000in}% +\pgfsys@transformshift{7.623347in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -554,7 +558,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=6.324687in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {12}\)}% +\pgftext[x=7.623347in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 12}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -565,8 +569,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{6.664812in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{6.664812in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{8.071694in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{8.071694in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -584,7 +588,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{6.664812in}{0.990000in}% +\pgfsys@transformshift{8.071694in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -592,7 +596,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=6.664812in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {13}\)}% +\pgftext[x=8.071694in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 13}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -603,8 +607,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{7.004937in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{7.004937in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{8.520041in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{8.520041in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -622,7 +626,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{7.004937in}{0.990000in}% +\pgfsys@transformshift{8.520041in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -630,7 +634,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=7.004937in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {14}\)}% +\pgftext[x=8.520041in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 14}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -641,8 +645,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{7.345063in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{7.345063in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{8.968388in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{8.968388in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -660,7 +664,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{7.345063in}{0.990000in}% +\pgfsys@transformshift{8.968388in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -668,7 +672,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=7.345063in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {15}\)}% +\pgftext[x=8.968388in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 15}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -679,8 +683,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{7.685188in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{7.685188in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{9.416736in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{9.416736in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -698,7 +702,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{7.685188in}{0.990000in}% +\pgfsys@transformshift{9.416736in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -706,7 +710,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=7.685188in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {16}\)}% +\pgftext[x=9.416736in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 16}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -717,8 +721,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{8.025313in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{8.025313in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{9.865083in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{9.865083in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -736,7 +740,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{8.025313in}{0.990000in}% +\pgfsys@transformshift{9.865083in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -744,7 +748,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=8.025313in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {17}\)}% +\pgftext[x=9.865083in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 17}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -755,8 +759,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{8.365439in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{8.365439in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{10.313430in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{10.313430in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -774,7 +778,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{8.365439in}{0.990000in}% +\pgfsys@transformshift{10.313430in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -782,7 +786,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=8.365439in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {18}\)}% +\pgftext[x=10.313430in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 18}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -793,8 +797,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{8.705564in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{8.705564in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{10.761777in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{10.761777in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -812,7 +816,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{8.705564in}{0.990000in}% +\pgfsys@transformshift{10.761777in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -820,7 +824,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=8.705564in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {19}\)}% +\pgftext[x=10.761777in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 19}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -831,8 +835,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{9.045690in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{9.045690in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{11.210124in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{11.210124in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -850,7 +854,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{9.045690in}{0.990000in}% +\pgfsys@transformshift{11.210124in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -858,7 +862,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=9.045690in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {20}\)}% +\pgftext[x=11.210124in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 20}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -869,8 +873,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{9.385815in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{9.385815in}{7.920000in}}% +\pgfpathmoveto{\pgfqpoint{11.658471in}{0.990000in}}% +\pgfpathlineto{\pgfqpoint{11.658471in}{7.920000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -888,7 +892,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{9.385815in}{0.990000in}% +\pgfsys@transformshift{11.658471in}{0.990000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -896,273 +900,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=9.385815in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {21}\)}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% -\pgfusepath{clip}% -\pgfsetbuttcap% -\pgfsetroundjoin% -\pgfsetlinewidth{0.803000pt}% -\definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% -\pgfsetstrokecolor{currentstroke}% -\pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{9.725940in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{9.725940in}{7.920000in}}% -\pgfusepath{stroke}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfsetbuttcap% -\pgfsetroundjoin% -\definecolor{currentfill}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetfillcolor{currentfill}% -\pgfsetlinewidth{0.803000pt}% -\definecolor{currentstroke}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetstrokecolor{currentstroke}% -\pgfsetdash{}{0pt}% -\pgfsys@defobject{currentmarker}{\pgfqpoint{0.000000in}{-0.048611in}}{\pgfqpoint{0.000000in}{0.000000in}}{% -\pgfpathmoveto{\pgfqpoint{0.000000in}{0.000000in}}% -\pgfpathlineto{\pgfqpoint{0.000000in}{-0.048611in}}% -\pgfusepath{stroke,fill}% -}% -\begin{pgfscope}% -\pgfsys@transformshift{9.725940in}{0.990000in}% -\pgfsys@useobject{currentmarker}{}% -\end{pgfscope}% -\end{pgfscope}% -\begin{pgfscope}% -\definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetstrokecolor{textcolor}% -\pgfsetfillcolor{textcolor}% -\pgftext[x=9.725940in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {22}\)}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% -\pgfusepath{clip}% -\pgfsetbuttcap% -\pgfsetroundjoin% -\pgfsetlinewidth{0.803000pt}% -\definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% -\pgfsetstrokecolor{currentstroke}% -\pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{10.066066in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{10.066066in}{7.920000in}}% -\pgfusepath{stroke}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfsetbuttcap% -\pgfsetroundjoin% -\definecolor{currentfill}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetfillcolor{currentfill}% -\pgfsetlinewidth{0.803000pt}% -\definecolor{currentstroke}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetstrokecolor{currentstroke}% -\pgfsetdash{}{0pt}% -\pgfsys@defobject{currentmarker}{\pgfqpoint{0.000000in}{-0.048611in}}{\pgfqpoint{0.000000in}{0.000000in}}{% -\pgfpathmoveto{\pgfqpoint{0.000000in}{0.000000in}}% -\pgfpathlineto{\pgfqpoint{0.000000in}{-0.048611in}}% -\pgfusepath{stroke,fill}% -}% -\begin{pgfscope}% -\pgfsys@transformshift{10.066066in}{0.990000in}% -\pgfsys@useobject{currentmarker}{}% -\end{pgfscope}% -\end{pgfscope}% -\begin{pgfscope}% -\definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetstrokecolor{textcolor}% -\pgfsetfillcolor{textcolor}% -\pgftext[x=10.066066in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {23}\)}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% -\pgfusepath{clip}% -\pgfsetbuttcap% -\pgfsetroundjoin% -\pgfsetlinewidth{0.803000pt}% -\definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% -\pgfsetstrokecolor{currentstroke}% -\pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{10.406191in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{10.406191in}{7.920000in}}% -\pgfusepath{stroke}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfsetbuttcap% -\pgfsetroundjoin% -\definecolor{currentfill}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetfillcolor{currentfill}% -\pgfsetlinewidth{0.803000pt}% -\definecolor{currentstroke}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetstrokecolor{currentstroke}% -\pgfsetdash{}{0pt}% -\pgfsys@defobject{currentmarker}{\pgfqpoint{0.000000in}{-0.048611in}}{\pgfqpoint{0.000000in}{0.000000in}}{% -\pgfpathmoveto{\pgfqpoint{0.000000in}{0.000000in}}% -\pgfpathlineto{\pgfqpoint{0.000000in}{-0.048611in}}% -\pgfusepath{stroke,fill}% -}% -\begin{pgfscope}% -\pgfsys@transformshift{10.406191in}{0.990000in}% -\pgfsys@useobject{currentmarker}{}% -\end{pgfscope}% -\end{pgfscope}% -\begin{pgfscope}% -\definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetstrokecolor{textcolor}% -\pgfsetfillcolor{textcolor}% -\pgftext[x=10.406191in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {24}\)}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% -\pgfusepath{clip}% -\pgfsetbuttcap% -\pgfsetroundjoin% -\pgfsetlinewidth{0.803000pt}% -\definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% -\pgfsetstrokecolor{currentstroke}% -\pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{10.746317in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{10.746317in}{7.920000in}}% -\pgfusepath{stroke}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfsetbuttcap% -\pgfsetroundjoin% -\definecolor{currentfill}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetfillcolor{currentfill}% -\pgfsetlinewidth{0.803000pt}% -\definecolor{currentstroke}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetstrokecolor{currentstroke}% -\pgfsetdash{}{0pt}% -\pgfsys@defobject{currentmarker}{\pgfqpoint{0.000000in}{-0.048611in}}{\pgfqpoint{0.000000in}{0.000000in}}{% -\pgfpathmoveto{\pgfqpoint{0.000000in}{0.000000in}}% -\pgfpathlineto{\pgfqpoint{0.000000in}{-0.048611in}}% -\pgfusepath{stroke,fill}% -}% -\begin{pgfscope}% -\pgfsys@transformshift{10.746317in}{0.990000in}% -\pgfsys@useobject{currentmarker}{}% -\end{pgfscope}% -\end{pgfscope}% -\begin{pgfscope}% -\definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetstrokecolor{textcolor}% -\pgfsetfillcolor{textcolor}% -\pgftext[x=10.746317in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {25}\)}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% -\pgfusepath{clip}% -\pgfsetbuttcap% -\pgfsetroundjoin% -\pgfsetlinewidth{0.803000pt}% -\definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% -\pgfsetstrokecolor{currentstroke}% -\pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{11.086442in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{11.086442in}{7.920000in}}% -\pgfusepath{stroke}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfsetbuttcap% -\pgfsetroundjoin% -\definecolor{currentfill}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetfillcolor{currentfill}% -\pgfsetlinewidth{0.803000pt}% -\definecolor{currentstroke}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetstrokecolor{currentstroke}% -\pgfsetdash{}{0pt}% -\pgfsys@defobject{currentmarker}{\pgfqpoint{0.000000in}{-0.048611in}}{\pgfqpoint{0.000000in}{0.000000in}}{% -\pgfpathmoveto{\pgfqpoint{0.000000in}{0.000000in}}% -\pgfpathlineto{\pgfqpoint{0.000000in}{-0.048611in}}% -\pgfusepath{stroke,fill}% -}% -\begin{pgfscope}% -\pgfsys@transformshift{11.086442in}{0.990000in}% -\pgfsys@useobject{currentmarker}{}% -\end{pgfscope}% -\end{pgfscope}% -\begin{pgfscope}% -\definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetstrokecolor{textcolor}% -\pgfsetfillcolor{textcolor}% -\pgftext[x=11.086442in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {26}\)}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% -\pgfusepath{clip}% -\pgfsetbuttcap% -\pgfsetroundjoin% -\pgfsetlinewidth{0.803000pt}% -\definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% -\pgfsetstrokecolor{currentstroke}% -\pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{11.426567in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{11.426567in}{7.920000in}}% -\pgfusepath{stroke}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfsetbuttcap% -\pgfsetroundjoin% -\definecolor{currentfill}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetfillcolor{currentfill}% -\pgfsetlinewidth{0.803000pt}% -\definecolor{currentstroke}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetstrokecolor{currentstroke}% -\pgfsetdash{}{0pt}% -\pgfsys@defobject{currentmarker}{\pgfqpoint{0.000000in}{-0.048611in}}{\pgfqpoint{0.000000in}{0.000000in}}{% -\pgfpathmoveto{\pgfqpoint{0.000000in}{0.000000in}}% -\pgfpathlineto{\pgfqpoint{0.000000in}{-0.048611in}}% -\pgfusepath{stroke,fill}% -}% -\begin{pgfscope}% -\pgfsys@transformshift{11.426567in}{0.990000in}% -\pgfsys@useobject{currentmarker}{}% -\end{pgfscope}% -\end{pgfscope}% -\begin{pgfscope}% -\definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetstrokecolor{textcolor}% -\pgfsetfillcolor{textcolor}% -\pgftext[x=11.426567in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {27}\)}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% -\pgfusepath{clip}% -\pgfsetbuttcap% -\pgfsetroundjoin% -\pgfsetlinewidth{0.803000pt}% -\definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% -\pgfsetstrokecolor{currentstroke}% -\pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{11.766693in}{0.990000in}}% -\pgfpathlineto{\pgfqpoint{11.766693in}{7.920000in}}% -\pgfusepath{stroke}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfsetbuttcap% -\pgfsetroundjoin% -\definecolor{currentfill}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetfillcolor{currentfill}% -\pgfsetlinewidth{0.803000pt}% -\definecolor{currentstroke}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetstrokecolor{currentstroke}% -\pgfsetdash{}{0pt}% -\pgfsys@defobject{currentmarker}{\pgfqpoint{0.000000in}{-0.048611in}}{\pgfqpoint{0.000000in}{0.000000in}}{% -\pgfpathmoveto{\pgfqpoint{0.000000in}{0.000000in}}% -\pgfpathlineto{\pgfqpoint{0.000000in}{-0.048611in}}% -\pgfusepath{stroke,fill}% -}% -\begin{pgfscope}% -\pgfsys@transformshift{11.766693in}{0.990000in}% -\pgfsys@useobject{currentmarker}{}% -\end{pgfscope}% -\end{pgfscope}% -\begin{pgfscope}% -\definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% -\pgfsetstrokecolor{textcolor}% -\pgfsetfillcolor{textcolor}% -\pgftext[x=11.766693in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {28}\)}% +\pgftext[x=11.658471in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 21}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -1200,7 +938,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=12.106818in,y=0.892778in,,top]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {29}\)}% +\pgftext[x=12.106818in,y=0.892778in,,top]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 22}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -1211,8 +949,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{1.750000in}{2.005000in}}% -\pgfpathlineto{\pgfqpoint{12.600000in}{2.005000in}}% +\pgfpathmoveto{\pgfqpoint{1.750000in}{1.996765in}}% +\pgfpathlineto{\pgfqpoint{12.600000in}{1.996765in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -1230,7 +968,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{1.750000in}{2.005000in}% +\pgfsys@transformshift{1.750000in}{1.996765in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -1238,7 +976,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=1.336419in, y=1.956775in, left, base]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {\ensuremath{-}200}\)}% +\pgftext[x=1.279657in, y=1.944003in, left, base]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont \ensuremath{-}100}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -1249,8 +987,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{1.750000in}{3.211897in}}% -\pgfpathlineto{\pgfqpoint{12.600000in}{3.211897in}}% +\pgfpathmoveto{\pgfqpoint{1.750000in}{3.232059in}}% +\pgfpathlineto{\pgfqpoint{12.600000in}{3.232059in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -1268,7 +1006,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{1.750000in}{3.211897in}% +\pgfsys@transformshift{1.750000in}{3.232059in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -1276,7 +1014,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=1.336419in, y=3.163671in, left, base]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {\ensuremath{-}100}\)}% +\pgftext[x=1.368022in, y=3.179297in, left, base]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont \ensuremath{-}50}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -1287,8 +1025,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{1.750000in}{4.418793in}}% -\pgfpathlineto{\pgfqpoint{12.600000in}{4.418793in}}% +\pgfpathmoveto{\pgfqpoint{1.750000in}{4.467353in}}% +\pgfpathlineto{\pgfqpoint{12.600000in}{4.467353in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -1306,7 +1044,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{1.750000in}{4.418793in}% +\pgfsys@transformshift{1.750000in}{4.467353in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -1314,7 +1052,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=1.583333in, y=4.370568in, left, base]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {0}\)}% +\pgftext[x=1.564412in, y=4.414591in, left, base]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 0}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -1325,8 +1063,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{1.750000in}{5.625690in}}% -\pgfpathlineto{\pgfqpoint{12.600000in}{5.625690in}}% +\pgfpathmoveto{\pgfqpoint{1.750000in}{5.702647in}}% +\pgfpathlineto{\pgfqpoint{12.600000in}{5.702647in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -1344,7 +1082,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{1.750000in}{5.625690in}% +\pgfsys@transformshift{1.750000in}{5.702647in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -1352,7 +1090,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=1.444444in, y=5.577464in, left, base]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {100}\)}% +\pgftext[x=1.476047in, y=5.649886in, left, base]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 50}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -1363,8 +1101,8 @@ \definecolor{currentstroke}{rgb}{0.501961,0.501961,0.501961}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{2.960000pt}{1.280000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{1.750000in}{6.832586in}}% -\pgfpathlineto{\pgfqpoint{12.600000in}{6.832586in}}% +\pgfpathmoveto{\pgfqpoint{1.750000in}{6.937941in}}% +\pgfpathlineto{\pgfqpoint{12.600000in}{6.937941in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -1382,7 +1120,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{1.750000in}{6.832586in}% +\pgfsys@transformshift{1.750000in}{6.937941in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -1390,7 +1128,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=1.444444in, y=6.784361in, left, base]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle {200}\)}% +\pgftext[x=1.387682in, y=6.885180in, left, base]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont 100}% \end{pgfscope}% \begin{pgfscope}% \pgfpathrectangle{\pgfqpoint{1.750000in}{0.990000in}}{\pgfqpoint{10.850000in}{6.930000in}}% @@ -1401,36 +1139,29 @@ \definecolor{currentstroke}{rgb}{1.000000,0.000000,0.000000}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{}{0pt}% -\pgfpathmoveto{\pgfqpoint{2.243182in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{2.583307in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{2.923433in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{3.263558in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{3.603683in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{3.943809in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{4.283934in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{4.624060in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{4.964185in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{5.304310in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{5.644436in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{5.984561in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{6.324687in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{6.664812in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{7.004937in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{7.345063in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{7.685188in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{8.025313in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{8.365439in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{8.705564in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{9.045690in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{9.385815in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{9.725940in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{10.066066in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{10.406191in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{10.746317in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{11.086442in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{11.426567in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{11.766693in}{4.491207in}}% -\pgfpathlineto{\pgfqpoint{12.106818in}{4.491207in}}% +\pgfpathmoveto{\pgfqpoint{2.243182in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{2.691529in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{3.139876in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{3.588223in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{4.036570in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{4.484917in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{4.933264in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{5.381612in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{5.829959in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{6.278306in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{6.726653in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{7.175000in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{7.623347in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{8.071694in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{8.520041in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{8.968388in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{9.416736in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{9.865083in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{10.313430in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{10.761777in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{11.210124in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{11.658471in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{12.106818in}{4.615588in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -1442,36 +1173,29 @@ \definecolor{currentstroke}{rgb}{0.000000,0.000000,1.000000}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{}{0pt}% -\pgfpathmoveto{\pgfqpoint{2.243182in}{2.873966in}}% -\pgfpathlineto{\pgfqpoint{2.583307in}{2.873966in}}% -\pgfpathlineto{\pgfqpoint{2.923433in}{5.951552in}}% -\pgfpathlineto{\pgfqpoint{3.263558in}{5.951552in}}% -\pgfpathlineto{\pgfqpoint{3.603683in}{5.951552in}}% -\pgfpathlineto{\pgfqpoint{3.943809in}{2.873966in}}% -\pgfpathlineto{\pgfqpoint{4.283934in}{2.873966in}}% -\pgfpathlineto{\pgfqpoint{4.624060in}{2.873966in}}% -\pgfpathlineto{\pgfqpoint{4.964185in}{5.951552in}}% -\pgfpathlineto{\pgfqpoint{5.304310in}{5.951552in}}% -\pgfpathlineto{\pgfqpoint{5.644436in}{5.951552in}}% -\pgfpathlineto{\pgfqpoint{5.984561in}{2.873966in}}% -\pgfpathlineto{\pgfqpoint{6.324687in}{2.873966in}}% -\pgfpathlineto{\pgfqpoint{6.664812in}{2.873966in}}% -\pgfpathlineto{\pgfqpoint{7.004937in}{5.951552in}}% -\pgfpathlineto{\pgfqpoint{7.345063in}{5.951552in}}% -\pgfpathlineto{\pgfqpoint{7.685188in}{5.951552in}}% -\pgfpathlineto{\pgfqpoint{8.025313in}{2.873966in}}% -\pgfpathlineto{\pgfqpoint{8.365439in}{2.873966in}}% -\pgfpathlineto{\pgfqpoint{8.705564in}{2.873966in}}% -\pgfpathlineto{\pgfqpoint{9.045690in}{5.951552in}}% -\pgfpathlineto{\pgfqpoint{9.385815in}{5.951552in}}% -\pgfpathlineto{\pgfqpoint{9.725940in}{5.951552in}}% -\pgfpathlineto{\pgfqpoint{10.066066in}{5.951552in}}% -\pgfpathlineto{\pgfqpoint{10.406191in}{2.873966in}}% -\pgfpathlineto{\pgfqpoint{10.746317in}{2.873966in}}% -\pgfpathlineto{\pgfqpoint{11.086442in}{2.873966in}}% -\pgfpathlineto{\pgfqpoint{11.426567in}{5.951552in}}% -\pgfpathlineto{\pgfqpoint{11.766693in}{5.951552in}}% -\pgfpathlineto{\pgfqpoint{12.106818in}{5.951552in}}% +\pgfpathmoveto{\pgfqpoint{2.243182in}{7.605000in}}% +\pgfpathlineto{\pgfqpoint{2.691529in}{7.605000in}}% +\pgfpathlineto{\pgfqpoint{3.139876in}{1.305000in}}% +\pgfpathlineto{\pgfqpoint{3.588223in}{7.605000in}}% +\pgfpathlineto{\pgfqpoint{4.036570in}{1.305000in}}% +\pgfpathlineto{\pgfqpoint{4.484917in}{7.605000in}}% +\pgfpathlineto{\pgfqpoint{4.933264in}{1.305000in}}% +\pgfpathlineto{\pgfqpoint{5.381612in}{7.605000in}}% +\pgfpathlineto{\pgfqpoint{5.829959in}{1.305000in}}% +\pgfpathlineto{\pgfqpoint{6.278306in}{7.605000in}}% +\pgfpathlineto{\pgfqpoint{6.726653in}{1.305000in}}% +\pgfpathlineto{\pgfqpoint{7.175000in}{7.605000in}}% +\pgfpathlineto{\pgfqpoint{7.623347in}{1.305000in}}% +\pgfpathlineto{\pgfqpoint{8.071694in}{7.605000in}}% +\pgfpathlineto{\pgfqpoint{8.520041in}{1.305000in}}% +\pgfpathlineto{\pgfqpoint{8.968388in}{7.605000in}}% +\pgfpathlineto{\pgfqpoint{9.416736in}{1.305000in}}% +\pgfpathlineto{\pgfqpoint{9.865083in}{7.605000in}}% +\pgfpathlineto{\pgfqpoint{10.313430in}{1.305000in}}% +\pgfpathlineto{\pgfqpoint{10.761777in}{7.605000in}}% +\pgfpathlineto{\pgfqpoint{11.210124in}{7.605000in}}% +\pgfpathlineto{\pgfqpoint{11.658471in}{1.305000in}}% +\pgfpathlineto{\pgfqpoint{12.106818in}{7.605000in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -1483,36 +1207,29 @@ \definecolor{currentstroke}{rgb}{0.000000,0.750000,0.750000}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{5.550000pt}{2.400000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{2.243182in}{4.418793in}}% -\pgfpathlineto{\pgfqpoint{2.583307in}{2.958448in}}% -\pgfpathlineto{\pgfqpoint{2.923433in}{4.575690in}}% -\pgfpathlineto{\pgfqpoint{3.263558in}{6.192931in}}% -\pgfpathlineto{\pgfqpoint{3.603683in}{4.732586in}}% -\pgfpathlineto{\pgfqpoint{3.943809in}{3.272241in}}% -\pgfpathlineto{\pgfqpoint{4.283934in}{1.811897in}}% -\pgfpathlineto{\pgfqpoint{4.624060in}{3.429138in}}% -\pgfpathlineto{\pgfqpoint{4.964185in}{5.046379in}}% -\pgfpathlineto{\pgfqpoint{5.304310in}{6.663621in}}% -\pgfpathlineto{\pgfqpoint{5.644436in}{5.203276in}}% -\pgfpathlineto{\pgfqpoint{5.984561in}{3.742931in}}% -\pgfpathlineto{\pgfqpoint{6.324687in}{2.282586in}}% -\pgfpathlineto{\pgfqpoint{6.664812in}{3.899828in}}% -\pgfpathlineto{\pgfqpoint{7.004937in}{5.517069in}}% -\pgfpathlineto{\pgfqpoint{7.345063in}{7.134310in}}% -\pgfpathlineto{\pgfqpoint{7.685188in}{5.673966in}}% -\pgfpathlineto{\pgfqpoint{8.025313in}{4.213621in}}% -\pgfpathlineto{\pgfqpoint{8.365439in}{2.753276in}}% -\pgfpathlineto{\pgfqpoint{8.705564in}{4.370517in}}% -\pgfpathlineto{\pgfqpoint{9.045690in}{5.987759in}}% -\pgfpathlineto{\pgfqpoint{9.385815in}{7.605000in}}% -\pgfpathlineto{\pgfqpoint{9.725940in}{6.144655in}}% -\pgfpathlineto{\pgfqpoint{10.066066in}{4.684310in}}% -\pgfpathlineto{\pgfqpoint{10.406191in}{3.223966in}}% -\pgfpathlineto{\pgfqpoint{10.746317in}{1.763621in}}% -\pgfpathlineto{\pgfqpoint{11.086442in}{3.380862in}}% -\pgfpathlineto{\pgfqpoint{11.426567in}{4.998103in}}% -\pgfpathlineto{\pgfqpoint{11.766693in}{6.615345in}}% -\pgfpathlineto{\pgfqpoint{12.106818in}{5.155000in}}% +\pgfpathmoveto{\pgfqpoint{2.243182in}{4.467353in}}% +\pgfpathlineto{\pgfqpoint{2.691529in}{4.615588in}}% +\pgfpathlineto{\pgfqpoint{3.139876in}{1.626176in}}% +\pgfpathlineto{\pgfqpoint{3.588223in}{4.936765in}}% +\pgfpathlineto{\pgfqpoint{4.036570in}{1.947353in}}% +\pgfpathlineto{\pgfqpoint{4.484917in}{5.257941in}}% +\pgfpathlineto{\pgfqpoint{4.933264in}{2.268529in}}% +\pgfpathlineto{\pgfqpoint{5.381612in}{5.579118in}}% +\pgfpathlineto{\pgfqpoint{5.829959in}{2.589706in}}% +\pgfpathlineto{\pgfqpoint{6.278306in}{5.900294in}}% +\pgfpathlineto{\pgfqpoint{6.726653in}{2.910882in}}% +\pgfpathlineto{\pgfqpoint{7.175000in}{6.221471in}}% +\pgfpathlineto{\pgfqpoint{7.623347in}{3.232059in}}% +\pgfpathlineto{\pgfqpoint{8.071694in}{6.542647in}}% +\pgfpathlineto{\pgfqpoint{8.520041in}{3.553235in}}% +\pgfpathlineto{\pgfqpoint{8.968388in}{6.863824in}}% +\pgfpathlineto{\pgfqpoint{9.416736in}{3.874412in}}% +\pgfpathlineto{\pgfqpoint{9.865083in}{7.185000in}}% +\pgfpathlineto{\pgfqpoint{10.313430in}{4.195588in}}% +\pgfpathlineto{\pgfqpoint{10.761777in}{7.506176in}}% +\pgfpathlineto{\pgfqpoint{11.210124in}{4.516765in}}% +\pgfpathlineto{\pgfqpoint{11.658471in}{1.527353in}}% +\pgfpathlineto{\pgfqpoint{12.106818in}{4.837941in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% @@ -1541,123 +1258,95 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{2.243182in}{5.951552in}% +\pgfsys@transformshift{2.243182in}{4.467353in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{2.583307in}{4.334310in}% +\pgfsys@transformshift{2.691529in}{7.456765in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{2.923433in}{2.717069in}% +\pgfsys@transformshift{3.139876in}{4.146176in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{3.263558in}{4.177414in}% +\pgfsys@transformshift{3.588223in}{7.135588in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{3.603683in}{5.637759in}% +\pgfsys@transformshift{4.036570in}{3.825000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{3.943809in}{7.098103in}% +\pgfsys@transformshift{4.484917in}{6.814412in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{4.283934in}{5.480862in}% +\pgfsys@transformshift{4.933264in}{3.503824in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{4.624060in}{3.863621in}% +\pgfsys@transformshift{5.381612in}{6.493235in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{4.964185in}{2.246379in}% +\pgfsys@transformshift{5.829959in}{3.182647in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{5.304310in}{3.706724in}% +\pgfsys@transformshift{6.278306in}{6.172059in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{5.644436in}{5.167069in}% +\pgfsys@transformshift{6.726653in}{2.861471in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{5.984561in}{6.627414in}% +\pgfsys@transformshift{7.175000in}{5.850882in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{6.324687in}{5.010172in}% +\pgfsys@transformshift{7.623347in}{2.540294in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{6.664812in}{3.392931in}% +\pgfsys@transformshift{8.071694in}{5.529706in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{7.004937in}{1.775690in}% +\pgfsys@transformshift{8.520041in}{2.219118in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{7.345063in}{3.236034in}% +\pgfsys@transformshift{8.968388in}{5.208529in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{7.685188in}{4.696379in}% +\pgfsys@transformshift{9.416736in}{1.897941in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{8.025313in}{6.156724in}% +\pgfsys@transformshift{9.865083in}{4.887353in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{8.365439in}{4.539483in}% +\pgfsys@transformshift{10.313430in}{1.576765in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{8.705564in}{2.922241in}% +\pgfsys@transformshift{10.761777in}{4.566176in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{9.045690in}{1.305000in}% +\pgfsys@transformshift{11.210124in}{7.555588in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{9.385815in}{2.765345in}% +\pgfsys@transformshift{11.658471in}{4.245000in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \begin{pgfscope}% -\pgfsys@transformshift{9.725940in}{4.225690in}% -\pgfsys@useobject{currentmarker}{}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfsys@transformshift{10.066066in}{5.686034in}% -\pgfsys@useobject{currentmarker}{}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfsys@transformshift{10.406191in}{7.146379in}% -\pgfsys@useobject{currentmarker}{}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfsys@transformshift{10.746317in}{5.529138in}% -\pgfsys@useobject{currentmarker}{}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfsys@transformshift{11.086442in}{3.911897in}% -\pgfsys@useobject{currentmarker}{}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfsys@transformshift{11.426567in}{2.294655in}% -\pgfsys@useobject{currentmarker}{}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfsys@transformshift{11.766693in}{3.755000in}% -\pgfsys@useobject{currentmarker}{}% -\end{pgfscope}% -\begin{pgfscope}% -\pgfsys@transformshift{12.106818in}{5.215345in}% +\pgfsys@transformshift{12.106818in}{7.234412in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -1716,16 +1405,16 @@ \pgfsetstrokecolor{currentstroke}% \pgfsetstrokeopacity{0.800000}% \pgfsetdash{}{0pt}% -\pgfpathmoveto{\pgfqpoint{11.864660in}{7.034198in}}% -\pgfpathlineto{\pgfqpoint{12.502778in}{7.034198in}}% -\pgfpathquadraticcurveto{\pgfqpoint{12.530556in}{7.034198in}}{\pgfqpoint{12.530556in}{7.061976in}}% -\pgfpathlineto{\pgfqpoint{12.530556in}{7.822778in}}% -\pgfpathquadraticcurveto{\pgfqpoint{12.530556in}{7.850556in}}{\pgfqpoint{12.502778in}{7.850556in}}% -\pgfpathlineto{\pgfqpoint{11.864660in}{7.850556in}}% -\pgfpathquadraticcurveto{\pgfqpoint{11.836883in}{7.850556in}}{\pgfqpoint{11.836883in}{7.822778in}}% -\pgfpathlineto{\pgfqpoint{11.836883in}{7.061976in}}% -\pgfpathquadraticcurveto{\pgfqpoint{11.836883in}{7.034198in}}{\pgfqpoint{11.864660in}{7.034198in}}% -\pgfpathlineto{\pgfqpoint{11.864660in}{7.034198in}}% +\pgfpathmoveto{\pgfqpoint{1.847222in}{1.059444in}}% +\pgfpathlineto{\pgfqpoint{2.446777in}{1.059444in}}% +\pgfpathquadraticcurveto{\pgfqpoint{2.474554in}{1.059444in}}{\pgfqpoint{2.474554in}{1.087222in}}% +\pgfpathlineto{\pgfqpoint{2.474554in}{1.900044in}}% +\pgfpathquadraticcurveto{\pgfqpoint{2.474554in}{1.927822in}}{\pgfqpoint{2.446777in}{1.927822in}}% +\pgfpathlineto{\pgfqpoint{1.847222in}{1.927822in}}% +\pgfpathquadraticcurveto{\pgfqpoint{1.819444in}{1.927822in}}{\pgfqpoint{1.819444in}{1.900044in}}% +\pgfpathlineto{\pgfqpoint{1.819444in}{1.087222in}}% +\pgfpathquadraticcurveto{\pgfqpoint{1.819444in}{1.059444in}}{\pgfqpoint{1.847222in}{1.059444in}}% +\pgfpathlineto{\pgfqpoint{1.847222in}{1.059444in}}% \pgfpathclose% \pgfusepath{stroke,fill}% \end{pgfscope}% @@ -1736,16 +1425,16 @@ \definecolor{currentstroke}{rgb}{1.000000,0.000000,0.000000}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{}{0pt}% -\pgfpathmoveto{\pgfqpoint{11.892438in}{7.746389in}}% -\pgfpathlineto{\pgfqpoint{12.031327in}{7.746389in}}% -\pgfpathlineto{\pgfqpoint{12.170216in}{7.746389in}}% +\pgfpathmoveto{\pgfqpoint{1.875000in}{1.815354in}}% +\pgfpathlineto{\pgfqpoint{2.013889in}{1.815354in}}% +\pgfpathlineto{\pgfqpoint{2.152778in}{1.815354in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=12.281327in,y=7.697778in,left,base]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont x}% +\pgftext[x=2.263889in,y=1.766743in,left,base]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont x}% \end{pgfscope}% \begin{pgfscope}% \pgfsetrectcap% @@ -1754,16 +1443,16 @@ \definecolor{currentstroke}{rgb}{0.000000,0.000000,1.000000}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{}{0pt}% -\pgfpathmoveto{\pgfqpoint{11.892438in}{7.552716in}}% -\pgfpathlineto{\pgfqpoint{12.031327in}{7.552716in}}% -\pgfpathlineto{\pgfqpoint{12.170216in}{7.552716in}}% +\pgfpathmoveto{\pgfqpoint{1.875000in}{1.605661in}}% +\pgfpathlineto{\pgfqpoint{2.013889in}{1.605661in}}% +\pgfpathlineto{\pgfqpoint{2.152778in}{1.605661in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=12.281327in,y=7.504105in,left,base]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont y}% +\pgftext[x=2.263889in,y=1.557050in,left,base]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle y'_n\)}% \end{pgfscope}% \begin{pgfscope}% \pgfsetbuttcap% @@ -1772,16 +1461,16 @@ \definecolor{currentstroke}{rgb}{0.000000,0.750000,0.750000}% \pgfsetstrokecolor{currentstroke}% \pgfsetdash{{5.550000pt}{2.400000pt}}{0.000000pt}% -\pgfpathmoveto{\pgfqpoint{11.892438in}{7.359043in}}% -\pgfpathlineto{\pgfqpoint{12.031327in}{7.359043in}}% -\pgfpathlineto{\pgfqpoint{12.170216in}{7.359043in}}% +\pgfpathmoveto{\pgfqpoint{1.875000in}{1.396358in}}% +\pgfpathlineto{\pgfqpoint{2.013889in}{1.396358in}}% +\pgfpathlineto{\pgfqpoint{2.152778in}{1.396358in}}% \pgfusepath{stroke}% \end{pgfscope}% \begin{pgfscope}% \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=12.281327in,y=7.310432in,left,base]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont u}% +\pgftext[x=2.263889in,y=1.347747in,left,base]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle u_n\)}% \end{pgfscope}% \begin{pgfscope}% \pgfsetbuttcap% @@ -1807,7 +1496,7 @@ \pgfusepath{stroke,fill}% }% \begin{pgfscope}% -\pgfsys@transformshift{12.031327in}{7.165371in}% +\pgfsys@transformshift{2.013889in}{1.192501in}% \pgfsys@useobject{currentmarker}{}% \end{pgfscope}% \end{pgfscope}% @@ -1815,7 +1504,7 @@ \definecolor{textcolor}{rgb}{0.000000,0.000000,0.000000}% \pgfsetstrokecolor{textcolor}% \pgfsetfillcolor{textcolor}% -\pgftext[x=12.281327in,y=7.116759in,left,base]{\color{textcolor}\rmfamily\fontsize{10.000000}{12.000000}\selectfont eps}% +\pgftext[x=2.263889in,y=1.143890in,left,base]{\color{textcolor}\sffamily\fontsize{10.000000}{12.000000}\selectfont \(\displaystyle \varepsilon_n\)}% \end{pgfscope}% \end{pgfpicture}% \makeatother% diff --git a/pics/03-fpga-lab-04-01-sigdel.svg b/pics/03-fpga-lab-04-01-sigdel.svg new file mode 100644 index 0000000..8e3f4da --- /dev/null +++ b/pics/03-fpga-lab-04-01-sigdel.svg @@ -0,0 +1,185 @@ + + + + + + + + + + image/svg+xml + + + + + + + $Z^{-1}$ + $u_n$ + Q + $y_n$ + $\varepsilon_n$ + $x_n$ + + + + + + + + + + + + + diff --git a/pics/03-fpga-lab-04-01-synth.svg b/pics/03-fpga-lab-04-01-synth.svg index 99aef8f..e9eaf65 100644 --- a/pics/03-fpga-lab-04-01-synth.svg +++ b/pics/03-fpga-lab-04-01-synth.svg @@ -13,7 +13,7 @@ version="1.1" id="svg8" inkscape:version="1.0.2 (e86c870879, 2021-01-15)" - sodipodi:docname="03-fpga-04-01-synth.svg"> + sodipodi:docname="03-fpga-lab-04-01-synth.svg"> + inkscape:window-width="2560" + inkscape:window-height="1376" + inkscape:window-x="0" + inkscape:window-y="27" + inkscape:window-maximized="1"> @@ -49,7 +49,7 @@ image/svg+xml - + @@ -227,13 +227,13 @@ к осцилографу + style="stroke-width:0.264583">к осциллографу diff --git a/pics/03-fpga-lab-04-02-correct.png b/pics/03-fpga-lab-04-02-correct.png new file mode 100644 index 0000000000000000000000000000000000000000..7a7a528a6cf365aa5d4d06b0b7791cc056713774 GIT binary patch literal 34843 zcmcG$1zc5Yw=Rxb1%nV#K`9AA2|>D1K|xSZxvMm=J3ni2RpGDm=Aw#L7#O%U$A3ACE83Paig+y2DxZS{-y* zUN%=pQoU5{(q;*NN<#@R12kw_RCWZXnd_(rp$uJTre^h1np^nzFElk9Bg~GH;^LlC z;^UE#Y}N~wa~u31vdj+%Xm@bv_rxXDNA_+ls=IEq@2yWW?e9L(YmM9+eP?#|%tmI1 z0N=qXzmw%-f3j8+*R9_4ot?(Y%2N_P{f~rW8i+Yfne7O?g{uU;MX4`aoK0`OYH4Zt zU1l^3c}NWHG7wot;Wq@_Qd~6O&Hfq3VlF zeCw>D9Oi{~P1F_3Ed77q1p~vJvTlu6KM2S9bo`o*@aCr~}dEON1|I+`~pG zm1L;brq+`){jH(`e|_rEk9@wyTly{L;g2@686T!{)9~;@n-rVIkN!t{-NS9+>%j1+LF4yZ^t-s(k67FoPYR|O&Ef*o-x0suP4r{1{gq+#gwzlXf*YzpJ^uvN-S9zHY zbkT&On!%fp|{8ip_p4+t4B=S*(SjI zHe+>bOYCW+<;?ej0bMZ3EG-0rI|n`2IVXsAIE|mCy!}8_bR|sPREo|Yxl+Y?@cMD= zy?Yi%Jz4ki@)9jAk2d;;%QxN7@~w+J4r|S;<0Va~bvnAc7WX1~WQ$>L+oJhkFG7;v;!4R{|5Ci7@{9-4&HUe5(EX+ORT9Z_f^Uom3a{Em~ zcKj%Qr}ET=F%j~z!Lky)-!C?{MJ9oVKoiM#?$HLUaR@dfSSI4fVBWiu7 z9UL9KgkxCs=*rPYP06z2@lxcHlK$rj$j0j(_ZK$;0yK*FnC}?$&2~&T$7G}!ZLF?_ zin+LleEqssT#T81&_s_6guUaf-5yiaU*Kji{V-C%B|TxP?nk^2A7AmaPb&(RmQ`J8 z>gFZa7(e%?MZ_s{K2aI4zl-TD9Mdt#XO*Cm!MQQpLC?qc^R@Wk)#i^lx{6r!`;Co_ zMNF%w{(k(5wqW-qX95$WF4B}c?_k0E@|Fn##bkNymWbD(g7owmdHHkiu*0kJ zz%Z#EW7EX63H0abwuFLxzPuMJ)w$OA>ic(%bo0$*I=Ed&M>UJUQTr)!@@1p$c2&IkWJ9NeD^qYV~-Yl>W}c1D@8Dm4&4=b%9bI7rV4< zFE>nndvEVC<$FJ}4RAY5ifhw8gl_h8Nrkd0p~a0CF6lO6qRJ=(z4kjKXfJsDfjT($ z$$uXA--&XV4(NxmoD_PM4*@FGL)JsH3D)vM^Mu2F}F+w#|# z)ND6qS~aW5C}PT8XjfEMTO2o~R7&L1x`|=3ZEo+qi1pl@q1ZoIcUhlmnKHC4j!<`X zxJ^(0w!hSJ((q~Xb4u`x$#)Ys{j2!6An zh2mn*A}hD;N-U$Jt%6kCN3ICt!Qv22B3SK%4(#*kr8;A$Bsu!&6q;ZG5#s}$|cCZZ*tJo)ee8)=*7SnX+|Rrld$%*@OKm%R!OB2?V(y*gB^l89ma&N=UpzfT$?~I4is&#jFRTpG18ZOlPlocQpkNuv$?q$Dq7-Z_3A;Hzh`2FIY6K7#^^c@1B#5> z(w)hwkj3r8(axfOuQ1fxm{=@uw-qes0!4H6BwJ%1^#%rxKckVB`oV^^{>%TCA`lz? zZU^-jnM!Gbj-y|;&HUWbAb(Mr)f6AQ=|Be#&a*Z?0(6)1#lj}LA;m)b)$FquhYr^f zVWk^V7v%3;^Fbc0p&1ocmXc+ugdV;TJlc^Qc#AJ*z*g*Wak#{}=toD#x;HHu`fyp@ zhPiK_k%`IQE&FxbJ?oiW%)cKTbLy+?U7taU6Y@%lG}<$}89KvG&_IPp*Pt{kf*g5AW#6jfMa3Gl?9yEvm$91jt}o;7erV!0 zG<>g0`o^g+$nxac7=@C?t%)_nytWM6&O(I3r+@nhkFu`w#ARV%RGiK@oF=|-;R4zB z-C(kZ(lin;YhHTd`&_?Q?REWea~f%jx!Zf9%Y$`H1dLTO;>TB73D{j5IYqhWMo-`x zQB)9nR$?r7_O3U{@n;oL-gI!L2LJiuKU2*EF?PpI_md)%p5ZIUhx?9DAsA#F#A=YcZ&K4A0%pgod z8-=^-I1sx3xdh)s;BoC>Ogu`z&cR6Ob1OeZc2j}r#_|8Zs17)`lej+d#J!rS#&-P9 zt6L)LIG>)*zBZqk!Ea9dg`GycBqS4r?erLqPr!K9apc&Z<6nl#7=E=GGkCqr=a;RT zpF!?bP031H_%m34yZ;~8ulT&Rj+3L?GNw#5Jkkor*}Kj;{=03@IKr5Ji^dol>ihGY z3qxfQI*PIFpCTg%&Yt>h=_^jxtCefEFXNdiqgBE@els%+yl=MAX7)>yX*8ki;R;F5x8-@biY zqbYdRsEgqu_6CxC)#IIDId}(fZp$Lmrd&(>dnhO5m0{na2+>!(!iAQ<=IqZa-kYSL6A99#x0^BO%DzB^@A^hX0>C%WbdaoO~ zQ~HLv@@6yJ_d#yV2}m9OI!1;R8!wzgzDiF^o0P`YZ6Wf6ZTaGb%f}#s@}04p_#W;b z)JpA#{7BUyJk!%1DJv^)&!s57!y^Q5Q|U@`ym-^ui>~S_&K%U#_PyENx@{87K26!2DVhptxvY2b zqqr>Qdi6SFll$W03?evOYHrCaY*(uW#fuYWsFf+kCm$lv4tJ@>3y?>fW73JO&!YJ_ zIPHA>{R`409zJ}G-0Cy4TjcrP^9`_et^e)7jS|~5W@_qBUMws}BlGizl~`uyhM5F< zH9~cn#W`+Yxv&(Fo6A;WckY0imi8U}K^ywO--znO9?UuICW(y?k4hS~vp1DjZuo9h zyrw#hxiQIsJlraYi9v6!%;>U&fBg8P&X;&|-2ZG|o{eUx0Sbyr<@|&Fh2FQ2 zx5%ffEOtG!oRdjX^vztinHShuL-Dz)QGo@1;zG+K9W95;CEvdni*~kLdUeW07*&Zc^o54`!Bcdib!X;?Lzb-WDr^Y)e!$ zQ-$nPFxw)WENI*iOK~Ehr=cu4?4#^^{ zt<6=S%ii`MhU*mK<#pu(xBSZLYPoG%Ul+F$SwoA9u<+2(;8`6e-^Y5$po$7Il}c2K z6QqPC_?7_=PsaBuy}LEJRgM*hL{QM6E&4&|V$s9D@gw{Gp3_iiFJQv-6r)eP+jiv6 znNwzNsO(hye7#QyT>0A*va;+4FMosnNcvb_dK@!hHu^4+OlLc zpR}CqDkPjHCMGQ5KfAk?De;xNK5bHkVW}3&G=^xs^lX}ZjS53oYv}X3mfBCZmg$P$ zP}tIC$4&{cty}!gZ979}6BC3_g-On5@9uS1J^f%Vg)gTJ&*3u#KR-V`eTs-bnR832 z!+Lg3j?lyAb>Kk$I!08cb}<7n)H}jmuj{r&`_jppppSyyym{Ojv0ajopzHg%zPVX!ubt**JcjOssdK};iKP*E0iK?oqt#{^ zYm3XvOhJtw9>*F9odgGaA}a3MK0^`|}? zPt;vNdR`35mtWZ0+Db@BC@Fmwi@$L3qSb2B$*2p%OS;TPVxc-EHuGIMdXiZR13f)G0|O*CZp_WjDn5T+k)0})RO-B&ofewTd-bA5jW@dMiRIhyM(1?cnJ)I$DBc2%%0KY%{8{x}l^no8XqcFo?%uu2%)CsLBaIU)AexAViywylYsa8B-9wDb*cxst+#MKv(whqO;^Zz<5k$=7AZ+HM)rX@ zP-89L;;o;h$C}8N`PpSNvz80?RTB3z%J=3zvm5c)+!fT*y?%4lU4Co&nPU$NPGrLI zL8IyW?p^(d>#T*Fh!C;K_E-_c(7`M%k(V#W;+_Q2(bJ=vnhN8;GAb9(Q2#v^3^Hoi zrzocET~(SO?_q5sPo|8bfQOVFQO>6Wy>^1Dz1M@B_@XQlLwVQI1<*&^$crNCx%z<$ z46a;AN=_}k_Oqj`w{;smarp;U)=OIFyV7z}4@TbN6;^rT5I5U){`@&HF=0L#92}e? zpK-Z8�S0`NWW4xm+%04gWYfhNEjmA9!=Jf*HG-xq$Q0OUe$(HqL_$T6}!(7G3Sj z_pqzYbhWip)yh6I5d;cT;&WgW?=u1r2=LV-Z5SKHRJPLQZ>FTA1hcf^QAHme?r+TI zm<GGJmAiUA5 zhw$+9T#FFiAbcdCUM_uZQTYDxmUqOA?xcQwC_=Kpx2oZ(UV%Zfy;@IR!gDei7~5;o z<*(foUJp+gPPHM-ir+3#lQnZZ39x6TuP)0$=w_QQHo_*r1H!Ht~81sME2-O-P?pONxI#w#Fn0X6vUgfua#d^8e z>4koIh0CqOAL2}kG(=BnI@TsptM}igC_;ZekKSxru^ zD_aLeHia=jff0gO&Kp^S6Fv9wU+wPU=nl(8xa75D+%efz<&eTvt(Le}Dc5UBaIydu zMW)llsWjLO(zu~cUwstI7HnB?@^Mda7f{ISn=!lD%)J_0_ura7!5F1bCAeo!(nvq@ z?BuLh=@#A2V)+S=SWrCY`nl$b7xRvCu5m0`h{@x9wIz2vxgkbjhA`n>73P$twl+yo z(dW0XaC37T7#LXFy?ITK9>sHGPAMWht?ug7-g;3uH*?g-XfsvQ9ct!q>;gO3W++c~q=&*Unr;#52Eb&M7=Fu=3IV-h2iY7M9(P+Hd2Y zVW8i|^y_5G>pyHNi7nKAD@q;He;sR2ALsdr0 zw)%|VZh@h;F?nsq=TcO;4@pNMm@+A5*Yx*C^po&d-`Nk1|FA7I6azbWLBPd@mcDX zt5u=O9^3J}0V(Y5@9+QN0~-7cguNRM?+1S6rIyyk3m4dK z_+|>+y!lFbNGP^_VtN{|k0O~7OSnR)Y)YqLUh&tj6cDu;7>=Xk+C(GO;}0uqYliyz zT;?OMq>>`HSC z-}%@)*4(#3E2{V}#Khi<#Vh6L`nKyI7%YyJY|eJj-nlaitFrAcc%-j)QyEsR6(K07 zS>+B$Vm$=AIxa3QI4sPpKcA70kB^-_ue`iGA)!t}u(Pw%*Vh*xA0Hr^jg1Xp!=JO( zuo;}UdiCTXSB9vy#3oC3RWMzV_-&;|qT6qlg|$V~g_P_%!*|%^cQIq3kU2+h(`vW? zvVUjx4|BsZjsZ?(ZY}+5D-8_|^W7Qqn~p(^6QiT}moHOZ^NINUSxHH0rgeFT{>uBM z!4kr2*FHZ@XliOAcinl73WS{6%xwA1n?C>s!#c-HHFmypci&j(T?cTU(XKC((mCw1 zJs3bC)UZ?|tXl#g)|-IVbO_-8R13@&z|8>$C1s%q8=Vw}1v)l1HUMuUm2cD1(jWl| z2?+@uo_p!L+Qr_+XE^%DCjNvlcH@pre} zl<5Xg&c&fjNW%0SK0Z3S_fBhH{xhtcNT8;qOp@vQ@uRA3zb4}*?CefMcEOGpT3S@{ zE9>h7KDD#6vjYhV4Ebo@eYw@xZ=HroGKSaE(!P?`+uaIk{2UR{-`BUmM#if9R8cW} zW%c4dR6e5u0`3co#jkZp3QoRdU}TJ9Gx&igcu>)4P8cfa1H&j%88V%gO4F5mW{YX`c zz{=v{z(qQBr79B8N=)b-wd=D1vW4R69jx%Da`N&b!mtGe1O%Mr;l;5m+6#tkHdvfz zyQowjmXK+DC#bRT$?JoIgTi-=?(KayK6_zfz7@9I_g&fAnwoF%94P^q6WXZ#GE0HW zBRJd*y+6zf!xeNrfa2bK!|WBp<3qWNoZr!Uw94bzvu6Xk;^ICsbUH+y;@lfE?Qh$% zdoEwTJhr^7uBdpOh=_=s9NkEZ_}SHUxqYnGhf%V_kXZTm$K5xtbQUYRk`v}ySyuQN zRx?nm5!21hEla_9ybgyFQl>J;&6h+8d3mxK-RnqeNnyGWv5aniQr=L_Phk1SpnDUx z8JOK$OdQIXii(O;r!mbpApwKYLC!-)MuuH|1`DfS>B{TGPQ%lG{Nc_HY0~=E*4EnE zXJ*Z+A}gr9<*~DI@(^m?zyB&zjmteV<@4vykV`^(=wNRzO8s%D%t;x?3`jlLyCj^Z zk%t6Xl4){4ZG3=n^g~k7Wtayma>b_>_PUTK_8msXJU%gMz_L_9jhJ6Et|0%?0^pHF zMn_djdMjT)1>AhFY z1bgql;r8%qsIG=^c>U<;=yB|eBpL9I!De;Y3x1@$mk9`HpM925R8*9ctK+{=k!@^h zY6@Aw&g!@g&*1L*bkP<~&>c=rySbl9KGzsOic*7Hf{?J)HrA7+wYs``{`~oOxTHKb zb64;~!ou1Rg2O0ON@?i;^NXtG623>=hpT7k@|xCM`JSw$|nv8@5+mJ{^phIILo-Gu?uhy zRmCUpPbDk8utV+B_;&yp@|qhI!odmoHz=Uc6Si*`*B7qc_h;z65onpE9VCg`q*4 zMa0jBuP=S!LgbT)F?l)LE}>&6{0rh@o&m`G3kv^Qht0Xp?V*!Q7o(!>lMlk|w`Y5WIQ2V`jWMED zBwnuhtfC_w_f!RUIg86?$Sl3Q$S;c*wA$(UMF%d`F|OhG$03D8xqrJ9U#1GeYy`rH zlO(scwl;(>EMmzh9`?I;Tkn*Wt=17+77bKdkY4{)&G_?sQ0B3a6Vak+zT{KOscZvo zz)^@E|2j72J@0u#;$&Aot@+!U*{^eGjxnNbKe;$vNcqMOaeDdm+<_1TB10~Pm(2AY zfX%6?sbraCTjf6Q{8%CD;uJqINy+W?_5J?gqlAuGFa4SCU%{WC8XGNE6pB<#S;99Q z4}FO_#l*#t`%Ao`IwpB`2V%da!TpvJ<(dZs$PZ3qFO;1)mpAsZO222TeKOYfnk6*f zW05!IoV)~pb@s=tl#$mRF45au(Pp|aGBpvl2M9I(1}Rr zRzI1JLPCiq&QCQ}&jLk37FB2&5m;uE(wSPOll^*JwCMrEwe6)rC(wyp@Sx=3aXj4H zR7&d_9!8*D5ne?9hCsttSC^8qglgtp{^y{XISX#x8McIMirntro&fq_9kxFqlUlA# z`To`-F_-z5UWWS+8G3UK2=L`+d-mpo`7ay7>UTx zCZf4@E55Y!nAd{@Bq=Egt_Wexb}uPC-PG6^An>>3#-mf?;|b3YJX?tpk0^yA z08->#hoW1qrPkdfw~_5NpNHzI)a$z(J=s=M9eK{XW#&=6L**{}5}%ll%Ul!`6m5d& zgLKsru#{cx)7#FF0y-`gP0OV!RL1j9HHS;f%ZG)90Rjc3Nb$?s3#Qz!!3X#C^_7;E z1_T5^`~`8Dh|1xods+{LgtixYs|6V~ugCQ!pEfI9t%J}DQAkqwG#2g$A0Or8QV{^p zAm3?X1~8cHJwNN`(j0G3u(Muvt9slyco7EO1Cd4Ew87VI?L zm?xBqxtkY{>$&mZ*Soc^9#H}&r;IVZYym`$PuG`{PLA!_C&FxYODao}qtX|7>+o3d z8cefadqFY?LLeu~X&THqlrz3iq%I|R5*6(OG4u@J!+6LhWLyDiLRgaslB6WO>EiUpKb)`bivc`%A zy$G!b0?_BJ7eG}D2bl#p3~eS2yuDJyR}@}tGK zh2tR4a6AMkciq$b!-s|L403sORn@_+E)MdWGQbl>^4hf?Cwf+~R`{4_&YYX~5IR>v8T0AW zC-CO|OuQaSX?O43xz4+_rL71kTq(_Vtj7Cg78fPuOGvTf z2qUs|A&H5Jl^WkNGH7F7pT^qT-&c-KNc&CgG%^*LrKO|vY7r3;yf$+`ANgE+LjAG0xcK0} zc|Xy4p(kr+XUE;$UFaQd6pzh&uO<`d3MQu&Zie45(M7Ur*xtmIC`@&#JL2+^4TEMTw zE)GC0+!}lYz|+ftV)1m0j4r#AVR=fB+bjYPlBV_~<@Zdug`0NxxoUS@NvAH`XKWJ& zgl=JwG4rmsg61DzU(QPY8|eG(+wCQk5oNLMV&5@if8&^Arw3oWLQjzx7pGF@7(%a( zbaeruD3srb?K3db5wdG#iphW%zI_|vluuQ7APn?VeoP*#wT(^Qr1vMBz|WuQ77Sqv zEru@F7Te74WJ8EAcjiVas;is1wHS_O>6Rbu8RgW*H;#!ZnVb+m7^bb1ZQ*>16~b1Aznzd<`2$c}JXAU%2maY_C2=}X@1FWzaF z2K0J-ao3}jtY3`rfN#edPE>{9%za$G-tR9GK`aL#xoo>*V8B>U4rJngA2EYbyupFRPhg_6Rg0#%!BoO~aTaMjB} z;skN;$_0yq^!|@RffL4}%l~mG z!22?;5@~jchM3DQjwDXXKvy@RQx$Sl!@R!pfqAs7tR0my?os-@Ji>`3bKf>LHg1WB zg4V?<0=8-1W}Hu_Rt_B5*`W-IEN({!_q6JmKa}-1uhRdfH!wwo>|$zWf2BwuSN|v8 z=8o+&jJh11)8pOcp_=ET(+m7yE9r4SEkz&ggQ3&kzD>kyyTIDUH$KpFvQ8C)qAKi} z%)J4Y?e~Ro?j8Oip+02@?45}o*>0?_uLHR%B>ex1xG_u} z@O&Q!ArR_va=H$wKm{qvrOBmq&H)_)6deF5z?_XiwEjf*s(=~z`gOG8^=U{$*4P;P zty^|aOst~J{8@P=OK*h*_2kWKK2E<#U9x}HrrX?*lET>4kZ2?p78Yh^GDB4l*wb&{ zyfT>X+~KwuI}=8Bn}LBE09NpS0k5y30g7UR2abqHkxJTWq7d>l?#uP|l9HCz($exL z%foH*EZ9LGKT4^om7DbELmma5aBpw#^XD79 zhk(U7IXE5*3(LsL9%;==M&9fO!-|(N zZy!_*tb1FAF!xp%c+GZOZ((dZqCXc*j+QxXgN_UQxsGDKa)Bwo(-u%hzz{=;(K+J# z_vez5Z9vL~>Of6*5*4=V2tgQVrA!8=*&p$cnVN6HH-b@<4h{}*4dAzEC8J6B?9D~m z=7FE#iIPa{0R4n@Pp0NESJP+VEKkax*?~(gz-KujDkvyePQ%6qE_1sfkm_-4R(AFp z^bJ5lU{-*DObUwdln`<{_1PFu(L6Ry8q8-fF_k!M<9^fS6%rHj4X+qho4R^<{#)jC zZhsj`8w2baV0&0nVB;;*~HWo_cQa^%hoX zdO#BdhJpVA=udxtyx|b2(5L~P0k!}ZHcho8RPseGDm(ru%{a|j$X<;M4DR`WB?@aZ z6H$NcH*+s2;8Zu`a9^p3N{5LmEbPfXnrV-P*)ldX9OF<{Qi_whQ_ZZ>^c8eBRrO-2 z7tWtw-QLbfO~uB>{^0MAo(PgOG&1tmhti$O=}`kE6D|7R5R^Ij-A|Ht!d`CuU@9b( z&(S(ywq)oX0aeU3AG3kN2f~&`rBHvsuFlQRpWAdi3S07q6`9@K%hIZ6!G@-n-VAlY z^m2eBV6ET3JP^J@M8u_0fsqbnjfA8msKK;WSHIQ#1bhJO+I_vR|5IX>p7Oo7_v|nd zd6+Hzt#j_<$B%GF%ZUFkp`*%*bM;(yo$@og78>CG?j0@Cg0O?z11d8hp|4E4k|sk} zv>DFLfLiLwqJl1xA8}70_XDIpVEBUp1q(<+L*qv-sFv6fCFmAyWX2K>86m{QV*PeX zZ(%9OW)TQ8Gy|}$*T~5c0RiT~$Uxx~|Am9Y?!oSQS!pTYM1OKY)8op4_YC+)Q2jVV ziVL)bc^&oWC1BSJji6jY7c%!FUfi~+)e?~Ar%yyj4Ebim(E$M~kl_LR0%rk~zB1WI z5L5&QL&B^iFE1}6W4$)<1iFo6!2Fqz2ibBdP;>#cPjM%`LEi6Mm78#am@f24W+~{2 zyxd3QAK?i(ScNxu|FrM1@=}@@qwaK*p3KVB%DK*zqKDv4BqVU4WrgIlnU^BNox~gra~^nT_rihd6r7S_+U4WKu%<W{FxswK90 zC8=4AEaArBCUirfDb6-d=oc|NQch6xr{vC_XhiFmI;!xx{1ovH7HPw4T4J6#Xr%#s zSHA5EKyk4-`GgO^z!0t}{7tQgfnh&FF?V|BhGlITmSQ^vQIi0H7X-_%&a^)35%bNGnZRr<-NrxZ3 z@zL1A3%!-nlA3R=ok4}OlvS2fXs9whH=xL6DKl+)I%RWlNGob6cdwvP&IJp7P5F*O z7w;BT?LlIUj+2Pt(&*;OQOC`~PaMM@wr1QZ>=K$tW!@LPJZJ4(2?uB}oyad6tE%xX zce@mGF4&o}D_M@De<@*lgBm`|>0P;|6zKab#q50=@t65!oD@#av^U;fD(J_2qNf6C z6FO1!&b&O^0z(&-IXx%UlWQiq!a6EYq{eKIEU=xEv3(@Bq+<02wb*?rq*oB;Itu<-p;Qa2ajeN zC?wnluL=<&y}XL4F-p3-_%N`B)$6e=<9^Hy8l{v`x!qxyH(|e2e(_<|mYjd~Ld#r2 zl$de}&rc!{t+uvG+V7*WZDz=&vD7#OskvCvb$aKXynd_VD9^QF(Qb%d#5CB9tH2cz zNE}3z#IT}`GW(E<@j3zdt%Td&E^-+mX+8@hCF7~Ql}UEBlIm3VON@ zKSSk102a#ij~_h(&2p$04TxHQ(@dz!%7z36W?4;3goxb=LqQ+T^($AP(3RA#Z_T5s zrbdQ;77MHGGrPD#+ve>2JTXve*RLBe6G1Qs3B$q}L9IG$b@k!k;42{KMj+g#ny*vN z^%pEha$5mbfyy`_LeNV75>3E^f)*UE?4=Lo4#%>i2($7mo-^IDKrPA0$Y^U%02)B= zwz+k6ciYX8>WhF@%-GoY!GlwTL`*kt-lU)aQI0;_XTUYt*(@lnXV7bt4S*(1j+2cI z#5#~egCGE8@eVa!_-bluI5;>!B0Q%H2~9NUopOyNJy`~>Frzh`&_AUSpu7Z63=r`4 zmG^&2BaHHtpjLXc??U;KNEalVwY9ZyZKyo~TMSYWXO|~W8i52W+_C_Er;GueYh@j^fo?TwPz+(Jylmw^cM1vl}#TJ5Cj=e(7=`k%8{2@g-}B%Dhf9v z_*ac8i#o73Ho)40pH(fko@~0BUJl=|EaC&G4cACYnuVeWP&Z364y1yvDv2Gl*2Umx zaEUpZk9ja&zvbjuEZe@(>UU5Ho}Heq?{@d}gscIY(Q5SB7`8Q(9N?`4}$Vp6^oP!%87 zGaJsO&^baad(1Q7`M-a^ceKCk{q5h#8stp_ehFql)Y(5FjStWuO)iH4WdcGb%d>#` zvlJquqgzd&!EPU!V|G>Wy;2$!j{eFJwyObl5?sFQC7LAT3jKsCI*>OR7|eo>)OBYR zE8Vjs^FWb}oxO2#&6YPzES}SBh#EQ!XCSTK+1txzpbrt--Tdak(68XzqN=g_o2HG@ zveQ{iP8zpwmD9_su5D_rz^w0i^x>PyEGQU320hYr>(?1rRc(rtN%OIg3ykFw=5Jg zpr8&&@b&B0a7SR8pO~01;xnq1elohr_bkQ4xc0Z&^HTNM^*a{sMy%#W91~K_YI|q5 z$L6r~+;nY&kw~NhL%GE`CO*uWTAAZL?2f*^&s3uEKsV6dQ4u%7#Qhmwwo2_fiK4mC#b zI;-RL#~z#wpOnj718PtH0(;+-(yq0Bq9%FBaIOFIQ-j}d_x7>o;oiM_2a3kS<@^*B zqoAAZ)@K8%A}u{VpO5-i`j-UGB|Sa;+qW5T49EOB%#*t7u1=a9bXqwb?%AaLE0|4h z=KMZ*=iU2w!Orc*iH|snx{I-(0%XeYp6SaoBIYn=Hp+x5UHWrrX&}U_LqNoru2$9* zlA(?)H|U^2i9!^DYHSPL?hpfXe8RC3K`)njGp4HQp>liQb;!#4)`XiqK>&BvHY6ef z6;@z6*k@W4+m)tVAI5&81thk+E#Q+tfWc*-8V*`cP;MeQQrJp9%JrW(*H;l%z0P8M zt}kzOp=0gd=~!@Mra*Qeb-r3*o| z3Dw(m{4S!IeMOl+BqnSnNER|n>3Qt56iRhk4+{0Kdp0WN+Mx>z4`1N$)O6t~^9siF z?>BxQ<*l@pUo4U%@-DWUR2X>05lUF_Oo@^5hby3 zaFC^Buq)I!TDLvEDUFftRK)kMwY7BYS4(F!rV*GaXl%tpy?Ix2Z534Z$O73byGoOn zJG0X7J1^>^n?U8y?bCVGkMkp|q)K(@EXb@|^DHOc9KZjf#O`cwIXwesU1XI zX+`?};s)Gu-tD(m%NOjN2nQH3vgPuX%nt@Fc$5zd?BoY*@V}LbubK~?j~W}>4Yv2A zCgz#=ZxW^nnt${qSDeQA7cx8s$dFI6qyo-dzwi`57l2NR{0zLj{Y4h3=j}hMbKNL@ z^;hv1Bs=MTldmh)fum$DU!(7k4`oDL=GV#lrPi(R{tvc(36Ur)X|r*uKmj=l%=ztY zJ}KOlS1kKJ_2{q+0yhd?z|Ka!**e=!SL|^A($4&gjQn5cdz<%_t)Ol3!G*sx>i+ArUnC%|r$B#W9el^cr1?A)T*jVI`eqysyiZB!@Nq6Aa-|zz2 zO$ij|hj3=C0RnHT`a0k6jfn;eBnkIYm=Jjs(c#QQrk6gi$oU`~5)mm}sU$Yw%jeRe zpat&Uz9$OGy|Ws0RT8)zI3T~~C{IEaym=Ar0^=4&! z0AR)Lo}cRn>#lkU2qDf3$Jw&IJ(r$9{Ho*u%aXT<$>LyX$9(goskMTML9H4Ewy!T+&GO8A{K})ysbG=QolwU?N z@T`QKcYSS*=IN*JMgD=Udp+DHeQbG3zW!0iUK8*9^aSzK)UvV`MkSP(W;VrS%xj*H zmR|4Q^EhoW;2*>JpWj|U1WoB&8Y(MEPNsw3Y?*G20)Kip49I!b+qb`EXG_Jl&sZ0i zmhw?vz{Le(F*GxJzN5RF69k(qEI%}up^$y`%9S4O*z2si0I(9`;)s0fVEOJgwilQU zL!A*+E&x&%hmpgOVE{im6E7YHKLr8Tz0?ldZPW3PbJ$tS2B11*%i|@>`s8>$#kF)qO;%d!4xN;nt6SGe6SNA zFJO^q0!1T-kWm)LW?XR??gC}yC6#G`$F{j;QF~x_65%Xo$$5`ICA-`jF4?Gk`64I$ z(vP|+Yj%NY-sil6ryS-tUhb{xC=<}budIM31iey?_qS39M9rfR{D3sw;rO$hkea#x z^;jP0{eoXQa^HkGC3o3qhq?u51zWK|tCK310y6PU;FA47hg0$Dlx?dW6c9m?2yz`r zk){yeh*-26VC=_Y+Z#6^4Kn(r1O~Crd!tKaWaUsD+(aYGocw)L_y{nM`f#5RK=akj z`wveup2`2tXfpR+`Ls`Yu!(Thv$4yM4&R2xgeHfj1=!~Gr;%=^EkPcK<29QPBC*0n@@MD%U&9&U7i;C<&< z_}=ah!tmub{_TM$#)!FY#0)H@Xh`JJ0Mzekx_u*Oj5jnZ$B%eU{wjr z(b4DX5M&8M5!NLHW8eS3xfWNgB~q^$gD}ZEw#ZMrz5Reg@@e}qaBkW=>?e@vB74-G#7Gvqr2*P#`c6m@ez=vy1zjFE6g9W907Qc5>z~u7 z;^u~)C=MhTR4*XFcqM8uCEZlYi;&{W9xc3%L*een=_GWHQ`Kp<&NpMoy5kvavC`Ru zh^ji@)gBLzHsnYC1Pb@(Zf&Hi<8Fd^Ob)3~G&**3xD+6Q8v#~KInS^&=8=z@>+a+` za@WFw?I`aEu;h}G5^#z!BWiU&dOiAe^r^fZ`nj%l`@yz#X)iBZvwMYm?i9cIq3@{u~7!C=z)2PI+~g##>>0J0ow~w{D+R zmzO6DApxa+cW>|YVwVm>?v#Tb6ug&tD70sOGrbs+;*Kp`(KE{>X& zpe&3;c&*QAf|w@yiVbivt6Pym+6en|nw4+PLMLte+cBqUU>M+NTm-jyI7W!HhZf|S z`lY2MFbvqT-zHXuw#zx46rQMoTxbmxY+Im})^Cr2o))Outb&4u!ac)zlD-|cH!<7} z(cUNb0OlUPDR3}~hhDNnUZjY$8**qbCL|aX=*$poAO8a*fR1~xC`eMk0iM}oS@7y$ zYh~qwK?#gkeJ?)m275kcDXaI~i$M>OVN;Z@kM|3exx9UQDAbs!&4fkDNT4d3bv%AB z1+(&9-E*2yZCZ93-iOx9{r!EIkuj#hcbEG5`{AE=OINC3D9{FR_H`Q1S&{NRn^02BMdrOB0CC0PQsoS++kSY=Ni`O-NAh z94K?LlQ^DM>y2-qw1Us0UAz{;-s9OFtk$=Mv(gHvoYSm*e^oEqVXDWUHZCQ_2%eHq z&0cduOGHEjT3l|0sr>l+9n>}se{$ITR8Ft604(n z!J}2`{CAh2ytEp?0I#!568_6L!1KSCsFD%>om7$6H zL2VM>e;(sGuNZ5&rnYw6@?zy^bHn$Qh86QEJSMxXEgUjpA^{HO0YT=-M(rDG{Pa%2 zLKL;0D+N{;S|YjE-_xfnWNK8dte7h+_d^IAA7lw{hRz+kNpOyiv+>}|oZuJ#042pP zLc>!rC;|uUj2A7qobpb!9zQd4@tL* zRSSE!N(Zz>^!65ks)3Z(7CcS=@r-SPiz_cE*oOT83em?yb}Y*RAv)^~Q`)3sh@)A= zQt^B`l$y#?QsxopT?A~U#zqq}1B2ZHxBY^d)fUd-gOcIHbf6d9GX(o=F))7U4wz0K z;{_$oH9_>cAbL{$=+>2iMG`x7d;T$#t`}+Hc^uk&A+&vsT^AkB`Z_uqSo!s-imrV@ z4>Jq$F00Zhijs*-*JFDwJya-nb_g!Tr8sW<6@;B>Wm^c|FBS{DX(4#P*m?*(PK$Zn zG4;m+SJnU3-j|0%*@k_Ol%z-2DItvA$W~M+%P_XY3`0-WEM+N6DEpGEld_jJJA2B6>Y3G>^0$VI}EvpY}+f-PX6*iv+s|8jQHFmb)dhsHTraPipoRtT7~r-94#b? z+qVqiXcadnbl>`#g#Cnkow*Tc$;tPJ@mwV2aj>tyQ}6!xVXl!c@-!TQWjS02nyS`G zH(|-)aIDB!nMPExP^G2#t)g0e2y@MrZ7&syLfhS6Sy2p?lNzhmZIZulWjXx(`Lk>)9l^*c0eo$nWZrOK;@k3`7N;v z?6M7|ULsz!DK()7dctcu9LoEbV$(LV6NEwhNx+k;YePF+LNsn}dJ3Hi(@FVjK>?)o}gw zJ|Pp}B>|;6<+bo#0_K9|Ar9CAmJ>hv2-=MFyAPT+?msxtGvOnmb)~fSx#ot94$jr} z7f9%Ghm`Uil-PR+OElRT^z2a~C*rE9>}*xKIp9aze?Pe71V2iip=ZnLy|CoYL+>E$ zP2Kh>q~s+0_$ffTG-HZhLxrPx0De^d_k-Wx$+uN%nxw~Lv%g60=&T{iG2i&X2`Z0> z3-QeYNj1MkO?sI%eSgSb>;tdgDm%i%QlxSdDe?7v$u1*(L_Mwh2Nt{ihZaS$>gs=$=dDG>q2`;FIFDzltKzSf!a9kmCgf%zp8%fIs# zO;Qw}jq)Kr)7(Jl7Ac{%fL8X3kgD55Dhi?buRk}-49vEkYtpzck~x4veBdaq+u5g;n@3E_GpGwX5WE_GQi`h zPM?}olXNC{jWV$3`zpQ)`VTbBqmF_ zy(mI`iT9o&v7!bZW}L0P9oeR~1I^Ftt#LPnAwDzkD~^~5CJ+w0ou~XGwwqRV6@FERrKx%>o{bp<(|BmN`QeJ)T^}MXDuHHR6)|ojNG4d&2i-6gz9bp=YZ03yK2*9( z6IaZ?19zU44SHN7cL8ZYO9{+R(IN_|>xNwY?Go`cF%fS0Ofa@194}HX=8K}z*T9B# z2{edvJ92~llfcPJ!aSLeV68$(4zu*;CK|}NqQxj;~$t% zN;kDbalfBjWiuQKc)jc32%tOI|1xtTLT#jh1|@l7@C^TN3-FgSz+K=k3+~7(Wt8P~ zx~aj`-iNm6CZCA^fNF&`V~tHMmEvA?C`l7Z@s(HiH#A z{Eq*(3E&5C$XKR9VuP7HX7~{`ieSdv41WxF^=uM}tt$(Z%FY>>tq$(;gT->panI~F z`q&4etLxJ;ki6=(@XP-3VSec3l|3U``0Ambj+HKV5w*GX_&bT()3Hsbm%dol*Y^Bb>PPRK z91wn-I>NL>BhEAva$I!?Db$J<1M6MSfD+WoPI`xH- zU5f5svyk=tE!kR}e%}lLUS)z0gdkb2vd;m79*!1QIKmjavvBZZ6N1`0 zI27g>wrx5UeSAv&tJlY$Za*JS99^2StQ$hx9QE0X|2|hC5DGEf&;A!De=0(Gwye)5 znlD9szBiZC5Z`1i4(9~O!*pZ*xY=3k2RRH#fT^#0W)U{YQ7EF}H+S1St}58zVN~K> zUU2t&y1+c;QHzKuNnU4O4cl3#GXpK-at9=jP2TTPp&hbycXF}1@x-D(jiydj>%coG z;W@&+&4#O(DtV`{^d#~_f>ah9ynu-u1V-sJbsOaL7C)aXV9_~c0YNbYz+kJ^Q9Q)S z!w^}eR-f_u0Qo&YZ>%k}>vD5)_JLXxnBj&~S)z|BEFtR#jzSz;f?*qk1nqqopGo~Rb~ZNTbdf)Q>Hi&^h+KH#XEoM^T{QJNHEdadx4yt)Z`OGvnY6!+oKvu}) zq@2&ntXO$piQ7aQ$jQxbbYJ3LZBz$YxG%7|p>tGfi8+usk zR);Z~vcw^M>Q`lfK0AIn98VdLY>V2o0=gTTU+}O!UtcCg@Dnbs0kP84o&1HB}NbLv9dRO^EWCNj<8;Q%~TcS-S z&7gA~eK8?f3=r8;v`5MiXmJD zm_>2D`=btg8&VOt<=hZmn^l#Ly82sVr|L2|x`EZ)Z`{}d$OMTF;Xkkb>s|eR>(fo` z+B3(2wru!u|NIa&lS_p?HA+&pNt{w6Amzy$Gfa_Gc#r^_VP>G7$bTS5$4{ST-rWB3 zlCY%c>oinm(SEjd1OTH(&taGx9fPjw3oFSMEwyPq_n#sLy#c%)(6`!xu6@_urnO62z6^IkBO5cufzp_*Cn`?tnA@DMOa3Q!^p0H)vY(bjCJ;^`aJn4nMn{h;ZX zVtfrbbeUpEj0m}rhs2EHd>k?B*`a48Q7D0vGxv=aaGEhfyiFR1VoXFEEhhP6$Pr}b zPL6?8-TX&0sjus<9cRbU9&uU>;OaOaVEB&~AZ&_-0dw^4$LU#Fd|r%TFe9Lsjg4b_f!kfHm++ed&30=f zGzI2`2#MGh@E4-iOKU3?V?A+i{Z90cuv5}x*$y+}JGYQCyfs32f z8H7b%qeZ+|9h=OJW?wxRhJ8MJMOAO!$Rc|`k)&G9dVfE!z|?tUGmws%ICDaJV}?@; z6SVU7RmS^^+XR(ik){{~d4Od~T3Whl^;eJ(Gp`?kS^~}hfkDnJQan#ZMFl=e0*V6? zdfuKIgEbLApUcIA&HxH+E*ASAIehp+rOOy_<2!poR#w`zhQr8wfhqtWp-F%U_!*)% z_2z~EH@oj!!3b5LMFBc~4iX_LE?o`S?qOV^!s%;UCAEL?p?QGCfo)pobN%>1{%bn8 zcTJ5S>`Z_ZPYNlnHo@F1EiZ?F)7sg2777Dlf*{S-8$hw9_7s^bh6BD(KX*z{Zs&L; z5p@hb8m9>dEI5W*FI)fRifQX;WA#_eC3TVYiVmG=TVDF=eA(L8!`kE2uh9GQ-s?1x z^nY=4u+4C@0fgP;rLxkyW9I(^@PrwXK@;-M>?KcZQy;tn0oJcEK>DA|v9dK`j>0HRoY;pw+l zI6eS$i=&10rxJz~q)w z-uOAN+5OS)#JV#k$hAc_ue$n7foQ~%N9F^#0b11XjmkkYlh!u zjd2ldl6oI3d5Oh7K6l_#t7rDlF9hi%jQH^?GWX<<{*w)^PtP8(Is`ZhB!j>e zENutp1wegZ|CRss{y4(hD9*m5-jk}S2>=Sf7bq0PP!=6LI=@fBPXF3yID`bFkJIZo(=VphOE6wG6wolfjTtoH?kKy!dsm`zWAu4VtJqQzP z4d@n^zDsx1k2?)ief0TuT$TgMj?duC9?yQ(89xh4PH@sE)Sh=jgx23TlffU)B(%ag zsr?{YpztJXew1Zh&}m4PhZJ(3xnftysFk{GfW2?KCJ4{E6QC-{>M#0SPep>oH5PbM zPTBsciEwf$#BHbm`%6bI3kRhfLw^4|f@$_xhkz1=BF8@z9(|blwOt}Y?Lk--iCyEE z<^iOm^J>8m8KWfc?h{L4e3**bgT$jbH=+FlW%e<8%xH-DPyr&57oS7W!YAO#tB2o- zsr3pnan-<37Tn88z&L<6Y8gBTi(^D)dW;_1&R-Go8-#`4yc5bEf4aA$g7xxlVfo+j zI!;E@?k*j~$S95CrgN^=tGhMO-6}5Gvbw63a18HL(9rTHA^3}u?1fWQ1@Qm@OnhV^ z9=vx~2m<;ny%`?lp(KOpE+}Kh(hdh`hW%1);9!DKBD8=X>6BK!v?^kdi?16V@xB3k z1Ur0-ioiUtIvS?J`&=fBE^z-I2;#Kx55ls^I%p|0NdTFA2Ct@7zytx4)<-U1)M{dhhCs8qg^PUxmz|%} z#Cc_u5~!u3ihM&$#t4rwQ^;tO|Dhyja850N85+N|!6IuSs!>ovK}LutNAToWw9|oI z`dUj-oCf!VGWn5B+$Q_1Cx?m_X-wk~Vy|2Sj6u-fGK*C=o8w)FTdMgf|Ia}g*{5e39#V2V%q zVaRZ2Yectm{t4g>{=h%KJD034G?oLmk@WqGfD)F6WAc9ly^Tn}30j{mXf(wBiH149 zHDNSK5o%!ki$1{-XTv5OcV&~|mcPS!{zDM#)qg=o7AM?V5d>)vP`5UYf=96y=I7YC z-d>Gc<#1{KH%<>mM#w%8@EUt)1Uw9x9s-)sMiBDc2E1F$KWyFTsqB?+EIo$sw(1T> z07eh7t1uvh-KzDffH0uqUjdlcAPB(4POo5eEVS#gkx%T1qjYpNZ|De5VPQDd7R=9Q z+K9>1HfxY(MO;kyc(o6TJbnN{OpHmMJ&!F^Dmie^D+n;cWTg9Xu@5*=co$LVG-|{p zgGb^fF?-Dg8b&UP2QppYQhEXaC_!iB(Ou*3KT6}iUC*UZsqyVnx}IR$l~a~;oos~j zVr4^Lb0>a$d@$l5I?LCd5p5tL#80=162%snRrz@jsp9cqj09Y;&l+|M$GhQFYbUk?4MEYk%n$$F_Tu!>{o%g>h;onhC^sZa@WEX^Y0e;b!S1FPoeXx>O) z(_V9DKZ9?fU}2#lhm)rw$(fn<*4sLe!!ER7(nZz+dk`qCZNrW(^=!-i97Q}s4toP8 zh@)rD-$-wceKfX|@klI)$6e-IvO6r0iHPY9+4V`v(P$qHHqfZ}7z6 z!))Wi2WU`nTKR^FmZic;v|%W>d>G? z35Vw=*YdU;sE|L;xwGWik>_jai0E^q4)#Tw^blb&Qu!`*T*Q;(D9KK9^g{3(EpP@Y z`lpkkvZPGA7lvVEI$o@OlovH2HSS=TFb<_Olq)p!V}E!&tiD~+8%eC0*mtF-qN@0u zglA(lczh37&p<#veCkADhg@t4!@D`soc6(qi>?E7N}7&l%s=maV9#I^J?`A+)3BsfAq>eLfZ*K zGgbqG(|q)R)M>@Iwi_9jpD+@xFqzK4qL1x~o!O7-%#Pr05s1($tRgb`oRcYPUd)>` ziq;wXFij@AY1K`ukmBxpQAg<=yvSovLM`zV754ew=LrWURGbp~H|97=tz>Ccd|ymS z`ArS>W<|JXY=}h6ZE`6SdiNp?kAqKe%EDQ-Z{S-9meyg0I}|_{6ViLHL&+-}W5CLU zp1@!=w2Vn{FVGwQnY+NyS0gT_+gs~SUs~OZ!s2=qLX*oB>D!jJ&Wh)$d-?6jaboU8M?N?B_Ijk6!5_3zD6O~I^Q@n2c?a5KS z0cs|6`_VDGu`o))Wi%5Sv-{V$cHV+|@Zm4H0D?<;g7K1#AKu`AlGy5PtjAfhLdpBdKi za^d%G4#&UM{F4Xa_e}->UG{K02(IoestUyCxQ|mLeDL&2$j0pW$+wo{1<0 z&Yhl{D7CL3BAyG?NR)BESs)uNO+1xE|4$8mf;iSm6vp0cr&2L|onJuax+ z`LvB1^#uF3<|Vi`s^Ur^%y@#TG9 zgRx*sAnswK%e|NDax$AP|D@7lV~x9Ew*xG)S$F)L4^vT0S{JK;2J53wWNr(K)sOGa zy!iF(HRwlYYTO}o>^I*m=g&?7&6i)Jk&0$%z&YnMv} zAuh#>nv^ZPkBxpE#+TsYxu0Rp0)@_)l;}bl?&m(JTF+0~>^10@Qp6W1U~vv9R(1x< z^52%E(9kbS{?KFKLz6fv{pR$&0a~O*Q`K&&m;!~VJe*jSoj7Z7;ml1!^!F+4Zu1H_ zxO9=3^_&7q-^rWmT{r)6)9u)IDxOXI@sfv%CKXA|4?A1Zl%nlN@5HF3P>d={$>i6a zxF;B1td^+QVh9kh&-%^fCV2ly`}{P{iCwX?eO4wQ+(|JBbtNv59lv`p(OidDnLy05cngOjZr!5K$oTbjXw!eQ&h}%o_zBe-0Kz~O zADVZ z4iEy{{asy$a4Vle72E)t==U5?-J<|+@TiA!XaIeHQsx^#d5EM=Tkv?#R&Z^sf#CX@ z!IksQ>67*M%U}U}cjP5&{)Z|hS_|;e?`Hb9#uda5v&_%G#XU=^_6>6X$us7NXAh^_ zB=bU$I~(r5qFA^!G?KOXqhB#?v+K-9{&Jf`90!U|Sq=RvR*ifsCJT|S57bih+@4_Y zXDfR~6t^mU79?RX&jK7g638OzhVb#N$@8_4gK!?05CAmSnsohKAY`mvsh7~|t)h!} z6>^>1RbaLhEdFBY>n>UXt}NvcEwfq{WsX9NX*?*<+0i`Y>A=-(qThZSO=;NHGZCFH z7+Y4Y&GdkXH6mhdY!Sl8p8n*%1k)AYI={eHgde&1t7!T$xcU+&@lAF@ehP1%OrM4!s9ujy`i9dJR&yqu{rBc{Wh> z3_v8@d?k!GC=nr*29zdHou$0bdph}vOnAKhS^Y1BncVDlx1!&*^E~5+B1^|@OHm7V5JPjxa21wfh{6)$vFDq-LsC>Za*;S-6puD`i z|3VfwK%2hsUo}X09O30Xh{XN(qeEJYt zbAZnL^2ee6unGcemh@uCduP*@dl^ITXJ<7QwymgDy0;gA$_zOtfsbS;KE*d49(q27 z2&&1}5vLH@Z9jYSmIFW_^gzxNh}r=9zxMWsdz+>ZXzzZ)12zwg$LZ@N!tjHmsi~=f z;tl|~cn^4K&{M<i{6I?~x*%oZX;@mK{$yu2zjHMnE<8 zlEaNgRL6)NxyJ8Hin za3)R28HF0$=V&uP==;EXCY+-Z_|3Bt)eFEY-g&0#bk*k|q9p{rrb1%i%a@g46Ye4G>3th7>~3_pK9%_sUlMi>x^{_;Xe##y-V-@kNwzF^KE@XAIcuO_>c z-bh}W3aP>uL=c+lcwfx}iaU%!$Dz&TC7>Nrxd*u>r#U#MxV01nyd6SpKZM}O^pG+I zE0zZoJXE2DtP#J#^?~z{k3Beu^xA(yA?OomFeN3OL9GasBQmjUQs#8dWMySp+1h@; z1v+S(#`)7A?YsN(?O3d>&Z~b$#v>&@Q=yK9^mMJcxK!D(%RYzI5Y@@ zf$KPG{A>zVzB_q}%}|d69$FCw4*>7+5Dv#L@IoBexj>%`A~smsSaAsLdg$oiQKvt& zE>WeQC@M1M*giBMrnvI{FVd5#{iZE4QLj6vQgP3Oi@($7cZ;~G;?1rNmh{n!L?&&z zvKik|$2(^<=G_~NwRGgpF_Q55Z)-xMWbz2K7LfFK-sBp-^r8za{H10%sCxKckp?8$ z|8Jzh@TThlIF%6^!(oELY6z9Lp|r`}IS<_bgD7YRt)ox#Z=#@UVHu&89f3CmiVYJ4 z4L}hO->qM1KcUW}$Lv=~l!{(XegA?11?NSQsLElj!potjG(;nnA*jIE@nf6&qofPw zAQF?2e`C>RW18fpqCOs7W2I78$9GF5vEu!#;}Z*OKhw*lyKpy}FvryX(1F69fcrzq z5@hlHHs!oNU9Qf6kXAt3t3|@zw%l{27#}(4F>>d{%yZGzW;jkP5BmGJG^}*SnC-9! zK2lqAP$~EZ?GS9Whl|RrIG+Q<=gBJ@`ZrYCyD0>(klvCWIMp+9%@>NTM{>jKg?xS( z50d>)1DOx69Ua+~mOO%x2EZJ+ocLB1>$yx7kPy!mFq!Tqmt%iihHxwIG^Xqzx~-WX z52_+Kn7u9wyjmt(s243j0g1`L)hiCGwYu~S3=Gz4I9k38h1jN`wdW&~KU%ie85S33 zI7TYF1wx-72tS-r;KC)9{$EN=L{`e}@%3h4OLjJ$)3jR+D~fbyG(Gf#SXn32ZtUG- zb<&hq9RbC;cMBnyq8X3MPgT6BS@>#yaD3fc!z(JBtQ>%n5}8BUeZoPe=aR?o5mXgpvN|T4PSYlNk7~)8@#_!vmy;jEbEmxfe4f z@XB^YO}*Pc!DQfDuqbCRjs{vEqCe=y1sCjat3k_r2thB+FOh1ti~m1Zp@|kV}#Ll0z!6i@!)DVgYcle|}55UW*HXaIKnVyf1Ze z6{NfFdlQE)H0#W!DG;2Fr|FDSd${mYCm!$*(%OY=Ewm~=TdswdzE8O!9l^#at(VQ( zu7zq@3#%062X+K-4wEPg(v~^u*Lz6k97@`H)3BXP=tpRMWzy)SYFkvmzNdZCB=P+U zT@}sMhN365gD3x9)hERnURT|dV{n8xWJENI-}2MxZyrJ(3*V$;c%n=?|BMn{y?=u2 zr4X0$+Y^Qp`}maQ(O5YwwzPXf2&+->UGy;}K@Pn+phF}T0yG`h(DS?uWLPw3l)TuQ zmGtm=Oo_Z`c63OUc0OI+u4PdaYHzBhsxryf3J{mViv4&-w0=Ggpi6S^)lJ0N0Cb7F zZE%?FygoKdvGgrrp>+pqWtx!LVyJ09tRMy)Q z&zYO2kD%?6=jN9tOKvkx{LH|yvmIB>&sLP-F_2Eh3Rr-wRzFAk9spgjfE)l*WnqLv zc(U}A_f@<1%dp3>lwoj~Q zOVAV170)~EKrCuYlhm@QFs$w{6XvyZG)A)^f>=h}rZgut4AunzV%H?9jJ-8HXQ;JA z<)=mzL#6#avRrabJo1q#t7`M?J!eyBublHfteR8S!Be#jz5e&An)%b?GMSv9rwi zF=rBvo@q^ZaIYh`6d%0Xl0ADm`5l6)-ZMFfw&U3$*RTcy0^BFc8%JY!LnH_ydND^d zbg{8fB^2jw?MUejwYE<*ydsC!EvL&#`Exr|7=?x?R00+C>q6+~)PCsbivwbLZQ|?{ zQKY$s;M~o^f~X0yE#hubG?L>Y!kC%!^_H&S4@y%oCPmf~T9-544Qjh38iZGzK9667S%i4vln{DjwR3Wf#+Fd;mr(Ic-Rq!S1 z@}-Tt1yLGB$6Cq+ut1G(P<1OX<^=lrd z__Zr4zMyC*g1cOhLuWkP+#%KI*ilkTEhMU(Jz?jJTQr_z=vhbl?$citJ*!d>w(DGP zm@rg~2Tv&boWDZ_D&oVixuvL)q{$0z*<@@h$%a`!25X7Sm5YU!pR~rQ1^228Bu!>s z|INV$>|-mZeNtJU#F(F@y1QQ3)1JpblSkN25X_h5Dtm2vsx&zYIc z8z0InOMI6)ev{4Z)_sp=EB1t!UeC=7jQK13b9SDxhJ#uFZ+$I+sC-li`&!knJ~Pv3 zjC2G?3oAtMX{i^+Kp$xz!_sY_^Axe{_*v977MlA3pTrj(J&xGO{%c@lw2W#I}!b_m*%v_e9Xj_Ju6ka74x>* zkVGJ{%GW420bM&my!ckm2AlD^kX3;7&}qFb3sgeNl;ZRgiDTbR79JT<3pQ49&^qAW zxN4}{;@A#Osh^j_M(rB4wgsz3u8Yz9)b@}C#S(AGyH6hB;u_aGM;;YyK<^(^=}atYrna5CmbtUWqF~5K1TnA^M^sf}iAIR#t-l zp}l>j=>S349e@5H#4ur#K@c?r6Bku+N#31v)*zT9f(|(QM%*IjlYC#}VK9Dbw&G%B zWbC-iq_-RsHwtA|%qk~K!;&rQDx=A z!}Ix%f!TV8je#<^vmX?X9zTA}z|b=~*Z<>NWsN(Lc5_?}|%O?a*g;hb8p+=vw0D zfxFuWwhJf9>XIpG6en9=uAw}=nM#{1cu-I%|EGSz59Vo!1&lWjL~$hp?z8?%$&*Vq zm>u|}$4t+9>*c)H>>90nw3pISQc@`Gc2}++pKx25LA*sGa!rm1tw=^B^GvtiPUKYA zcW4b?F*Uv&cI4*fE-q%i?s8w+n%A5e;INYnGU1tuKb3wqHpyH2Y-eY*(2Gjnms)(% z#H9!>d=EnOS={xPE=LP0T`(rw-VvDkDR)REPenVO63pmBbo$ikx6ez;6af$3J1bYy z?|nr^UMX^Y-Oo5Ub~jdzJG z%LmWt|L(T}CqISL*E}<4eoquAZ&1G|>C11MhYTKsO79K3QirZ^vZ}ebX9W0Szr+fA zMe?MV;z01sOluR9uWCw4YHF6Lygqkh&-UJZ%Q`=|)fE&ep_!iUl+afvhUSeontFO1 zt7@|lBjv)ePa1S*=f=iVw!~CbVnpUeHMxJk-O0`XYOp;*6 zty4}lU-V6kZe3hnV`71prIuFjF>xWU_3f{?7&&b0Fl(^t6AKI^k3sBY78OOQE5s*9 zU2-v8=!qL29OW>_ZrUV9ik@4*2-N|ixegv_1RcL6M`W-zkx{g5Ap!?}%#LAQTxMm#8_@_0kvooa7 z=Jr-b$?coc>guX(JLJE)-Sf=U{`BX-w5!N6qH=TI5xcqE{b0ErQe`@4sQ9kuCUBT%B39e;Mgj&ygDgJ?J|@C|zGxKn zTfIzl+~Fb$slFR}_j@2k1f}f9R+81e}lGWFL_HjCr6ss z{7hZB^T@I@cx_^=XtBs6;dC238O^;*-THSswjD1gu?M7EQq0WL5 zdOqb#$zeM+@Ha#(Q?dx;Xn+MXTtrFBsEl~=;_&&I3F z4-Q{qVqj5%7r*OU2T~WR_ai8hJXO`ya*3iR;O5R$;j&c^Zs!ARIxp9duJ<{Rjqvuv8{=36zk+@(!*z+A2Gq*A+p64}SFD*))%f zi5bNho0y;!@!;WS-MhK6cO%yRKzODij@58IZnq4aNRxxBmH1LgxKZQ&F%ebtpzQL-?|`;Fgyod&0s z-HQX*%6HGIhZl#82k$%!LbJ#3A8RHQ7v^Tpv_=f}6{+*_)g$^GOzC?SK4oG{6`54f zPt#M3umz3xl}DtSjuMBpf|rfWFHlWrjVPxzf>7Y1u1S3}`qTa)DoN0{<}53)yN=wo zONI&D{$m^@aS+6#7F+3?TQpboYo=I$HUKlKspQA&Bg+pPZ2HQ|syD9d>b=$`1%}aG zULz;47>*@C&WABeIt6!iS7x^dryG`YLEeNaIU9$-v!ib$~f2fzP;HM$U zoF5I2nr$I_{=8hM>*&Ci3y(EjFOR_Y)M|F>3ecC8mGPV|<7T1FYL50R376Yl5x0Ef zqwuiFb|op9TqI2|CPvknmQqbc_4fM4aF}IAOLN=YVr_$X;AZb?eqQME>hk&2&)0?X z)pv6>T)eehywaYx%ZtM+%tU?FewC@IdxgByWHoor3upa|jOf_9LhokdH>c_)7a0dQXvq@G95)!)#hfO{#Cq`9OLN@u| z*^h=rAX7`)jcRonxl z!;P)Vyg}W%x2LY9lyf7Xd0H1uzEcCgm`o@GWdx#TrCBnWD5SY}_^sq>P|*hy7m39?>Sby4Q?NP+%hU~VM`;zn$ft1CKp=XU;%t` zIk{jq7>GkLWOsIAK?h^ix;@_Eowl>L|MiQsj!pi(*-r3dgcq`^CZ+~$cG`E1`v>JQ z3tqnu5$}o+sroOTah9+?#ev3W6U(y#f~*mARHRbZZSE~+lvV8THA0` z>aDGBgb1re!jhzC7Xr|qAS~|ed#1-x3Avlw?c1Je%gmcv=l=+h#ufU)O!Owb^mk2u zd|&az(9q`{jp!Hatt?0og$+eH|HEodB=5|q2OszeRrjQlm>4`zAX3up6GJU6Qb^&l zMaNnK#f?lo?u?PKmu-Y2N-6b8UW-PTCd^~O;Em}S)5a5DYF6FW`n#d>11nyEDrdyM z*i!{ZzpXUiMgB?SQzj<$*k-dG^Bz46PWa!lofugXK9KI|xukBV)9UergWl;nUeU7P zPB4h|c-#uwTwan~bGdYJ7V+2f>KrrIt#-_n`&xSjkiD&V?erTzB(Y{! z6hu*0#Vzlew+b7tRjzK-Qi+R;fA8pcJa%;cwJ&a>lu{cOF@@}okds0Y8Ls0aA!jMj`i=U-PV-+wha?@1|$S`rej;XJX3puEDN=sdN4pE=d9x@|(1EiH?35 z_A=#kd%SnN_4a7A;&Q)5d@26lH8toXTL4a@{mR7rJ*lbJuW9dN;r6o@FHInNE1c70 zRK|AQgSb@*TV6oWc7f#`<~{~QXKrDM*anF#04Ol3Jv2I8TvU+Rm+km|YiKy9y_?3b z_3ChA^^1zqE6-07!J*``rAKeR1TF*@YZw=l}z-k#ALexv$o6*u*r~j_o+%To7jes^m1ZX1%XJuJgcU_9& zKBbWB@6E3(hr&p3&`&=FvO@|-C0zVGsjQ3~^k;pFwA*%KmPyiZ%II%-m-5fJ**))C zt`DR0Chg`)gpH|3n`7q*LVLexehXAbfEGVky0S!USlT>JebT?Hp&g%yhZGr^ps92; z@IpV$a}B%>GB7fMzsh@%An%bBb$ZF|@f?H~0%T-FOX9XM*S`ZcMLy8g0AH`^(jwgZ$5SbMjhO#pBDaeZ%7abwJp&?Og{(CPvq@*-j z^rL^bs5sxNs6o-&{~JzcZf?#fEY}@@3VQG87;m=tI=jO#MZojZ?PO2Rz36Hg+0ZTz z_KL+{Kf8kD$tq9j*{x>2`0Ed*K0Ct@uwt?d4+!`UiRe>Cw3=+pM>+53CkWn(WUZln z{MIcMQB_?vKrZxZ-%WtF{Uk17tZjk|c_#V867=k_j~#luef@zo?q|N5`8G#Lu(TKs z1r4gvnxu&A(@oVdWosiPw&Mh{(G0Aj%cQi)66 zd!ea0ee>y+s%lKCZZkwpfBQ~c1rz#Am!PijqF5|yHQI~;GO)Q=qKQ=<*YN?-IVM@m z%tG)}^G{96@M@0J)EtnLYqgtyabyMa`~}wjIUm1ii|b=ImKq(L@_*qD`$q`faQv>{ z2iwcLo7Az^yIaH4-+MW@S!^^9Eb)z2y5prl{AC4TjSUTzJ>!)2N~jlfsfIN=4Zl-K zWSO4tX$fi6c3+OB;9ejxQmDffI`p z+EcKGs_IwI^j;6{>3X=Hebx*N(7&|o%?67Px3D;lWv;9&H)Sf<&o+a+eS9dPgyf^9 z6ORPDQc7kb(bApi`q9&$&RK?3VdO_NX*Uv=()VpxTUs$O@(SkWd*+)Rx;pX(S#|2y zMVzWa4K4mwCyv+8-(P<$NVHUZ2h+Oj)1tIHs$>6^LjLeQWzWUD@=ceBG%QTs{U}XB zI)!{UBqXF#&kO+L!6a^7SoS;8U6uNai#JQ^(yyfvsJVrVCT~S_l2a~EwRcXf=3iAiU${>1c*1{oPiu8DDMlUrU^ zmXP&Zk%9x?Z{PO4u*)mBB3uau4a+5Q$b6}?{t7#E!7a{7U4<;3+SCN7kT>!wAY_b8 zg{v(+QRPHr3)+7<9XA!DXKr5M&;CAq@oyGmNFkkCrz;~byW|8tVwE&h#>PiIF2{Pb zw6vgu3c?=pJ-~F#{hyj!f+AH zowdu6@a5nceQc*;0x6kWgEJ|oVO?}qb7;Acu}V}TJgczTM7LYtY*6GwZ1R`u*=*fL zpPOrX`GPMjPq1~1-i1+@<5G`-^FOxM&{ zN{Xwgk#E-99cX|opR@lJ)?Q^MNB9jR`lLT=UE277y9FOiER_6PC;$2KS?0V0=Rd_S zvEut(+5h95F-whNU4;K}P8ys0+eiP_zy1cq|0SaRTX*>zRe!3<|Dm%z{NL|%@HOb4 zJNx@OEiAHEn9BcCi=+6r7Wdy5ZXfuNGX4JugpXd4@7?=*cmMvP!1Q%V_@7t({aH0B z=Kq%d{-0ZV_A2Z@Uz0y>@R{^~T($7){}vS6xn9{=S&@;EG4T%<#j@%)xL??p%kpN# zPKOW;A$H}!UfT*_vCdS-|G0CnN--MaNk~kjfBe`Z*njfLWVx+h-u*Mxf7W|bUsCLI zgL0nSM^r|lgFd#_si`Th9{SE+Dn9${-L)-QZJ_@U#y{kLzgeJKD87*_;x#`u_GNH` zcWLjH!}<>rJ3BiptT3lvGhq+emzUZD`^#hKXCw3T^MySw&8@6Vzu-=Y z+rDOx1`DSUm@stQ9D^%|*vR={ztndE*>`oab$qflYFePZqg87=SN5iTQ$Hm+xx-WF z!x3FoH;je2e8AyowFjGmzsk_#zZQAy8=aXFm7q&mLwH;qF@$vgevQ>+3A~0;>lWzK z#%x6gxqiOB;2kBS=!7;lhqIJ(W!_Xy%Qgy}`UeEar3rg{4<)hqdyMAEi`cKeag@xJJ*no9ooK%zOQwK7TDgBctzc+_ps;fJ%c1PyqsPM~D&_!PHtCDCnW?fENaiCVB64+g1vk6U?8Xaa=jGv$a=p^mU+C;y z1KT@x1mdF6>6g8e)5OS#R)uj-60hC$^>tNMm1G#Hs-`B4t$J5|WdX?N;yr?j=C zxR}s}e7Km&NvEQsA~+<3m_;i)E6d2h;F=j8s%m z04mh&$(FLZx_XAfLqbAiN6~HYTZFccX!y*`%qps?U`eIINKcnLF(6cQ^hc55C#SYkP+}`CBegU7CrC~^Xb0mG%Tlc;tS%m`1ki_DVo+LD9+n%jJlF^E`oo)*7;&=`#vhln21X;?XZd3mYtajI%> z&%v$ia~2dH4pzRw^M?PK0K+yfV)b%^vhY$HYh=Cz55Cl;0*j^(8lXcJqs9%T6lyRVOhraU&dA8%G#kkFdk+5U0TMC# zi99x?;PZFO9TWmis~a0}U%u=vw5GArFfwl6-dqI*1=-CvO_#m#>yTw~*Ri&-VPR$l z4_ye#L9^R$xfw&5ZHAet_;T9m|a1{U?JrHq4BTagl~A&E%n1Fc=A+{pz5|-R}B2 z5hW#90USsLCD+hhkdlp=Sq28P=>5W=!|J@)=JP}@`QY>v3mv_|>6aGRE8xAwtILFT zus+G6LVTg4GYcv}n&1Z|EiI4~s*JhtvdciX?J>A|sb0=X$DB1@gVmO}1-gR@mY>p4F2+tAF-7-^ENXao7<~WUr#%oyzs5RYDi>@}KHB=;LThPZp|-BBY?N`SUUQB0^dqg@ zs^+q)s?d-S0kGY>)0K^mJF!tw_6Lh!K}}+xHP_IH&&@TxxnO5!2k9b68FA^j{v!cw z5Djdkqhs}Z7}?klaOTa)sQlOo$kQz~Zg%#1_X`eg53sTz=Z7gQ-bTc`0DcYvft-S3 z+WHK19KBXgmKrqrQxzqp-oC!9Tw+$8S8OkdAN79!PESMQbA8^;V@mwzehUf!Tzwst zos>l8SeN6-LZTZUG+k+Sug!VEgP5FL23K5BF|xAqxXtI@-R+ef1bQ8Q^~1Qn$FPc; znwtFlPo$zbb#)rlxEh+82yK0FZ0+ss2nOAsY3N`etFWklp7*f=Z(l=S+ISURQXkv}26Uo9ZU_pksL%1nK{h1&nGKdHI`(x_1zLOIJaFZh7taO?TkWP_iB`9w6HJH(^y-us$c#PvJ zK>V*4UPe~d%I>a= zN0!6oi3JF_e6k(Ct`!!|iq+EA+Xr*~V0%d)K9m7CV6MS2Pc9|%fd$;`nW>2h!V4v( zs7;rzKq?B3oLVzJc?rFBcGd^wB0}W$e7Q3O#I?;_Lp8e}v9#cTo!@kn4L;HGsbg`Z>6}xQVwqj!yr~0uXR89$)RZUR_y3KWU53|!q zN3?PyiDiN}sr)gBS+8%e_S<$X+gAUhtMJI#f7SwcU}Qw;yQP|%8fb+K3=CtR#*Yg0 zTk7jSym>=&V+9`KCY>fEr+bkOlsBnxa+s_v1&1*z#X$P2pBN${ts%s0ppBMjl#9vB z@1Gy8fDXRkevH-5;`-sk*4EYyh%J>07JoXJgTrxhGP!V?yu=59A3z_E-+u*O8ON#% zEw1&&jqKgnt-i|ut!OZj+v=+?GI~)V9IgpMQI?pP*vi5ps3kErw&v~9H_-bOR}N-= z{*0zWqoUIEcg|>NxCDp>(Z?H|U;;!@Y%Iu_*E?D=4at~e@pBPWB5JCtIrk3_4i7;I zUIYbkOI<$sdAY-eoSE6i%Rp=kD=Pqj^{H_c8p$6%th1eK0L2^Zs+N?L*^hX3$iT`9 z17c-mRrwe$)+IzpUEaq%h4be(M&Cm-Gc&hF^GY=&TsAQ z@I#VpRhX8u(yr1AMO9S_UfWsT1^1U>!_4Ye$WgJ5%+JR~Me6D4u@^E3>sooYqY%*mfUJ_bdLBp0=*S2i z_DgGP>mS#A_El|dZ2$%C0YLOx&7^|Z)6)~=0+$L^`#}zHGR;_b(&lhcgHI# zIIys=o;-O1rDtl5xewD89$! zLPA27c`icyY{`?{+^w!5RTUMGgDTXxLxs+pLm8lOfu!;PYYjpd(DA>8K0G`eBmxRH z`KR!3VUWVfd=A@Bk~p7~_x0=r4uU3den68pI0<^@$Vld>X#%cL=?B5os`lcN5@AsK zy}i8w2?Kyiy70-XeYs#=bf8$K4VD%aT>}`6j)9@8qqAy5Wn{Dh-kn0w<+JCeiC;Fi zn1`W1lRGuA@IeP@FzF-G*VnfUkBAtIWznX~Rg|XtHTHReBdp1ww{7)*Co&+eA)VmL zef|B8;K?~S64{OJpVDy!nEr^*(8~iE1EptYzXx?WjEvW5f8Jv_Q$pYUP~xUqtw`e# zAOb)h_rb1T)BtSe2HLQuCLbUo0d7mXyG1!U68tGk@V6S)oq`tKZ9qIP{-*2737zxjP z2p=CG1vnw`{EpjS7?eRTi(}C?wX-XQ!xU*-6J(WZK^yw?0+qSh))6uO0ya7@Yy(%IhVY*nm7Ca$K;xAUeP|1Axs)O(3sGyd9 z`zA(3MYRn``vUlOUDVPdFQ6*c;u=|*lwSQFJ+b6JU=OwW9g@dBpJg8a`G1f#Tsd!| z1XWCSu|J6yB-!BLATe1j1x@{;Ki)qI$E7oFtG~|jP@3$+?pU5GbBB&zZF_B-H;rM3 z3=;_%YO~d9cH`^9DTK5H=)89Gf)*om(|Frzc0!6am5+CfIHKIN3u{98YpV4V*xK>mKYABVRs%S-o6R?OIslio9J|RK&&3US3{a?|NFW`}n8I+D{b| z<8zg5hHd2{&i^V`iA31Hef}Xkf9^Mb{OS3k_%je;zJF4WE>BW=8e%Pf*Mr3Kt;T z35ug;5S0NkGg0zwV{IeN>waY8?wY?Bx%T~3;(W&?e?*Vqze}FK_Vi2`ZGn!vFxHD& z(&!o0MTu(asSm-BN*r=i6ZKdyyc~_82BNnvObC*d-6M8ZiP@gjvCd1Es_py9+oI^Q^3;_kZDp=eRJ~zwwrJl zZX}LN4*Amgt?4j{FEfs;b20?>RB>5NepJL4DJH)cOj-K*^XCX63^WADw>@JW7YUD? z9225JLV&)?dYr6zq;*`&Dmgkj8W^O%pnmRoUDwdiAXa^4{E1S$fb26J4EN)d=(YRM z)qsLBclxe9(4!Il5xSxX3c{DxqI$ZO?kz}bP6pgj_TR=x_OOS z#8`f6aFXwyezeG}Mmmx=je=wC*U0u#x&~7$t;FVM&BfK#%H&6|1>y`*Fcy{v6nD>W zUu^pD5ay{V8(r>w?jvMWxCKj4J2zWrzWDp7s`c&994a{7o3v1>{(`^vbhi`jBhw=mK4EIyWwwVrQEcvGeIo`imM zM4^1Efe}v!<~F=Y0(g`8V(2}HhK4Ti9z-66iH5fH_W65jCeUK}zNK`$VnHLsC{?Iy z0|3BcG?zuAY|-oPY5`PKf^cPZRaF4fZ2_l7pA^?RP2{mF^?o+}Sd5w)#spVOGNXq_nDf3?i!iylZ{<}C#hYkY@U8V*!cGM#g%dO7 zn9=x=7j$BCS{d7QPiBJ?z7wjZ=ODa8K}T2(A7RC!Q65P@r+B#S7lJQyjo9$v>21LA zj4py16WgJPWipEnbxhzn1A`6$e%OKsiSSk|f;W&rSODMxFucE+1_E3b69Z!hG%O5j z43EsH=x8b+N~NWhW@ZBWVK`5oiixQ(w)8t79q#V#pcZHuXoD`1D)@mz@+Teubru)f zWgnlcS<>O?ytkBOSn#xWO!M3DX7$VEb2+=i~<8a0f3ac!ndt;Oc<|wP2+oLo0U= z(IAJr#1;@VKTE9D%)ZF=fr&*dtmrm5w;;i>-~gM8X1ILYYur`@Ea5{G3y z35F&|_ER^-l~$E=b!r6Ay*|>x);;2>#lSe(Yh^r3o}~2UF|Z1H6~<`o?v@4Q>!Tw@ zy7-be_$l=kvhi7K2A5CN2ROg9FTK!B4}OXKUQ7%XBr1Y8QHVlc`~o$jm=F*T01W`0 z2Jki{BqSAe^*(JmRn_rqSoB0uBq5TDcVHkG5^x2Mr;Zi((Ib6rG8yFDEwpUNC(3>W zBjeFnXKE^oe%kl6xl`J)(p`d!ogo9S*oT_;z31oWPvq&dCGrVxv%Z_+XDV9otISn= ze2lCRk0eow@S>$D_*9^~L=<;R!o4y{!!e3F4lh!#W45^ClEPRu=F#w(l`~RuaZ?A0 z<*!>J!PNBc)4h#OQq<~bNBXk_AdF@V`lwG}z~uu1f)!p!Ht4{R_bS)-h#NT**T zvevQ}_DOSHe4)NZki+{Z(uU+c3cZNRd-&xm0)&mS?R3|!6O}DCMQ-UMIUzekK3y0> zfrqSA5>FmZj4aP8EVO4kIoea_AUPdEoHO`AEVU$f&*1kvJhqZw&9yeMgSB(NT>GkZ zgSNd0)EA|ZaV=0fzkjK7*kE13;^?nednk$veWiZz<&6tghT#xZMP4oG3tep8x#WHo zVMjm0&pf#(u=}G9tNq!zEV_KATfOXKNZf&a2KybhhKp^1kqE-q)-|Z7B4jtu?HVHS zwgFdLTU&$Y=dZ4NY%wH+L;XaN}}p_ZLn+2eWo z;xr4pSg{7U$s5g+L}q>pJVN9b;^L9b&CRr#q_;fkT6`y5?e@m_Av(MQdNvXWWvMS? zNTJf$3os0GD6dP8geYcVG!tQUYS|D!b&bN+FY1PtEcoihQ?H9h7s41ijkn*^y)HYuV|e)8&l(%R z(|~Rr8XU~;u#Q>%N4iG!ooev_BElv3B!H2Tk*uujOEEE^q9Szwq;6$ z0I`3fNb?VE1F8++#egbaAN8>Te0wxekeMkaE&WyGB>&gSp$p)x4>^oK#Z3mrdjn+_ zgg*4;%a@OVfg9`VmKGMLfDHl-I+H2j<40!AijUi50Pm{;QFEbH1hSs3D+h|1qM{=3 zM!-<%>Dd{eRo1q)B`GO$0I>s3mT%$;q>`v8C0$+Ml?VauK_qSYU>;yu{09#T3Jb9? zF$1tEfFwnRhZmWWB8x-jvDQlnJ>;>D??9I>+Q^ZP1B&hK^|3rp(p;&&h_mx=Q**74 zw~s%H*^f-m9B?5-AQ>R^_}aY0LeXN{f+I?!Ih`sNd>}|6j?`B8_KVAYbE6DxSv~S2 zBhR&Syr+otkorA?cCRbM4&i|i{v`DdRp#gh3T?_O)|oXjBt3*6>A#l+DlE%$fjq*?XtLeFnh!{BnXOsft!c+ zElo5z@uh!84wV4*@$+i)YZa~KXYJASOMQ&&|x zeASYeX!*GGQRTIX+0NeDxCsi?ZUs*n@3`u9W5wlH(#~(ZhAinXiaG{Mr&k6r74?1o zpd<3~xOl2fFUgB3O9i`uKs=F$zj+VaaF5H2B~Y?+yDq1y=&c7+b@jc3A};GylnAt6 z%L*5;IHujhd+>+@W#+c*yQ-=xFk&hzD*StwRD+Lx3DpE{L3<3fIX4g%A zNeO7970t~zKv6~W{S+Gd(ba1m0wRR>WyfRinF$;~MI~-RLqmbgY^I{}rM9*Vb#Y6=Xca}e_H-o3Lv3*>zumhss&zy(k+(B1(t z&hEFiJ;J^mrX!0{4A)Xs^{=m2v$gfZ+g>k>Ff^;(MXhdnw|)PrvI=t(^bkD7Z1d!X z+FIwKP?CziNa6k}^67l{?e%cwJ2RN4&T^n+Vex&@7VoDjh`3G^@yL+go~0XaQm=*( zWA3F)U2iwSHw*l>o^m1R?F3zF>KizL_0v@ZD=2w3hpP;y)`~cHVO6(Lw|!b8;hE&Z z38_9ry%_b{^5Y?kXKEvlnGu8c`e)Aqncg?&*fo&=U?$lliFnR}S;|ZFY*C<+02`0oMcM#dpXePG$KX;pRi_x~D55zt{(2Zag@e0p5|5lQV;T{=GD z4W^0M%`6E6!HR=}1BfFadj4pj>bZ(Q<_GmeQE?bB>!bo=N8U=EcWvj|*&e#&1L0!XeE3(dK)AA~rU3VlvfmGM$h%9T zZS9`Uv^6gcb?K`;0l%cCR2G>-8Ove)Btg4|uQcyT^ol@SLzYl)4vT{3^jjKU&e9(s z&$~yPeNyf!A6w2D?o(YA?>Js{1?tAtciqN5HymA*mM-OlW9mAPSd7_Yr9QR$nAo*4 ze1Tz)^-A`lu73-adyNa0YKWV+KIWkmdyWHIpY5~sh4?p5*C@IxzP3kxA?s69uIAo8 zpuY1O7qlfTy$qxzht9b@B^*^XjZGqJ9a?Gm-0VbJh3ln0BN7r7*6;FR_F2eq&mcxN z3T@v{gp(=xg=6#ycibhHjXkA$q=?3E+HcD1ZYQp*kDhUyn{)SNeBoiPBIlC{cHv-6 z?&bCS7u)IPh}>gq3S^|DM1+L!!oo@oW}YO_OZzvDVNpdTB?H~vK=BduynY_@juwl% zR|@F-3oRafrj<6<){4}u)1!`Pl6B8J7$Jehkw6yKx>ogu`Wp~{?1-653X!=e)T`R^UF6U+e!=zP z9pQ(2%PKPrBv!6ZTOSI{zBt>KlEZ7ikKcNIAa99imLovp?($ZhZ7m3b3Uogyn;kVc zl^bcKVW<}(AW^=NfIb&ybrm8$hK?(gUR@tBwkt5VhiKC}>j&`szh`Oa{{XiAza^^m_rX40z@QPjPShx*t9$W{<=VK%ZAv zE#ra~zcEoG2x5KJB1?Xs?u#P!K#!<-AC5NuIANBwrN+nCOh05E`qBb#UfX9 z^8-fa$(RO^+wl}Oc5{apSJ{~rnOZcZO%6lm3|o0bYZ&Y>17@cWK$R6`8l#qHi~SJj5mE&ClJiT@!<4M?W~c|9F|k zH}bQFRs2X%P^*_*vslzbDa60vv8hsFj~5w2U^|U?03w7Pc#ph%NR5-GooQ2{+Wbeu z*NvwE{{A3Hz+4I*(iW;?D&I1wiixoq>RW zKm{D?>N}g8sQzM%bg~|qz;+O%lz&RlTNlO!@_t}|m6Nm5u?XF-Je=ZVz$y>lvGwvFht1@u)HTB>X`FIB`}$k_D|~@pED#qmiix z&^d(PEq_c(0$^54RyGX42!Lo&eNizm8XY#UMRA#ln3$MCS`<@8RF)*B&Ct^(xnR@$ z9~>TrXa6KWRb1SSP2nwWtHdWURcaR@{(+N(3rI(*$?&<%_WiyLsg&4wre7PA;1(MJ z(*?@9%|xIH7Df8K7bVwgnnBW194mweA0en_o$|oCKO*)e3fEh!Z_!-iC`lc6=_sY! zgzFxBobGj&0u`IchdQkfy)B+PZP>Yvi4VT1_qC6@!nRz9Huw?J^hlrfSyLUi{cjWV zN|sR-FxylCp!D^%`{TzsKyS#*eDr6?Wd@`cC={SS^VrOAL7-&)kDI-+(hcmg5ObU@%Vw2t{;F2ADaRn$phU7Biw_i znV5D!UkCXtNIBTk6BNF9m-Ou7dTMt12`MS5AZ2N8t|YUZuAW{=R@Tc_4^R`Bn3;_= z=u`A_fCtR4t9>=tKLpM^ai7Mag~`Z-hKHBr=Npu3fY2LrZUb655RO6h1C>65vT7Lc0zxNF6VSYnr^#V zXtkl4I4!(#24mY2wd7`j&O}6~&&hfcEZf%nQi)VH9PASIBHRn_du$BO;(9FxvdU@? z(pv0V>C*=@T2i8QgA zE)gp7YvvpQ65cC*%%(_p$IpdhhdS}0I85rvT0ZP>c)2jatE~I5gt13>Z8$;WSmTMb zvHUDNy$GTotBgM543ZIEo@Xp#IP_q;7cob`8yMr=Gg5r zmaauO<_$8I1^12LJ`;WX=-qP&_rZgaqIM;({O_+=hM!kYxsxz~ahQb5DiR8cX2VYO z3B#cD+k$N^!N zejK_fAN9a&g4cO(78sI$3}+SF;eX9kaoMkS1Mqx%v5^MKn;@kfm>e*)3Illwvt%ts zV6ZmH-RGaN=R;2hAHsrbo61-!Qkf`48;=?*aXt-fXp(l9Tm}U$CUfWnlrIn$ia}-(5H@v813N%3~+# z8<;~Z)v4zIjDeBD4Rpn^YcPbW)9@Z%R`!gW+i@se6rwKvHnPoN_x{_&1kdBgol{fK zd3Ywex&mKQOUuczGBX3C7(|VSre*@@CFbSUJV{+ETx3g?%nR$x2?OrQArB5scZ zhB7IiLbSHHsGy`Y(w#&%)GBEu0ZIgq{PR9lhC=c&jkZTGf{=TW9_EW$uxOZn3;9TA zWR!JXWlk%tSxZ{ACR=yJ=KO46bmEt8RAi>unB`7c+WNVjg4uX-fe9c{F!-x8gL2*<9hSFX z-|N~X=kIph+?1`jPw~VUkxy#m%iZ)Qm2Y=&=LHPUk;dZiQW;0_OSrO~d&H$STJEEu z9}L&c$kChGItgPH#ETf`F-=EDGaRW=t2iv#FJ3`C_y-Brd(pHrGnp1+EcLokn7Q9a z)3FQ9r^IHqf6$ImS4x3d7B|pfIpJGx;0#F>s)U`UF0xKCw!TI^y{5W4& z)IIY2t7<-6+G=t9{4EzQ61`yq?COV6bRPuuH2)kVG3U$#=p?C4h(3$o{4u;hwt^l9 zhSV-WQ#wCC-xy2-LKiUs0g$P{r?J7Oua&m6IC_8l_)%3>_DWv9wyewrNKXF#5}@@| zSD%8g*3s1k;|}v6_0!YSL3BC-qx?sFK&zsGOXS`8@^!?~QCfc6dmEd#7=q6grKLk! zZfcsEbiT_3B_}6uHtM6`P|9>Ns1<8f+pqNk26K1Nj&tfqyN-p1gJY)TV1f0tbe&a7 z+l}RhPG%UPi;P*Syi%Ks2g{M&YWU)4k2v~PX5W6l;j83ME*x|7DgV91H+)gKBrz|s z_^=)&jGgpzA^NoS_9phNfx!?S0RiwYOrT)xsncRnWY?PJ)W^*j4Y41M$m&#%xNlMm z1?&u@cvZ#?2Gt+iA+~Me(IegI4h&_W74^ix=%54p?k?X9IMGYA+s0v9nbmxJs&hmr z250mrYKOww$Voq-W||`yB=0Q$Seh6pWsFS6V8AIMAz{oAwEUItVF0*o&Nnw!S93$} zw?;r(x%`@`27{H49xa1m9H8((i%U!Ie$8-0-QC@FE{BhrX#natIe9-Fa|k9a!L)$7 zI+(G!1kwzgE#h&ep*t8r0(bz>`u7^iK>+#SKam3+;2KOs=~tO6{wV@V%_9D55)u*s z`^{GYU?Q6YjQl^W)BR-{k%gCs7WEkt1W*l_O#n5?6&N-jKKz+1k&|nAzZC^;9`t`O zlYoTy^$!Y&it3PD1y!QIyBqS3ih4l8tJ@<@jeD?ziiEiBX$Z!tpjWc89>4b}bZvnA z0i+HMEiLfyh~8D`(uH}kv1%|_kPcy1i^qn2Jpf_Sul^kQMMYm??}H!(Z?+B^6*$({ z=@TUebox#ZPT=M-sN9gCXI^opxFCzqvR2u|eJP4(YJmcFNGK2bUPfCMbNfRI3Mxyp zHr;u3K`24$x}TnUT#5S5>@bp+>Oa^- zLLI2?dNb?E~OLsE4^i{k+_>DjIzjf zaK!C6TDK6#b`S3_23(`OFJJOwqj=-#O5TG)42r|FE~0;vZs)vYziQnUwz67nD7#RP z^Ji-)Q<=ag*qLSgr_H{f6{{@R|Ak(qM57ZY2cX5rWagj-djSpRSlWN1Mb z1A>UYa!i(c>c+!MX>M(j1fPT#5t3C-nSyf*MlMw=g9`QttM0;mro0A3txCXcM#~=c~TGRB@=+VGM`OVKc1wmB)k6V*tPQ z-V0S#!qZ5}69LS1!m{e>{+^!lvNGH&SeUA;kU%8qjS(33dh-U$E357L5!=e(qvK&3 zQaDg8@bK`)4n~1Y9mlSm2|k&gC!eO2c8^W3>D62{AjY@A4puah1tTTyU=Z%X@%grX z^=h**yO;iO@Yc(#(0bspM-z5t7-Z zXNWWxCCTdXY5k^^7w(?F_k>;nZ;ybx9+SctDcj)j6Vv|JlNo-Y{~uj%0an%4MGd1~ zgAhan2@wUPyBh@*>F$z-gM{Fr!z)Nh2uPQ79{Nxc3Ib9haOe{0mTveb_rCA@eE2gV(*2@N5A~^nn*;f0v&D*zcVLRpd zhk8Q*bp(LbfoI+)ckSv`N&$xpSPxSyD=Q0J+&BQ`22px|-$6t+mL&&zPoVKY%mHEy zb5m1plW#ZNcZr!bS@f$NfQCc?z`dwP<+qMPT{NQE|0a;@-~c)|Xr}x7qh{o;0_?E7 z6b8?_{?cjYr%zu-M|FYd_~6#+#AmIY>i(Gn+`rz!&mi4_oD#VD%ABtvX+bT~Qzw?1 zbX0Sf_3(ZJ(92;#fqMiIh^C54=|3zs^HS{#x;k))LP9QFFnAFk<42^!1F1lGbGW@g zCF+j(^`hHR&@VwVgfH(#ydyvj3D0D;cpl0v*Jn|!n7lbYlo~8HYLK?Dk2m3HmTpH_~uz&lFVzgVv8;Qh+B=JBC(<{kB`vA zV@_|u%pjn5JV}&=xS=d2RCi5oCSnY;lNElHmEC&vppOLWvC>WNu*-KV6UeEsUM#!~ zkIb&|v|DOh(v#2EZA__mz4A^XrSd~@#{va~Kuz2p!-D2nO#Q^?J&K#eEvbY9vk5Qd z5p<%7C$0}J$9J9)rNu-nHj6ad_fl)z3evgqc;fNEoP1>7(^GQv7X1eA6CWi3k+YrH z1c%a+ZT6o zihN3LG*Qu}QskJo<_ShVJNfXzn8}_C&BN-}ZTrW;ReSe_oS;})=QsXG)_!m7KNZU~ z(%$*-lEgU6v}*TkgXr^L!6=5FAeuv5TDOb=6Fn6HEdxBHfG$gD!dV9MrXEkPAlFgQ zx5IBPk1{h=WGPqz4wcAq6Qpfw_Hv(V(ggAr^bAma&}9Q{hQPfW*q88gysMe2M}9o$ z)wd41qS~oNE)z*B6KYPop#vz2Vq0Dw|0WBG+|#G;$fFY61R;!pWCm1B>EfUQSWA|<96CJ zyM@Saywh!HaCk`8y!(DpRvkEEn+u~b1zN3QLJ9#4E7k`z>*vpix>=xv2= ztWe$Y)w%X6s!p?(HGK-G?2z2K!(-i-3Fy&p=0(##5B9(MRxI_&eRO0r;ELyJco@W* zr7ugL{^W`tp~h3TLqoAY&20e%L$390>{y4PMgI2^2h z-q4OgmzS^fW#PsuZw!~x2n#1tvARPJ3D`*|&>>+Ra&tQb3T zCFRge)VM$C0g)9h35#~+OEMSAK)_!?Nfk`?s^F||In?(n+J4ILuyFDB@2yFXVEYdM zsdXy2y1OeT@+s6{+NgZGl+IU-&VT2CXaiPj{yFovzP^V`WZV`Eckl67edu8RC?F&x zOMBb6T!T;BK)5E{?Bl{V0Jnz^)0ml}aesWn<|VwUXK>iF{*2&}-8W7C?31(5jpWpQ zrU+a@1A#-+1t0XrXi;L7)0fQxk29kV0s`D}%W(IzQH|Mng=V@A7q*o_u+7kF8$NJh zP!Rm+zI<0M@maU@53cWYjyady(R1M^<>ASDl;xVs*-MZ7e13c_F&b^p zN|B;PvFxzON4g((GBd6&yk?9@I_J`S)Z72~Sddde!_G2G?eGi!hDPat2K@7_BbZCr zZJ&{ieXX%Z1llYZo(!HVT8V+l$5vkXgw-asGgy) zr;k$0XZ)zxfP&qt@z~dQ%0Ro}S9D2MPV?Q}pd_?$E5Uh{X7e)n)YAgec|C5_ZG>1f z-v`djBZrmAs07PgqO72v8ub0JqK5i#!{e24RCTN#o&exY9m^fzXXHJagoHM>#v{co z6h4Nd_AR3gy(%pX1=K#T)X%tZoU~Dn_pYoz_U>=G_N}Fbj2A`XEl~I{g)US{5Gk6c z!S?cP3dU1Hp~rE#g#DSLuiExzg?b{p8OBu>yho&@M8>!ydPrQwK2()j3x5j$_a4N= zwQ>3fc=(w%0geavNc~pUEbo2WrQo{melW{#1NzdYKS+)#`FGy~cpq*tb)8_ZEtY&&YL5 zS-Qk3Ki>}jT(e#~V@tA8S@=O$PJFDw8uP8ISB1_SBn+3RkqurCt$y}94So9CHjpXS zvxX(BGlxBzO|R~t`^gnvug)jQOH>Q`N1s`8GZcnJVl7fek@R=B&8(;*6Ui4kCL;Tq zwGQ$=#Kp?{PjL5H=xljRj zJ+0h(l6uYicdU{3G+p&(8E^3qjxPFCk&R1j2G=9Gfh%E*qa?+uR|!=4(!uadF>bFt zb)Qle50G5}*NstsqxTJDLh6RKpFqp!Ir>8_td7ioX}pHpC-&S>7oNfB;<_f}rsjQb zpq&HQQ;Eqt{P`jNfMs)TY@)%_yO^g5g3Ddq205o2G*tz z3Ez@OcwSN;7k7J!AIQ54hyBi2O%OQZ-B%bpMR8;fx)BZG`}7?}8_x-f>*|(oQBSQN zd~0O0_;IM*Z>c5qu~zjUrz7aQ&sH$4TbBOO>kkM)LX}7DLAhn>he$OPJX;Ib^*RcM zmxbNli4u|q6 zjr0ebf12fKcC@|5Ek8QK9y^-vR+i8D8@UR09jZq^hp!z?9uYAUF@^nAMV6%V(Yu%< z8J)Z5jF?{gb=)@R6>C3%PenMlR(NzIN9QeoL({V;el1Xfmh$f197|lAtb4}@Vx{e; zA1x82QKNr}=GFMsTE1EqJl^y4LCl%EljH=SypWueKNE^F%lFgu8ISW7D*iEtu?N8@ z+GZ#)7hvmA&0mx14$MsBeD;$ku+LCSAN~pwX)h2C{LQbv0MC}o-s%Wc04qSCNh2MG zNxOVb|198oQOy{a_yost;Q1QgO9=&`{Y<)xH7N%_R?Vn~MW&O*jk>rf*DUD!kKXzz zo8oxBw1$R_B#$)dfHr{cM%g;On=j?ucnonhSKNC%Saz*%7sa!*d z{z=Osytc~y^kc_OFn~=Umf!e(lKGOj+lr>U6}mQ#zB#$j@l5k@8rLVkaB%xg+P?f% zpMp9|?MjIf`DC}P*`Uv#KNlBor1~Jp<8P6N9PM&_D*6~3d%hrk4n+Ku{l;^HA=^u? zX>%kCx}1CV6^Zgv+eYt4T+D6V<_zarb_C0HNQh-cPprR-tQXNXmFve8oYSeEAJj!| zb~J3&M~rgHxL=B<1crJ5yHW^$)vPO2~7UUrT)$8t#Rqip8XNu;52p-Gp!Z6Z_g zM=ec_T4A2z!lHbWg7Ww4LSr7Z<|&Gu4fll~sRUf32#ODTX7)u4lMr;j{A1uEiqEu? z?o1$WY-j1S#8BwSID7t3P)T|5)4!-f1E<_)8xx2Sim3XrieOEoVy=$n3TIw4(<*Jc zrCY@osuq3ccKvh7n=2|SmGY0frWfb;w>~ZlQYf93Y)<-nuNhh|kV~g$6>;#4t7AkDH{7uyNZ$CWalyS8zn zA_k^@1XjK~nRHJ?x)qp3Nc@fcP77N^o*}CX;u5&h2Hgq?-1)|@i~mls10{&h@mdwE z$PlC~sY#Cjnl2rFAEhCo;GQ(Qk_`1An1}}FLf6E%ZG`KddF9b;RgJyD=3N?%(iKp> zK6E7^F+)QMA-Zy_Hz9TAt)Y8f0!!*gO|arw8`)`@8Gju?(DopbeL?I)rE%2ygv%?% zh9u@yvdobLs!1(DpPygCe6KlUYD8m;K1Po!pP_NC6SQYB>*{ZW(X6*CcTeTJ9}K)T ze_gugdc$KNb?HOH{B`x$!dfBGK1jH*&9=#__`*?b1p7FK) zxhfgIP3jwp{`&bxTTjpDtY)+`;nJJ-J9osYndGWjgm;&|oU;khGQSdhZ8i0}c__Hf5RS^nRS=)Ya-6>P+^bC5uUX*~SH< zspSq2Ppb#l>B#F8@eCxEGtI6vdT7Z=K4(2Muu_T8XVRF^IU4b_Sl74N3^=K`qw@5a z6<=w6NtaG!Y#Fp@B_%>w&;NMW1IzrHCoZbnAuBkqLeR6iNa-N!p*=CxAsGihZErw^ z<$JA!&j(bE&IiSf1Y)jtj5G|EUaasGjU5-e-1|K*ZpU&v+uS-ts-bRySN%f>) z?iGpe0#RY7Fyj{pD}zHr2b@v5JxmD%zx$~*kVzqNA^15g-NGfvmBqrs+tg*1VHH)e zvkL`YRLt{_17%_q)T9fh>?9vyGS!q2$g0u3%)xR+^GBaO7Yxcie2meIxXQhWO0f|W z1X~LKff`@i!63bTTm5n7v!(H`gWvSDNHFd5lbh5wD|CJIhfhQ+yeSx$+m#Cz#q;GH@hLO0OXQOfG*=|PC4o!ZLa0SFdSTkhO1 zAyBp;{q^wc`UhnMg+l&Ethd}AbmfFqj!kb_&FR@L{fyFWMpc@suBZhBj=GMeD0-Q( zqdn^ros{1TRbw5sA`P?LC?Xr?}7ZUl0tB@Q_oASkK?M;?w!n z{FeuR_=)YklKx7~SP4bGL?s@*EYS>NK*wzJWl!*k&SwS`L? z&i4=O)|i=PdLrzPXju13GEioYjO}@mfwC^j&bpfJ#-$I%qc=o;O>~nPQ8f~}m^~}2 zfjKGpyq?h_igQ$@F-}rK0VzU+I~b0m2({0_W%3`GxX76@Lt^te`A0uV*@> z@<($c+kV%M$3B?-jUJ|2g8YBoP07jfIuVeIxJE z|Gxe7<3Z-N|9$sHXj>$c@0{8DGS)A7^B)ecv9Nf)$lU(+W&5wL>nWjF%FIa&U*6D; z`&9p?$BGkA%IhKv!^e7aO+e-?scXuc!!PB8ScrE-S4m_1{?uH@!dw?ni|4*a3D)cm zk$-Xi5xKPewNlM?r2#$#+#AOL~O#|MCG~9N!r0 zU>a`xZ;-Iwy!wBS8BH%@2|g8NWtu0apTDkjRe^yi1AjMpt08aIg0>{;pIc#(p4NbM zG18_#*)r)g*vWa+_EkTn4fgIm4f)PYZkbfSFjPRspZwv&PpGeyl$7M=GN39oe8{Ye z6XI$_+tde*N&U@rPFG0tMTIbgLB^!f+XJGWGqtVCdYWqn$t&>I7^)`m?xPbyK5a_5 zf(2wg)9WHhYdo~aBwmA?d&BI{eEepq(wA{tD6yNj+DX~p)Yplrmaj8;>o(&m&fgqh zzZ_laQ*zXZ`m=TPoKEl2nWnymf8c;OtEp+=ABj6ySg&)C&u?b8J;JoaGOt~Eq%V;S zWDR0sUI1$$b!v4T3pI=TlThp)y$~m=E*(cS-Am3`&OMVK zeidWWvvkn!IrdsC+FC=}K*F{xNjIK{`uTa|t3$Q$?fxH}r)YzTY|97Y2F~kc9fC({ zu~Kq0Z)bzl#1H?=1t^p2s7l8z4@OcW#t~zRb2vf+#y8ckV__L8-^e3=RlHq@LctnB z!Hc+{@JUOzfqE2B8K_6PdU#lxo5z=lHu=>aIGO{I0ZQ!?W#*kwvY_BiqobZ%)jsFZ z=}Bn1Gf)!56sxS1$Y%=x;gMEBw4HstAB#Hjufg)Mh%*n3xnN|pyPj;8hGUKYRqd?L z$V4@YFTBa=wpkqNPL~S^A~sa<2JUY@tiJRMt>zf!E#w z=oE&oBr+XIYFWngS<2Rbi&d{{SNfg!T(p6#Rf7hMZ#oB10x7rny zA+3~j?=ujR6o^T72>vK3DJ|fT+##W(r(0B0X2xp<)PdnO;R_u;E-uxAsOYcD+PY6! z@Ml)E-?3y`{>^J@ouw3uFfNmq%9&AGapoJW#E+FK_>3B=eyJO$Y4g;8k2Oh+x!Xq? zLx&-Zt*aA@?7U;&vY#2#u5C=|zQ-g{HFUcPxtrVkokm*{a|!Fs-8*ba+P+fM(}vU0 zv9UzGP)Z2QEh+gBcwfZzu@o{+ImcYIgy3V>5lbE9(#QCer;xIAvvQkv+@Y}*Rb^CG zPRS5_t$dajmq6|yEj_JS^~-n6&diJ!`$##FE^U5^Y@|eX$2!RIs90$X{(srMGNZy) z^%OUyh*RktdjpZhdaTPnzf_A;RU7 zPs1|Nc$;$8_b$adpda-)KXoyvx-3usQf3DRoKo}^7h!kOT@pSIM~tjWjl*zVduaes zwUoy^h)Ot1-LG|Q{l1*1o{$vxSSl|zGE24X$CLV9##~l0!oBTnDE=zPQ>u(aCCJ6>lCJnx17<{dO7-qFHl|@)3*e^Hx zVU8MyAfsR>1nEe4Ac#Bi2Wnw&oEw^Zy)qbDOa@LZQczdArIue-rs~QsE^cIeiJzYz zHJVNE&aXDPYHS4OXnN(lkzHn^;3B%^{4gd^6RQ7^t^mkEmT_sCg<1YXblY4mdOyH% zP{&50Tm4x~?V~&Ta^T9a;{1`o%L%c2UQs~v{N!EgrMQ%iVS_XoYL|p8^?WDp1JCiy z(XwI%>UFB#{-B(+^5>pPK>Z<^Tt*xY(>*0Xp2(@}{QP~h%74U*ZZ?2*H$7XZLy*^W zas74E+an(rKg$mKdS_|O@2e^W{I3qT z5~5YO@A|`>AD=TSKcp6 zFJ;yYvspV|YcH1*OF3T4P6eUB>8aAnh3`;@?b@nd4NT{jvI&ZBP zk*wfuS=l|EMpgd)t%zskm^Vvk%IM}EyQ0L}poPxzlfBP*C4r}+irLqIeY0v_Urof9 z9`lT~pqkj={4a-ZJDJc)1qzoGTxQ<^&A*_X0bNXvwl+K_yJzK*6-TE(ImpT@BL|FFAED`8A#Kd{eGz0D*iQ zC2>8^%ddUB*!1DnW4F&W*Jh&~-HgA+H(#fu6t!dv+1lA>{wSAq|E#z{@JYa1q3wST znsl&k+w2$mLu>^uG{wcm&MR6UZ%3d)RU)lo%xgB@IvoAAPdHWlAm1mH^Yl=tjbuL$ zjh`x2KoPI|Ip7j+8a*1zUG)mq8$-NXsxI&t8j~hZl|WXYkR-4P{(MmKJn4eipy4Qg zxPbzP@sK{%a|`UXZrC_4W31;oqQSSM5UmbGtv`0)>ykTvECWeI1gZ>TiJ~Hiw)hFx zM#=-sM?ymI-dW!~ap&z6@Cc^}xOkkKsN~#rdWD%a*YpMKyGPzT9q;M7Q5&z%x(wM) z9ZrAlA6gxLP^KaD%h3#pjgCvfFgYRS5==yjX|%N#UWrrF(7Y2w2czO-DZ@}-2M2{G zJ@@>#Ua%(wEj?(zl^pnXiTARQdQfZ{%v+4EE}rJ1zjeP{(CJP6GA zo*w&w{2b1&z^U=_@&ff9sFp0xh+adr8FFPI28LWjnwSz`y1;!n8Kjh>c_RJ3- zrok!+h8poa*0;~MX!!W{02l6^?)}?Mx15#l<>YKUZbo{n|2tHe=$`b;ou2E?-wLty zY8VlFe!Xe9_^a>D3)RI_T~54NUhZ9-3qLW~-Y(^3Zb~1#KU^yGvS~GU@hNw+W?^sT zqqDQK5uK5&XpGyhgWioFI|R$)Y*vAdsg_5m9XQFIgM2IQBd z{8BKypXhn9uohde?kdi5n@l(&N z6pQ`V^hog-?+VVPjxIxkfOpbs;dmaF9bHEJMLP99GppF=^w}DGczM5_)w&G2}K)YYq=ZdM6ZDTthjMs~0w zJU+F&{$Qr_`*vn^wZOW^*HmZcN9jE&?8?`bt|1Cmp57ZPE8gWYQ&iv;Bgpq1IPbdo zTXIa?>E=&<>GQYay8iX*^_&PMwI2_(V^Ew70WT5;@{E!@o+n^?9C>7()ZaAVdq}z{ zb4a+^h{_lHsjko!)YQ{+;YAchr{I2my6>^G_|-?hyKEJdSs=s%D$@i^LEtCkLj4b% zS8RuhCV=Ds6}t<|4={R!2Xoy+7d)@P!!QYr1_y=RKth^YmksZgK~^9_ZZGs~j~#)h z6G+SKjE%u{p$=}y=1dz=FW6auBDyVPeBWx-uwackr&exUjGH1~F{TMHG z>xL_%8on#+WT?G5zlg1Nw}hA}<@0#-ZA&&hy>e>A*6P(nP3hw*o79vTAtz%@*nsi) zJ=B>6@g0)eGC{NIG9y(#GL4uN1f1%;3Y@*ygf_G(Nj@`I8jLwts*Z(p;Yo^l^MX(e zl%PGp4}%io8a!CwO?SZ$1iA{E>Gtj0jtf61+4ASl3UN(sxF5Rm%CQufK0mEcB&W#^ zli*{G>nUMLbl^&}GhE}jQws|{SuQ==c}N%Y?J>KAwrOJ=gn|CuSP#(>&k9i$6+AKK zK&YprOx^#1i;qtd#pvY6c&KIMgvSL(=W$bbchBb{&>`gA2rlZsIb3&)u3LSjhs<`%pdh{Cx80SyO%n+1m#{wGHB1Z%ds6--1xYYP1EK|7K2J~^!`cY+ym2st3=db5mF)lzAfWhP zL^CTZ!Zw42u)N)*6#A$Xl_MX&HK1iQQT>XBgX2RWRI`AABq;?OORd}XJjfoaLEEr5 zSGBv8lM2L%TfH#Jfzwz0Vf5SG-K*x*srA(7iZ>~Rr@#E5l$2H!_;Vb#mt31^C_|lo z<=7-yL=?BIJUPLgM5IgeFoy$HLkO@G1l$TcJL{u<(7GNSTju0=9JyLpT7V%NFrmlz zDhHPB=i=4caNsZMi@sI&;D2iO^Ar{t8gNbmN zi6R4Guu3zQP>=#1(w%r^weHPlV&^B@K=GbSfH1VUeoq&brEg#}s|*pD7HPYbJe&&* zl(ulH^SM|fRW&sbY*kP8>oc(?6%9z+$Z0B89lNTHl(qBOOGl^ZOyo9yZt=M5^CMI> zInD>2=oA1`u~jZt=I4rzfZBw>eH@JW2$N0lW<}T*uGN}{sx*@UdxY_#juL_>^i|rn z@TF(twdlQk&GmpHw=nD6v6zxnbexch(DN-VjXwRpZ`kPdozKtG%ZRof$2_v8p$u=R zAnYs)Zb#$)woss!4DtP{^$UmG?~rKNTSGq8;H@NmLLUk1>~E*%X2sEr)o zUGi_M>wrVl$t0D0n`=N#h!oqtF5?`BF7vr>}2mHg5J~(U* zmqy9RSl&)IbvDb%AyRR+a31dC1+HkR5A8=WHnc5^^9v#BbR2Rni>4E|ZE>S}ltRT4XNgF`}S(}5KX$=*HzmDrshvv%nG z=IQfqE6Q!MOO&cl$8ji^F&)b6#Ryc!c$99O^0pw_SarKcabuf=pAY zOyIQRYHXY)MNI}Cy3HJrcIs~|!FJqR&Y4$Z-92B(ro-E8=Pf_tLpN5}P%>M^F~(TX zS#)N0J~~TnEHTktBk6b)ty{3yLfszya z+eXFDPcEeIFx$Wm$`S?<$j7kqO-@dF795=Znwg0LPC96#2C~!a29DlJd**3P-J|65 z*n(-1F|{aa-1;_?8(ZpQN=)qw70lw__4GaXdK}1#rmQ-_>)unGPAZrJug~%+cPn2k z`|Txvz7^5f=z~d*haCP{W3R_nT}fYC`nBwtkD49jPGv!hzovcLV zuveJb?PeAnu#r+y;`w4M`HKGxY8QDo$w<*?0&9BMAMzl)<{L!IlU^Q)+3%k<`*P-8 znI zh6bc}ogE!uOf2fcIRE9#W03wUi5;4O|10SKpq{|a#s(gZ73Jjs%sfbkZ80k+hxslZ z4i3(Z8{k-X2D}+tb93a`iQ9A_RfmbGDZquCbjW12{Y#)9tT#P2v1AL@E$4Z;wOT@H zlQ9MXSVGM5(o%eKGNp%rasP5|{C1PNciNwUza_&d#T-`6`m&={q88p|(a{qU?%$i~ zTT>`N-&0KSIn#<%XPR3tIuuRJR9_w*>5uNS&sq@adYG>2w)ED`pK8T7XPNtWhJ~Vu z;}txiuUIHd`h>QmrM_wFzH%Y!)KK@*E)Y`T=IJqR3VZK5iU}!CowRMsPd1`>mx5^` z6z|GWlcfpeYdU+IGpt<76o)1_LsQwO% z`?q+X(qW`BMXZAC>Sb$oYGA3Cs(>lefX{CvfcimDzBXUTd~8?S{YmM4m=wR8cF=Xy7ncl+CvxBYTmv;s-}nxjWBAIWLvtf4dPSv`R?L2vwZI1AkOV&haxRB zc1aH#qs8$4HN0kZn@0O+`<7z1BxToFh9t(az*e!yblpc5xjH662txstVN%mZJ+;IK zMy7^|v_*cuWP_OOZ+cU<2+`Kfr)k=3_Iq}*hH{vBye6F-bw%BlnbuPER74GE9<;Qi z&b>a!>Sq;Q2oh8g5D>UX9wEpD^Lp@Dgy;&ilyua%xbGn!?@ASK1iu&vKpxyXbOoY5C!}{+?v^%RCt(Q=HYDeBG8~3Eu_o*yqoBA&V3q349bLRv z*pM6ZomtK{2xrzY&+oZJo^@Vtqvi2u*(}wL2-kH*#aNPgKh~tYwJVtTzJhfD$}Mxr z!92l}ib^D=^`~`+qaP~Fq201yepr`x+XlDc9gP~d{Kr0AaiaPi+ZP;k=n{>8_buZ$ zA4Usr5FscXv}`KM%JOq_OUlccL2lNUDFcSj1qC+XHv>^zdo8K!O}2ueUhCi zbePjt;*^7yh`?$Q-NV6OcW%!*7!*5OeMx9yS726JaeIx}%PgW|?;p6S*j@i_Fy8|$ zhRtjAq#AjXn^)^6Y@(hX-(G(R5hlFb$L{x8!!D?INu2sR5NY)Ws%`?JOFEk$bj=#u zsQUp8GH#wJEFp^5SA32bXG;)WdoA#r76*nsFOKNlzdAehv+olV@c6FbaA#y>)ZEcy zpr@C1t%8gW#IWQs*ti~((}Z^wd6=6n?u#+z_H8`&y%YYH%2KtZnai!g#>|*A?D?YD z?*=x#;$Os1ErbZOrsRn=)rt!L)@KuUKKS+3>$4p5+|oa{!9z$kh#^OL-HJV0P9%e5 zZ*cDt`K{O+D>3YePL#F-fhkunRn;Dn-;mDsc0S&DRH4~(@0NrT!nok)KcPbJPYHzR zE2E-W|0*^{#)lv%rgrDkL^?ZHw(C+K_ZPKgmTl|3JU>N_?Df1nsyBH3o4;*Ti~C;m zNNI{7`iysAcW*#Yi&%B>xk*Q{D0x0NZ=)k`=Q0OYV2X#TIUcI{z`w)DNLjGnxcOQ) z5(XLE=-g_D;YSrGrIBFFG@vp)&y0YrrSF+6%{ zOOh^jJIfem87XL{)-wuy3MI^MR=-smt7IOV(qM{KHZIj?iq2m6UhdRl*gWof$8p+S zlfL_LF)L<(Q{9W2x^IsNe-m?hQ-l9JHSfBOk$3)YrR|*iTG|%q*yUkEaze9ywO0aM zNT*D~C(Fx!5Nk5syDpjy1AIC2TT_;dCF`Pj?~sT=Ojth7qRdS!EcYkRZ5w{rml`LC zn`{W}P-wh1aUmkIH!wgHDk&jOZE!r~3qB8A?<|Xm&{smJ5p-F;X{9hRLnKeR7U;J; zkd~SV$K$2r<-8;DaFnNmBP_){0FSK6N8sUVY+E-OAedi$^QUqchoBHf3BrsHy$Gb= z>-Ec~nGM|6*b8i*Nb-KNJ0^*5evxs_S`vym{!CAQE8W53T@vbO+fGVQD%iEm?NG&@ zyVIFf$ZcbB7kCfk@SSYW_{QM|L^3=kqvRQU!)gjetD1g5P~tR z$EW>Rtxa4`H%-5AUi|!x``7d$Xi5IRgS}|sA#X#^0reLZzH6%*zoy%-rkjm9Lks{`ceke9Q%YFST}8 zFv|M+g(5@&d1p=k=buVQfm9hef%kwqI4G$1gTZf(0pSFK#k;*S*)K1+KK~qV+#>&| z89iN_rV}IZcppgWuVR>BuG7zh`z_A2=3`((fv)<{%}bQFx_M81mfN>ExCs9HHy59K z-hLF47E({L;P$-Ry#JoR@ofHN4Ji>3H*#6Mzc9| z@EeWRyUoq@K4g&RKUe$a+7FRto&nK3H{7O4-CKJk{9n8Ccypjl0ASmHKyi<-{aXmq z8t}>He8SOj(>mRD%HiGL%JNfa{W#@-&=CbGo>tpGvEt6VCG_dV*>xGl6Gq;L=7(Ez zkSf{qWwryr2&ChAaGEwg1Zz_8@kR`YL{W;F@9w-=w)k#?8m)M(L+ljx`FJ<|jKC4{ zJfHKKqeHV&D($72&UdGEBIM;P?VU{J8+TLXYF$=eKSQENCk}Lt8Y#|ay=s;-f9Qqa zQ~hb4$9-P#-x1$27Be30|DZb@nn1N8nMSdDS%a~%3`!f&#zgx(CZ5%uzd~2B=&Wi{_=Dez`~nLSD^6@z*HG_ zPM}`Jq($t?P25-RL&xrf`fLT%4R$oW%`37<6c`32DUbd9mno!^?duum2D`Q1ui2^W z$qKa#iw|s<7I@~noiDK_4cXS`+8N({6dKC-UoHUsSYxp$kD;l514J+WMZ?GNMb@4h$|G7#teuOz#iiAR2y7KZw(6LHWdF@PkY=HSV z2jnl%q~&Ltl0KIAKw66q7MMg)@ffc(HpuFg+s_@|8-?$+Cr=h2} zgtjlxj0XC85c+3&64;^WLY|y(KA?^Sc{nX2K>pFhwj1>6=uqL}J^lm|@Jrip{MRLWjv z0f0)`P#Syf#&DYbB*%$bO-I z6Crm#A7)b}ax)?~(+Bi&JGCq!9iMy6Y>&vL0BGjX#qOYIKMx?iyOYy9u z@VB-)rU(ods&+Sgkchrvi@n+V(Ha9DXVobm;J`zWMw8T!QjeZd<-)B$~CN$%?5#F*Q-$p}GkYiaVNdeec@CViZgecyih4a zO>5(?uL-t}jGd^Pe-`e4p6FmWaBU|HXyx0^+3j%CPKrEw91!3{UjQ6WnKzA2&n(g$ zyA{NZ%A#deRjIzE))$ny!GuUyu|J=R{)E4t5O7@WebpYgJ6K<;5B5Dg7YhgenkEJ% zGZu!D8?XP4hw8Se*9Ra)fLscMQ_xo-8T`utH3AL<)5#JThg1iFpOWY9fEH8*!DH=C zzuk7GQjgAA+!B%1mX`F#5bseBjES!1uDdg)!BjSW*Nh1SMdQvW=!_#)Ddt36zv5C1cs-k zj8?9{G(&nn7UY{&@?q?tX2;#wa>CSj3l}4C7bNM=tS6cdzqju+QM-NbY#5(tOxg7n zi+vrQ7%1-HGW-Q=KRcAmJbc}P7`ZeX@gZ)YGTH_RbmA^J@C?FQ&3^natu~>XnL*T@ zsovvLtldLiLSm9=-m>zoy~6tJj`^iZmC4D*kllw5Va(oeB}<=tVJ3l>xh^4hv-8$u zSREfPgDTm92#jArGlwHS0ch?1luv3@l&Q5f;{{slghteI`QqLB+Ji9;aO6cUN^};d zpO+AON^}#>V|)kCpG&p>EzVdxx4e7=jhg`f#&ueTawS_F9W~gW*)2)l>!Ch1}OOsV7=S zn>J>a1H+T^XU+8C_hS|AQ(?aU$d9))^?tlOIZO2|DYstgkRT|VC z6&b8+lW%h$2I#S9dQTt~yud;>;Wbx~gRmh2-C9b=Ho4NyA)ua@64 zGhpx{CB>>+@oUf)lY3#WP$ds`O5kVP6b$OS<@+IN*8+dW+ws0@(-XM-9{}1*5QsG)y*xdk zj}vhi5;UyPXa+nP_}zE6TQMS@;5!9JO-&6*R=q*q3O$1algaRbDWo zan=V;EZoHiqdS;!-*>$A;ALlF>g;BJNDULMHFUM)B*3q5wN0u*WL0Awi_S2nUNpTv zDx*S0-L}A2Fj%!aiPADqNPyup)NzZJ z+S<{<Qs%Nc6(3^J-AqBiEf24pvD5JTzfCRqkHkE^93oRd=vwV`;P{%p5N+> z%~D>6pMj46RETz{=7S&|8nhYe>$B9cTv&;$uC89bd^ykxTq*$FA3p(Wkqf^ep!;5U zjljRq(C7}Lwx$LG2{||UWucsbz+X5v*io9vrV7#6ybIs6zOrp7{49a~duvcuyr4P) z%i|`rTLTA>jBqiS?TNqzsCo=7I^dU(`RhPe7H9*GVKq7NRt071Kv z&nY@OdH`y^HH6}R0UH4DgTx;Eb^(J0Tff-nw6bgYa`5cGO9@-$JUqNb#oKzcSZor# z6`UW^)%4^cEB?KPmhPJ96mf>kr=y`cMmirxpLa0luZw$1Nc~MYZjiiIeHi|}vv{fl z*NgsQ&D=XRq3-x7+R@E^I8@^7K`;SLG`6e|_NJ!s-c`zGGi3gyB`*?qDy{#GdhV(@ za&f&U_3zbJGuYLVo}}z)sX3PpJg#670zDGT&Dw8I z&jVU71YKJ`eR=?tNq|FYK*lok&=9c`Sb|G&jCAyB`9=yd!GS~ zM*MJ=X!_zAyJ!z3?$hu`8;Y_Z)B*(V`0((RmgcMkVtU$RDJvEX+uV-#Y$+%bKYX|~ zEimMF~7_7>TD<{PG3aND@uVidsJR32Ek3TT;x-h8{X>rDUUp8JKeg9UHO(K zug~~8gTjBkjOF-r{##W*l~HAGqm)U+_OJF7@#6RPt+Sg?qS~(i8$MHoDTzBXNy;lA zyN}I#2KJ}0SEt(8i$C!^+HKgH-=nG#JVS+ToE7vJd0CHNB_(#jWs`(N6zf@Q{ZzkY zAwiwV#qAKXgxGpJ1*I=BQ7*6xccDQX-PAYkX{=ujUUcuw0cC7flK$slX8Bq3uflrX z!pzKpCyX`{^G9%bD07cpfK)F=b3Ak4O?|MTSLasYYeV;r`)PZ&H3-8(b zJGnC4SVRbYgOmO8kNUh0<`kJOp(yQ{nw`a0BdwGOf|zSYg#u~o(o8mIC4wqW+v}6e z!6$TvHKR@J;f_QyjDqmB%omJH4~xPZ#w9+Oe@);3iiLH#M-m~HGM}Ai!SbCW1j?K3 zW7ZsCMP|_uW5MLK3|ExCeQjzGq9f>!$csq8TRy%_EEy2BI+VxuPMy zj?d3#3{=toeGIW_-lT3@)}TLO^E+fC6*`=TA~&;X@5SEw?-{?Y(mqrRt-y6}GDf`M zL*3IHQuyy5VdZ)#d8odpqLQMEB13Vq*Pb5REL{t4R&T_(Ki>TMKaIeb?P;-Z-x6TE zIAJA?`K~=Oj^B?{akQwDdy!v_l+{Pxe;B^A2kM}|2`Eg^dE%twY zRa_si@v5;S2z5d^^LX15V9;;U@aJuGt#K?>)=YUHqc&E&ZcdU$D4rp=z@%)@_^F!z z*0bQq2<60@3lrs>jU(^O>b-4(e?S#@S3?GUx(8hHQ9!+aY-{#z^qsO{m_;vHV+!B@ z;EGQVOMkj`gC`0Q01d{_b@lb&TY{WququGK8Xq4YSYYE57mF^$x5zQt|BVV(iiy%P zw8e|=d>0Tv(a8BAMl+zjqXPk1F|%eNhhs)yV1gj;OwI=JIMI*0lPIJ?nywblgLlsP z9sw^SjK5B#xGIZdB|Lo*meoSvLO4E0P3_?uubYdc>33e?(=Vx`q2$kTx-XCbIE zp*^RS6$Ae^H2i{VLqgY^?QK0YB6?CdQ;g6pPV8tg1E{=Um%z}aRkaQK{}^PG9v;WA z*MNP)-pWwVbpTfbc06bQNg@m@8BqSwisHvgj>T-$3e}?u? zx(Z&NhI7jr6va^Qpwlt5WLg4a9w?3_3%lIz{atMYU=q+ zxOilrSTQ=!Q=;B&*J3?YGhF>^w44^7cjK$`^;4P6K(7z}UeHrZ3R7ioL@g^L zQv+Ruz-uu3< z`?}6^t#z&?apj5)&RbN)EAAF>UPaB+>Pbq*&M;7N7llu=H#9TtxmHyb70>2Ve#6fP zQFfS&MKgOX`?k8!>a!hdBENk)tXe`zl2@O>^xnN6%n&_87)ScOcj3Vj>M*4YO6k1Mh6y&k_g%xNAp zTQ3rPDEcqd2z4wuEY|Z=n>{%ZP-n_YCT~0Q`zE$J;FE5>QGOaZNOR?FhqX7pGRj&| z*e$r(aP~y1Y@1C_Fj`S|%>n^vS;6x6`zEAqT0I9Qz57FO6csuxtGI?IDco6k!I>T& zaP*XvlSHd_(rk!1$$3lPsWdK@2fKng*|7bCyY4Ez_%u zrd+1I(?C%Fo*k*QsW9L!!;c@2TmhFJzj9o(_Ec;{&O`eap5(R!GZ7;J77G0zYXK3S z8*n-zF%mef<<_g-a5&oJNUbKUr*>}Nj_n7rozOCL>L@BHsVXaH=jOIUhVS$?^65f5aIZvO)Ns?%MW%Z;;j4im!&@Q0_2+t=;BR>FUKg!B|$dEQM;qVf3BRS_| z+P`%zJi@`u{)7EDhy@_CclYj-=ogS0fUF&is_a4Y`lhgO8M>xl(4Q;`c=eXLu${4}Vg#Aq2Sz1lY9ZIC|`A!ehuiZBlvi zX=3nDQ5v--{Z!6i$l$eajIPW(Gkohf!+6^6(hIArFW1yfikkoW-ofa%FYeiLKeL2~v0s9v{m`}KEse_%YDWVQn!1bz9qwCR$;iBgIB4_bd+ zlKXR#7GhGO$WNU+QY$L2rY2z4Er}dYXuDwY2z<~y& z01`MD>;UV0F9sA$Z2bmT8=4i=dhRXa{oM!q3^i}k|H+gio`x^fBq6WllW6;5l23{6}-JDsd_ZVPYmEfrs{%nclG zj!K7oy`74hVhO>FA7>HViBhKBVLDizWyj8)z-!U1oLctTfH*@7iGcLUzpjhSGJ$S5 zLh<7nfU~Zl-5>8T^f#p)wIT?HL8OMV`bi-T9qE;r^0ZW6v=(h=W8-yaXCje^CN!yR zo%N~ByS!api?K{*ax;b(P__7khQMq(T~GRQ(C*=J71#OQ(vs&|k3*XN=IR1*myQtK zB*Po2bLRrdoShd{XXmrVj)u1_+S0^%_3xW}=^hk&g4<;#<+ZOuep_JR!Stoe4B|T% zN*aysyYxegix6R0j4#k)ss{}Y084D(n5n|iP|G}ELhm5KL7{@6>4 z=`(+Q+cz5D1tT|BC-}>8{!llg=~ZTO=JB{a_c%c76q~`h>d;oMJ^Iy`p+)=HAcN^R z(tZE+3(4_5kTucMYpSa+qD9_8FO&xpKUe_c2xH;x@WeYz99n&c0uiwt8#}ssqfQpD z&rV$Gmq=4!t_{LE&duXJ|0xFosgJn}XS&Hm(-9fo<&Suq*3Wa31C)-hyuCj;+1$dS zgXl{X^1`UR)zjg=HMfZVx}8L_FIvW~S9+!f+q2tK*uFi<9=gN`m(U(F{Ufz+Vo*=? zbaf$VIbFZ5w7q>90T&iThQB`7oy{SxwWyP^byB8PZhJZG--vkZ&#W$=w zH|#bx$DeytAn*O!%r}+fN2LY>DHpp+Z@3(2s@seBp zYGn6Mr5h7ftf!&E*=nYeWt_+pxzyRaK|-SJd+Hu&?8ly*W+2H|j+C{|&&r8h)^B-r zZ+}{6dderq(f#>Xi;ZLcqfOfXP+SV3lw}XQ0 z`3uv*&s04H+1a~vh1l8iUk2$>wTVvplZ-zZrd8(&rqp&!lkuHNzS3Ql7rUgFP7 zCu+q`EUT^1sZiulu4Rdkv88$tkVOpC!+B3UBOCl-qSiynbE3ajGtn~VV z%mV}KgXDJEPDWknoHv@C{ap0R>6bR;13HHbbC&Nc(|k{9=U5nzew#RaqTyhkbsxP& zS%Sv$as`klrOY9#Ek4Z<+O#`($ugv+NZ&;^I=Pt z@l@!@w7b*b>SraBah>^g-kDXNy;RYFWukYKVuvuDRfNcoP|_#F)C!Et+`s;h_9^TB zzqdlM-TITgapYKa0nT5zm)*lPcb}T1G^;jei zuQ7|+rTo@5)CHe0PB}9!j*ma4VXTFNu_L0<=!RAWbkO{`i+R=XoV630HByx_838L9JrRt<8c zTexHDmulG-i8M`3L40OXXXGl#I;AIvzvVcnjwCA$XWOz#NVY`E##eI8mZWZb%&8tk zGdRca2*$Sg3Xs>3sP#E-HKE zVyy*Z?S*l3ok}A{E@|QJRsl0(nlPKWQ$5}OW-Xg5Y99T^b6p%1sJrra_eV?~03p_$ zb4@y!kDHnK(wU#GC_3vDp3o2y;@D3l+sdk3lT|s+kzAXzCA=a;umxx#TJ!h!*T8^O z)EsR!PNXE2j5y4iq78DGkE3(_ybpHky_h%48Wko`37WNSIN~f8AVp{j;<|OQ@>Lc25F~&h8(LdnDT+?0pa!$oP5`<^JM!lcxv57LW;UPyHrrMAC zL#PPfZBbQi`S}xwZF%{`)Kuo%x2I~E)6o`vk^(PZdOKtL2gAz8ixIPqH3A-N1~Yv> zOp6>RJUt|$coZ!&>wofQ4K-MAlkjj(b5&J|b9_FUIl?#jEj+O^#(ud-iGO_SlWhO? zB>pq~L=&Mc%S{c%8FF^?76W|zP1M3(9wv3$#9qsoeg0L8x-Ep)Zo`j^9RUG6EV*w4fF2HqAXB{JPJ9o#&xexHF zPrPGI7F#-0cx3*EcX?2;Hl>2}FCXpY(0qPRgZ|Rx;^m!N=EFa4kl(xaJe!JDItzP* zVq$Ea;@)6SxmZ3GUhxdY;wQ~|CzcW{_NyAuC1hr}NwC!tlgr6iWO;8>^2*#M>^Z#q z(ENy}!APVs$CVdu$vup_4)PxD37IcA9dnvvS5J;PL%7cgC4C2@BhX{8qKK<@tOk}K z+m|MI1-_h(mi+t_4+zF^kEK72!F_?0VcF`QDw(TB0-#!Qr^}c!zK1=^HQ>>#+FHJ< z1sHp}^yrFuu;GP4^01hJv7)dqX8p}7dfEz!B4PRVs)^ALbE8}xuY_`%y=ybDm@*n5 zW?p2^8WPpG8n7j!yStOwtRLYmXfbzR)6t0*y=Az|mgX~6yMSBKPoM|@6QkMJ|Fvbj zdD|NzEOc{kDUDxrBp;49s2j}xma2vU&e9`Qb2;3;jR zTkrOk(at8*+`{6Xz0r;L?d|(N*|T(v4-IW7m*DjDtrc%D68K)(!m0mZJwHFE)25E{ z*}y~r;@ouK$GnWr(HZ+(#Sr5Q57#MOk}7z0Z@zNTbo`R>6c>d)(e?I$D#E(8Ytdv$ zIknMhp>zTkqYB0((4?Sb2_lo+dAJcOC0u_C4|mY8uM_mqIvN`rmy_bkmdE@GcYg!U zLu_q@v^XL>+;)06(ayJRr+SV#iFd)UGi<|W7nT>L{n$dZjL?-LeGOxjE__^xu*d`q zSl7_WyXtq+aWN|6X+T)^>*nf56m=OErcvUFGI2iQKC%Sg6Y`U%weuy9`y5voG(N=l zF`ss*Eu*=fe)~aBQq&9l>gQ%>33dH|E(2P9Z6TjPMXKpA=>z*Te8Q$F@C_WQnTAMd zfTshdUqXi6bLgVs*=7CvhL_kxy{ZX!|HIK99qTVmuR7kApYnSgaBps*qCa4c_vp?b z{vM7=+1Vk|0?ID8Pq$urS2SLHslyA9w>IEdKT0S5t^}7(72!#AQ0>ZzU)RM zXK(Z2pi85(vGB<=*k1k7U4Z7o040Tf9bmGv z$W1l1Ad#>`ByDYcy9YU-{@BDsrf|^pFr_|um|N8ze_67g z_4f7I*tj@TD=XdSO+T}1dG1ud2@0Y;0)_sc*Ry`iAaJ*RxFP1y(NaZY&O{8R#T){S zp3_sn6A)ABal_zqc4kH(i#*`LkNvt7!69-YMDgCG*=B#0XYo9rmE7V&KhxuT zY*JD^ONfLKmIZ`(KhZUT53h=e4D~D8t^ZJBF?#W_@~b_ySx*-YCXn6cFG|#*-e|Kv9M!EIIy>ya-tW zyGGvEF5ggL{vB%{oQsm(Z^N>BG4JpA?w}v;ducm?#)OHR>~5I9oY|GwlHVHiw%8Gn zezApuS@hz?QERY80^Wxwu}1*PJ$<+1J@VfwwnarpUqu!R7uN*(YXr5{30}*(0F({7 zDws3Cvz$Zi-5Ujg;$|eT`Uj~HZlk}Us`@&& z!`zJp*h@ZfR`OU-R=bg&4=+@#Aix{zDn!#Q1{Aw*V81nF9Cs6Oxz5_1%Db8WDkeWs zRGRZ6!56Rn`_IR(lasc!&GGsH>dVw)Yb80ZC_5&RF5$=GNBM0-@xrtOr9jg$xzk zsxRWQ*>P+{JAOwOcjuU4HqWbur)6nf%`zHhiU0XWAyZ||33FJ?FzT?lB3~MdFK~F%#HRozH_YNallQ}c26Uk_P$>=PuxD&S@<_y zY#-*=RF}&#>g2!d&AvSSF->ZpOMbmWcX|U=a${M@WR+9-?{OoFx4j10Y9%}cP@Gj% zKX9aLsg>x;8u6uDViH`oboa89GLbsCx0a~;DVnjoCAq*lDhJ7b22@|< zlN9CD^Ap}hGIZTqDoJZV*ucj&?VPOa$pMNQ<{hUU##-MQ!LMVDtiLI?KrUkdk^@tB+4mQ2)sF4bMzVihcz{i;1v%A?EU z!tw#0!GSXrfy($=gHhAQ|2QsI1R1d%vYuhtVzshS*Z9dxEX@ZrxDx4iJ#0rY6LPKsA?O z`J;V6LR|dg$B%dbk#In{f4^SjTbpXhSo~hmBmF&9nfuzOFGMMx@QM4L8bi(AJ~nQo zHKxMoxt3jM62tvcrLXAeLzAfx?%E{&?qEA@ z$&*r|$>!rFr4BQ(@}CRXP9*OYOgtq&>;0sEo1ckMYru@k-E$4>ZKl}|ZOFZ33Adit z>1UM8uU5-sWG7Pf#S*&>3hG*~s)WhcHAo~{vt2?C3-XM0bh^P(^BOgO&IC6B9}kF2 z_>nPt`0xY0L7+znfzsCQvKz^#rlJC-9V1J?7V{lyLlR~2>J@OJ-Ck{9y3g~5>D;X% zxPL7vDHw@&WQvlO(lD+~QDRpsusFP^7^>mOruuHTmy<4s5_NI9#B2buR{zmZ&fcxs zABf-I3=4^3xgMQXyr=PjamuKYO|UFBqxA$U$9#Ujk1?I(l%bC0<``~^zt1}|+v~WE zKC*T-hR(9^^6`hif-_}u(e;%`yhGun^s393VUggXx7-`yi8I#y4k`t-wSn9QRODb| zIYTQ0c}Hrbh1rfBlOf1sH@+$%VPrB3?o(65Wtt+|ZR_nV51V-!)2VDBawZWI z6Mcm2iQa6m4@1j`&`D#b;>fx zOVggR`RV@q5BJ#`E*+~nov9Y`Z$C);DbR=Bsqm?d6V9CP7_}}xt#7xcz2uq+tZ^YD@!8I zaNf?%%$&T*C1Ik)`6j!aD|Z*Shg7Wl#L-&OiBDna(@G*uJ8q{|+nHn8S-cP!AVR_vu_@giX{38KDj`&m^ zu|#<<`RG@*CGl}llnRrg@^jb6QW8{Hl*IcflZK5kh<}8kBAE(VFhXW`A;aqYu#y(T zrbpGC}$=aOLJRhIf=zbzt6QjztDDhK7N`*<1O#B;%MxPL;jMZ4)&$|X_ubTHI=1B zA)LO`VBNZxQO+S9k) z#E#ewBxXJ3s2E0S1S*YCA%|v&F@Ul`lE(0J)EcRrXiy2Eq39Pv_$>6r#N660aq6cj zqs&T`zHImu71kx^^}*65Hfo1;RIdhQpR%gdoGOk95^_q*iC(I3U)NJPE0HhnIB(n+ zrpZo0S{Hb1ayO(`%`-+;B6jynW5Fe=%N*(_Z}vUhVlkO|nJHXTTAkl!*d=4Q)>n_Y zw%qLW#l?jt%84)2F*`SJerGezOb+27;xe(-!`MRrMv6EQF?|Z?LJTfpI_c=7al@f3 z0geEK+-bIpx>EsK3rois#;sWlO;$(j)D!APr}3%)L?ng z*_x)NvmghtiC|zf-nugbP}Ra%R~h;lT#RSWBA%6r!O6*qW>?MXiC(T# z@gis9indX{2tmG#l7%O5i7^azdwo?_5C#lhAJ#j+Iv+45jJ>NjPV1^^1 zE*s7`D0F{(Zwx{!wB38lBpN%;rq`Cog@ns<-+uJNt7t zI9EM-_z?Y`wSb1T^$f`UOz?5?Ed1uZH#9OpfsTOSwYSgft{h|LU%z1k$wA8v#%O}( zz16Q??X5twRgd}}WI#$^COc7;XJq5*C3wFXRhdWImSAoZAPTPt7=UKx_YuEnDPYIn z_C`ZcSdBc)?g`Tt?&~6)F_}LP=%;Mpe_V5HNjW7cyKGa-$D}vQkJW^x&{A{^45(^o zu=|K-G;?Scl>f?xlMqxM>WYfKSy@>!$DTrle{8QP0)TH%+MW7|01xDOioSaJ^0ZF* zKITXr50i$Z;F4y9!1_z?_?F*wDcjrcN%Nei;$M5med=KD3;GOvzlVAT?-?23Ftlw1|V3*em z2nme$T_`4rmdxbL4B2YKgM*TyXaH}$ZM3dzvHtv1cfJHHAlP@#V#852eJxA6QzFy8 ze_ycv1X@{x)BZB5VrHtlPI^}0H_9K53!$Ghl2aNDKmAHBP!e?Yp+ltTE43sBDi1*c zff@S(FFMZjuU)1OI+~m;fui0%z2D4mtUcbGAJ6m2T&Hws6moJ@;;q>;e$bcv^_9sC z&oc_SpWvaIwgnh>w0kp%pX{KY zBO}tHB4J2id0_;#u1Hw*SD-qWu0Rw0b8wJ$&z_gT!HEia`!kxD`T6;gJ*ul)e2~lH z;_AC9*1Me`e3*(_?@1SOFOv{||4VpwNPvWhl*{y7wM3#KYCUQ6hAb>kiaXJc`M3Jc zLGB|LExG^9-5cOfyPaVZb5!#6?gIyGq2z>=qdz3JH(s(V&%xkdi_7Ki)JI)=(G_k?-|<=E7e6ZT))qt5`(0vJHt zXorKB&)LR28_t%m0^u(XQ+Z?$?)dmz4q-Q`Wn^iWsnBTXE06ArSB-k_{LpxAIl^;8YXGA-Twf~F zfDU4Fr#X4!K4r3jY5Aone;Lk{kQ^IT2EMs1*{`wG+?sel8Djkc+EaN3P~+CynX^xC zXpH(cY~N9R-^ubXc=o=ZGxvplv*jznC;2{C$K2Zz!|>^g8GT|HaFPmt&Y1IyiBW_k zgM$^1Rcfn3Z6XgIjxip7ccj*r!lP)=@qiTf!y!%b)fK|^zZxM6Nl8f{O$cIX!q}k> zql#tA4p5MgULlbiAhK1P&E+telQGC@aCLTu@U6b8YNU*#u=aq=q1~XsPZfh7hr83^ za6}j-CnOl6FvO@C25%cw-Ae}aeM+^d`6>FsUxn{HAY1*ue3X4{GM0$ zpsJ$Rja7Z)lq=ZMGu_vv8*I9IYsubZr*(_#X9OhA`WAN*>Z+z_6^z`FU#q~v*yY1s`?j-)2WE04qcGjvsjgKu{$_ddt1bEq72Krob(!g$7CfwHq|pX z$DeDCZ#$@*Yq@$=$?k+CWWQ92s%0WsW66T-7r)Ox$O0MU~tqL(CIF@(%b#XvO z7bcUP93XB{bVd5M2#$Pb`Hv{lr1UAvd~%qc^bmcC2J`+W6hU+bKFB}XF-1)O_qPDZuV zq3Ut+0S_m-5xQUeMzSf~EE^1vGj!>KS$}M7EKq7yjYk<@ZlXrXgQ`!F_HTi7z!UBW zS!Wd{f>Q12WWKZHf_ADgFKSD>WDSg`y}Z56Mt@#(7J;Ja2a-Z}ziv~*r)q;-RU_LJ z*@4ew3FQ?P+6S|~k{o0)gRnAK11{3g4vh;EwzP<{Y_v6oIcGCUPznxHFKWnY>_27q z*xNflUvSyS*w&Jju&2RsLHXlra>1CqQ8nJ?jh$daHu?oM6N!R+d;xARy}fab4n(C2 zdpv*66LKqX@ck{1RUPzw>W4U-GgQ=7k0vK7ooq7iPCWWj#YQ`0@Se6qcI?%n;f>*p zt!3ZP2di*&HpC%JI{l89%&|eBpdw+{({!GdjG+i5$xjfR40R|98o&pb1k$%`1YiJQ zn20Qq-rhSEn^+)nvn_f;iDQr?*)EB{%UbvG6x$zw(_Jhv`kCtUEvh*~ZG0TY#SgC? zPVOC=N|zlQ)ph;iIV^ZjP51=WcAXl@SW>mB<$c!T+&Qvw&tuTx>~W9uDm6G_I7-W1 zl1!R`|MM!FSbKX|JXF5E{=_Ts47IR0zvBbi;(hd*3M>}eLY7|ek-isk`dcTS78uJ%1s|1$9!*DRTat1?Ws}* zly3-gI@yu|vqlYOZ_Io;{`~9?E(;4yJnA%Z2eIW0u;$Z&xH|nuM28?_=)YKe#4CPc z+iXEG3OAYE->@0MehAiyZpZle=Fs2c`-872w4XJVk$G|M&`d+&Qj}_3n5tvQW04pdUB0{hz@9_cF7=Kc^^}{(L zP=@o}uUdPd_J)S(#!lvgJtIVt1fP+q-+~wyZ8DF5&v^1oz(e zS)pmg07{rcpT{c3C#s%{U}0xJ2wM$BEftjm-cI>A^F*_aJ1{miMYYu#b--5Vhi>Sl6C61% zAy73eGt<`%c3NOlVXSR9Doc=O_O`LJ%hIpwhfo>Q`4Bt|BEno?8)b&&Z;*Fi=`eJ%C@7FaAJ54}8-%!i6@6rD|h(uEr7s35gCxMxwpZYmG z9>bnfbA{;~3N!ZQYPg&>5Um_Q<2CR~MN8|g=caQt*zB?3O74m!O0ZJdSzG^}-7yohGh2;)x4`^{o$yx7 zB>v)1=(WVK`Hh__$fQ5OTJb;1-8NbL7>;-$q>4hE3yY}GmV(_|ym5C7&WPUd^ z_>~<8i7a|#g-2@oLn1v3%2-2pcvTA!+j0~23)G=Fd@*DIE2x|zAhVXkt;&aXgU|%(d?_6{rfpX?OYgh>fLd9?BF2VY;Ey@lQWa` z@UPm60G+E9OkvS3tP#u%87g%2^drN=2cgRTu!(*Gp})V5<9RuNSm1{nwxMZ>u?fT6 z1@`tu3@P@v7Z$crSn$Jh3JcPz?|T$ZU{asJRuCR8!t5<*{rkRKq%0{@ieAV*R+ez4 zEtn1Q;&$jUQH?1v@HxzxqyE%zn5>ItCxyU}Uhhj27CJD_U@WP3! zHP;G+nxml>x_YOXADgBcSF{BWZD{D}g>Sqkt1zCNoD^_y#DA)|w+|%T#Kgoa@hIEm zq9_5poAu}|Kp();aJ`N)GY8~dj>ieO|GG5ssa{eHi3=kiKU4jME%sYp_1{!@UV4Bv z6SubvjqD5CpWe@Fo|(TW>%sy?yeL{W>|_~@EiJ`gz8E*BUm1x(;R!F`^0%IzRTx?k zMieT8>D{}H@9stDRDtEdSpZD}WXkt2ZS%#r{H_h)hT=~6OQb-i3NJ)6v`xUua5tr% z>#zY}iwzZ?mlsTHkTdu>KJ==TT94n^@AIB--=x15-G(V?dBJ|2@!!AQ5Yem;YyoXb z)y?(ePqOlK{@i&ovJ_E97ZOdKUmS2%@RfaQWk)nU7JYKw*jCTtT+^;6UN7dLpKedr zlQTC@2T0Mj6JKKRE#{lMRi)5(&|_W`UT8SwikTwoRYQPRp+b4xS%}dLq&gR&P6<&J zOZ?N4m|bO?Ft?jdj(CVshoM1s&D`;1Vhp!1G1u%za!m3Ln$`-9_nHeoG>A3R!)mY1 z`}+Yws;LK$Z96#BYPL~%%IphMSZ2_5R-F|-*>L&E>i2j~%Wvs`Ng)EmhX^=E2`L%RRR` zf3L1vmt*aezI2pf&faLI`aDsV{Xon871N-VPpYv;s_^I}!F5k}Q68I^VAw37UR4ns z4|b~MrA17YVI%_@4C%Mm)s&S9IIv-mI0d(9K-?~^A3`IeqA01U;q!YIqd-1N8fP&i z2v}aT7aISL^&9TEJ}id}Moss4gyHn zO~j!0yask5Oabq{hV~!-+UB(EkT011>Zo5CAbip+F%F^ee!W--7n))iwtkKlw-+$QFXlnF$j8&_QL`wYQhb6;3CNC*3 z8%zk|TT7L{*r#&LC`jg5LPEk*9L86#E}?G01ZfU1DM(262^&-daHF35JwDFa`2-I+ z<_E>2UN+UKu=t=t$0$E;W6RUAy<3aQ{WjV@y2 z`?ib3r~l)JX9?t4^KQ?caku>tN%?S;!L-k8vR|QF?=k9=5gaNdVSJW@`}Xh8)-I#+ z7PNr2?rBv|Pj@#eGpI3W01)9Y#M7=T4ZJ*OPL&tkJI9SCV+O*4uNuUZq7F9 zd*fE^f$yy*0Zp#Wq#bD5!;#~7^3&IXA4^Kw+CrAac|*S>Q6$99+r>9^(tXt1!N<$O z5{Hf6x8X+Oz3bQkO<5)0Co_6cWNH;a+NL5JdNl zG=UajCbY|R7Ny6#3EqfB%-v?%)Bd&hoxBh+a>XdG%K1h^*n~@)lAa zapr?3f7^lx!k)_xWwfHKbImh-0dza*BzjbiE!=GU`c3Q7POb?(wTI)6)C8U4{v1VV zmLX>brRjhj!y2B%@p7g8jQ&K`E|Y1KhGk@CVFAsV0VH;q(q9Ct0W}uG9*-j24iFKv z^SlbV_pbCGNz50*7yb^RBfv#?Ux3+Q0B_FC?c;`+9GrdpT3@y&l5d~njUd+5)s5Zz z>pHyO{;c3=#ue&^>c_3;s<&?;r;H4_p(yP+(mQuA_sfYLODkn*SP4Plix8!fggFg2 zMgQ-$XSt93|Ds~#3G$-9hKGe<%?yWme*Ro&+%Z{GH1mvPwGIk|1l4fizxqp(P*Zn` zqdD2x;KA`+U?`KAa{a%#n8D1mhND>V%ebA;_Q2c2+9Vr4DID&XMo%%_fT z9fZWHIm;yU#f$fO!6$F7B@Y&`F@@KN!`#F@OG_$uQ51|UtgKv1n~FNdXTe}Bj26=~ z?SVw9q_Pqhke6Z!_BMN9ZCnUlQpu83U1irn?xvO&S6A1<(JwUUYf)a0f{$FD$|^kd zV+5K#H&WS*n)$ZVcr)T}SZQOzrdJutr_35xDr__F@LAh-)~!=n>BCY@|5Id^XzkSs$XoVSf5^+_$CZVE9ujH@Lf%r7pd?D1_uk?`n(x*EVM4Vx~LZS($h08my8b5*WVuQ!j-Yv#!jI^}0Zeq;dr%a+`kJo&!#(GJTu#1ln9+?ENc1g6Zu4+jN zFc|Nh9YW3aI` z7@#DtxwIQ2Q0vDP%pACKjRT@)(59{nMultW=y~L*qxO zJp9*HxXHv@GrQ~T8`EhP^FRvoOw^OIW)=bI>a8q6gKWl{m+R#R>!60baYmch;&j zpW0{X>&MO}C)kJKfe~Kzh+biQre-y%4~P>O2$BSuxVX5etv)#l+hV>K?{Su8@;N~% zKI!RB?S2Pv?O+2_cm&wM*Jj|?QU_L4aU^mLm=n8#d(o|3yLJhMRt--YzEoq^o!)B+ z!DWP`w=_lFP{)rS9|o7g_LJ*Vj`Z~@tEiOHUf;)PTG#~rGY(}NYio0$ConMNCC|{W z)BpH*3+^i$2glKH)Vufckd+Xn#*RAH_IJk`a-bN?OY%8BWBnG!;}@^c0V|3<^bv8-x4&FL39ir zE&swoVe&1`AK@62sSbN`XA#Du{g(Sc=wsMBEzJzp<}ku-`w6GjpDS}AAE!>yOb7ai z9RW}P`#T*tGRQr}y;fsiG1dp!Wn*h=nup%EytMSdsaubM<)L7ck=Z>jf8}3P-oCze z`Jo+5eq|)E20aSITJS79!^&z1eOCEp?0h99?o~Y?nsM}Whw|aHNp^s50oC)>ezaTf zvmgP<24}l#RaQFDwc&DyO=y4Hem@iSA?Tp(k&O$jk5bEQYZ-=WH1TcJ_eN+NFRB@xXC$yb1{51LOdt z0oDWPOBAL>i910RLO}|@;L3`Me8i)){lr(s?x$WMq+?KBfh!~x%r`mMq+1h*be|I^ z3{Y2$;xr02X< z;zF3qK|XV_ti=_EHMFOVRQkoi90TZM&JZX*v`#ywmvqwU`ONPu&Q1Pn;pyD@=~H`P zOU6N5x~ZxFq02gVFNTPO9b;!lq9FghpU8|B++mTHl?Bg*hB$@z3CI54UTXvobz7tO zMa|M>kevS|XcF;522&WJDS&@FPCxu#r2jd>N+%%?3k()wS=dM*P=K04165bM{kW8O zgtCW@2pfc#Lf5NU&T@yE#K^}cLkU-WpuOChra3`G3yVz{oL;ZId%cc-Mim+j^e<3`nYzaV15{- zGcdE3XepX}I#gOsS`W^>nN_SosRO^8dhjN|gYIr2?C_zcr7fq$lorZ2=oco3xgv+}|&RXAiAEUV-DXQr(I=mR(zFatTMKoP^enGnMjAdc(bUr`zlI>h`$_L`$ zhJ_;f;X1mT;J>fLBtc93zOL?gVaK;hLERVHyz)0TEiR`eB<2{5wc>~fec?f3omg8b zK7IPcNJ`;6#m;_}l}gwZg6V=V)|KDADU!z=g* zEfy7G_e`_ZqGTs=_-AI;my}?zQi|lc)%Z3-Q5Vq&0RBOXnW1Lik-g3c1(|xLp)$5d zjAVW>tiNe#11=bQ7PUtahI2@Qn@E;na|(Tzk{~|*<(t1WFOOLZkYvRV)U)nSiTe$C@nfM+x$E1QBIT^S7z3_>vVpPn@uqd zQAS1ejBl-kS;O-$H;PD!z$-5eooDYTPZtXku!WrD=r%gvzokMtqb(IkFtV6PqUrtVI&pW6q=82G@h< z^ukMsW40f!-~~B*GM8l-LREHDY#ISuxG&UJ6^?Zu@Am19q~r2i)=*I57#M7s@);K5 zHKr1BP^k&aPtl!zo;_OxD!p%fpwGZGd(6|ycEPqgK$akjW8myX^@V1Y$?m_t3>jI+ zMQHvlAWkYtRxxMnd;fyhJQpSmQ@AlGWKr4#V`GD#1F5v>k5B$mRJ5NZ8i*j|Bu$w{ zflXOvu*X4g7^kY)V;7f$LiUX^((GLrRnIiQ-14jA&==Cu7wH_XnEW=FqvuPs;&19K znY1U?n2kNLoBF+_zuP-lGbngEMb|3y%|W5FvqgrkF+SX1wqevmcjxWXr2BSZU=`XX zyRSp|HXX?`#{IUnLqx9=Vg`R$4!nA04m_G9OGNuavf%(%Gn(ehs(jjZ;ts@_oJrR; zHJ1mH3*+SDV3@PpOOhFQB#;H5CMhWb87f8)6k8Ec-U5)=$)dHf;Z zv~(POU!b1XoOve5TA9J?`M%}|DASKts_F9YQ@#LicOHp>4?dY`5@+s9eUMz!v6geg z{cS_VE`{&si`Q~7ECi43(f|CSIdYwb^v1irTD^=@dXG``6+Ds5h^_RO&5u1PBj~n|oGPh1R1# z5#P9gHjXF#U|QeGR0~6CiQT@lcDJ>CB1PoYm5x4BIrE?@D_3d4Ek3V6 z5*k`ATmSp}r`3;KzL$)BV*<^K^x>ww_mVbET-xyHcyQQcpRy#~zOjv7Z-Fv@cE_RJ zH9kx7oHWfw>FS@A^#ykydsth6gI%UJOx@69&qJ5(b_X0VoUm>*w?iGtQ84pS%tqkg zi16}k6||9&vQR^|1KyEJT9d_I5fq>Wz=la%dLSybRdq$>s<>q5^DgIV)WW z8OS&7w1PdzGm(*9-{RQ4?#~S-T`kh5sSZ94&|FGsC)Zo%odox$>dv7K<*r2c*h7=+ z$0I+F(y8}+eG-t9-YvO;^sIw{`-Z@GYwkYqU;BQ8&Q>z&*T>1!ZbaWdEJZKN8NwFe z$UoDxbnvv6LF@;X|7&+kiM+6F!oUCPw~$e1?vcIVoicZzf9ti$h1i~HS~0Lo3qr@q zc>lZ)+3Fi}eNB7O+|ZDvH*g;;QZzfZH;p4R{=4pPTm5E#UFY&gKD8Vfe~$#=oyq>! zUt@jzVy=r@tL){g8T(%t8OtZtZ%P<1!w; zS!Z7kP4?ZJd5l}{%*t@2?N{|pDfazUYiGs&batWo(XF9P>cee(CN-I#`KRF<`u0J{ zGUXbw1C)*(|8Y0oOFp=C>tv+R?6PC$_^icu6|gh@YT_7n``4h3tv}v1*GemUdU|y9 zED{r8`F+4P%&%g>?T1T&)X~azPIF&~s8i-_`$KnX&g6?27Oh!Brm@G?NrIi3IoiE+ zP0F=$$(xR!$d(&6zu&#k&AoaT&uP`r%ZAQN_dou2*rn24;tb_}o{)L&0|7GYE_-xu z^xS{8x;n-_OYVyM*_@#j+^X<*vwC-9&RGjnm81-pwPe=&J9LG}*tv8|eJqsflQr8P z7m+oxE(HI*Sbn$m?jqE&X!W?oGhGte!STW%11dAETerYxt1BtNbYv2UsM9pj3UCHW zPfKt-z;Lj$z-1IxYtZpxRt-ZFm}51vfA9Bre>*ek(b=o_$_d~-tj7i?mmG4=@bmv_ zvrX4sws96Iv9A?OS#*^+YGa$DUSMk16T>^@NM3y)oD9=66DT@{a9yEi6hotUp`s zw#~_(Z@6|ISf{0>UovsFVYnuTI+*A9I|J$wsg^zdS!T;7dq=J9rb2f)+4aW0P=ed2 zL|N$4yz8%^yjv7bvRF7Wk0uFY+9;yWtvSvHR42<@ z@sB`E@h*x8Jix>}n>0M@u=@g2w2Y$)E)Y#aLa)TTAb!LbS4_Bm5Bf$71Y@jD`R#pb zr3-~pAXXk}U8QTxSnQYn>3*kf-UM-j*1gyHYJ?2$PIHGc$JX^r3x}M7R{xcUjmpuD z|0)>nMz8xH>M^^bT8UZC=z{LSUouJ@X=$B1=vs%yIh+oM|GZ2|pR{;QO`X?PG?`L@ zxx#Z!ky&ov?4z}0Dct|-i3)hJ^g<=-mtMxPS1eJo750f)`iG9~-mGt6z{$x8f)5#Z zy2PD0p%Y_cVQIP{w2B@T0|XR_)|1pKj|!xYf6$WqIqt7@P1q@s0(j3*K}!kkQNC}# zY=!#H+?(P{+dMbpZ$O@QIrZ@5x5%fZEL+HNe`7o}Rf#X=8aNwXQ*fF+dA&2(p(TA< zKil?`v}yKBH&c^7&8>?w?lT%UXFJxYd)JuS<$u4zqOiC1I8%77M3wO`!?B7!xs4gq zQ>^yC8$0MJ$nG1xUw7%xzAZ8$`5~E_?9Mm)`)huT>?K?GyN0@P^SZ;6^z&lBt*dUy z*sTdAX~EpSDl3YM0?9!;b-QrxQl5#%2FTAylmNO4WMaW|egaifre4jZv7{ zOQuIi{mD&}vNk8ea);gjMd~nz*NaRa4L`sf#>3uq%kk@rgC%;|pIj$ybdFs6q+z3_ zCR|0u&f=re+t>YL*wB=g>^}1Y`k8;6P>KEzH|-8q_#ad9ef zG6dC(yDSc@*N!R3X3K`jOQ`dai&?7kt!e?jVGNzS@XIngXRaG0GI+sL3S`~Fc<6E~ z>Mn$O&Fi6aLQJ65lo6yRrurLQP2{fF`rdbYo&0IXFA}lJFs9#mFF7U1Ew3f>_9MM< z0dj>bs+=ttV$w3Wz<#^+$!o!IpK6ES_mfUE&>af5YzrBwoGlX;a_6v}dF*ECaMrJ) zcMX~0dPj+!|JuJ#a>@Os*+>@f+iGj$X-rz^38g7rX97w0Sqh{c4vI>e?VpdAYl8FmrT)@9FD&pHTqsbI16dX zMEBgB+bI4 zx-%qau$t(%CO|1{P(ZspZyofXHVPN+A&pFMlM~Brexrv_c}DJ0pz~+IVju78O~zNvylI{W}@*RPUetZ3+0>sS>CLa9UR9N4^M_$iRf0S zM#-~ivv89WO0Gu9deK$2J?D*8s@kO_+8c69Lu7~V8LQ!XIN)!02xQlO@-!%ZWj1;Z z*|~u}hR30^WD|dv*?(%4H#XJuvJ~GQmy3krTu&n)mgO zxJcWQY!XC|s$Pjz-9i-BYb^C-LhH3PMsxnLcX5ssx%?=1xbO>>K!2`--@|kf}bI_1PbN45X^^?`n@!p#1)xI%Y}F?jQc7 zaH-2_#3nCC!yzcm_}%R%33i9oA$h`?a`5Dhb6T2TO*3WsNosm63DbU{3SaCUd(V$j zoT%GCR{d^!qNtm@yWSI1GQ+*~ga7spWYCjWNr{8}+Q-P_-djET zZ70L%E6tQI(b3W_KySHu{Xc%dXp%}R;Bod zyd~Vl*!{-cmm}58e=Z1K(8WO0_Jo*?_q%tHs)aCaIfxZj%B4xNM&^H%OJuB=pK0*T zV;ty>ur$}Bu*&mwbNl$x@n*dY#_hXIZJ=p~LK7_vVs#p-@di@A3ZH^9SGp*P8Q+agA|~bDU#Tv&{PWX`rh; zhQ~K6ZUMUyc~w(N6oLpK2%3PrD5RR8{k89BVBfeRghcZbgL)Kqdz}g9kTWKn4eq zw+HwQL?sI!emL~%u0Fb8KtB3+$>Y=} zhJ~;mysLP)?fc(i^A=crDK8T)_5_#X79Vt{`O?&A=C3Y{ZtWTz1e^c|Z{BRh9aCW2 zn0`RoQ8%eNsxAWMj*Fx7^?Y1+j%~V}kq4W3Ir1)Mqtm6L7Y2nWW{m zIVh^c^)O`RWutQo2FP8YJIWURMTtcj@}wrl#&PlS;4--ZstIT)Si$P@a$rzHt`FK< z?}FKeUm|LXz#{7{G_pC^cXM%Z$;N@h${85mc@L1{SP%n-smg+HkXY_TfNQ-*+mlde z{Hw%f2w-bSV?}qw`hqGhFxXpxl~P(-`tIF3z#k0^4Ctglc0xvmF&TVOQ?S6m-f-pv zHRKtp$R(I*XnCLC>jp)6?5lF>NM-lY-Z=7a zUow{3$A*!nbPedHKdXlJrvv*8zhxpYe3Xj;k9PN0n}G@cn_dH((@j&r1;Q85Hd(e zSqB|bd5IimC7$rN;7p{artk#b7#A00N_@yMn#!oG+LObn7(PLuqcb#?lu*H zGtv{XZKxow_tMMvs&-j>eeRVr3h7$T_SpqrxYFg$cMS9b9?ir1!(qOIlc}b3*Xk_M zmYs2XYx){Q9Lg+fqf8@b3~~slNPI)cQKfa^xj26Tj{aBHdi`hl-=l;ZJ?#!hdsONm zgB=coeG{-i6Wp11Xl>$)GRNB;A|)7R+EN3ca5XdYJdZV6iG`6)POb%p3;2LUtpMn| z12Dp4GeHR@V1Vz7cEHX6g)T$`?e*)2&|nj=c|Gt|*c(7IOdlUA;Mjv1z7gf^F!G{Pg4F zL_CdBen+0UFt-&TUoVZaR>b;1$eSG(^SgRnNwt2CT~_Wg<83*vV$Idnot88Gx1EYD#^f_cnsRn5nz`IJw#Tcu+@{ z2yYWOKU^y!#uba&7G`Fl-r>I$Mg7HW0-GYB%haS6zUPbDL1t9sJ2(>qzeH(2 z#wW(rd_=)PZz^*;=^Z5Bp|XpIXA3l?UID8IfLVl@ZNGWYQ?apmakk=MG_mk`f+0`T ziR>#6Pd+HTgg5Yw1zMQp+;ay{;=0UpL4BqXa!G zu==~e7Rc3fna5|6iD8GPs33HHH;#Mh3sd4QnD~J(9N@hJ_4bE;K@q4^4weCa3*H?h z)@Q<`uM!buOLkY=4ZX)P>zo4-Ob4X)`&rdMc2-8lx$NWS4!)iGxg~ro-yY0TeG4)h z4Wi7=DdBr-x9(ig9%Gp#(bKK|SX6XpCFYp3@k?(t(Q;ea0d4EMq6&(b>H0zyQgm_6 zPEO+fa7%y`K|En7=|o>j=TxF=9yXk{;h?VN?31>g z>IU7`tIo#JR5pUbMnr=Sq)2cX7Hf$ zL0ufA97s4UnW70 z?Z`q9>MX<2d$$z+d^=dSk<2b?>&kWKzs0@I;v=Pu^t=Q15CB7ZYo!ycRpSs}F7i1mDka3A!S^%#R1u=L5bD70N&*Z;R?&pE=HitTV1e}?5N)^vZLcMUV;0h(W;5^N zWeu8pcq{5uEgUe|pymoWrS6VS%v=#?MW?>QRMwNYmZJ3g78zo?YZ%QIl0Z4BK=mD& zrQXKw9d7HvCy%qb=VMkny!^k$ggt8@pcZ7Nv&ze6I#@36xQd;b0W}$F=f~6Cvgs?+ zJkm@-G{Bl#FzRI4uAm>!J($c&+ry*#^14zT&Vd#+6Ufn;Wfk~KXliN}iY9X3-|zp> ze7gT@QBqgNY6l<;Q1rMkxW8TkS%$a3F&%$q(E+X4N)21-Xs+0&;7+Z6*s=5U28ny< zzxn_KqrN2wR6uDR@XTzm7RM)CJx_!k%DBM%`eb!TBI*TMno7=+zH-yWSIw3w2B4K0mPtElRh}ic446- zgKaMl#Bjjgb)k0cx9bKROlBO`ZWe(ZaJ4`?x~(|YDr`FROuC8jY|%>En)$YmH>Rg+ zqzWCm;$ke5a=OUZw8!0Y3zwqbUUs*6WxLp&S&V3F!$f;`r--c&-+htbV6u49R;)R0 zQiXH-d#UrHod~XW>vsojEn*MLhVFzNO^TW2Mi=`Zj#o8atakus2)4}G(B@c*w>2V> zf}4w$&?)ZX#fwloQ1X*dzSV-%G^wc>C-2FO+cQ(c2&w#g@p@iZG?2`W7sg`l&1xGf z7x{j^ASCz@y|X#m4;kvbUM#1NqG%lPxFt8k*EW`~H>Sd>O}L_VO0!MmvfJ*}J@|I) zp#GL9diieAq-?jhvxZeXdusYO=o*x-7f{ODz62(7A2LGoP_F>3>w$oV&=#;tduTK| z*1Vhe|RYj6V#da($d z+u-K>nkV>*fmD2)f`aZamkU)J3H{~{6uS!^2RlF-xd@%`I60xyd&gQd)BwOVenVFR;1Ym$LB|Wpqd+=22R;#caM-|R)YOCo>FnzB z<-@eRyyZ~9mRr4T3KHfhQ^BXg7Oz0f?_Fu>o4Wj0Y}ZYh+$V>MEH((EmesqIaJoo5H64WezkUAv0Q4?;_aPZx1q=zg8*p3!CIr5l z8fzplh};05MmAEvIU5Jpwwmu;?~2Kpilk=A{k)Y z0E9{$3U3CH2Nd4(Q3k=zfSQ*5kpMP)4M~JOOO)4Riix_PrQG{CaxWoz49DNm;mBW` z=IQTwv7UgjC@=LU;qle1hia^on3?<6Tj{LF9E*JKQrcm6w{{@O&kY)Iz)}6WyVYXH zUDD;`^J1sZ*|4!V^V8MIKh?5WYS(!oMrT3VtDi;F`_5;!_Oz`31ih7=_; zG8*-oKkJS$G!qdL7ez9CW2Ra5&O99Z96*nc=ZAg^@c^n~RgZd-}iiZKa z^MEiK@W>ZILDjIK3S&j@+`(Pd&Mw51fXA$crKX_B!d`E5N6FMz(Er;lIl{!euMtlE zgmZ&UXk~#)YhSkh6Oq}TOCsKp3X!**E>->VR-e=sEbUDx9L5~&s`_=Z3%YybbE|h= z`ZgsBX&lyX|N zv!n<=frPAV*Zl*--r{U6-ip&eHD3qhv6XZ6apla9yiqX!{4k=xI`H##*Xsc50ME`$ zOFIniV$DR12}1jZP|9O>l;#Eg4>s9ELC6zzLxBVw@BR|T#xsyEvPj-TDZT5Xef<=T zVv#uU^V`$JhzwyN)a@?J=1I}9We1i(YCLk41zV-=u5QDF`0O*GHrffnxBA{z}j8K8Qia=sI`5wOXiyY44gzYQNhf-q=C9~vA}x;AJp1Ff#yJYbGt zVqE*auXtwVltYayEqLB+K*cXM)57)WR91m_7-I9O26hIpn>ji2pd>@r1~dyO`w5T$ z-65dQDJeyODq4>zzRxIZeMm+Fy~z+lMPOkf)t+-NOO=0M*TMQ|xnPT6MHv|xK?WWp zM&F!I<4MpM*BHqYb@~$J1-d%cy48a~YEnYALiAJsbr{L}%fC{_%aRDDCer`ZOMFMgr zN!OXUv9lwD&wikH5b!U0)<5cjBu+}BLJcq^>BR#}NBl2Cov;RtA>_2c#;=%_^sl$x zp@BM<4=dgYxDYyzCb-P|1qUNl@X&Rn65k!h3E@l4UO&xz`7$(YnvGyqgu^13iZ3l5 zuMhqWhm>-K?jvy0z(^FPyVjlNy8BZ$djd3Okwa@FILk?JbLbgF+CuORWM81SaOnOS zPS^oC{Bq)#E?tVg{@7c76z6ukXDv?SiLFJB?|8CDk0M8km@mWgFifBQ+@2uR6~8S? zgB?`No8{Zl*(oNy>i2k@OT)oQq{P|`25u^o2DG7o1*{)H#T9T|GtVU7Lo#_6Hn?+fl%g>Er~poa}`THla&&K=)uVc{a6y@J>jz96zjh z!s!W#z4iuyQmWT4CgDt9zwSuX148z_*V`6twxH>-(C(s0$uogF>fqjj<0<*>L3S6{ zfMZ?d4i26P)gj~GD;~blb%foPG<)QNPg%KDUg=%EvmCaOOVQC_Q)42c>Fy+FMvG_U zEawK!Z3a!?IbJOVrOh$&ihgq&Zn2Nd^7uX?$*kGQtk14at1Dt>-zs+}U>roQ&_R@9`htzhW(4# zB%J8>?VDD}h{5|{R0 zKYlp8It`hve%kx7RRi-G+>f&zxcQ1-@L5-u56r*o>Y2sLn$$k0yJ0`~V6Wu`J;oWE z>BiL&170ay(7?rq+IgyMGQnhZ?KO-C6w3gH?5FkmFzTV!D&D^%8cP`|d7aSAD4%3B zXWsu9BpYP_v$`dYCp%s{OCWu-7=#sY{tMP1CkraoGiYaclPJ{qsL6cSP-EG_#wvObXP^?0u@qKW}Nc z{KP6i3UhxoT?jaLpFVxkavFbGvxl{ypn^j5jsPGgjfKl0=K^PJHt-C=>9H7DfrJoh zLi6Kkyo>)@`8&wU|M5QsQ7?i46#+!E#Clw+BpyPPR!%7IUyaY%vjfix_@7z(wkXs! z!gTCECoE!btbf{@lG9~lHHmRXCB0_&>Au{IUxzIvB2twj^u9B?HH;l}G?;0+7!RI? z4AU^noSZmn_h08U-A(3*j*0bEnsoM^Vv0M3*u3Z71!ulJ?Z{F+XZHFDdU??Lj(uco$>Ci1*?t*QXfJIA0xqkaQ|~0fuX3bJDS9h z<8V*G0SucoJ4jnYMfuVgxFC~ zJ4Ri*E_ey|U!LQMur{R#U=6)@&C%EE-hV*R26Q90VZB5jpok+Zf(kOGU6n2!s zA%8#DSaT^8@`#s4bdUUV*EDP>rNtQH3&`hR@VYknPo(tQ#Ne?bZ~E}sf4k!n^y2Yn zp2_HAfQ}Cx;ri$8z&5c*kO=A!-uwB6j@)Lr|3YNWGSplCe0}J@zs_iT4<64`Sm)>C ziK)tgCgBB?r06ZBzx#~%DRTRsLQA)J{{49&5rlWZETMKi!-@VtKfgkirl-h+u!jo{ ztKToZ8@+;adZ>`aoe2>nl-Jo$q9&?%(&(u|(p9cMNDSM|iuVdPU^SXOx17A)~_ zcyaxHcMrzYjCY^eHV%8G=`-o64m)v;aU4zZM8fI)Jv7jPXDyz zlQOcG5y`d5&_y$ZB>HGBatb~DjGRlphDnQ7oN1nTZnV)PInSRHl020h<~U00`}3aZ zPQ!y=ATGNyb^qSIheHonb?_90y`l*#{eu!nu!jk0Z?K4#-jh86cSrRTh#|~FZZMRQ zL{@U~3G;>9qEk$%kSq`$Mui=E{M=o^tDD3NeuQo8Ybh@d8tk4!tp-Z8f|+f1rkpPx zC1l@rCTBRh_~g%eAwdR{kf>?XVn3&moJ`=H=m|xp=UmcwKFc(}y<}a?eXX8AOaht*USCTpBc5G6Zz~E)Eg* za45+DEA97xjNXvHPx0&!>A!!8qWSqB88zhYE`Ho4xbhcug{`;v0(n$HI)jOH zoqeB)-<|>)tQd(C-^sKTJ;i_Cz9_nRm%ZuWyV8Go^!!3!;n zBS{o?=;$_^B%HFXkFTR7pU`P3!t1Jmt+1?Li_tk@(rw}o#5RKuX-!+4qTB6$hJMc# zmQ2gM*n9=qd*1jB*BFjgFU7!1_ZnWoClvTEo;kz66w2#(cD*~)0*rgEgg?bUUssDT zlK$RkVZ>g)k8lhgJG&xOI!t3-Nyls{qVV6o8j>J?~--_l1*_~q}R?5&~x~2(RiURU0@~*OZG$yOZXoOJ4DNTLgIugvhkyj z-xLySI-sMJlowU_&#&JJVPAL}U0X3B?v^&95IA?_tfUcf7;&D2RCq{)ub4uN7h04x ztc`);s8&6h0N4cbbUE$lEe^ll-QYy6AN0)4A_{b;C5@=Vh>awqRze~qL=<9t(C^O} zz--@+7qrruZ{yz%4pKZ@OCf1Q8%9hkA$2k|0`HcBnlD-uFRbl0!_ge>aLnEP>n3rn zA}G&?qlsO6deM5(_HPaeHN6v6*nN%$yE4MYz*red;z7$i`~tmsQu2v+7>50#T!QH; zA?m^p7%S418cQ*S-2k-L<**TL21bogk^?&CVSn^yR08a9x|D`Pb?^FcQ5Qt7ubez0 zC`alpA$0-Fu86{+CulF4Fk(Cg#@&zz;qUGVhfm;=2@sNbBg@V|dh00uLPYIx$>Wq^ z#Ih1nLm?5Cw-pZgpuNt75py#z*5>v37(rgNWr`Lh9CB^tB)gy!Ikkk;>rgQ13Wr{x zy>P>b4H+2u;k_@ju#(^7_C$+b1P1|Xde`^*LF9O_;m92;tGrebJZ_Nt9DSv4U;v_` z{J!+Yq74QmadFO>ttqGocxU_p=2ujb$MM4+*)uS5OZfMMMhsnG*1x0h^chT&)Qsip zvuRL<+|5~Tk2M~82>Pw0C&ZjA+0~SNe8mQPy%NYTerRHgjy`xrDtY{3*dr|l#%KwD zr_hLpbj%ZC3Qzsu#=6j)B|xu$3kq$>)$iW9xYv-gcZEzK?wHZ(qO{Qh^%&b;$POpd z32CTxYj31T%gFQthiZxeHgJTLw%rx)M6;fO*-ZecNCg@(MM<`{e&Yr z^u+|I=$_tJuG#p)MdMz*6i-m?x=^sT;99yJPoQ#OP@is*yE#%HHMnkdx!YSUrA;vk z`UR$@`h7kl$B(4{!*ni7NlUM2(r`I@%F(pyqiV7CWOH@mMV$!)k_nHA+X{iV6`G!-$)2O5eeENYLrCaDNY2nQAERRq1q0W_ zMAEhDNrBBx0U0gflb)`-TQjk_jV4nSY-~;*3i~pD!TD0TUUS?2U}$4BVB0Q3XWgyo zz+v<8Q!DPmmq0ma|BLlwN&ua8tB?%@2>^`M4PXNpRr9j(NWgEQzD>U`n9dFJp{eDP zYr;1Y3IAaUf94R9x=<3u*S0s~A|r5~AJ+A56}eRhzjBoEyA&sBb`c7F;ffQW$}g;m z0X?jpDzrVXt6RyxuzBAI?E%HX(H++r(n0Du=eVVEI*^21a}P}OY_Oe4Unw?LQ(@aG~>XnC1#127AOKM0Tc=<*w|QE=b%POOKSk&k#npMzE|$3B(>~D+mi*XkU)@noQQ$( zV`v27Err{ZRqDPMm}!I1UU*@|JeM+?Mb+;;f_7Ly61?fmx_J8_YiXyPM%JKkHtJk} z*dSzHjZL!68(cU$$X_Ou=j51ozEK7prmEh3U@3w0y*`w$1L~oXuj}W_O&J8ywCzlX z5!Czw=^LPMQ%u432c*&h;9LY8LZd&mNf&F$2Mb__Ls2Gl z8RCH`49GGITMN7iat+hAL6khpwI|v5fs6s6<`Vn}EtQg;T0YMK=mrQA5T?@IdH^8? z!M2^*Z$%!*9QNd*x1OJx@Sp{gMiNGxFCisC%Z&U3v1ag-AH1xkNOy#>XzQM`&xLMh zUc$?Yd_U1~dmqR@3#zNw6jyiMU)atLYBAqx28i4v2evT8@aZL$xqGB;EvzjK(2YSE zB=8gz!g}{1DOn5%wsO{e5QOUoF(Y477*?n!1bkmoO3MGmi_XYrcriXS?xld7z>3+e zquF2Fp3SW|EeKmJElnS$03ts~RwZO9xd_ICI0sUO3%e0C+Mt^_fTIUc?Mc*RK*9@@ zR#DLdDga`!Kpxt)ZpmRPE!&@r;`7nIc5w1m<((6^7#NShjEgGVCX_t>&p+sJ7>iWp z!J-Lwx05#zG`c-tJ%?pSpmD3b>jrOTjg4oSg39lUf|V!|((I1y>Q3qs+uNbpF5XM^ z5ulOQzFH>(6JGQJx+Q0S3T6YHGTY_~0ZuJ>7%??h_^+Q!Ka%A+te((?rd| zP7SONSLkg)E#%&Zok);Nhm@0X(Ztvo2z>#}G5`aV`S$Ipw(#TE0WT&Zs*29Z$XMKk zI)$V#&Z97g&o?CgV;+A`YO4?#_6`J{;>u>PhdSEHD?|!c(k_2{Aa}pRAHh9*f)et& zda_JrNh<;Q2GDoPgR?`C3j+`+V^KP!y`U{cMGkI%#>bDMS!;bG48SGXwR$N3Vz=h= ziYLsZ5d}0*>rh#-q}m0NTlLmNETGEDN=p1&W8p7?u7FfIl+7WqAC#Gbc|sba1Fpx+ zpMEU@JM*R=w!QhlX4Pcr(L(d4n52%EM9kBoU zA=ii52WtZacYLz%L+Ye0hXL-4kBTzLdywEflL-ZQrKgcx;=kDqGI#He9^`^(I(DFH zhd!8p84?^I{0t_b;>{c5q4!T%g#6!U0tqlTx1<+qUr`0}w9qNh4XXN$O87Vz1m!gqRZI%HF?080`;&5y zSqpY2sHG;I3x%?7&`Ava)i`RPb0{}Rop!9(KwIxdOxM0{Ly4`@FCldaC zAtZ;7vI8cgpPbm_D`E2s=f+kVRmJWnCD|7jIkIJr?&_~WlUS|sMsTqPL z*)uK4%))xZnRPf29Zi+X{Na{DQxN(HuH<#TFk;FNl2S^-3sl0s_lz>suU@&5{qdQz z2sX+EMR-9&itjt@ed@xR4>-?HYn>pwBC1e(IG6c}IDC^l&K^dLc)v%lz@&lP*zuK` z_rn4T+_cP3L=^tRi)M-jkRH&9H}J@Z7D8)-i~DdTm*A1z9c7Lmfp7o#=(L}I{iV$N z-If~o_#6p;kI;z!@c2)-DCKD+QeJin>4|UN@5&vWwEY!L=F1e$ zF-f4Iq%=4tJfSXC=de{r;km@6f6pL%we}>51;; z~aCULA_p2>VqI7~+NL=yaU(uYpqu@HE_V#-y z35}!7-zeEj308s3L`rVS!omDUk8%AH8RM0mnycIU9k0I5VEk_y$i)fXTpymiDlyH! z6g1my#Kwm{eeVQr35j4nBBp2KICcz0^mHpxg_dJQ1VVAGP?A#G|N8a08gfsc?yJ<;91Mr$k9Hbl zPiyi`u7B-V*R~NGY_!f7)g2Amo4xPxD2sR8ISVqIr$NYsnOSmBQ9&Ue$REJ=so9yY zkRgAs>CoQ^e2k0zx|@r`;7&f@wmyGr!j?B)Hhp_3L6Z~9iJH=2OEp`4aQk*f^DZIX zzp;ovKgSZ@J;7M?r7tFm@6n>3!5fpyZ;XQ|h1Qyj1(0y8s-+uyMZkJjNl#3h4%0j-jO4u~m(khO+d z2$<3`kU84YDGLT~Z-34}sNDjom;sm5|1-o8P)P|-dE@3yI4e@zxUOEE_rIL83E9T} zgaEBMP9u847~4s;6fEbvi=BNa!U1np@9RfNqSB$xSI7(hCp7Z{Z3vhLJu?7cgA)E1 zbpfC^yXdjiy=-)_f^jh^23~^S=cQ%;@~@)@j;oV4G~_C(^5!MIkCT&cl-q69IMhse z>~zd}EM>UYS=8(mgIky5Py{L_Ncv`XmjJT5AQ?dg=2@npv_C-r%AZF>VzjUxhSbS@$qR;5~S$X+L5xoZLt1}JD;3&4y6jtHJ@K8jIHzqxjoE{yzeg0plE%^R0g`Dbc z$}(n}npH(P1H+aVnTq^^j8feir!Bj>dm%lUT^ydCMOjR~=M5P(kdfPtI(d$@bl95$ zx&~dQ+uGW~_|ri855j?f7cZ14n?SA(G|~_B zeUzi9D>`~AcR+o4{i%VCbJ{f5TyF_-Cq-DOhPbMlKh`cMB=hr%2L+s8O?l!H65KZz zbz++*_Fu~ncu0x|Q}02aZ6GXff_Oof)C`0Lkg-NOj36#O{8;000NjOCDj=ixl~`!E z@GW)w(=>Ng?sTYZS*jRy!h&;ZU*QIJ1|oP;qKf`~fDm9>*KiJSI6^@MEun-scqT4^9OZg) zM>@i5Zv4)}tN+G@Z{vdLYx0;3h#J^keJYC`eWcz{>~9ns@q??vMb>@;-z=Q?%m{|z z(?;{W;ACpIf#62iY&Nh+-#w~7k=4mU2a7Bknx;M{)r#JJ29f>&(EW;fv4Esp0W;Xt zGzUd3#m9Vo`PY9uCJ>Y#zAi+J3pv?br$+zmjtpN9^l?hg~fI z0#-n*Ev4>*`Gs<r)vCqnWf0&oH0@OGMQk|+* z*kr8#5z2lR5R+XjfucLddfG_^-V(_`hmP(8%jr4WOp8l|6N!*1L#wiDBr#P?poKCcd>|h zZRXXhxCk;31)r)aN$e?+4KinOwKWFPowWi*E|P+#{T!H8^U6e(3t-}ZQJTGn?i$;~ zUUUB8)vCWYei$X(Wb@`w`r`Ws|N5+QBxie`FYFx91Wun5<&3ND!}TCV4Qc0O)s)R2 zCraXB5xwyDO&9O_H(j&lH}4C3v|fZ^2H4C^W(;c8JcEvaP;`zqbl|JN0w@j5ZJjJ} zkD?~hYK|X|KXcCUnHOL%f0LMu+~CKEr@FydWbHHU);Gurf{JEoDR=ZU9M8oG``V|p zXP{1cown)kY>Ub3v;tRDLdp}&N$|qD6S%lDOd56C5V`Y1Qn4f)oc1d^9kHp`64q&1 zA<2iN!Ao;Z3E%3SmN?P$ygLE~fr6SSTN;9HfT^S4J%_>*xut%sb?s~aFfUewjQ%f! zBy7YXqC+PKAOUdV_$`No!BB|lwkC@q7M113#<-x!3wQI1$*&Nh)GTTolij^8W)r`- zHI9$-7(V+sk_|6jy+SN`E4nq3gAf=+iP3IbM2tnuMr>yjDzzF403(Bbb#WXf_dRjX z`t`9G)g<0E%X<(fn@+Pph-&&a%ldt#;^kZLFR_;Q? z9Ro-W9L1OEGBY!Q+Oi2I9y%eouVrZqEWSSseTOEY0u&lx<%6IxAVNflD2Ii|PA`Nx z{Is+>5bM_L^e-G*Cy{w?=4T1FEhBdtrR5}xsjRjl4o!>17v^4yA!)fGJMJw^MxlQm z@|#23k#CZ^Nm?k5S!)Oz$|t&UIE!2eEZeKS{ORM0H<51`CZaFx9(lIjI#LM*6%B%G z9f}Aed3GN(h2KJ5AixsP?Zz7FV!>?yeI(yXKqHWnGcf+Z$%Qj&2Ov>Ummd8@Vc`nk zL7Ge~__K(w1f)gKOuF4#NK{AyJUd;wE_9p%E(rCp;wq`+cw3+o+ok(cyn{3 zg&9^@M^TQ+%m|g@wfsj>D#jwe)8}u9^1Ui=EjbVP^kR5u;U6vl2!nxX4w?N10FDs_ zQj?&)zZ?ZbrM^B5BB#~XJA8JaEUuUiNWC-=nA@b;&W7^Mmp%r0Ejpys6tG&TO#n4Y zUV8db;Ej0zE1#|aeJ;2?gTtNYRr$Mj_XdIQ_wE7fl}Zt>8*WL|dt6dGN3R(@8``q{ zm0yP(9p~i|#fRj)FVxxrg#oE9A%Kz~l@MQzKqfl#sCO z2W?{F(6%;(tj?J5@DyxGk12p*D}(JfPSbD{4$7q^0L!qu^ApkfrpqtEr%+Fz7Q(`S zg20C_=@ISX_33sdXnC?@4G=FplRD&27^5`k=%7gIp2j`8e}KWUz5yiBu$fbHKP@c@ z!gaWW9aDf^x2J17m@aPueeA&BUj<4(g8kAd{6|61$TJYGMVf?!0=5LIwjj3%-j=4a zG6(eFKF3d>xV&?enBq1f00o!lqBumgGRD_vT;}o+X=;o;ERu#76d-b41K1u+kK0y| zI8@_Z(JZ%xawJks+t5y9Y-d(CWQkhGtYT>p(~4X}q+kflf!4Wg55y%qyQ2MZq_U$W z0c*btlZ^2~9miQ3(&tF~Lm-WwYx?l(%}^K5>aG6%5rqm3 zfc)UE>mga>&b35HK%5u&BXhk-AY~jr{p+|;4njAsvvo!P8adRVC*Yp%ryp0jJjZfe zN|Q6<<#{Brid+{?pA9rab@~di=uf)RL^Nx9}8e5|}^|<2> zqm_Lt{v0b!6NGkdpA2Z`yOr5osFK75DDU)qF$fj+6E`6mTw$P0J zj750eV55iN+Fr+R^|wtLMmt?Aql~IcT2=Y>yM{Eg?xJFn*2!^iBDBt1zpeaGM=5(g znqJ#9!%-*Ak8LH#pt<+3a zD3mtNZlhH-r(tEf{B5>0x1zS&=J#h3-qYZ|{d3?mx*<`^t60Wwf6S<;`NMAJ`S5MJZ|E~X4d6W zrgo2t_BONM+7hMD9mr1a|9Lbj1BE*wG$*D$uGA=5OUj+UA)K7@k?w|FU|~=wZ^fAA z#gq3X#9r=v7(bgo8KTjnBDj?%cKF*gt+v_A{4>^_sbOSHokh(wT3SM7t8{(=FF9iM z1_@r;W|5Um+}LVKZ{tf58~wf1zMDpL5H)1SUe&5<+iSdaB?C?p=*?_dpRjQ+Z?7b`Py5WR=AgWgX`3D69uav0n z44GXEv%l*`t>lC+OOo^StEy08JUFk;Y%uQ0P}H04*R^i(oGHu%>u7jdTg z>^R42v=vOJkbIe@?Om5lZJg<$aA>qs`AkY7CThOTRyJMp4Lew@J+Sk&dofOSx>ecT zI#cw{m&}{XAG#-H^K(k1_j+s^e$0F{->}mW|Mi(D9AGP|nzPAjV1(u3;~fIvh!WFX zx--eCr7^Rgz13J{p_2HrETGaudZos~u_p1rb;f;cUZF>GW>40YAU9{Av8oJgLRZlc zdGPPIjCm2pJJP2WcS%#6tvTmh=t$?~f)#V3(Ac&!qk`9+b$|aE9RZ`A7q3H`ZB*-I z*M_0vOW-#Byzt^KrTimB=&SMnZ|oRJWq&XEhj>ym5=t)pPTJ>kwNzQd;vE{xvY3Ax zIpKHr6z;@fX2;}64P*Usm=sBKwaS!|(i1ETSheY8eF96x&%Na@u^BPTH?NrEwlwGC zk)OEovfw^Z=)kKRi;q-6{HD&I;OoLCr?SbjCc5{&1#hIBcd0@bMtM{++gC(2D4f@_ zjW{*U)?XnR>&TQ9^vrFzqbcEKYCaotsu6@NUrMSJ_Av(+W#n1YUg0tS| zyyasAHr#p-gIx1kcN}K1HzwC?dKJaL_;XlKMf>{p(>}BQ#+PqKQT94KE;BTsP1nKb z8NsBBV{7XOiE6PLOBUm0P4CGsyW`d~cc1P2*)3;CWdkqdWC2;hcjK`}OZIw4W_;XR zLLy1*P!{?shIuca;d=Fl!!y{){WC;9D}BZ7)9w#s9he1JdN-s8sRfU9eqJ=STmHgj zy^8MW_&On=pi0k7<@)!L;v}hVxnFvHrsY843!{MEXOv$Malq$}>utQ^Gjm}MuUH?@ zo>iX5jZ*oXAY!ejy)_nl?lgD0k86?gSJ}_XQBsfMl)8&;9sQQtIOS#I>}#Av{<(M9 z=^w3T)8HtwJ;-k~Y*9DsXHwtzQc5uvZh`K)NRQk2^lUZtc-EEWfL`6b^@-q{SCB2e zK${0rr|oU&6(m?w@Mwm`?liv3(pyQeF;M3!r}?$Ugb86Su$KUtIDf@F^wCD$h+5-V z=p!Pdv-FQGLZ&Veg=Rk1ZPxF3*SI5)m=hL{JN8C8b=B}@Lu9*%RFH3xtf;O^=Gdy? zJM{hYT1pY8TEb)0w$M|Ry_|)AKEV<`;atmoHL+}&1Wneeo8z@tmxp=F_SAZmSW{2G zHO^MAP@bor*Sk)5_Ihg@AE=<0Ef~6+>jooo2%XWW9 z<}{<-k+LdotH|2xr`@D$$aSLdt><({2^`YIi|4~HUp1GNi+_0)Kb`f#Rr`iAfw~n2 z81b50qF{<2CAVN5xa)@(Irsy_%&XK!9_dX_66=L#80PVPoHn%-`ZYeD5C8AkqOdcm z4&J`7CMMTi)fg!3IZFQw(KRr#kziz_IKL|zOoH}5yD^dKZfn^K(x`F|lYQ%j-cAF( zdAfzcw%rAafrUw-#B!Rl3MKm}=bAHvby*o<2Tt{kOQ-*?MmUBh*GELBZ#Wy-7x|Lp zYP|xJ=GzyMK(^u6O8<+RiXwCZXQ!hqJgp*7u%!Akop@heNvW|cL z>L=st*B?D3L-?XUFHapLqx9?Y{+X@%`Sbt&G3tLuVJ0%>i>l)*gU}YyVxp? zR~;<1FocItl+j+l=y{9Qlk4iCNaIr{&5FW|^YDx{Pb^>7Qzf{P%_>Gzc{%;63kz1k zIs-4;nx`hQm|U}P|BVLz$WbD0tqTe-G>#oQ&M=nH)FkLJr|a;UA^i9&zJ+d@9D$ZO zft?N)O2r->d^tv6`t{JID^z5Ya`p6_Upy#3G1>olU)_Vc=6iuaGL)De;R70#oe z#_RSUYbyMM5GiE%dHqjso##{W=TAI;DBZu`HOl+Ha$Z(PC#lqm?)PV2$e_f(lYY*ItjO>0bFucHPUG~E5BU3v zX~MHif&cP~(!jIKY@H6ezmM(76J6eZ&6wz)=Xu&}bFFCd->=-Rb0I7Gd!Muq@zdtn z1J%L=DC7SeDIcq4Lkk^lU-@DWxm(h3ta4MY`KlvTtlqTdtPJ3m~cMmhCPHg0XDqoXy*h-Wc_@P{9dL-4KE0v)g#XK* z-TcyW*E571kIAQw=t;OcB11AfU)%GoLH9;0(nY6F)7RZw_oM?&GD&(48@G!=pkg;^ zT-D7_nX@Oq4V)kCSUYO45NI{C{j|X06SrLz6fB;w`Oq?yC4knCruZ`}t8KlT@+LPTAZls+) za>LI}R;mML>e3f$i^^#Jj=9d`sKIuXKkJF`0hUV^T4k-~Dd_0vz#>|(oh`4y>)CjdNpLMirYP6i7b8)_lsBd zUOQE1R8Umk+qYZzJN`)O61k`h{aMc^Ol&;`kwkiqK&pZ@hk4SgeSqBiaR5t{hP5OVa0AMX99By)?7a(54*IXP!D!b9o1)))0Dto*vli`~t4wwhCz0;_e$#*Gf= zw|)CU#xc{ja#e6rbRx8PGx8dxjuIf951>~8o_$AK+oOUW&>Pa0m$w2?6w0d}118v2 z0NLAL$x$d})(_}6x0t!7sTm&=vpq_4@WXXRrDhwUC_^217vw=9;Rv~`ZS!CaPEG?G zPJ-bHodr~EVtlMm)nQY2dvoe_{C8VDWllf%-cJ}z{`uujQb0(IBX5T9n^tw`wP0du z%6jRNp}D!B^_T?U*RHOvHa05&6GKm{X1nE^b0;mhH>xSVRV{eiOlpU7P zz{5KC$-`em^C@U`wh4Um#JxEK5${t_B%i)!di(ZmXpjt7jr-o)PiTCLstv0?ds& zfn-ZiUccqG|7#!f@?Ak+Ou#>%Y`h5qFe^Y5o}poKSPymrd_ z5yyK}sD-R_EyidkZM}Z-vU|Y7kL@v`1Uhpb$`P zp_>IzC(?l>4H7rIUw#deTPwBoE3Va8XavW-W4(SoJRTtZHQNO#(F-dtP8LHNA4L8@ za0ToIq{=%xI+T=^;VU~QCy;Jzg6m8Tpo`pL!fE;mf3B^Z!>ifeRV>R#rs%Vui3c>{xA^n9o^83XJc zDBOkiL$|Z^K=uV`76QEZesiunj6i|+a9{5+00od5Ubrg zgKb{Er>)Jp*Q}%&qy#`PNxu* zR3j+Ba&7hj`Zd&J3u3C86NQ9mX>YYbva15B^np6iZ(hR1$vF=kT~H(kRf>#*hF>;! zC|lst^@f$m$Vl&t4$r>u4l{JfBUzj8>7H|pu*`tJn4CNU?*M(3hkzETuC5L;LXba5 zPbxK!x_q7dRv+1k|>rXUpHD4iq2OB)?ik-zj+qZ6M%oBQZ=nH~E00 z1OznTQ|rrWf_+O&WXpuZ7ld9A4Fzh@(15}epfBhFLjzKPxrr`TyzwOi&RIHS&^+0n z7BTWw>TH!APFlEa zsh6@i)O=_7)#hs=r}8Q*x|BE1KH99ZAGcd&VPk8V6$gI?F5z{B?nitHE_)%BPgGU* z)Vn%QTIZ_w-Pk5=jvBCO)x5mT)FWs=@MFxh#wekp`Bi9g63^24%wiF{J+(ebE34x& zUnTe_lR;MIYeLMZZE|@fots8+K-NVMZ0pj9(kYi4IS6TTnOgO_>veH zanUPzW*{wy3=43;4FoB<%r#_XzX7qjr>hHWWHIO`^||k0!(eM5;iEO1{l5 z2H7c9RVcx+&0+-Up5k6}$Rjo&BB_v4H~sN$IHzKMxph7mQsTSN-@$=5etLQuT|4~ORu7U{o)3@jT;hM0#_FCm-A z;WqYzbzY&kaYS|4Kxh-&!X^GAbqC=C5yr9>Z^QRxFtk+R_a$)AR$-TJACZlE&NO{} z8fRK&I=WEgO^E0)t?*Mfn8NR=^Cwz9;=b_hz*c66cH-ROr+N&bii6zInLU|nF)?=d6JoGS8sb3Oby1TrazA=i`bqOe&$OHx6?FsH8S7jsivM@Q0 zG81w*OXG~Q4lFmim6<3^i`PRi6G76M|6gNg8V=>c#&LQpTZNNQiDZT-TcnP?ESWI2 zRHm|xea$Yz5EaL%Gl-O}(nzwDWpWHNDRm~D{X30o9xQ$wO!r{PY}cs&P&Me1i~riu|KRbxy=OOC+aEU7SK#n(jxS&4 z@@@X1(fIzNAjRDZe)fQt1<9gz$cKX{u-k$21`pCK7y)a-LlA`XHI3S@3*`)B&%!(! zGEY}PtA+FbW@d)lkXtW(g_!6X`Pu^FDPIQ(D5C>mpB}H=tppo980CVhp-6MKZ(s$? zAOk^?&jN+ab|hPELv~~! z&S)@^XOz8hB_bRy2-o20vZ^Qa_wL9n%55KTm$r`;u5&;Ao*c-t94sUm zirK+~@3hf|M4eha&ZBhEp2AO_vhO4JY705V1Z5AX|L;jsT>tHR4QU~g|?Z zwUptc0Kzx;eN9PYCD{A1nD0Oa2)i`j1}0gs8$0PZ2{q<8P)JTN6pHp>cVLRx+*B*_sl}vz&Mmd6Qe^<;qMP zkaTp46{g_nn6hJwj=x!??QF{pq95}w$ljfU`jc29CBH`9>V%%CI5kSm@arL^a8fFR z+Tz4^=~BqKQ-2UwO&dHLJHqf-P}`+cg;DRxLLZ!SzPYW}(JjaE+o4Mn+3MBo+0Cby z!`Ypo{(d0_bmf+WqOe0*SzFDnNVHDRpXx_N(GFkr>VFVc&rRai9otP&RnJ(W+A0;l zv!L73WgpDNp&S!g*$4t=EV%JNfOyt##6+t=;t26PJ0h9eo;uFNsJ)AO|rs>xQQV}Zgwf@b|15vFFSN}BDyCe zRAEyvhvd^Vt~yy~&lMTlXKZWI6w!Ue#E$5&7KBt>pdT>nzgT^>;a=`b`GQ zZ%s?=JVdF9dON8is(C5OB^Itj_h{{>GNUQzl(HMI*G(g>w<&gHEUnf?RA(zDAPTd_ zkiHVQRN)#3{j`5WJ=?X-#5^4XdT=QxD zR4Y2;Yd01(>ljEA&p@hY9FOK-X;YG<80mmaJOV=BLk42mHtyk&D*PzCG_E~uW;OE~ zO57?1$!RgSr8$WaS4U6Y5`G;+h;)w4lE3yniOG6{>WZu|`xPxK8=nrPSgx(rbg4mn zNJvwK^*z?m9>&|ZLPQnet$|wmTkf5gpQmd3R?%u^V;0T)gC3GoMyD^+j(DS@z-;L~ zDzwkY0rxQITGier-k`;SMOoY`)XmNZ&3jUZW>u|0#3b_o_l-J>JL7=mMjt zGst}wNJ*d>j#*h4(LDY4S=dg^+}av7!|k^FR@)2jB{Q$0@%x@jeljXHDBQ)O+Hkc& zoogH;r2X{eUF;n-&+)V0KQrlOT#g8A$5xpI>>*4()AC~DkLDhMLI>7AAAd^k_L*s9 z-OY!z4mW<3=t3Ivj_{ZpcPV#Gq$Hf{tqT^P(93-gA09ctgED?Y%XEadW6=xAcf6;A z9~*@trLoSE*wi0Anx)Ua%C9D@#-xTGZws!!-p2HPvohZqLme8)3#m8I(5RYX$3kAi zll#=m^6;BS7vplAl;+^bG*qI~(g);r#(D-_jcG4a)%4I~p#?2V{C-?><~ zc8!zW308cVJ+wsZ-Q4(=*>HLGPDG=`WHbuEq!qS-3(zBX0Fe%2G}vFI+`g@-qT&lw z44=E>4V{ptGx>(KAYuH%20@Z5z5T|S5B9Z9O{e9bM3$yf!ONQM*4BO3rH!(~bi!`E zE&7Vaf(8Q&GatsYl6AUShZ!XRukX@2uurUcEU{U!bjNxE)z1U;~2~$ z!^sXve{oe2pkITc7f4N@sjlx^25oWIO_RrM%o~b-p-sH;)B)!k4|dZ>ng zy>(XV4|eN6P(qW@?jA86W@tw%k^H0-b*irK4?5E%8?j>nl=PK*>U2?{{7V3(eMQD%USO5>5ohbXgJH!D_CQzz z9XJqxczQFw2BjngL>P?LSQek`5Q08#~?dTu=w9R%2?Q5GZ>JlGK-nw2`c;?ipK$5SQ z*U2_MG7q-daXG)+pqtwir4zPd!KmI5j%hGeA)0K5y;b0((}yWC>chS#2r`uS z+@4b@oy2A^b2D@L&6K))&M%AZPDl`EL$cxQ?23zEO9sh>t z=ugi?A{Y_Sl=B@8swH(rCqPOIF?aw{VyF_c01BqKheCRjsq52z9U3qCa({u${{uh` zjpyF681p8t-bG*t-K8tB4HPDpJ~nw_=WS)S$gQNrby5;d&#PZ{#r=70&Gg9Ginh?t z3jgc&n(iVA5C9BN7#tk@CH(a1g5NvphWXT7a0Es|Y8?jJ?f!gl6H-AS01<1|OTooJ z)sl-t+1SVdUk%JM_?hkgcWnR}X4V-REdbVoeNTgn$I$+CyB1fKzLTr@P+NrG_2Iq_V z?ftO5abgh8ufE~z@YOpfDg$m#VgVEV^AV?O;-;2V9OmVHjHA6**Q;2&?1D-B=Z2!< z5`m@P<=AB}OnyC(lr{~Z#mbB}k6HGqXU%XQ5+`)}MpEXk%PhA0-kmgn+qBbQ%I3P3 zB49Q5?hwxP`=i{`(sbp@y0xJRdw)+Mr+;3PR^9R=D&R%kBcm}^!52GyFcXD`V&2Lh zg=Q8g_#X&ukktZ`>BouTmnuh2wE@lAhBrtP7?T&O=Y??%7SO`xXv2wCPUR8ZG3*%& zjNrfXfOUrY>^St;r03}LKizy|C;sMLlnkKv^oN4kY<7Yj?e&l-e%Jj?SuZY^8b#$P z=U>(&b17B3?hCBJ9fY2!;OOS>QA1dn!@;@VsTCMFD5?05{WF2|y4c>EW$8$88NEO> z5Ee-6-<#Uvp<#{}Ts!n5(3oC;Rj{TUk@=JieQ9&Xi(u+&3;WZ zu5MX3e`%Rp7nvo1Ukf?IG2?(Xgk?oMzC?(P;ecp$jDy9_Qt0u1gh!8N!93EyPzyZ8N_ zd%ko3xz95*Yi8D(UftDIZ@pF3J&`I((kO@ohyVZpMOH>a4FG`YgS?-Hhlaf7<3&zG z4hT*%I<5c!>cF2TR5Al9Apk%Mkd+YA@X9*P_U_czOg;~MLUynCne|S_;qw<>(E%B8 zOj5)pVPU2x+!EhztjALU}1#2TW-M40gGz##Mzm|+;Q zYof}D)=iS9xpH+LD2MEJ%d<@IW5B~jn`T?fLQUhnp>J*TqM`hIzl(2WGaf&xk0TO_ zEw`|}o>n&l9)3iip`fe>Je|F~ywu*1y1Thm8FoGX>^R@J#zp0~x|_&m ziQg-fnidG$FK~^cZ(1Jh>9{K9(qs1v?gO30`=1s@kOVvo4-Yq(^N9R@*a2)jZE&Cp zoYr-B*XH{E)wLUF2!GM_M&Whrgc{ z`LD;AaH!Q>%vi{n1!WM$hLEF~r}z5$WUS*?yl|7hA8B2tM-HNmg1;+i+;~3yuP^Z| zMEa)I0smZsXJHgPF7xlF5~!sZvws^P2>LIlj@3?Klz*@ApEhlc7|phPyxM(p7{>m0 zZy>L+YT6ee|J)_q#E=Np#{7S5YHYZVE!VE&VgYiPnQZpHTL>4~N1`N|@rdGc~Qnq?w`OWu>=UqKp% z#1it{-Q96tBlr>U3A=fCKrgjAf7I4a{RtXqt??b_TGV$Lp^Do%bU>O_yO=V)3X#VB ziYeHXmbH~XxGSwxXlrb2yilbB_OcFVwAjV%z6iUKcSkM%TyV&d`%B@g{R*yXeU*WM z0VX(x5hoh*rfM4vH>8z#_aV@vm6Ugo zDO7#~MvtHo8z?A2I^q)irwvR$x5yAQVP5a)e+8g<1VN<4-@eSefAe2+YfkjSnIZZ~I51US;J0(U0cPFiz+} z2LwsC4~o2&e0qsvl^ox&ap9W(jL{(Q4YTpQ?>}T{m^shGWdpRZH1;nX${7n7 zhSApdBUo;bp=+^U^$!1lxpnd;}Q>A_vdES0d~1bWBx;D!GHCo^o`fm&jlrJmQd z%^a~CbJ-xb`d97M%`a2y9~eG9ulKsAzLuY-Nv3ARaV$()$|qVQ@RlY2tI2=tO%G=k zG%0W{xC(OT3AN_(vSR!7tuT57fmrvidA|A>9M6nuW3PkUpC;g0^M8*2uj>L7E~W=d z6PTh;+T~Lioei}AZ*!TPUWX8z?oR}1Kj7l(9dbZh0*^7q5cbaRN+x;mLl}<=T98*LkIX-oB!0NzpQcyhQ#2>SmoqrYTd6NE2GPS zpO6BLeED8fvVPU3-Qv7|)*2H*Bh&_nE;c>%Tmd{7hu)Q{w&=j+9NgaCD(*(NjR_3x;%2lQsW{WS1o)7y*Vzjtg>>!viHg_nnZhA)bTDV8|A30M>>Uxu4AJ)<_c=qu zE*QXsKXRA!>C3&e$IcJiiyV@<4LUUATb@gvp7F-yf6bj~qWhy1&dNo(0ibT*bIA!! zsqH-=ipckMkGA*BXn>5QH%qdaC-;Ggnk0I|+xHdo9|XsDSpTZwTRKmNg_vsSW1X)uwT@mg{|CX~;+d&wAUgNQ zmPXvS0|S@?42i-7YukSpQhk~4oF13IX2u1 z!eMR2<(4-$S&HZW=N82{t}ebhfs30{10T7&JxKv;KI8$*(S6;p4+v7JY^z=6CM@X^8;}ORKhH-4ha8`cDBW4A+Rfajp<|bL8-LHuyjF zPf(^x+9bnAudj&`Q{Va0+H4dx%wGBj zE;pF}cc8lJ<&N=<*L&_3IOi`uNIpsDP|*78C;z{$yTs&~mH?su-Rj0^d;4l1>s%fF zasxbBiDD*o=zp7d?~BrGf!C{U-$36}wp_nsS%{<6{en=_%ByZWsz!^&-2Gs>UTv(4 z{+|X6_ac@TfLH#zmv!e4W@1jn2wIf*j>X75Z~tUy0u@8OUwpY5aUwnq*|D-6_(o&8 zfG|?YicI2vGyUuOATuj817G_;#Y;?SVHP$nLk$NRREC$uZVcEm{%5?=+6#8JqBRWM z16be_T&k7*p!ST#uDbFg4^!6(W-f21%CHMoK<}r5O8I{L$KIehs+LA|;GAe1@+^$M z^)hYWMcwJ?*i~2vY3mtib$>iFRk8fc(Lldk6dvU_6&)LI*@0@$O~HEt@P|k}x$_0R z#`u~sWHkAqcR0Ti@xp0S&86WO^q||mu>@Q)Jm$~GG}tG;R7W?{t`5W?_dAWxequ6w zYFJ7la$yG;AE37Fq+88UvbnDWa0=e>m_Kb>@Ch=OD&h(W5qRi(bNm>SvFLo*56v9s@gZpB;=Bv#tXwdF*#kQ}++ zZ7JWYttJFMy?gz=cNO@v-tsQd<8p=s=JgzA0?-t~?8G@KIR#xJPLamTM7 z&Uo7cg+j9f258vGE0u@2zl(aFwXZ|t)y0VkQ?JbQ=@I_CTq>59O2KOzdzx@f#!r3V&M zzDx|ry`>=uA$^<@dF3en0BF4c{_gi2+1!8td`MGt&uiD#O09&pfn)diOOZk6e&k_D zAa>T3*k$b|<=HlA?EW0bo*A^GZa{0L*=hy%jvzY}aKM_bT zUi8KW9VyOA1hhkQ1!^6)tyWYSym()eE zUofN?U|D(fJz$3t=lFAH@W(D>`F?=*x=HxF6yx_iEhFXioDg$BlfL}e@cw8>MkE+8 zkkdv(lB^n3niFMlXLGo%89&S+B14B$NfK`sI=^es>D%&t30AbEgV^JQ;QBbR>gnxdOSMJ!}nQGH|0=EZCGyuqMpG7$-OPc z$X;v0w^l+OGpMyEO4mArGZB^YvP;%~24xYndJ-E@kzF447l}i4UUc4!di|iYX#*@& zOKokp9qjgn6@fu&P(f-5YScuSlQCJ3OS;%oOdvm@2W`A@MW9vc7j6r(LyB0b2&@Dt{$0E0^Gjowe9S zd;MJK`@L?beRW_Ra4|BOhUWP>xhDZzF@DtjmJvNa&bCOd4Axu^3P4l2Vr?-b3BY}} z(m@RC`*o0;`z;(s#R-t7Pkcb#MeTqOpxQDPg-IKT?CbU?Kf>S}Iq&&s^57{C6+>ECX>rN++Z`7o!|9ZKpYOtx2wI;5QXyoB>eJkzQ=MJ*_ug*{1tND zbT1`xN3(VYYhzP0d!(N3?#^H_B}V>>69UojRSCeP!5jG}k@mx16q9;hXMM$JOhlXE zU5M@NbWx=J?XX{d3|hXMbD77y8`-0lM(+pC9gzA=iXP(Pa+Mu+d{|`sJ$AbM-919u zi0>-#C-4B&%=LIskKblQOrQ=%ffilh3PRpnq_q$tbNnOU%mgNRyl@7sDtHb)zqua* zFAWES&RPSeJB}3;+x5pnRDpA4;kPCG+`JR6=Ze!aqP=dBCwaH~V>IpFmXCHXtP<*l7 z#wB9eChHsKi=Yn2hj$UQ>5H^uFqFUjZp``V2wp%b9FD-6{!Dagv*x2!kr?o)%qmK# z2J%!Im>xo7aF_O@%8WrsHH}Dz=cN*j@KwkR(O>^nVswYm^1hnBwry`X^-F8?QSGgE z&@nz^m;M(Lp0`kDMPkeq*E~SLUDDz*XmuC+r8D+Td>f)2N4(?f2mV;9BI>Toie3TpU;l{x6c@-hEg z$y-<`^E+d?2hIj_HsqaQig-@;`3iMS`9pi;;%Pw_!v6WDQ>sd$%Z3B)mNjmUrivpV z$FsFMZKPW8L%^q+Vg|Ok`+PvoM$JZ`piN{93;>8HztLFKQt8>L*W%eUq%*yW*S(OZ z6$@Utwo<0JU5~rlDU%eE4Kn{+mVQ+qTWF4>-N%}!#+%@`3^ld+0Ilu@@ZWHKBxQU7 zYki$$)?4A@Y}p|XQvN%n;@yd{3#?>tPt(0~8`LSEGodyKWAe{;Qyj6ku<~xNy-gi0 zx+P@DD8NxC^DA;m$da-^+~Tv`cq{U-D-fLH&hYw7%}$6xmkoTco01Xfvt4y)Pk38K zakWA}Qi$p|V;^60lRxD}kgg^iYnf#&RRe2Yc`Ai3{?iG7%o*^yF`aB$ifk2&1VN?| zl4b&|^b;xW#vO9wVBHpl>xb^Zlj@eo^q*}fazrK6gk7;e7HamtI#k@Lw&-&JD}A=I zBpo;o!TALY6X5w9f7P>XJ1D{8MA}e}RgX@8pUa?2`}s=s>7-*R-x`ZpaLNOKJ3SKE zl&CvF%th2|qoe$h!(|DB0ygN=_f*MZdiVDP@~6u6L+wd|6(FDej)d{kR(N9w z>x?WoOpqpyWDiR|lq149T3NP=7E~a}vuqm&eYLf)Du+Oo+iOPR!&zZYumv9f71fEr z{mbUzGIF5a_&L3PDkt&8eA0k&s=gH`1=liOxdx99ckNB8g!sC{^2;~N#q%dX0U0I* zfHjG%Omo&l9tHXFG4?6HK~rGWbRloS!b2a%Nrj;iSJ3j>_@HrhZShSl1txtl$hL}~GwdtvdN(R?<2f8<98fLx%20TaFpeC0PQl%IpyJ;)|J1O=;oyVz} z;w!Z*2FI_aM?imE=FTrt4lbt3fd{LVNH)b|vt`Rn(z#2(wmoql4mEXfdQ=U%u>W-8 zc4#V8)xD?rgI@9)(#W~? z$8qy)@4PrIk=@3>XR@e>Ro`&1C6tX?w&cPzQ*ZJ(CvwZ}6XBx#NvuGUK--Zt+>hFS z;L^qIty4x)WU?-`5VW;B<#iTsSn6Gp`SRMQDF7^V^+N%WXs7!y0aq@|gxvL8+?W6}!BUK! zj^Jd+UW(oX|4Eg2KI>gihJbqwI(a=M9YYX}Yi75UADF3yW!}+F+(G@D$a1(=Hg6

4$7G&X?tAT=a0o1n+%zt8wOLSDYyoHM?z`eM-_w8gd6VgTDl@8@4o(isJ)3& zty;CO`>MBubhZ<}AUf$aauz^@6KHc8}h^JVV^{2nQWzB!5=a~JQcyW9>e4aLDX-EMwbXJf_S4@8A9{aG%O}&cu zk!*EJ{AH2yZrpZrStX*0DpOn0;~juU-GXlvHmHG`5SR)}8YiUmbV`srr1;}xrPGF= zJQE(3$aHV##88>;EL26V*v>z27^(j(hiArECLGbGI37txU*^f|)ETx-(|Mp-bB>WU z(<#Gp!+E;bf|4Tx3D@x9mS^*P&F|WT;hbu;Hslc=&XkaXjiMa5Vk1DP);6+8xv^ZN zeuf#iN6Ayw&C4KHztZk?@6;KVv9Mt{g7w1&mvXp+E?GFq!|TxHOKG+L%DY6nRChYP zt48>77cs+~fyL&W<1&`O=?pIaS*`GBL-w()QyQ(F>z~OzoArhH$|~vFpLd{(s>1ve z1hhEm^bBmB-vUk}z2bO<|AHNh+Zzv5VoF%quo46HWJG@cLkSuJeLa;*>X!GH{xOfU zGHTQL%y4Z#0mR##LjqtZ0RE4-9^REU*$x?F3V)t!3fJ z_u+-X^a!o4(jd2peEaK)QS~or2~G8CtI7C$rsj=gP+0GwdhcZysg>{7Wnxi@h2AC=xYMmd^)qF6w4L>#Pz21TcYh!I!cGuTy|D~;hlL36 z;Q3%GY2PABxNKNXNNi^Msi|ST6eOewX5w_7?MWXOgu-mnQMgVkX*2#7Y!|4>l8?lM^#!D2XMk2wAaO>;-VD1q+gc}#H}GC$(gT@2z^tYK!oy{15v^UnXz%@u0BbGc%d}-tU8`HH z?I+n=xY4cNcndqDCP#fHx%`}$sgz6#Ae9=k%=PY^Wd+>ucoA4t*`f(bvPUn1* zmCrKRFG#R*;86ZUl%tbeRzdd5i2~T}W_d~-1GK5RO?q*yN$Gcju_n}n6xUO70)uqG zm1Fg%sqmS$!oWPTmohqS5-RPcFzn6E9N9YcBvNI>0tRi@>JK&DgfK~REY)_AI}z^d z!}PZ76r&k&nKK-zybky*gEYeCV)s=B?sp&R1q16=IiYTNa;!F*0J_Dg9*5{dZ>>f% zYUqqaxyrbF2c$VNz5im$;^ma0hCTHYLQ)mVwj!7;zU4m2Nv6_^j+9B2mPanP-iGc( zuJeKB?W!+dS{V2(nNU~5zN;(JCM~A$4#t7fKM)ZQfVEPAr2*F!(#t*>S7jsU>1w!^ zDU}CXJVGI#&%QeK-sK!3KQW1@m**q5s$xmL1N_iUA#&5h3HpR=QG_z(p>9xPp@^S$ zS4~r1XwdnFvJkU5Cn$?P|`XIhO(3dX>OIYTCp3%<>q+CPRs0 z)@ZgGurA@U2>v#6RK&^nR7N-cqih{@q& z(Ynh39h6&o!Yy3S;i=u}BnMh`uX+Di$qSTR?R8Pw^$(WGq`?k+s3iIgwvswLGsx z9(pdhwenHp#M-PsFvr`fErYw3U{W;ECwUH=h;v>GU&}WX3a(eQWObgtAcwt-3!b!6 z%*kg5dU$uiK!PULYtEGhlg?aT|ANAQSNy2IqLxsWw`nFLVu{Ij;0`odJs7E1?nux9 zm|7L6p5}6%?Jbda?9o{F#)J*HxWVN{u>%)|`Kno-VNML(`2Tv5Tjs``TN$&+3Hqzf zq`zp;U>2D4utUt8pIPo0c^|Q zjag@{i_G5wq@)gO5{gR!_08w8Q9>o+i(yU%sM)LvzKAz-l`xn4nyc$F_6|Ba$bh7c zd$nI@gprhTk;gK>`_BXS+G|K8R|Q!J^th9nR~JjJOJs)K1s3*ZXQmG`B9BaD?WB%1 zLE|vZ+>PlpL69_cNjl88oAQ3Q`L+yr2fi!8*yh|up<_FsJOp|q)m3cU4XM1_UvKKs z(1tW{{#gs~V;>tTXj{cv&ezwGZd8c1lvdQw;p>D=rK2Sp+Q!jNRvBXH1N zOU6bjt8WkWqHRUg8T1r6RCNLese^T=*DQn`{{+>j{>QA^vk9t?0%|3w^5=G+&;3g0 zd93Y|Bfl(#TriRw)F!qD6f#d%I>G=@m)MT(rCA<-c6#Fri>;&0xpPYE@0B@F+o*w_ zfDM&R`lbq)o5pra|#TKs-ZS%h^8O1T)C`Eg&l8t?^>o&My{yuOA_~{ufM>>uWFl zzVRN+!{yn&1(W$-)j<5?GW=~eIFty|x@dnF30c|?3&uvW?acqs-vXvo{ik%7aIh>a|4>ttiNp-i-eXu@TG|sHpK-d?u~Uc#YZ?L-PQROa&r_ZuHJ$f%Ym8&l ze$_)S1hPT`62t3EBZN7XfLZ~HZ5fe7{MPGA>6?|mf=Lt|_OBsDloUz$>GgG{1}$<1 z-k>;MhW>(-N;A5)8)?mO&HF^yX7BOmG7dB*p4+k`;EJ0Y8vxJZIgzuKbAB+%@*Q47 z>^HU2kKHGqp5k@97sJ0tq*i?1@|`>2KGrFJj5RBZ#p;@=%#6&~wMmQAT5C=M(^x4j zTS#f+mL@Zq&zTmJ#~xc39U@y1H}l6P0da!p)CEG(vpt}C@y?~F%RWm#4m`{^r}1BZ zO_YBhnydvXI=D6mC}O+XxNzk1{6XTsN`dCxX_en%G=5dB*oa_`xZAoMd2)wUxR8zO z9lmLnUa$Lu1~c)xz=|gBAV14Dy-i$pWoohldk@O+B+cwP2y8NnsZp_ zLYZ&^+Ftt>a)dyGsFHJPcWcFdg}Guo1JYtUI%(~)^5|J`im;*XsL!$Cwa@ub?Hgk^ z7*5Mma7+xW0$?=drT4m%*_~iH55GJLF%iNOn%Ct@7i>!y3nNxC5y~@Y|qkzTPd0wRgKbxC+L5gUbQF4F`G-m z-P$SY0XIIn!-}sBz7ebQ`PMjnG${~gH`fbMcqN4TK{o>hKYptyU=~-?|A0+ z%TFXT!-~h6$Jvodmj>91V671*EGqP1tzWdc2AW6Ky2|%(=6avn0@N`#QK-brB@ z2ifaEsP}1!^4=Q7yDMS4Wl=J@)DC>N$w%uh&bVI%hLiQdqmIYuy$zM#@gwnVpGj`H zCmAMJx3lVtH89RVv22!%3Wc4Zq`1ZLm&}6MZb}J#m}lESN_yxVg#UtQVo!7mmCV18={-8WwdQc-tqG{^@^Ce`tSAKV)o1@uedx6H#eM}JX`$Q z;Cn$p08&Rgj-tqx;@KIBzfyv*kh|QQDL4~%w?USX?glCI%I=RGV%3=Mqtd^hM)Sr2 zDDAVb0dDR{qBC$mAkCNeZ*S$2S3yIkIz%McP0eeUteF&bq%EkEVzwnMJ|?dPzcI;U zmpP_WG2+Nk)No0j#zRRTB-e1#F7ymlxm|f=&OY~e+0spLDU)K?ZTUzfw*O)V_ViF? z&@=BlN{i%bOS&b*`k91V^AuxETy z@mZB|F<9bwoRYnoJ@A-=pcQ$7&h~T}nii#HweN3}r~sw3azyr1`F0)cVsyB-5Npbp zsj@@p@B$Xk+_g3ThFe^2x_3@Iy8bo!3fwbrV5P`h8#_Sxi-9-v^cY#Jv(J{Is()oNyc?E}qOzb&kIhfxH4n#U05&cwjXUQ+rzK5SB{5p@o4j%bsd*UYvO zoc_JG2aygiETjL7Q$W7u3`@=6p`jjbe5eL$_wyK0;5lJYZA*o8$r65FSN&wHx86-urjrg1i^K3^Wa@KTXH~} z$8#ASTEM)CV|ipp!ao>2c>hA^(E4psH|~qDdm4Y>l<~(R4{nG;n))BZXWnh`$POEh z*{nk5>L@!F#7r#+uNbTwc}+~y@tt`kQ+0k;rt!l-m8r9h@6+ygd4qI|pyPEV0)1R{ zZlj0Plk@Dy(#(!Puk#Mn&LF9uW!Wfuzx=WrsCob-t9b8*k9oAVqYoPd8{X`q63s@r zmL0l`{zL<7(UJVnY4BXqnd+e%e(4;EF9>5u$$flSpFt(vz3&MOIe+r}x#kU_9+tw- zDnJr!BltzP4~jn1KuIsHkh)>U14?D6dU;&UR4a(l{WVeul=wzK2G~wN%K?e2Bh+Z+ zn1ft&R=5Yds(9NAeF$Xl$~4^~mP7H=@%K{H<1<`FG@t~JVKaP|*A>Zl5Vlm0^oF;q zlJxr}>L~%TI1F4WLa~fEmm@lj!hE(`;b^M;?1++B-$*ZAD~7m6erEHtyZXxTVkX;v z)OJMwQi?X8XE(~#2B61Y-cZW7W6(5bhyfIVaR6gx*A>=6#fni#fOR1*^VR7~f4` zC`OQ`j0L&-NG`dhjrkqVp?XC5bp)~E#w;@==5e^)=DPgmc$&gMO9%vZ$;}TI$vRiY z^G~G7`CjNTYSwn6=YCpp_TX(`u1QZQK9#p%D=(5OhDoeK+l~0{Jd*n&y zAXS$2go@0Omuib$!I5yG3URnED8V3ZcuZ2iIiM<_7m;za1%SLCI8ZVnqG*C>oi<+< zO}q-b#cd;%0#jy5u0lkdB=*5U{{!CJ<7i@lic?wFfvo8>&L8q+#xOgUtiL^H0?B}W zT8fsn`B;{%ST12e(XG}+c*IL!hg_-BMfpY60hC{?Ip0VpU0 z{m%C^;H}KH!+G5~UH&1$G+^IFpF&0$xtLOwX=bbJbJL-N&$tMXFIbU2rD7gI(Xp67IEYnz7= zO)UcGfVQi0bMb;$A!c4p!VvB%qWN?glE(yIH~4E#Xi>dPzWdmSV8OC^W|hrYg=5VM zX6jq4q)$osviD{00JNc6WDSX_Z3RjcNHfqXPNBM(0t)IcCpA*24;ju!78KkRUt0mX z=6VVX5-1SIU;Iw5aWWIZ#{M4yrHWN;(7_#5u=snvOYD@iR%16V{jchxZG6`H>$IdE zT5F_?lV)y;Tn0ID^xV&Sv)L@ZZMM}ZxiuFdA?T*X$DKaKV#>^SM>-PqNxMQNUl}CV z1p1PQHR&gc49&|a5&M?7*c-Yo4T_QyOr%I56)qJKG?S|#6&>sIE6O_?j?&2gfKv0(6mzAY z5?TDGzs~;9j3V;v&`;ngzh|QMsCvmnYVU8+qsGGhJc+i=8_Ew z{*ha4@iq^xBJdY8;wZ%*^Zk`cs1Pj1P4Yih)8LKwLk z*69UUt9rJmId6jb#^$q&!Esxess|*p-T*B)nRqw#N7fDyyp;VS5 zOZ`1Y8H;oDQdD1`6Ws)Yn7%KN41VFe>NOW7)i0%}(R&yXGjw9Iv_xHcH5?-r5*{LC zZ41hoSf23!V)C{R+fX$>D|L2`7dL=L~gvSTrZ`*B@`J%t7- ziViM~=9OIWN^?L4;}UpO529{7R8WH9WMbZTY6-N1t5VbWU)-H_k{uW_k+Uo?M zpP~j^qrl$4Q+(Ow+LkZoZHnQ2qh23*ab-7mteFyI^YZ;TxyBCx!(2WKi>C&_tTIEc`OdguXZJ#mhlcbcz zUp16v{rp(TjsXdYV~mXWidnx(xoxM+HZ(d9t4GY&oR~q1jzIY{J7gqTNuLIP*UrZB zl5I+ma+Ks%$R?th9z=w0ux9mYhGlXsIyP2Vnog;UXY8N5aJsMiznRgKTEqo&RYreuIyE=1*|TUA8Lwe5H= z|54MBg6=$I?b3m5WK!IA_)hXmeqyHn4e2p8iz;ZqeS+TI8EODEn|gehn&;ZPAF}Y_ z@5O4{W7ffu>Jge?7w|rk<;(ttp71ltx=5!dGf~bX zuvkEc6md>d8p&YXbG{F#=h;+F!Cj!k%_NPA>fLfSgbc^r6w)TX18~HkfmV|7myLN1 z@>SA&dd<*1)J;FCOb*x#P;@nfRcJ$!&ZL=9&R6oh*|)>jhI|Q$tL~1da5>d&GZfTnL zc0LW(pn^*EO{89pgdv4+xwdRJe^rKXQ_{Rxj=hy;#oz+eaZ#%*ARhQ$MxCTZVV~5~ zz<7zh#qE~=>vLcL()eD>w_~rmN>3_O2ix404F|46AWA~zknVXqy;=2N|G~oZK%idw@Z?l>`{|hMR|Si4?t@@KWeo@j#VSn z`VgQL=sy$}&@!IhTi!TME>k}p9?uW0cU>iHYXRagscg4jy?_5I)Mo7QJ6ov_)PSU6 z<4fYm6V%A#g@}vojur-d%;i0&3vIf&fnPI!b(%ox*a*Q}pj8K?hK(v3B_b`^Uv{*q zT@ulJyXF0BHXsBDt#IfH5e$he5hQ0nOT(hssod1P7exZ5do;^mI|M z0V6p9C)6o;IcDugoB8Cm5LBMZmywJm6CJ=KVM5LT@hmL~l_dNJ9QRXax#b02anWMK z5nEMIy7k{)7Q$+I-9Mi z3kXsTl=DhU%1e>K(<`0b`2$>rZPkO}kM&LOZmskBWSp>_De&kD54E-S3)&#;<%-~CAL zozMZS5NbPAeso7X3e7q-Tw(-|M=jcMrm8LfnZ<{)EhC!W1y?{aKgmA4LvUFoI^e6t zE=wW6D&Li$YGvH(0Mr`5wYfu_^dCfb=pZ!WLX!=`oAG7baSr2M*S zbZbIq&Jdx%8Nkq?s$puwXICI>sRu?- zQ*<%^sU(M@yY7!IYpX?2CAUJ&%$dkOssIMc`!zmrw0zJ6sbS1J&h0g- zqb*!80qTHst_UyZ4fTA zGbq=I+$%B>^6WTfcmGP~+WoUcj;{?9;wR@M&A@Xea#8rwo>L=|6^L)WbEHTYcJQw` zEeLfl4P2%3+8fDGx84P}OIXqe!Q~0YG6LOTX5t)oM?G?>J!pxT5b`i2J4W|n_Y%T| zi&SVkkLvhFO5d^iSOQa;>V&l$D>h|B6GibztKX3@=s}WIm3H%=TrDGBCVKdZZ!9Ri z)z)lODv8Z)rRMXr1oBitj&_b{J@>G$LygG|$v~Wngc`ng5~}FofC4BaQN51rs>rW) zg!7Hy`j4vLi7WNT-`Wu@HFdc{*6dMdMnb}pV_gN^3kuaMGqDemzgCd{VS7~Y!F!{r zmfgwn5J>fLc=LrAf6$=~8gM*kVso7#p)>XNM4P19{tdL1pL(*r)nMi#hNv%&DoHOe z11RZ@C^u-6Bh~lDayp?wh95dur_=9XA{RFo&8UZBnN2&SgMg4m4E=Pe9zlbEbfi~< z9$|awV@paUUqA$VQFU5IWD-~lzsC8Q)xO4J6*VWo2U~`t1e#vmw*Ds(d0Y8ylG-wu zWARN|y*D-V*Zn8rE#Cc**>RfElqlMiATf0*r|ZslIg}-F;f#Q{0uTc4&NG7+T=q^r zjD0@#xWpi0t?6PVEHKB%;Z+)UUC&UCv_-2n@wp%()l9LLUN6ywwf-a3yNuX>{4PfL zk0@*g_5*loC8CsvDA7vgoq@x*c|JOy?JU(5N$4RV50wBiS)yQUtiL!YA3L)aRYmA) z5!x1+@Iy6`Yq{-<#yy)pZh;WMIGs9gYS zZQgN7k^1|qrH{1`XFpBPup!j**cbSGMx{*j6T@F0_07?1_Ml#G0h z&j}C(dQ>T9umEz}yodC9D2ri8k*fx9c_6hTJqPy9BNiX8=3&yAH;I&N0UUoNT~C?= z!UCE=?V!mHvT#o8mUB$^(N65xm%@r}8lb+Dw`W-i)% zpX)S-o(B-SZz*KOKr#*Q#Dm!*z2?JR z4bZqWIMN@V)BaG2N;DeGN=iY#hiU1r0_N88NlHkOW6n;zf8h-pr~3|!W@IKEK||AjTYoJe#Tr4js|D9~xZ1K@R zn}CQcC`8U4AYm!v1QJ0%U}{PPu#Ws4wMYLuvP;V2*K3VSo+R!C~!nO23X4%QxlpW*L6YGR%p^j&C?>W6ZXps9k+tyLgckU`N>K;T3rc}o@kWogPcdd zzgFVPHWg8pZ^HN9LaHn+A7Dsjf^~Ob?)$Zv%H}~(GISAyk>A;nKi-|70@f8^5|I^} zZV4;fGN2%SH?A=XQp8}jKgLR0QdgnJzdq=B7YgQ{C@e{;J~kE4HRx(6{z52kjRdee z+#o+VD;e?aT)oZr`ydH%!tGMQ@j}0jxNPAiw1wW9&Se}*Cv1Lhr|CLW6(h^yv)s`} zF#&u@;PqS8z7~#>@UOAhCat1eH<$seaIY#QsOp>Ae>lls(m;WoF(cHSU%MA&N~&RNTVA3_inF@TK;4pMWFQy# z7QY79(NQp=JeD#4%Ms~f76@-zysg3CuZ1zUVu6=ExIK4w=K}N$we$VaMT zV+quw*oe0*2ttKq7Z~&kq){|#kFgOZ4U_au`kYViI}fq}+mb2EYq3QA6im#zS$>l)q1lQ{y^(_o$SGio1=aBa#gmA z53xrbA*(7*|59&&qZ^CgMI%~3>w)E;U}0eb31PPcb4Q(F)yCBCPz6-{r?}FSKAF70 zLvh}DJu#~+;{QDcU;MMt^EU3tlNxvwvdc6t1eHEnjwaXds&P^{^Lch6TL7}Nud}A6 zCMPEcvS;}t5D4Ta*kJ5F-}E`D4#2b)vQl3M$fBc~?3%P=@IVx7i7B<>6{+IQ2NCSK zjB(X$2vrRUq(uvuMUYJ5E z6sUs~ah|OLIC2@P9i>pw&?a+5UfWM<2ycJSYa6{(JNln=Y`*;Tzh7V6*!W%J*;T2g z*>sTYxq+!Hc=_kd-Kt~H^@M=P@5}hFEk5rdn{59qT+R4gP^dt(U&21Bx-TXR(NXH9 z&pfsDdU12#FDeHY65K7n+FPA@^CVJtSL#yLf(prhn!4{Zvd?RcNO9>l?9-9fVS=66 z94bcuIkZvSjsd7<+)@xd)bC*%nUB%+;tN}YR2gb_Px?mbb|JAUZ4^gy@L2wAQ^U9v zKNI(vIf{&c1l7bkEq~o<=y){yP z*h%$6N1VQz*U8Lm-H>dD0!}FQ&wRTh`E#?9U*mo?O#vkf>dHd5bLz@Ow2@Y8GS6I>ksF)d*8_g#y!z*&={-wq*% z#Tec#S<-q+Lo3X7s= zLUUYQfDq`#g8G()I7{y451n|^Piwvs;lp+uBLp0RGAh#r2$(Neal}Q;hv#0_bZ1F2*9OLx)FsPdExxWGrryKv{efLx(~Cqy_>4 z11v4OJN8&Zkw1#KU`cwALC1W8+Pv<&)O9r~_ulhb-H}encjkD_P_D(--Hcgdm=t+^ zPGQnh68>d&+tAVBJ6#}ozveo*Y?&_=xfD&t})az*iKWwLC){#4tz_{NsMT+C8H~a{_WZsiSo&ZAcy%7F zR<819FWrYy8DFh;2OR#+ef_of7_02K_5igNd$Qnge4>|fKMQ=|11O8U$QW%p8m;-E zJAFDgncO$`@~PeER3x;7CIKNf)SjOJjGr+J`g((GbwluT41wcFov&{{6es=}jM0jp z;6*sZrNn*2C7C{)?fBV#LSVDOq3n;I_H^194;6UXholT0Ap){dG}^THR*n@2xJGum zay`-7^xAnD>UsGJkb;ePdhE_NI;hx3L3M|cLPx&4HGu1FVnOlO_gqISQQS=UbFR%5 zwSgsIe|GXz_j#yPDo&u?E6FKWh_f_3(_y2R0{3&>N!x+e{q0~+BjoQjjI0=l>YZnI zX>#zMW*bkJ0if=t>e};&ou$q$VWE~Q4?su=%l+*-mLzm5R_}e!>yC@}b)}obwX);g z>EfF}l&#})L$*^pZ|e*3ExZ=w1b27$w{p(CZ`=>QU<~%|HD`BqbyY7^V%V&UKLyGV zj^q00tA6{-fz6Uh!pd)p0nAV$zigk+Iy=vI|J|T~rvlh4WBPNQ4(~)05Jg}^Rma{_ z)!A4?l+L4m{-=CM8?F{Sp zE-{15n(db67w1b7{kZt!#MSLfAxU=oSy3uT$az28Xx9-`qLT!w>bTRp6?`2eMctUT`g!`iG&%5a zLlSU8+|5o&X=1!SKhAqT|8wnnG63$tZ!@Ii>B+y<;q*Ar<-_x6VB)>I>nUd#WPtkD z8t2^+(U(n@jQ}XXxVoO>bJp%M?~`K%`4d)!X%jfW%dJq|zePJ9yLcV`3LDv;F>*Uz zhju}D2i#V?iyUU3&$Xnl+g^8GXP@N~ti3m#XZTaC^KMyIlfL z?*DfEv+LaN9O`0U^xf-ntDm*=VM`pAxbW?^vVH*}{oMyx-;gMp zCF1vlt!>~0Y*UGT>Q*g@ueTik+vaAgt&K}FU~OKc27El97~wme<)(Umwuv{fo4(hp zpH)bc?=|da@0WV@X-ddjX z^8?$l!>B(^ummr=6D`+D;z!@H{O%-NY^q;Ya)=+dPpq7b*}N z6N4vJ4)129F(i5>2EMwUmnDRJ(MZ8!$Y5Z_M=kI9*!|GH7GdhUt?OND|GCk*|8a%s z+txv`1;u%p)BNH}rX!oOknq!G9ppkzdl6gsf~ zntg`V|Ka*zh*IiPb|%;PxK*dl%UC_Eps;A#`+&z<0BN8LiSA3fGBtQ~mkXo&(P}f| zx&Qr1&-Lu_(gXO*$+c$t<1ovvGj&d@n9D;L@rz#4%2t!%m$Sp^tLJ_`9>;3sbM59I zqbvwM>u9TY`VgOg=f%Z8-2U7ZpFc>?&GixHJLNo&O_F=i=BQp4+NyULjKJ*lj> zSgRN)JCD=P;q7!P;5*zo&|gnd=(1)Kp?-RsF$Lmi{HS&_N}72tOsZ{YzwYt#dHSBP zh~;sA(D}q*{@40D%gf4o#w=>g+x{mfAZNey!VG?>dYD|7aoOARA^zP=bTygKi~^S^O)5sel)rMeH{-Wpw$KKJQ*c)Q=ZRfr0q9U*j-0Pf$zz+&ym z2dA0mf_uN#cNg0nxP{axMqHt~g^6dbt78vG!@KOSGXsYnYxZ*VvBHpRMX1YRN!8i! z3uep9*9R-tq=vVB6WKonTqha_iRmbv20ONv8a+r{#@JIVAReYiS&h3KPSF!feBYHE z3ASgSQyB~1U-G<0bakBFm`6BE$}U?cw@^iK_kAf|UMvO-J*3|+OkA#zTCd1y&u52> zUkr>cb+_ZZ9(IYP(Y_<1K#=+ShT`z~HOAAi^;K{Ox#0Cin+7GfX|Ai#G66$q2(n}khtw{0%*`KCt|1mT~NBjO}l##l5 z*x`NM!v@_GOi5G%>aG*+ii%{s^VKr*@-}l^8-fF&2C9F|Q=v=t75fkbc9x61Jmil_ zL;~0HPTmWFPKkK}@7(ME_J?SDYi)CLL#{)b>$mID{TZ<$`alu?gGfL-?<|PQ&<m}LoYI>1^c>F|twp1UwW1;*N&eC{&sYE3i)W+%Yc_g#lI zucZRG(QFtpxK~g2ow?R>c5yVwJasOjl7EmQqY1YKu2*8FpP$*L(-9Iq@a!z#zSqh3 zq&8=-@P6jlT1E!+(a7S)J0onZLgVG@Sq!YQXRS-_^|m_d^WHm;z39CZa<|iF)X!B+ zf%+|vli+=nB{45LIOn64O*TljGL@uw(A2bbw(E@CaMvd%B9NpBpL@sRG(IR^zf3=q z<6lY0*?$VOvu!3GJUWRC?Dpud;5|=Xvo7^AK?B9BM`c>+7-5mYG41@)Pl<>2O)dnn z$y2M_`yjv|@*fK~o*o`CoVfjvYDy0%+A|UQ@`@$sv)c`Y3Vm@k%1V3w>*Z?nXdDVv z=qrGnhMxgmaivxLb+$8P-%IpySb1h5Rywb8Jq;@i#yz<;M5muTSDH*DwcR=~y;Mr1ojgAyd4^4}WYz91 z(0LYBdL3{z^^Id#)-&}5p=>HDE>?^wUS~cUEGdra8>zdu_=6~2jkD*4xds$wzgHOT7g*HaW30J>;S_-guN-eTH+G?8nE{6G53 zJDF^3HmyoKf{NbZTVr`0G8p*9ZMSv8L-PF)8e)P>c9k}K4+~2w^w{e z5=)N<8g?C~W(Mutj0zq{Kua(0v=jp^M=V9X$hOTiZqA=r%WI*KQl{w&4H95xOjq|> zr2n(~eE)s9=i3Ji3;nNT60^*_jUEARoh}cXUB<3s`llqfGfqbfeIcu>qCggAowWWe zaIB=Ftlm}Iwi;>tZzw}M0Bi4k2BJk9(iRF})6`a_R$Hly5Wqh)NPA&XEGMa-BU03K{3H{uE7vN4k+jQPVXG zF^7x=6!O*bd88Wu=%X6K_M$dUN4nRjl&LfzHUA{PB%j_M9V84`5PaQlN19}dAXJR6 z!rGeTec*CO>$IZmP-Fj6oRT>z-(X5gcAXiiKZIG?8Y)`QmPSx2;wd>6(SNHsLi9)b zU^0)Z+EAJVuG0YTaB;*S<=2cP`Q;d4>c=j05k78wL+#uqK35Sn26m2tM6TjvS6pY6 ze!jo#hlPdxJ9Fo29nbcTKFh=&E2@>=)2Yn*cZbRWPlbt}IFPo-I455gnmdcYw|aT5 zu|>3me$fx645ip&MHD~AVaU0taKCdG%=9m)`pt3O625BGx>2Xn#7PU=P*2y9Aq|C_ zHj-d7%W3U*sKqmsYGy;@P}D;v!Sq`f5;d_gl+M?Ika+&g7Gs0r(1Sr*6Shfz6{$Gm z?V!qORw0&I9;zpEnj8k(^s6#oOU60Flk5#5^u@yJm{0TU>;S)!&(HAq|}Jf=OR>W`%>0mojvsifb94vM5l&JC^^SOB)e9H}}UsNea=y5O8aiYum@qes!+_i{|7z@2h$~@GI zw11c8R-98{;KcIPK6qax>sN_F(W|URuk}tzc$wM0yX9(Gh&wmg4)oPl|_Ryt30j>5E%J2MHzOF8}vBp01@Q9RCL?12J958pMOsqtnx)SF`C!HcU=33*WI! zlk{I;mmq|}1rF?Fl-^%XSwd*Pan2BM!8>Fiv)J6)<+c@ER1!MGm zyZ>OMs|>)*4GIl9wmGc&eHT*Utd%9nQ%8P_G#)T|e%X~qH;2nzf?a;G6w#*nQlGZR zuH^DN7-rm8;-5+~cQF`r6cZ=u@5GWFAO)8O%suQquGW4nxNE44l2FO@nf>z8GvsbHlJa$a`tmy;pA zfCOkYW!^-$9pnn_^?XvEhh}Mt&M);jHDsXoaB%31jLs*A5IV>j6mN*vK@)jIzEjmr zT7WO-^(Bjkau!$kOK{DBS!{9we&Z=QT#tOGUTtgc!XEE+>&%k}<-I;&Gk$wI&Xha* z=zjAP+PTk3ODd4zw{)elf+=8H+MQ%ZRmc>_JJ;Z(EK>^uhKS`WcA1Mvk`;R?l#{au zMG}W`g>88{5>$a-<#)?z7k$raWxz52q_&`(qcbYw_Ag{e5FcN006P9s=-g+$-3Slk z;|Q!QGU`@(+DWNKApTN_FfcqpRN;61^&|t9fPi#9xoaFAk<5p~oC z%Bu+90SlVGR*8Vn;l;K89MpXHlX<-n6Qm>$0cr^;CBtolnQlbgs*4iS5>KGvn$Azb z4oR8ukIOOkzL7sj3X+&LXUaN&+2If7OKn?!B|AXC!1&K+p*CW_+{B$+mb{>yBwMHm zNLLQ840)M?Cx28K#6dI19cDCOG8 za1wtta7XW26u){sv3=P}Id1o~T~=`&d14Ohd|?Qf5&FshutA8+l++~*Qi8oGoi4&i zL@SIS;T9s231lm6bSIF@#DbnzNzy%Z%&r6;Zg zJK1 z+~?pDyzJqmN26=!g&Pm_I}d-N1jbOr<>`3Zc{N+E1htbfeR;qTm!PYmZ00oxr8@H%e_@aV_Uk89-eukyl41y9lJof!s||AP~zPnNQH2Ns3fY z*|Ps`2N)~*Umd=l z{Up1z2V=th6a26weA~bIw`%1MbG0`Af_m6)3J&Jq_<((%C#4@6aqiq7Ye$RHDsDW4aAUI=W^n?{mMgj^l^b2j1TmMYpI}w+1jVFX>9#x4RLV679^c%&M_uj zBK@)|bpT)l8@Oc{-iAz&0f%bo4}&5;(8ad3iY2q(CMPjVg?nOcB@A|t{QP!xwzUl`^lh~atp(R>8J594T;88gZi=ZH!kjmpP!Zqf*qVBL69)qVa8(8< z%miNutdS;>dNN%!Ex(SDqoy#~s`(ph^M6?UpPmaCke;6p2qSI1M(Gso)Ctvr%S4Y=>iEO4DWiHPvPe&bNv1=5ck}ni{l6GM>8igs7;Fy3Y zonp6N3*L#WI~i)@Ap5~Z4Wgn#r;>#vqeJ4ESmT^sZOnP$)104gRc0)F^>tn`bx!I_`pjoReF;k`h*Xx%5+ZO-WX-Pldrr8z*9?+;C@$BjM>&d&=6!HSiGBd*ivg3 znI)|t*JSusMD@t}*LN!`*2>KNj8xCe7mH#V8$FmM(Y3Z)eUFYyPC*YnlLA3MnzxxI z`vBija94|kLh4U?|bH83wdNI7wc~2(3rrM zi5n?VrM;ytQJ%UE&gRaOcfw|Wsi-JOkn35$9+oeRA9Pew)E&CnM!4xRMb8yV@t~j` z2gxRq;I!Z0?F~fak%Owv#6~D_zGIeGM3B;s2!N!D5>%izT+Uj=5j7N&-+W*^AZqOO z2__hRcT=U`l24Z>kS@_7Xn=3;r*tK%F~}Q?oKgFl?5%~OP45Q?CK7H4zmdqo%h)uc z3g53pcpIW%)9znzp$6u$gA}UTJ;xh7wz+O8{dXPLvQCR&OypdeywOiD7QugEKUnW{3#4%!)o`uqdY-6OXEKc@vsmkX>NG0i z${BFg{q&%9MA>&8EHAE6ib8<|FL15|YSANoi>|1CgiSSeDyWB0^JX1gf$3h!W#-dc zcnOU(;)C)rV&7VBY~%{I!?vaZNv6b@N@!_(dWXiJ69K*lCX~;YOD;9oYPk9);dCb?N(w+#f!LYYKBMq+TViBqtUrAv>@Izp>$ zu)_1TH`E&@0*@Is#dfB&cgEE!1M8^fz*8ZnT6T!NZp0bGlK|6#KVH;0flJMmrYyGV zK$WJHuZTE3v}}c&=dRl0%$M>bfm{buQm7?q1{jh}If7%`QcZd4ZQ%Sn&0) z!man_5C4bO{k6-Ek}hGv%-oS5%fCZHmQhcDg~h_FyH@g0Ce$q%=p!g{Uz;G5gIt53 zsI2NhCc}|-u)uToS5sQN4I}X`C3U8?o-;vT)irNRBBG=l4e?G6SHYRdbH%tNTwdVT zDq3%e1ShL(g)-#~e8=GJ0YXqah`4vcYh}4dEJF}yc{~UGeggLR3HK*dNnLdkwc=WH zodzEhvgSYl#5EDe4SO|Zkt+OH%p2gnKfxlZbiy*LK~7tTp0@vyTn?x5Rc#ZJqC@`s z$Kbh^!0$%XR9j)$xw)8j;5>3?Hi@de4H+-f z*3(bQByfzocG_0|oBt}8nmRnr*Ze=Y6sd+uxmv{!3*OaH5aL^#YoUIWHcw<41&5vm zVCyp)rX=2mFH_B-kr-lFQc9ur1tD%U$97zJo#LagFndOWgvt^8$Ftr!t3|lG#2U7k zdwbt^n^w=$+i|2oo_%K+z(cJWgoo$0h9R!-GoWp8Br?aW+-y5NWHd+q{$nyW(N6AI z>N}PG=E98OkZaQ^mS9|v9yF-8ukIL9=c^rm^txrD?Rw|f^HgTQ@li!T_P7OHNn?cK zT+KsdXxc1hHMTL9oZV#wqUn*UVJySOAt$@d0E-9mZM^yv`cKlIB5-B+RQ$`%KG^z@ za0+PKCE_}r%IyxE|0f#%O%q$LRy|bYBw&6Mx^gZa9Bsx3fRQmRO6s@kq$eUr5NH;glIZ(_(1*`3-}4(O z6m~|DPTS%^F9c8!g*+6+Bo>wF<$*F<3tZ$kyo+vvT)EkakT&zPFvMGK+kFq}jb*R2 z_pFRoWVM#5c!6P2K^HuG)%?$%YDN+t|u!6+UN1%pnf@wrK)V-67nM_e9VYq%4G={Zq^6|8ex+(s!ul>`y zfL&AumDX%+B_15k0JEWrZBedutl6!Ea@W%Dw~i8)xKJ)H!=r_XM{d9yU5^m|GB<%E z`zvC1VO`S7%R3pv#$C$?_2U8WQ&pkRZ#r1io8ntcB}oWAFWwo*9#wr4YUAdhHi-kT zstPSp_xbss&xUkPU)mr*Z^2cNjrrnW^s-4hGxu4UXD1kSHBcR*J zZUifrs(gHkcFxL)ugo6S<0bi(!Ew=R-7!+f^g0kI19-ZcoF`_x4>ckC*7mErj3x?e z=Xc)xs&z=cH>bApTbvhr%wMM~7)yZFP1-4=q-#87L~G%5`X{VxAV#TY;onOI43TBl z5%S_a48k_V!w@D#75fmSnh5Nj;B0h$DiF$9*D>el3({#1dZRMJcPUbT5LEF((Cdy~ znT9v;h7bj7;$|a73^$!}A+3abwCrNkWFAS1H)S^G| zTGiBfLJycjLMx;`Xj=M@4Kcr!-N*+HD;%Tspo3$~x{M5Hpf z3`LfflcPGP_9UBnAS1I6_2@}PaI(S2d;fJq{{-FdsC{5pG9{}FT?Of%N9evj7V;XMvR@Ol z(Ki&-ymr0lv8H+A%(4%_Z2;Z%jnt)EZ84i|FM{=P`MZB)D4sZ#jYAFL-JtzEk0Ob6 zL7`_bwxs=n+xdNh2c}0a8yjP6b_q1sW*P0$+0w$t&OVLWg~|BaIxe-0qOE>tCuwko znS);_nwc)!^;NngI~Aj1#?hdE0YURhPkZNpxA>L;nO+#Jw#aJ<>N5vV;c-1ElxSkJ z4O|$~hlJa$Q`Y#w#SOPKv8aYZd_IGqE=EyGRldzZa3a{u@if?845+w7--wC?#eS5j z$j&+{9%w>-rfx~0dL8imp%~+D)&{GV5{wvrtQiTmz&j@IU;0}{rp7yEkbf?%2g*hA z0=W)kbXKSOiNyypsD%dSi91ONnPy%I`T2Vt4kE@FFU*I=Z#Znm2vpYg95Oejj;^;I zM{lj87n5BpzN~OB-rNiq)5G{=Q4FL)^@}KrSfYMkj zy}m3W)51Ni28TdjyYd`JSLp&{MMUF=;Mw)hflMr(xvSN~c_@g^QGeJ;iG0*32&E*c zhDlVy9y<QbX;E09T9=kaivYjkRBAr`Ret!R>3$ zaADEQJvOyAAGQDynEOTqqp8`m?SMQ#a=Nb_9-*SO|6oVGaWu`S(6vK_=MN=&qbvCe zans02c1a^IF0{w*#m_C6r;YEx46AlSIQ!eyGiRoXQDmLe8G^6sx%ix@)X^ZNjzzoN zUM1XO_Npp7eZ5Au`G7Pl$9rd&@`V`}?VF9vt^CoJtZNPqhQsit;wKmG(F`(BU|pU4 zdHa2NB!ZZ##x3E``J?48xS!CsB{S;nX$OoOvFq9o?53iLbZ8Uhh%E~*KdF7ya&nO4 z2QxqveZeHKRt>w%Bku`Loc(kHVU3X;z0*ei1LL-B3EAq3OO35hU;vgT48k+ZShMVH zx~%*`d7fdujWMU>3#ewo&YpF4@i^}WE`%Ar!6us=wp)GvHblCBmiQ}w2o(hD+}{I9 z{io={WaDN(1K7NJ>c#NMwCJQ-hm1elYW&Lwjy0!{Ef0cei3k)H0{ZKA9S*;aQa1xDkZ1aZp&rWY(1X{APPgFOP0vmJY_%bsYog!d$&!cf z`C)+16;&OXm?T*B5A@O!+0F28ibnIer_TKtH8Y3l|QcI2Izvg&WYt1+xEDSBwjB`pvMidFe#d}vFk1H`1~Kp%4?)gi zAyvMn8(D(QNTs*DxhOu^e9h%^dD|&JVu%fKc4K9$x8W3A1i8)T&5Tuk6>mEl3~?h^!?+7`KaQbTyEp^4P8xD|<2 zj-Iz(43oZoT~Fsko)pxrHj^a2nW~E-fx84dw6RFkp1(l|nR>tj?&vQIP>h^AUfZf;xjX+NoKvQU8McwWNFx^FGM4lwYH-?dU7o*O&gA zo5_H4Yavg_8{s=w)IO%(_U)JEL1SU%S?8y3`VOzFnU1PfN>~sZ=F8nCs+f-(A{Z0Vc!xcuC@vAWy8P=stew60U?R#*$kGbWJfIT@RogdJUJ9 z&BVgk(14jsy$TO;U8Xam$U)o0I-I_pcfp-o7dd#}8jWaDJ|z9r!SQ&+cq`DK?}&%* zwfuB@78#i}a%`-qyzcQZRbuSlnyHN0u3$#OB4rLmPjCsLT|YzfN@0z*8Vt^U>`m;# zp$l|*1MijCpCYx^k6(i3Ok16-2>Dsyx!dim7^U>3_?BrIs$b?3*I)ORI6*|KAxZK< zkVOQ`vxE!+prSrPu35({7yX;345pwn^jE7{D-aIisWgIgt?Gb5bCGts7fqytc}JK- zAr^Z%mQ|fjDiJQ)uLyfM<>a*`9`(M5>7V4Hb%y`NG-UrNM&E?SM%j1Zq8m!$5vV@$ zL>GQ1C{ziP`jU>c0BcHTs8uAEv*Sb{Kj^22k_=+z+=0L|QS8H%7>>r0b!j@QVNT&V zp}FXN8o+E=)U$txmxr2zx2?v8U z`6s5m*ZEsC`N@x!q7n^<(Ex(dj<67@$D-Su7d?+E$}DhISPCu>cBI7ZPOne)r4l(` zwU0+W>TZ*O#{N>pF2RZbjX^VSl?GFaXCFlc*XouySd}0>A|)!bl!T|7%!U+|hKJ%ts(TWW(HOemxubsG6NSl^k{@l&4~+xTmJ~ac_7fX9LjG#5|I2xXfVC^dAe! z2o5=zNY!;Y@OqkMIQIKpM5E@6ck&n2RTbvQKa?>6-2AfsPC0)w5J^S5ysn>{?7X&; zJOIBM?oINg?pr+KAPXr}6`J-4cG0Cz`)BJtZ8-s)jQp3MiHVf!Za;5cKl)RU)NXPl zO$iSx@zTipN#p;>DKKeZ8*?I@bpz$>^LJKP;C4Y3e?-%IQlcWg8L}Bar2ccO0E8-z zFOs)*#e?_C&EcEFP6oG>Dj+4vz3~tk%|rPyn+>m>rk*=J>7osL#zfdP@5yrm7p0)l=v4V#HS6rEA8wi-q=rQ>W$-hJ z@LL^L1uELhn%JVLSPsn5wiSNEn1=`}rqs`~M${|C+kq$klaQyP4eGTRl0}}TWA7fx zo%P=F8L-so+$*KMS8?2QiX@iH<)N!FWSwAKsp6$GIy@)=5d202WZBX1~*>aUNDleRH;d5)Ov3jU^DlY?eZK2=XH87V-?E z-PS(`3Wbjd)>UJF%S{1i=uqwjvTE<{+(MQd6>H+|l~?4UTi^ew?<6Ao*n`B+X4JTs zk~Hi1zz&mNEdF>P%YLUtJ`~x8&Ja^p6G3a!PTRzku2+IFS_26c<2!^Vt{8uc0PIsV z(f9{8qnAjRnCq9TtBM?iB+N&-3o}VV6PV{^(av41%h=S3XSm7PF-}$WBwF|NwO3kL z6VzJRA&LQ5&>8x-8nwQMxSq5OxhO|@rzUIDmw8?C-$L0y`we(TCw=zPt$w{pFH@_8 z^&d`P94BDf>Bu?hC?$#$FDR-ONr}$j3`yx$343DeY~?H%0=*Tfle3{W3U;m5vXnIu z10kxzJFTFH5oq!prf5OuKV*;S| zGq@MsetK@fH+Q{#gFdR^Th%o+JTjY{IQl6&%+4|Dyfc^stirhYL;~=;*z%?QV&GuO zkhF0+41H06g6KvKxM)=`K_hv=;J7=r;_3pw_=phr+y2k9JCnv z+9cLyDf1pq*&)B^0il?pw0`gRU~pTO4IUH%>If*QOH}i5tk!YSl3ojILdJ!waLx2` z+pGHV(ri!!cn>62#o%aN_TF3Trwr7&A{^)QH2Sq0hOsJy1~D;$e%hF`lHQ`qEO-^oRHhNZU;PAZE_ zL9WDF;R2NUyt?!cb1}r~tbDUdpV}JB{fC9^kH(v<>@rkPK2;JDyfv;@<8*GBmgev6 zLV3!U+Z?qOmyhP~rr@a!vr7e)|GqaTLrwHUl7#p|s;(D7_#3>PIM`j^*KEcY=Hq5Ajq{Nj>>jtlM(f?tS2Y#YNMnr* zfk3a!zG+zN!tuCIl*k~Rrq|c~2@WMm@$LA1rsE*W$(W;}>NR(L8ZKcIQhx87^z_33 zw_EP68jDdW(HFI%c!{p~=LQq8J-b5a{0GG<%WrE-mKfXBasUDT-)I4(XFSZD$qu-D zK`*`*qZ0cshbECVzhP!HAIt0tH2q5)yeNsp-=RxG*{u|d177LahlXVYCs+DY znyPlrRA%A&FKavk3@q|-LT}+dr(F+)&1ZE@KP+`skBgl~e&rjyB;|c!Ln%|#K)3=4 z1>C1J-#raypsZtNhA|Ant@kxerh-_TO=U+H+Ul~v`3>lw4D_syxgxTS7TPcz++eL3 zA^Ix5?lxDqYITRY4G=9#E#0PmnU$?-M_?;%mP#&{3i%c;qSdI+B@rK?53XVZRqeNS zPPT2xca)9`-WhasRtgRU71NIGuUx;fB&X~kdAHC5f>MHSwxeI0cJJK2xyE!7rh~GR zExZDu+21l68sA>uczL8$-OpB*Xj>Eid#CIS@#jp^R}z5Xk)$u~9r0T8bM5MVz~S3! z?5PO<72jjq_^&#HNky=*J=jvKhz##|zu_r*no?xe4$|}Lg+JB>UW}juJ|O??C0iz7 z_pxAWB;lB6@Mxuh6+1AjbclIosJv&(_SS6rEFn<1NexLcE+748SsydRWtto*!|W1G zaH|+oDv3PpLUfZB-y6g7Qm7-vt z6d4&m4HDEX-;JlPLBhy4rZ_NlW_LaDk$rkzEv1`>HY=w=JXX;l5Sk%!jHMLX zssekuoRKQ6q+Xn$)e@}1AbimcRrIEV0_0pbv@q1Rd4H=X2cm-jYhI?Hcdg7O{`YM+E#_+-a?}SPp85$Xt7ch z^iG`ozmxxl!qau6uy&XYs#v03(2{fErgUw>$hFuKl-5`H3oRZTqy@Pc__aiSIMc;$ z@E!sQCchE8vb z3nVF`qxhG9ajLTIx~KPz;NQTNp#Ei%{|b~O-;XzQ7+Jnr;$aOoqQ!!w_*L=dgs@+?4~u+%urt3m1r6~k^5n%h-Zv5EgeT>zl`??6&iZJW;`bw|r8(Qx#;FJx!?fm`eg#@x+=+M=t>)Md?4xpm;raN_I|9dM` zVrVud1C@E0TQ3{>$O_cd<@}L^K@b<839p*2iEJJa*9i#?j z`0oj-hfqipOSibnlKyp9h1^nY7-~X3wUMExllG%MHP^r?H^+``Sp+BR(xW{^lXcFc z2U^h=(i?mw!Y^#WVVjfYBmX0x%wR&$deDYqTX*V~NbN?6&G^8XV*T`t3v=<(GM!dF z|H;j1wqUhL;Wr6oi5QD`rbxQ?9n zbmdZaKQ4$P*hEthjw-lQ4y*o%;8I(JpzHnK7XPCPs@vGo@~BkHH(H+|urDM0{rIyZUg^VI4v zt;_2KZD+$H>_vnZ0p|lbOmV7)8dEh>$>CRKeB^y+-N&Peo4aS_bg@2GZ0dWXgmAD zXjB{<{GV;bCzbk2kU_AK1un{L%l7c2aW$6Vq`kT>c*CW-gRQCAh zuG0xeL+5gMqU8CTRn;f65#qPcpXw{f_bx#P{uF+A)vJG$@mRCYz(#W^4ZoMdclT=l zUSRo9TH$Iy!-VDnhDo!&OMhOT3R@?Fmkp2F3G~F*e;~(jS55-WT0u?`~6;`#Of9# zN{5_lo0GyI1ky6_(G12hphX1&t>v=qIT5WRrj};-J7lX9sPS44XInjsavK||rd|iL zULx(G_}Utn#Puf@8gf7&l2N&j;u_SsfADR@$=_aH9#@~=2fPkoR0KNG+Yke*3(;k@}zxRxo-Hwy<{$?477K$ zZhd^ik$m)uo1D1LCXy;dNNc`3ZanhL5Vvj2w(qH}vCGpBf+Auc>uiwCQO&QqEC3tD ziE!1j@Vn`tB%vIrcGUvMhkqNzJ2?8lvYh%oi1h9AMAQ4zM7zKBG7o&OV+``?&B5eh z>MA=AO_d!z{-S_>Wwm2I)J!;60;?@OC>Ao16+FQ6X)QTkn`}2jQV)OwW%1|DpRu&m4FIp$RJ2H=guhV z{$Zu@`&Ym}zi@v3D?yAnW%wD=`#(XF6SXDz$fMfmruV{L^O$wSydZX3)PKm$8vfU~QNWnCrVR8%OjH9a8T+$3!`PKtmN}5@_aFi?y(D{auHRAD`uQR5gM~}1}~>} zH}jn-VIS|Oukax@tO_9#eIbR8`3K)D5^x}EDt7`HMhN&<66RpC#i}_@?G9n5du3MK zRg3El-B+!OjiG8b+AcGIr4rS2n5dVLsm*VqywJ@)z@SIvQtUB&-yRo~F&sC;^*dE= zMs{=FZNNZZe8TJKk2sDYR^eSy(O=U7lV=eM#ozsKqjr*v;MieK^O;4Pv>aod~q!T~DXkbZQEp>dd|L;f;c%U*K(x;18BhEC!ZaT$p)qK(6 zR-O8YQpM#e5x^l;r4Ijce+VHIywrdD%Y#_pA-+In^ya~2G3as_H^5_eDKXmHb(*F1 zO?LGXe*R}4n5D#ldwM83e1`!bMQ!-*&E~$jR3V;0r3Ux0#&|}76{^T(;kmzC0$eM&%^XJYh^ z&g0u1_!Ef&N@Snj8-Z>V;28k;1$prbUPIjO(upaz_A;i1n3JkE5O7{d*!|Q0K<%J! zD|ljV8;t)~siHhzT*$vHAqK8?`HyysOKG{@Dt3W%vJv`qKxhH>Xo#koi56kN)o?1! z$YS|6P#`L$guQ?XR_ye@87WM^*uO8{$U*~7w7J36)JqPsHf&!E+y;_1V@^}97Sey) z;=J3VZgT@?>4Oh1WR8r}e?A?BAi}UT`uc5> zNKz&OPouQ+ot$T%9F%Xv6|zr-i#-KQhl;WM8*$>5J?!#L1gl;fUW`tcyLv( z6ivLMU$q~rS(OcVkw1q(q?H^>YxQt(WJ&@PjfEdN*)9&SZ2*#X8YQ0in76jHy9f-^ zN4zm1^mKf9wCkY_#QMIpoL_VTeP`#p!u`3w-90JsD(xF%V?8&bFec&y6Xbt_glBfY z=EHj2ZlVlaDbl5>Vi(g@*PyHn0Vak~YTAN>Moo!jYuRwFP(9vp7`ib5$CaZs1L}mmtbUyF z0PXt!Rdv>3O~2hAA5x=1L}^e2Bo*nFR0+uu!az_uH(DBl93dewKmJKu}(11#dvW&4nmDr-{DWAal;yuAD@vsq+ zXKxe`-hU8iDl~cT7-qA+*yi-DT4x^I*^VX4v@J+n(zlSUt%mklG@s%+SsPFhbSpJ! z_N>&Df*ofn*BsN_g9|-JRx({%3GG$&WzQI1L;al>* zYC~#|#gcP=dJ)Gv<`Oh#6~c#2=@+(lYg{A64KU}TSS4AoP$aW8JIm;XJ;Ors#Aaut z-f2(3=JY}1PJA{d0av(9M7mYt|nq@3_WXyjAu?kzi6{9Dld zKDgqB^r3TxzFeI@Yx8+uD{$AN#Ji?FR+Y6hMY^_eIBD2WqiqBDs^j?5v?tLjtI_kG zWyffuwnXhwGINF`t?WV%pRZvxmdqHTnw`NR<&fq6gtYfpgD7UX`|Ahp48Rxxa)a|1nw75lx(+BsKLaHf3=Wp-?q3=rCWTV|<%;hf>u+dv!na zL6jde;LzWl2_iseu__f=-k2rjUA;YWtHTDqYBChXQZMEN!Lc*d(m2UfSxFm;?-QC8 zXGbMH)*%9Ua@mnUK3*8q18LR+2OWjJ-3v|dXhSaCV72~ z5UfWRz;}KPYpnIW(rQ=6p6wMKO`o1lK>5+~D5|~y>N1KHMG)`!_zJ7EH%M!Y_i8o$ zKAo1@w~$U6kw4iVH;3+89=E=?IxAbt(0Tmhb#!UaQ9C=J%|ECn-OSU>dHw<}$>Rz! zh+RTW&;)YVZJ>BVdtH20EAJN>J}8}KhES?Y!)1_3<6pBm)oEg#o>~UIYPv)CsO0i_ zBjSFh4w%}=peV9T&!aUk2x&Xhs|?sIudJ(n*Ei34&s7|`3KTvVYeg@e0G7#ZWE%|r z@{|IA4s_zZ28IjKgn_?m;R&b?1@aS8{^pVXCOYFwK>sc zRWXY=5dacMzV4wpXFhTp4a)&KtE2ytGx8HVNcN1gp|=R_VQ%xlf7q($aWg<~{JGxJ zJEO?1)j>R8kwTj2Hv^-{rGp3fKM3{Z?i9?3w%_dWmX8axl+rQtrf;?rZ5GM|{~@^3 zGGL&N6T3&8{JyI)VU0S>&_^Jm7qxPNB-VFLBVv%ghG{1V>bJR>f1ee<9`;mT)A-7? z&oE1#qo2XTl`CW@b_z-T>oWHb1XXri#Sa8;h8OJu91$P=NLgHii6u74aV;wTR4q9f z8$2=qitpE%2+pAlsTKU2B;vq~C~?+*cki8oaL#44Rv3zZ454GN*CS<>Alpvt4mAn7=Lw7NTRh7UjXfxVIu}AxCebS_%KR!vnBu z06xbgz83n1slGXC)8~%^O?l{u#1=4-dgIcon0#{2O3JzE(w40wnZ!^%*{sRobf5b`jdhe2(HSv`(Yd7|$T zMSNV7KM&JA&?Z}#jkr+s&tp>BYtz^1*I14~rr*>>1T75|mtD477F<`^W_ew4KA^b! zX^{d^Q|Z5$Y@XKv_9opvX|}>wF3N1_AAsPT zh6zy06pgY{2$5RQJl8HMxI?)T^b1C9MCz3D3`R{sIbz~nBuHtDrZy6*mr_h9Qwqy7 zR&q$EygPM)4cbo1U)S4d{M4pFN~oB>-zi868)3F&8{oU#!+AwI*XK@QU_(tlq!&am z9hHB=Tb7jHuYS(AOo8ak79j--*%l@Ne{fg0se{Ir=q)yH0IR??oSzJZeh!861Gyqb z#&sH4w{i>RtHm9ADHxUVimRzhdu@d?v+IETKr*+wLE$-?pnKo^;qHflmCz{fwl0IM zcLN`mA(Y#kT+*MLwB=he3fR_8^OtJ;+KoRf&a8j>4BuMu2<~_RlgWBHiP$7-6YuWC zjBCV?Ir=5t@P<ogK4aPlDfup%4XB)EfVBKCAqtJ8^=C# z0W#Q_$EcM|^g9@f zlQwmpCb{6^KXA1>ib1PXMS8JZ3+WNG4tTF`+8!b6 zU;hGM?r9%1R{@C|E97mX=YY0P3752!;?U{?(QExYy5*Qli|8EkE?D*H^#d}{65 zkrX|4D5V{qzhz%=ZdYO12j3H2;Jijdg>G(?q41d>%jimk)DpL8RhfKuv~?}`RI;f6 zz*my!<$<#&!4Bvk|DO2OrlCeT`_qOASL0Y~CQoP>vALpe%q{7a zXGWAA@^e8%QHwsTyAIi0PY?HTF=?0kZF&-cUvwd?r-A)^f-;>1Pji$Nn`>@`Sb9QXjH~w7#JP6OCK0#s`sUv3po^Nc zLbj4S`p1+;n$x5cI3zl|eEhYkgOu7u0A-u=-mni;*Uoy>d0sYR@*CVBH~>a~0yo^{ zLgS|Tg^#43{V0o$cw&Olp!(9s(;eBy8Hi8KE5#K?@2cFj;80aAR=PZRPOQJtCTjcl zYy~Q=3J4%nf6G0b;3(cMxs;`dIH+9HtCpE-XrY>HF=%e}mY25n%Gn1Ql~FP$sXXfX z1LZdZvQG#TSnG>d9YPYH_fY)5R)f~lbmARBAqb-MPq9H6 zZ%ijd-XaMStRaj>xY*$4(?aIb!dJDrlV<*u-pM_Nfnia1xxJuYR1$(Tiot<8weEmv zvFhSLlz+3``+Q^IAHyA}6<%H(ZM?)XOF6IK<4;gZd5W#(Q4{9yF$1FpJVgt{XF2-d znh7a(t?nZ74{0KT%B>&#?3*S8gYx5|Rrl`G#d`^!caz<4T67F#WjUAeVB(Uw#GMe7 zkET^Fp+$*9A3Wg;`&{gyClX?y+KY6{vEl{lK2_Vh84EDSw4vZKc*GN|7?K-oX*CT? z+LMUkzq4vDJVAQTK$O_bo89?bbLxuH@m^0W7NL1eUt=Kv5scx07@YA!-IxQGo`ssn zy}iDCl$o;rK+JWts4 zw6nhdJ}=XuR}WL+&blmGTqROwjhlk7*GidBoLv8w*}wJf@br-a7ypZ zsvA~ME3|5T*Q3YC4yJ`rL&_tdjLjqtB^b0|slhD82b_ALYQ6h@)IxmhAw%q3YWqR( z5CL>{y5TWtCI~S;m`#D|$LBRkrIb=VyYn{Vmm~AW*>LU9#%O}fj>3{QYuE{1fsXta zHu&LyPiiuCuf4J88ybh=gg3=9|na1_EnSfkN`7=<&GVnvcq1 zDnXxjSmPEJ2%^Jb`rtuR{j|QSh>fia!#n&_&>USOC>|Df%V(OLhAd|nT>Ub2F$twE`6%p)#X=NP*|`V-df zM3g{`YDERUQH4fmJ4jS|z*^b^azUt9A3VHURFsP)@a}Z4)g=R3{FijY*#eE}jM!7N zVRX6E<$9lxaGusz!qpB{m_*I=+fA9a(SaQO&O-qb5fR(kvmhB#~KsHxGpUPdY1Jx;N?(j1V@NM z9KQtFY~e?|t5jY*KaZ?U%z#WeX#`6OsHXm-9kIXq?N(v?*D;L?58tO<%xK?rFEt5u zw*4{?j_Mg0m4HBkXLG%fN%2~JwtiibcmY10TLpGMqW~vvI}4mR-z>KZ0l41Sxr6rq zvAh5ar{`MM6RbhoyM-r8aLa-YR{V2wfjcb-=1Sp=f9y{ z9VGE#o@su*^r)CfpC#!2dKImmEG#MIM2>MrMb3qDq>Lmi^zdx>xtG^$M($<8gf*8w z?5Yy}1G#N+sevF7Gb2OThO-=!&rZ5VFy-F6a4N5K;!*G;jm~LWYa5O|#?R#%SR6K* zJ|JohEJm8GQURjwW^HJlleuv@_6VDFuLmS|z4tMU2$!Uraj$2!_aFHOw&H)t2YkD* z5GdF~pUFFMgr2(sr;%VL@j)w&qh##jTZT9wrAJ%XU$mF4gr9yloOuL75z#&wMNm7N zeS5lGG474ga48d(8FVU>&3m7z%OvXoi`ot^aWeIu_Y`%$B*e?-hmxm92s>moTzby< zKt)yJsT>Q?~Fah73)V??rla`?w^RVAVE^H~HH(#Aqwx4-#_#H*rsv;Oeajuzo zlT$6ov^(^^fFxcXaHJe~@+*^ll|Ft@E1FH`m$;&ykp5!Ekx$&MK;^}vYh|giQs@TT zp_SjcOT+mLVTHDTFcF{Dm3CdSyxMn#u-nzqy`U$Xm3C(wXb-Qf?XM9Q3THbU5h6s! zE<{}%PEV85a-K~N=W|5WT+tx!BS_Q=PbK`_^H6joV5&|J+vP)gYO_6lu#VQ5C%Nre z{lB;XdIOm3rf;BAp+0Iu(Nv28cA}prZ=5yt{vH|+`$kz$MoNB;UL>CuIU5agjRaTy z8X1r2gMV>Jc&`QWg$NEe0aVnN*FvF<3P;}wLp$HJIb%}aL0PJ+c_QsbdlEn)-M`3lXjmQ0wLwxS> z_EoQ(0{|wK4|~BY6fsux&k8G**9W4_x^aoIKrbMEGKgkXTs7P7<887 zv(fp?Q}Nmv_YV(O2^rI%dUkrFp3CG)&%(~ut6#%e$E(bidB(MWdmv8}5L{=2C8jY? z4Q`_$-I*s_C>i$?X}z4Y)aN~eH%#+&kwsl^Eb6$+Y4`Jhz8&D^r+6E>lH{S=-TXcc z_~+u$yQVh(q-Ou${JaI(lXnANGa!lp1ZBcx5YGTcyh!c&TRlUw8S6Qn`L~eQ{BNcC zbI0k+B`07r$Thc~)zZ~qNp*5mH$k@$m^BP)00ua6gB(a~Pd-`ss_ zr$g{5U(Gmq>=|NxFNDyY6|&QvMQIKbGd9==11xRuh@@_qRW{jl?3;=&D>b$ag2dhTRH{QrJ6pnb01|t@in1QPC;^KluY|1kp&ju9S(`G)lNKaW(`EFAZdS#7| z9QWIz_A8U#2q*S1!J`r4!SBr?BnB#Ox~4&?ViUuz@A4I7Vzx06Qw64Ez^8eAtHIw8 zjLTE*N@AH(f|hYultyy_GfoB_KK2C6)S#OE*GGZ)jgS{$Ktc|DjH7jvH}tIS7#AwP f#856rZ-x@}F3tNMV*86hz~`~X6Lqxevxxr#vp=(x literal 0 HcmV?d00001 diff --git a/pics/03-fpga-lab-04-02-unoverflow.png b/pics/03-fpga-lab-04-02-unoverflow.png new file mode 100644 index 0000000000000000000000000000000000000000..e04f17049e3116c96fd0cd57771adcc3c3a0fb76 GIT binary patch literal 5602 zcmd6rc{J4R-^a(T8|5ZZ5+Nl*mh6=!OJpbe7*y7bo$NECBDu3vLX0K5FciibTI>um z3}elbee5%su{@XiIlps$=lT0N&-1&^vCehA*Z2BdpU?aAdSB7ThT1HrxKBYK5Eh+# zn)e})KjOjfWlVp7?;PK9WWbM;-uJBiAQ0B(<1e~IF;*T3-IAxY^BAJQLR$I#v3tM9JAII2Q?N}3s; z=Dp77Ez-|jv(_xwrufd0)yHk+BR{MFpO>3kI5zxqo-Ta(4dLrSyH1Mk3Z3D1bLaoC zt^Utg&-YhS?EQKY@pOuyE z+9S+=x2mbB&G%*o68&-f#&6UAf)pk@JU-ZainqVmIr4dJVV=>5v^(7%CmH9ibF@^k z{ewpyL1=F%$$@4GeIkb-TwM!Oi_|qVY?L1l41H*nv<#VvRrvn>`^CCfh^|t1()UQ_ z9Ie=XH|%(QppmYwbc%iwT4jIz$IF*Yl9FXFU%tc_7}e+VLA*szlhMfFh4z{=pIOH1 z784r$3SzPLUc7^OKN0)o5+YrP@Seo$G476a%Au4PUYp)&9# z_Vc5bSCWlZW@fTJ)0##`y$TaSITHgXPo07`h6TI171@=cR%_?k+1ZaD(B0iV^&_ev zFYn39unCjT#&pYhyP&D=6d4eD5fKr5z5$2&?A%;Wij1(Nq@=vO{IzR^Sy6`}a7FK5 zUuQcK^z`%!4AbkJaok2mM(9TWTjNynve#teI4I$!1Cm#~3e{Buf3qGbpjl?uP~}c! z=iuNtdv<%XOVVq+jy#7$$178YTVr{Ym6TSB4}LvAy<$^UX#s=n_v=aW-tup6YjgRT zeOIz+zr4R?e{XANg=lJL$H&71Ckj(&Sy`7czh`GlV72{iZNf@QVFQIGH*enTr2g(o zE`!xdtEkv9o#EmtbiM{Dops$L=>|NY1yu(zc0E3LW4_9!-9J+D`H+Ca;oRlLx}Ip^ z({IbkkB(|%)eRo-{PiLxCI*LAP_Q1Ptu;0I&G(Q-tJ|8JWy^_G9;0#ms&;mEhwWG5 z(-eIkkw&l$p*wss&fQ=q=f$CpvfRRkX}irFM!5wAr~~rQOk4cgX!Tk+9lelIhLTH9 zTFa~RsJ-9G2|@=4P6ULLvop}ay|G$9+xmcp zusz=>R^Avbhb#D-SR@aK`>lYL_iT?A`m!>?QI=R&tQ2Fti2bQV_Y9@r&DR1NrLfxj zFql%v_Ch0qX82x8jmc+ow&RL+9Fu^ML2B*_2kp(E*|muGQV+jJ@guo7I83dqf_{B{ zx-*HgXEHH0-ReahRumRq6BKNAlr~7e^<=Qf{It?~c;^itRlkXE30r)>9o{-z)Rm4> zEOU=y7+Ulf5fNooie!^e{KM0nH5c2l;9Qa4<0{RK>ud^iTQ2b`M>^HX*2Uv^^>S!6 zsP&BUl3?dDpBZ*Ei?pod+0%qO4^nDbZw2fAoHl1DasjE?ilTRokm?QleDcf z(}C;R^YQTw4i38XW+3)A+W5oP)dZS9nig=U^dyocVKv4&xHng{ot>O;sd8P7(9k48 zPlhr&A;E99{YVAR{p`a?>ty#oM%f3|nP`Qa z>MO(wm;K$1B{=-8?;(t7YG%fv0cLG264AFz20c>uHIo2%slB29bSl(g&_l!eu7M7bSD<-t*ajBTaAy%cu<0e_$ zOcU#R33EGO#mdxgC#?SMP%uT@&#v**vjK`bw=X7IK5_MSd@@+2#3V*n}D2|YbM zt)=y;Yzm~mF()V&_KO!wuG{R$1+I<(@wcz@|5#Gu-tzZ3R)e8wvO-5b^x;r>9+poz z#D8^c4;&?~>v+%(X=~LUqxtTBdz(&9Q$R1Ok85k0yua0p#yM9I!$6Jh@9%&4^5yO; zPUZEl^vWUI@8#fxiH6WfHqZl3GckQqLPxM&o)DinT-KLfBhZgD7$JdZ9Qe1JoxAVVP(SUFTg@%gh_<^u*Z*L#R;30Ww@aom8 zd3kwW4P?UhBHn}A+0k(mxgTU}YYW6C41oY5eKEDPW!WnYft44xspdR)jtnHbakEn_ zF)>jq=Z1p9&cH|0B!n;Ew6|~ByHq{~&I*x1iURpuYuL&7_Mj$&>;{FVZuW<>J?crj zS!`L>=ri*I^rW5fz?tdk)mk{@brk($4|#O47f;dJfQSHsVy{qC#8NHlRyJ8@3JV#f zvXl`@=xq$lm<9Ur_+@35jMP+Wa?`#OP_F3c=+5O2e-GJ(dVpSLTjx*w^zb<~pyaV^ zYWnR!XGceW(g=C6Ak(he)7Ia=QY&X~rK0z6JxW!Hx{w3%0tm93;|UsfJ`mTS^vZ%CU%>w45#|;aJ(;SLy}goxg1M!oo3r>48U~Lrt4rb9SQ2v@#xhm-GBR11O*AsPw2U z)p69~4B|Yh$<6f24w;6xZcuTwIFz zP4{qS5*MHACZ!X0k3!N7=ToDSEd+Jq4I4ba20!mItd>40*A5KIM^T{87X-k=5EV&e>dB zK@B*hFYaa%0hcROguWsxE32y7gfW^j!&sq!P!)GGLrCFzM~#5%YZ(7C=>Ff3R|#4V zOck_MVyl!OF=baMf(9;R*zMKl^J|=wBUi^>$#l2?F-;wd0sj}iOzFD}42d^qL2HI# zf#1Zh*DRJPbdXE+t#O!ygali2h6iGbidNRYc8ry~4C(uNyxosI3@nv^qM)Zc7lGm7 zhb0q^Hm2dvzA^~Dew%i=`@6M$&&<6GtUJRD8-D(_#GcV!-FVTOf5A4$bm=eL3IGg1 zvnv`?1gIw^)u;2EUi>TWrhWfAj2=P9tZHlB&)=G8I5Jq&6>t}7^A8unu_MjRnsPJ3 zLPAv`X~kt~2>VY{zkibf>wGz+E`$2JapMNYmK9PHhsTfHDgu4pDhd793aF%4=Ou3y zmk{uFG~cH;99sQXhHuEpJy|ATaQeDZ4xwEt6{rf{RhCaF zXdUPdMFU70uSyuGNaSIXxQ!be9?6D8B7J;(zJ2=!WQ_4N zUw22x8TDhOuxz55-??)Kz_62((~~Dp8uAD>8fy~`F5T~^NTl?Hgsr{#OrBURbR#!+ z*zWoiJtONjg(4v?4m8~hiELz3FCGMnTV+{hx4OC-92^XKlWXA|MK|ZC(srK)KVsX& z{CEuRZO!LoXP*L)UM~Uh{!=&~i!c)#I8mjQbCQ{Pv=I@SPytX}d)skNx zDx0)FqFMr@GM^DDAuH>@vpTNeHL;KLLUQo(A~t7r0t0taWL)Ov=8)SzwX?FaDqMOc zL_}%>NFxA_8u#YVfJ()FhJu-7x$U({)J$uv`b$6)s+3`e3l}aB5ViI73bMOEKtK;S zwzWN2|8d$V{g#}Z92UsmTz5)jWF(wWa)Ub-aEAKJ5V(Y>sGDy*)&wXmWqZ3)7I=`e z7cLO%uF{2fDT-l^Rut~${ub#X2!9oakEsS3StCt%_bm%aH{e!QRv`Wc1_pYAe%lK_ zm;M=mFhF~ro_-{t>FMcdZ2X!nJ9KBYv9U4gG{1a`{-1yT3Cv-9Obj@!4GKjCxnI-a zSOjc6@bdPeEciCCP>;KJBRd@L6DI4Ph)GEq7Y`;SCR$s73k-bL1wadl zk>%ym7>nZTHdHsq^}@nJwdV|bRBEce5XNG8W(J?75w*GLd$mY>2}8^}HOhIlKv`Ki zao!skj-*+J@POWE%M|O(>8dm;r)V}*_BDaw`L`c4t9WL9e*UhIirQMQ^5Vk6J~!;@ zYT%C6d`~)9Q%qD8m<3m2YX~s|!2(GBJ|}WBA=Avv>{?e6NZzr@Nz_=44@l3T`Sig1 zrlyJQ!0)XMd`!^Fd0g(?HsWb|+&84S>Ygm;hw+>}3#tQ45I+flwbl+rj51cG7OwW^ zz0%65MIO}Qr~uDZ5L6}sz&un632tp~ZvK0Iekko0Fo95WbMo#?JX|&vm{`i%r0VV4 zw57pfP+b5TIMjD(G@D-6-o_UK8ZUNtcLAlewYFxcq9*doUNG!zZc20g1xi{Sxbv41 zwsqHHlarF-F37av@%SG1Z!Ot6s)POMbJpqAfZ_X$Krs^^qOu4LK+PK zg4l#oJs&||gZkH<7ZtzB04D#T)=V3X5M;I zdDmi(B#fTLlfygaP_g;TsryKNyShwGO-HF`A&_C?(8Lr6Nj}IGzK2= $signed(9'd0)) ? 1'd1 : 1'd0; +assign eps = (un >= $signed(9'd0)) ? $signed(9'd127) - un : $signed(-9'd128) - un; +assign daco = out; +endmodule diff --git a/src/sigdel.sv b/src/sigdel.sv new file mode 100755 index 0000000..19d5764 --- /dev/null +++ b/src/sigdel.sv @@ -0,0 +1,37 @@ +//top-level module +module sigdel +#( + PHACC_WIDTH = 14 +) ( + //clock and reset + input logic clk, clr_n, + //control slave + input logic [31:0] wr_data, + input logic wr_n, + output logic fout +); + + logic [7:0] phinc_val; + + //control slave logic + always_ff @ (posedge clk or negedge clr_n) begin + if (!clr_n) begin + phinc_val[7:0] <= 8'd0; + end else begin + if (!wr_n) begin + phinc_val[7:0] <= wr_data[31:0]; + end + end + end + + phacc phacc_inst (.phinc(phinc_val), .clk(clk), .reset(clr_n), .phase(phase)); + defparam phacc_inst.WIDTH = PHACC_WIDTH; + + sinelut sinelut_inst ( + .address (phase), .clock (clk), .q(sine) + ); + + sdmod sdmod_inst ( + .val(sine), .clk(clk), .reset(clr_n), .daco(fout) + ); +endmodule diff --git a/src/sigdel_tb.sv b/src/sigdel_tb.sv new file mode 100755 index 0000000..e5fc8ea --- /dev/null +++ b/src/sigdel_tb.sv @@ -0,0 +1,76 @@ +`timescale 1 ns/1 ns + +module sigdel_tb(); + // Parameters + localparam CLK_PRD = 20; + localparam SAMPLES_PRD = 256; + localparam OVERSAMPLING = 4; + localparam PHACC_WIDTH = 14; + + // Wires and variables to connect to UUT (unit under test) + logic clk, clr_n, wr_n; + logic [31:0] wr_data; + logic [31:0] phinc_val; + logic fout; + + // Instantiate UUT and connect used ports + sigdel dut(.clk(clk), .clr_n(clr_n), .wr_n(wr_n), .wr_data(wr_data), .fout(fout)); + defparam dut.PHACC_WIDTH = PHACC_WIDTH; + + // Clock definition + initial begin + clk = 0; + forever #(CLK_PRD/2) clk = ~clk; + end + + // Reset and initial values definition + initial begin + clr_n = 0; + wr_n = 1; + wr_data = 'bx; + #(CLK_PRD*5) clr_n = 1; + end + + // Bus write transaction simulation + initial begin + // Wait until system is out of reset + @(posedge clr_n); + // Check if phase increment for required accumulator width + // and oversamlpling ratio will fit in 8 bits + phinc_val=(2**(PHACC_WIDTH-8))/OVERSAMPLING; + if ((phinc_val <= 255) && (phinc_val != 0)) begin + // Write phase increment several clock cycles after reset + #(CLK_PRD*3) write_transaction(phinc_val); + // Wait for one sine period (for 14-bit phase accumulator case) + #(CLK_PRD*SAMPLES_PRD*OVERSAMPLING) + + #(CLK_PRD*3) write_transaction(phinc_val*5); + + #(CLK_PRD*SAMPLES_PRD*OVERSAMPLING) + $stop; + end else begin + //Output simulation error + $display("Error: value of phase increment is out of range! Stopped simulation."); + //Stop simulation (small delay needed for $display to work) + #1 $stop; + end + end + + //Single write transaction task + task write_transaction; + //input signals + input [31:0] val; + //transaction implementation + begin + @(posedge clk); + //assert signals for one clock cycle + wr_n = 0; + wr_data = val; + @(posedge clk); + //deassert signals + wr_n = 1; + wr_data = 'bx; + end + endtask +endmodule +