From bf020ed978956a48e2f15816bf6cdd392425e12f Mon Sep 17 00:00:00 2001 From: "Ivan I. Ovchinnikov" Date: Mon, 27 Feb 2023 14:46:05 +0300 Subject: [PATCH] cedd lec 02 --- 04-complex-electronic-devices-developing.tex | 150 +++-- pics/04-cedd-00-filter-demand.svg | 294 +++++++++ pics/04-cedd-00-filter-more.svg | 217 +++++++ pics/04-cedd-00-sampling-afc.svg | 206 ++++++ pics/04-cedd-00-sig-sampling-sha-diff.svg | 140 ++++ pics/04-cedd-00-sig-sampling-sha.svg | 144 ++++ pics/04-cedd-00-sig-sampling.svg | 360 ++++++++++ pics/04-cedd-00-subdiscr-2.svg | 573 ++++++++++++++++ pics/04-cedd-00-subdiscr-3.svg | 602 +++++++++++++++++ pics/04-cedd-00-subdiscr-4.svg | 587 +++++++++++++++++ pics/04-cedd-00-subdiscr.svg | 649 +++++++++++++++++++ 11 files changed, 3883 insertions(+), 39 deletions(-) create mode 100644 pics/04-cedd-00-filter-demand.svg create mode 100644 pics/04-cedd-00-filter-more.svg create mode 100644 pics/04-cedd-00-sampling-afc.svg create mode 100644 pics/04-cedd-00-sig-sampling-sha-diff.svg create mode 100644 pics/04-cedd-00-sig-sampling-sha.svg create mode 100644 pics/04-cedd-00-sig-sampling.svg create mode 100644 pics/04-cedd-00-subdiscr-2.svg create mode 100644 pics/04-cedd-00-subdiscr-3.svg create mode 100644 pics/04-cedd-00-subdiscr-4.svg create mode 100644 pics/04-cedd-00-subdiscr.svg diff --git a/04-complex-electronic-devices-developing.tex b/04-complex-electronic-devices-developing.tex index 5a2382c..2cc326a 100644 --- a/04-complex-electronic-devices-developing.tex +++ b/04-complex-electronic-devices-developing.tex @@ -6,6 +6,16 @@ \author{Оганов Владимир Игоревич} \title{Разработка сложных электронных устройств} \date{2023-02-08} +\usetikzlibrary{math} +\tikzmath{ + function sinc(\x) { + if abs(\x) < .001 then { % (|x| < .001) ~ (x = 0) + return 1; + } else { + return sin(\x r)/\x; + }; + }; +} \begin{document} \sloppy @@ -74,7 +84,7 @@ $x(t)$ -- это входной непрерывный сигнал, умнож \begin{frm} Любое инженерное решение - это всегда компромисс. \end{frm} -Дискретизация сигнала во временной и частотной области +\section{Дискретизация сигнала во временной и частотной области} Дискретизация -- умножение на последовательность единичных импульсов. Дельта функция Дирака \footnote{\href{https://portal.tpu.ru/SHARED/k/KONVAL/Sites/Russian_sites/Series/4/01-6.htm}{Подробнее}}. @@ -91,72 +101,134 @@ $x(t)$ -- это входной непрерывный сигнал, умнож \caption{Дискретизация сигнала} \end{figure} -Дискретный сигнал в частотной области -- бесконечное число повторяющихся копий дискретного представления сигнала. в ЦВУ мы всегда работаем с дискретным сигналом. Важно на каком расстоянии стоят частоты дискретного сигнала (виртуальные образы цифрового сигнана). Чтобы они не накладывались друг на друга нужна предварительная фильтрация (ФПО). +Дискретный сигнал в частотной области -- бесконечное число повторяющихся копий дискретного представления сигнала. В цифровом вычислительном блоке мы всегда работаем с дискретным сигналом. Важно на каком расстоянии стоят частоты дискретного сигнала (виртуальные образы цифрового сигнана). Чтобы они не накладывались друг на друга нужна предварительная фильтрация (производимая ФПО). Дискретизация -- это умножение входного сигнала на импульсы дискретизации. -(1) +\begin{figure}[H] + \centering + \fontsize{12}{1}\selectfont + \includesvg[scale=1.01]{pics/04-cedd-00-sig-sampling.svg} +\end{figure} +где $t = nT$. -УВХ -- является мостом от аналогового к цифровому сигналу. Ключ управляется сигналами управления. Sampling-Hold Amplifier. -(2) -Конденсатор нужен для того чтобы сохранить значение пока АЦП квантует. Если напряжение с конденсатора уйдёт до АЦП -- погрешность. +УВХ -- является мостом от аналогового к цифровому сигналу. Ключ управляется сигналами управления, формируя схему SHA, Sampling-Hold Amplifier. -Ключ замыкается - конденсатор запоминает - ключ размыкается - АЦП квантует. Время сбора информации влиет на наличие эффекта фильтрации. Чтобы конденсатор быстрее зарядился нужно уменьшать ёмкость (но она быстрее будет разряжаться). Идеального решения не существует. Ключ - это два транзистора в противофазе. Аналоговый мультиплексор - это набор из ключей. +\begin{figure}[H] + \centering + \fontsize{12}{1}\selectfont + \begin{subfigure}[b]{0.49\textwidth} + \centering + \includesvg[scale=.9]{pics/04-cedd-00-sig-sampling-sha.svg} + \caption{Обычное} + \end{subfigure} + \hfill + \begin{subfigure}[b]{0.49\textwidth} + \centering + \includesvg[scale=.91]{pics/04-cedd-00-sig-sampling-sha-diff.svg} + \caption{Дифференциальное} + \end{subfigure} + \caption{УВХ} +\end{figure} -В реальности используется не УВХ а Устройство \textbf{Слежения} и Хранения Track-Hold Amplifier ключ всегда закрыт и снимается значение в момент размыкания ключа. +Конденсатор нужен для того чтобы сохранить значение пока АЦП квантует. Если напряжение с конденсатора уйдёт до того, как АЦП завершит работу -- получим погрешность. -(3) дифференциальное УВХ +\begin{frm} + Общий алгоритм дискретизации следующий: Ключ замыкается -- конденсатор запоминает -- ключ размыкается -- АЦП квантует. +\end{frm} -В идеальном ключе мы хотим чтобы включенный был с нулевым сопротивлением, а выключенный с бесконечным (обычно I-утечки). +Время сбора информации влияет на наличие эффекта фильтрации. Чтобы конденсатор быстрее зарядился нужно уменьшать ёмкость (но она быстрее будет разряжаться). Идеального решения не существует. Ключ -- это два транзистора в противофазе\footnote{Аналоговый мультиплексор -- это набор из ключей}. -АЦП различают с функцией дискретизации (Sampling ADC) и без (non-sampling ADC). Динамические характеристики АЦП выбираются по характеристикам УВХ. +В реальности используется не УВХ а Устройство \textbf{Слежения} и Хранения Track-Hold Amplifier ключ всегда закрыт и снимается значение в момент размыкания ключа. В идеальном ключе мы хотим чтобы включенный был с нулевым сопротивлением, а выключенный с бесконечным (обычно, существуют I-утечки). -(4) -при меньшей ширине сигнала (педельный случай) спектр = 1*косинус сигнала, а значит он бесконечный. +АЦП различают с функцией дискретизации (Sampling ADC) и без (non-sampling ADC). Динамические характеристики АЦП должны выбираться по характеристикам УВХ. -(5) -предел -- импульсная характеристика идеального ФНЧ, а в реальности это простая фильтрация. то есть сам АЦП выступает в роли фильтра. +\[ u(t) = \frac{U_0\tau}{T} + \frac{U_0\tau}{T}\sum_{K=1}^\infty\frac{2\sin(K\omega\frac{\tau}{2})}{K\omega\frac{\tau}{2}}\cos{K\omega t} \] + +$\frac{\sin{X}}{X}$ -- первый замечательный предел + + \begin{tikzpicture} + \draw[help lines] (-3.5,-1.5) grid (3.5,1.5); + \begin{scope}[very thick, domain=-pi:pi, samples=100, smooth] + \draw[red] plot (\x,{sinc(5*\x)}); + \end{scope} + \end{tikzpicture} + +При меньшей ширине сигнала (если $X \to 0$, значит мы имеем дело с единичным импульсом (функцией Дирака)) его спектр равен единице умноженной на косинус сигнала, а значит спектр импульсов дискретизации бесконечный. + +\begin{figure}[H] + \centering + \fontsize{12}{1}\selectfont + \includesvg[scale=1.01]{pics/04-cedd-00-sampling-afc.svg} + \caption{Завал из-за усреднения напряжения на УВХ} +\end{figure} + +В предельном случае -- импульсная характеристика идеального ФНЧ, а в реальности это простая фильтрация. то есть сам АЦП выступает в роли фильтра. \subsection{Субдискретизация} - Идеальный дискретизатор дельта функция Дирака. -(6) -ширина зоны 0.5фс +\begin{figure}[H] + \centering + \fontsize{14}{1}\selectfont + \includesvg[scale=1.01]{pics/04-cedd-00-subdiscr.svg} +\end{figure} + +Ширина зоны -- $0.5f_s$, $f_s$ -- частота дискретизации, $\tau \to 0$ -- длительность импульса, $f_a$ -- интересующий нас сигнал. + \[ |\pm Kf_s \pm f_a|; k=1,2,3,4 \] -1 зона - основная полоса. частотный спектр делится на бесконечное количество зон. +1 зона -- основная полоса. Частотный спектр делится на бесконечное количество зон. -\[f_S = 4f_a\] +\begin{figure}[H] + \centering + \fontsize{10}{1}\selectfont + \includesvg[scale=.9]{pics/04-cedd-00-subdiscr-2.svg} + \caption{$f_S = 4f_a$} +\end{figure} На временной диаграмме видно, что сигнал восстанавливается. -\[f_S = 2f_a\] +\begin{figure}[H] + \centering + \fontsize{10}{1}\selectfont + \includesvg[scale=.9]{pics/04-cedd-00-subdiscr-3.svg} + \caption{$f_S = 2f_a$} +\end{figure} -\[f_S = 1.5f_a\] +\begin{figure}[H] + \centering + \fontsize{10}{1}\selectfont + \includesvg[scale=.9]{pics/04-cedd-00-subdiscr-4.svg} + \caption{$f_S = 1.5f_a$} +\end{figure} -следствие эффекта наложения дискретного сигнала -- появление Внеполосной помехи. Очевидно нужен ФНЦ с полосой пропускания 0...фс/2. Идельаный фильтр не получится, поэтому нужен фильтр какого-то порядка. +Следствие эффекта наложения дискретного сигнала -- появление Внеполосной помехи. Очевидно нужен ФНЧ с полосой пропускания $0...f_s/2$. Идельаный фильтр не получится, поэтому нужен фильтр какого-то порядка. Требования к фильтру. -(8) для первой зоны -ДД - динамический диапазон преобразования ограничивает эффект наложения. Фильтр ограничен разрядностью. добиваться точности больше, чем число разрядов (1/256 для 8-разрядного) нет смысла. +\begin{figure}[H] + \centering + \fontsize{12}{1}\selectfont + \includesvg[scale=.91]{pics/04-cedd-00-filter-demand.svg} + \caption{Для первой зоны} +\end{figure} +ДД -- динамический диапазон преобразования ограничивает эффект наложения. Фильтр ограничен разрядностью. Добиваться точности больше, чем число разрядов (1/256 для 8-разрядного) нет смысла. \begin{itemize} -\item полоса пропускания должна быть 0...фа -\item переходная полоса фа...фс-фа -\item полоса задержания $фс-фа...\infty$ -\item ослабление =дд +\item полоса пропускания должна быть $0...f_a$; +\item переходная полоса $f_a...f_s-f_a$; +\item полоса задержания $f_s-f_a...\infty$; +\item ослабление = ДД. \end{itemize} -порядок фильтра M = DD/6lg_2(fs-fa/fs). +порядок фильтра $M = \frac{DD}{6\lg_2(\frac{f_s-f_a}{f_s})}$. Каждый порядок фильтра даёт 6дБ на октаву или 10 на декаду. Какого порядка можно реализовать аналоговый фильтр? Порядок определяется энергозапоминающими элементами. Больше 12 порядков аналоговые фильтры уже не делают, потому что вынуждены каскадировать, добавляя разбросы и погрешности. -1 порядок даёт 6дб на октаву или 10 на декаду +Можно уменьшить требование по частоте фильтра, увеличив частоту дискретизации (передискретизация). И возможно применить операцию децимации (но все образы обратно сдвинутся и наложатся) поэтому перед децимацией нужно отфильтровать цифровым фильтром. -какого порядка можно реализовать аналоговый фильтр? порядок определяется энерго запоминающими элементами. больше 12 уже не делают, потому что вынуждены каскадировать, разбросы и погрешности. +\begin{figure}[H] + \centering + \fontsize{12}{1}\selectfont + \includesvg[scale=.91]{pics/04-cedd-00-filter-more.svg} +\end{figure} -можно уменьшить требование по частоте фильтра, увеличив частоту дискретизации (передискретизация). и возможно применить операцию децимации (но все образы обратно сдвинутся и наложатся) поэтому перед децимацией нужно отфильтровать цифровым фильтром. +Жертва в этом случае -- более дорогой избыточной АЦП, наличие ЦФ. Но при этом возможно снизить аналоговый фильтр до первого порядка, поставив простую RC-цепочку. -(9) -жертва - более дорогой избыточной АЦП, наличие ЦФ. но при этом можем снизить аналоговый фильтр до первого порядка хотя бы RC-цепочку. - -как понять в ЦФ порядок - подать единичный импульс. - -После ЦАП также нельзя делать большой порядок. поэтому делаем интерполяцию. +Чтобы понятьпорядок ЦФ -- нужно подать единичный импульс. После ЦАП также нельзя делать большой порядок, поэтому делаем интерполяцию. \end{document} diff --git a/pics/04-cedd-00-filter-demand.svg b/pics/04-cedd-00-filter-demand.svg new file mode 100644 index 0000000..ca9e1a6 --- /dev/null +++ b/pics/04-cedd-00-filter-demand.svg @@ -0,0 +1,294 @@ + + + + + + + + + + image/svg+xml + + + + + + + ДД + + + + + + + + + + + + + + + + + + + + + + + + + + $f_a$ + $\frac{f_s}{2}$ + $f_s$ + $f_s-f_a$ + + + АЧХ фильтра + + идеальный фильтр + + перекрытие образов + + передискретизация + + + diff --git a/pics/04-cedd-00-filter-more.svg b/pics/04-cedd-00-filter-more.svg new file mode 100644 index 0000000..27c35c8 --- /dev/null +++ b/pics/04-cedd-00-filter-more.svg @@ -0,0 +1,217 @@ + + + + + + + + + + image/svg+xml + + + + + + + Аналоговый фильтр$Kf_s$ хотя быRC-цепочка + АЦП + ЦФ + Дециматор$K$ + $f_s$ + + + + + + + + + + + + + + + + + + + + diff --git a/pics/04-cedd-00-sampling-afc.svg b/pics/04-cedd-00-sampling-afc.svg new file mode 100644 index 0000000..9eb13d9 --- /dev/null +++ b/pics/04-cedd-00-sampling-afc.svg @@ -0,0 +1,206 @@ + + + + + + + + + + + + + + + + + image/svg+xml + + + + + + + 0 + $f(A)$ + + + + + + + + + + + + + diff --git a/pics/04-cedd-00-sig-sampling-sha-diff.svg b/pics/04-cedd-00-sig-sampling-sha-diff.svg new file mode 100644 index 0000000..fe67dfc --- /dev/null +++ b/pics/04-cedd-00-sig-sampling-sha-diff.svg @@ -0,0 +1,140 @@ + + + + + + + + + + image/svg+xml + + + + + + + Вход + к АЦП + + + + + + + + + + + + + + diff --git a/pics/04-cedd-00-sig-sampling-sha.svg b/pics/04-cedd-00-sig-sampling-sha.svg new file mode 100644 index 0000000..5314cd2 --- /dev/null +++ b/pics/04-cedd-00-sig-sampling-sha.svg @@ -0,0 +1,144 @@ + + + + + + + + + + image/svg+xml + + + + + + + Вход + ключ + Выход + + + + + + + + + + Cx + + diff --git a/pics/04-cedd-00-sig-sampling.svg b/pics/04-cedd-00-sig-sampling.svg new file mode 100644 index 0000000..dec8623 --- /dev/null +++ b/pics/04-cedd-00-sig-sampling.svg @@ -0,0 +1,360 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + image/svg+xml + + + + + + + $X(t)$ + $X_o(t)$ + $f(t)$ + + + + + + + + $T$ + $S(t)$ + $\tau$ + сигналыдискретизации + + + + + + + + + + diff --git a/pics/04-cedd-00-subdiscr-2.svg b/pics/04-cedd-00-subdiscr-2.svg new file mode 100644 index 0000000..27a48c1 --- /dev/null +++ b/pics/04-cedd-00-subdiscr-2.svg @@ -0,0 +1,573 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + image/svg+xml + + + + + + + + $t$ + $f$ + + + + + + + + + + + + + $\frac{f_s}{2}$ + $f_s$ + + + $f_a$ + $f_s-f_a$ + $f_s+f_a$ + + + + + + + + + + + + diff --git a/pics/04-cedd-00-subdiscr-3.svg b/pics/04-cedd-00-subdiscr-3.svg new file mode 100644 index 0000000..9deccc1 --- /dev/null +++ b/pics/04-cedd-00-subdiscr-3.svg @@ -0,0 +1,602 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + image/svg+xml + + + + + + + + $t$ + $f$ + + + + + + + + + + $\frac{f_s}{2}$ + $f_s$ + + + $f_a$ + $f_s-f_a$ + $f_s+f_a$ + + + + + + + + + + + + $\frac{3f_s}{2}$ + основная полоса + + + diff --git a/pics/04-cedd-00-subdiscr-4.svg b/pics/04-cedd-00-subdiscr-4.svg new file mode 100644 index 0000000..b6179f7 --- /dev/null +++ b/pics/04-cedd-00-subdiscr-4.svg @@ -0,0 +1,587 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + image/svg+xml + + + + + + + + $t$ + $f$ + + + + + + + + + + $\frac{f_s}{2}$ + $f_s$ + + + $f_a$ + $f_s-f_a$ + $f_s+f_a$ + + + + + + + + + + + + $\frac{3f_s}{2}$ + + diff --git a/pics/04-cedd-00-subdiscr.svg b/pics/04-cedd-00-subdiscr.svg new file mode 100644 index 0000000..4b7c5f7 --- /dev/null +++ b/pics/04-cedd-00-subdiscr.svg @@ -0,0 +1,649 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + image/svg+xml + + + + + + + $f_a$ + $0.5f_s$ + $1f_s$ + $1.5f_s$ + $2f_s$ + + + + + + + + + + + + образы + + + зона 1 + зона 2 + зона 3 + зона 4 + + + + + + +