From df40d6c91c44727aefadf8e3caf9fcd8bb698344 Mon Sep 17 00:00:00 2001 From: "Ivan I. Ovchinnikov" Date: Tue, 13 Dec 2022 12:01:06 +0300 Subject: [PATCH] another day --- .#03-FPGA.tex | 1 + 00-notes.tex | 100 ++++++++++++++++++ 03-FPGA.tex | 48 ++++++++- 03-fpga-lab-02-report.tex | 82 ++++++++++++++ ...ss-technologies-in-information-systems.tex | 32 +++++- 03-wtis-lab-01-report.tex | 3 +- pics/03-fpga-lab-02-beforeindividual.png | Bin 0 -> 91661 bytes 7 files changed, 262 insertions(+), 4 deletions(-) create mode 100644 .#03-FPGA.tex create mode 100644 00-notes.tex create mode 100644 03-fpga-lab-02-report.tex create mode 100644 pics/03-fpga-lab-02-beforeindividual.png diff --git a/.#03-FPGA.tex b/.#03-FPGA.tex new file mode 100644 index 0000000..b0cc5cf --- /dev/null +++ b/.#03-FPGA.tex @@ -0,0 +1 @@ +ivan-igorevich@DESKTOP-HGRRHPT.10852:1670842641 \ No newline at end of file diff --git a/00-notes.tex b/00-notes.tex new file mode 100644 index 0000000..ce77854 --- /dev/null +++ b/00-notes.tex @@ -0,0 +1,100 @@ +\documentclass[a4paper]{article} + +\input{../common-preamble} +\input{../bmstu-preamble} +\input{../fancy-listings-preamble} +\usepackage{icomma} + +\numerationTop + +\begin{document} +\fontsize{14pt}{14pt}\selectfont % Вполне очевидно, что мы хотим 14й шрифт, все его хотят +\thispagestyle{empty} +\makeBMSTUHeader + +\makeReportTitle{лабораторной}{1}{Исследование коллизий при множественном доступе к среде в +беспроводных сетях передачи информации}{Беспроводные технологии в информационных системах}{}{C.С. Баскаков} +\newpage +\thispagestyle{empty} +\tableofcontents +\newpage +\pagestyle{fancy} +\sloppy +\section{Цель} +Закрепление навыков работы с системой имитационного моделирования OMNeT++, построение имитационной модели беспроводной системы сбора данных и исследование ее характеристик при множественном доступе к среде передачи данных в условиях наличия коллизий. + +\section{Задачи} +\begin{enumerate} +\item Повторить описанные действия с исходным проектом, чтобы убедиться в повторяемости результатов. +\item В исходной имитационной модели системы заменить количество передатчиков $N_{TX}$, размер пакета $L_{app}$ и скорость передачи данных $R$ в соответствии с индивидуальным вариантом +\item Провести имитационный эксперимент с модифицированной моделью системы для исследования пропускной способности и вероятности коллизий. Построить графики. Сравнить теоретические значения с результатами моделирования, убедиться в корректности полученных значений. +\item Увеличить размер пакета $L_{app}$ и скорость передачи данных $R$ в 2 раза и повторить эксперимент. Сравнить полученный результат с предыдущими графиками и объяснить наблюдения. +\end{enumerate} + +\newpage +\section{Выполнение работы} +\subsection{Повторение моделирования} +На рисунке \hrf{pic:src} представлены графики, полученные в результате имитационного моделирования и расчёта в Matlab. Полученные графики идентичны представленным в методическом материале, что говорит о корректности воспроизведения имитационного моделирования с исходными данными. + +\begin{figure}[H] + \centering + \begin{subfigure}[b]{0.32\textwidth} + \centering + \includegraphics[width=\textwidth]{pics/03-wtis-Lab-1-Nrx-500.pdf} + \caption{Общее количество полученных пакетов} + \label{pic:nrx} + \end{subfigure} + \hfill + \begin{subfigure}[b]{0.32\textwidth} + \centering + \includegraphics[width=\textwidth]{pics/03-wtis-Lab-1-Kapp-500.pdf} + \caption{Общий коэффициент доставки пакетов} + \label{pic:kapp} + \end{subfigure} + \hfill + \begin{subfigure}[b]{0.32\textwidth} + \centering + \includegraphics[width=\textwidth]{pics/03-wtis-Lab-1-Ke-500.pdf} + \caption{Общий коэффициент энергопотребления} + \label{pic:ke} + \end{subfigure} + \begin{subfigure}[b]{0.32\textwidth} + \centering + \includegraphics[width=\textwidth]{pics/03-wtis-Lab-1-Ketx-500.pdf} + \caption{Коэффициент энергопотребления передатчиков} + \label{pic:ketx} + \end{subfigure} + \begin{subfigure}[b]{0.32\textwidth} + \centering + \includegraphics[width=\textwidth]{pics/03-wtis-Lab-1-Kphy-500.pdf} + \caption{Коэффициент надёжности доставки пакетов} + \label{pic:kphy} + \end{subfigure} + \caption{Графики исходного проекта} + \label{pic:src} +\end{figure} + +\subsection{Индивидуальное задание} +Для выполнения индивидуального задания был получен вариант № 10, параметры моделирования (значения переменных) которого представлены в таблице \hrf{tbl:var}. + +\begin{table}[H] + \centering + \begin{tabular}{|c|c|c|c|} + \hline + $N_{TX}$ (шт.) & $L_{app}$ (байт) & $R$ (кбит/с) & $k$ \\ [0.5ex] + \hline + 30 & 50 & 1500 & 30 \\ + \hline + \end{tabular} + \caption{Таблица значений для варианта} + \label{tbl:var} +\end{table} + +Для выполнения имитационного моделирования необходимо задать диапазон изменения среднего периода передачи пакетов ($T_s$) таким образом, чтобы полный нормированный трафик $G$ был в диапазоне $[0,05; 1]$ + +Поскольку $G=\frac{N_{TX}}{T_s}*\tau$, где $\tau=\frac{8*L_{phy}}{R}$, а $L_{phy} = L_{app} + 63$, то $T_s= \frac{N_{TX}\tau}{G}$. Для $G = 0,05; T_s \approx 361,6$, а для $G = 1; T_s \approx 18,08$ мсек. + + +\section{Выводы} + +\end{document} diff --git a/03-FPGA.tex b/03-FPGA.tex index e7be236..f3f9382 100644 --- a/03-FPGA.tex +++ b/03-FPGA.tex @@ -215,10 +215,56 @@ Gated Clock - ТИ, который модифицируется на логик так бывает нужно, когда нужно, чтобы схема работала не на каждом такте. Это возможно сделать при помощи сигнала разрешения. Это часто нужно делать для формирования определённой скважности (переключений в дереве ТИ меньше и входные структуры триггеров переключаются реже, соответственно немного ниже потребление). 1. ФАПЧ. 2. clkctrl (фактически, это небольшой модуль, который контролирует вход на глобальную шину, позволяет делать мультиплексирование и разрешение). Так простой И вырезает нужные фронты (но проблемы сдвига фронта никуда не исчезают) если не использовать clkctrl. +\item Конфигурация сигналов сброса: Комб логика, которая используется как асинхронный сброс, должна быть синхронизирована (иначе комбинационная логика будет формировать глитчи и ресеты могут срабатывать не тогда, когда нужно). Кросс-доменные (тактовых доменов) сбросы необходимо синхронизировать. + \begin{frm} + Синхронизация асинхронных сигналов. АС - это сигнал, изменяющийся без привязки к ТИ. То есть АС может нарушать (и часто нарушает) время установки и время удержания относительно ТИ. В этом случае триггер попадает в метастабильное состояние. При попадании в МСС триггер не принимает значение 0 или 1 и переходит в устойчивое состояние с задержкой больше, чем $T_{co}$. Время установки определяется большим числом факторов. Классическая схема подавления метастабильности заключается в двух последовательных триггерах. Три триггера возможно понадобится для высоких частот. Больше обычно применяется для схем подавления дребезга. + В фифошках счётчики обычно делают не в бинарных, а в коде Грея, где меняется только один разряд. + \end{frm} + + Времена снятия и установления нормируются только для снятия сброса, потому что триггер может выйти из сброса и среагировать на ТИ, а может нет. + + СХЕМА у ВИКИ + + преимущество в скорости, сброс второго триггера мгновенный. используется для синхронизации только снятия сброса. + + В документации по проектированию возможно найти все эти правила, но там по входам всех триггеров ТИ инвертированы. Идея в том, что не важно, какая схема, так или иначе будет осуществлён сброс, если мы снимаем по переденму фронту, то сброс происходит близко к переднему фронту, а когда синхронизируем по заднему фронту, снятие произойдёт между фронтами всех остальных триггеров. Дело в задержке распространения сигнала. Если мы работаем локально 1-3 нс, если же сигнал сброса будет заведён на общие цепи ТИ, то задержка может доходить до 5нс, поэтому на скоростях выше 100МГц это может сформировать метастабильность. + + Поэтому инверсию ТИ для триггеров синхронизации сброса нужно ставить только если мы сбрасываем локальную группу триггеров или на малой скорости. + +\item Timing Closure говорит о том, что если сигнал значительно разветвляется (написано 30, но фактически, 300) то его тоже следует передавать по глобальной шине. + +\item Non-synchronous design structure + \begin{itemize} + \item в проекте не должно быть комбинационных обратных связей + \item В проекте не должно быть асинхронных РС-триггеров + \item не нужно синхронихировать триггеры по уровня + \item не должно быть цепей задержки + \end{itemize} +\item signal race например, когда одним сигналом разрешается и формируется выходной сигнал. +\item Asynchronous clock domains. определяет правила передачи данных между разными доменами ТИ. Домен ТИ - это тригеры и связанная с ними комбинационная логика, которая тактируется одним ТИ. + двойной триггер не спасёт. есть вариант реализовать протокол обмена (фронт детектор) + + СХЕМА 2 у ВИКИ + + нужно формировать однобитный строб. данные не должны меняться на 3 и 4 фронте. строб и данные меняются как показано на диаграмме, и когда мы их защёлкиваем на 3 или 4 такте, они будут точно неизменные. +\begin{lstlisting}[style=VerilogStyle] + input logic stb; + input logic [7:0] din; + input logic c2; + + logic[2:0] stb_z; + logic ena; + + always_ff @(posedge c2) stb_z < {stb_z[1:0], stb}; + assign ena = ~stb_z[2] & stb_z[1]; + always_ff @(posedge c2) if (ena) dreg < din; + +\end{lstlisting} \end{enumerate} - +1. расширить разрядность памяти хранения до 32 бит и на запись и на чтение, чтобы на лампочках было видно. +2. добавить регистр (помимо ктл и дивайдер) если там 0 то пусть работает как работало, а если 1, подключается режим моргающего жёлтого с длительностью которая берётся из грин ((грин+1)-0-(грин-1)-0) \newpage \appendix \setcounter{secnumdepth}{2} diff --git a/03-fpga-lab-02-report.tex b/03-fpga-lab-02-report.tex new file mode 100644 index 0000000..75c5a40 --- /dev/null +++ b/03-fpga-lab-02-report.tex @@ -0,0 +1,82 @@ +\documentclass[a4paper,fontsize=14bp]{article} + +\input{../common-preamble} +\input{../fancy-listings-preamble} +\input{../bmstu-preamble} +\setcounter{secnumdepth}{4} +\numerationTop + +\begin{document} +\thispagestyle{empty} +\makeBMSTUHeader + +\makeReportTitle{лабораторной}{1}{Моделирование компонент систем на кристалле}{Проектирование цифровых устройств на \\ программируемых логических интегральных схемах (ПЛИС)}{}{С.В. Фёдоров} +\newpage +\thispagestyle{empty} +\tableofcontents +\newpage +\pagestyle{fancy} +\section{Цель} +Изучить методики моделирования компонент систем на кристалле с интерфейсами Avalon-MM. Освоить методику интеграции компонент в системы на кристалле. + +\section{Задачи} +Для достижения цели было описано несколько задач, каждая со своей целью. +\begin{enumerate} +\item \textbf{Создание простых тестбенчей.} Цель: получение навыков реализации тестбенчей, эмулирующих транзакции в соответствии со спецификацией Avalon-MM. Моделирование обмена с разрабатываемыми модулями систем на кристалле. +\item \textbf{Создание простой системы на кристалле и интеграция пользовательских модулей.} Цель: получение базовых навыков конфигурации системы на кристалле на основе процессора NiosII в средстве Platform Designer. Подготовка проекта системы на кристалле для использования в следующих лабораторных работах. +\item \textbf{Моделирование систем на кристалле в ModelSim.} Цель: Подготовка созданного проекта к моделированию. Изучение методики моделирования систем на кристалле в пакете ModelSim с процессором, исполняющим программный код из внутренней памяти SRAM. +\item \textbf{Самостоятельная работа.} +\end{enumerate} +\section{Выполнение работы} +По шагам из методического материала был создан проект в САПР Quartus Prime (доступен по \href{https://git.iovchinnikov.ru/ivan-igorevich/fpga-lab-2/commits/branch/simulation}{ссылке}). В начальном проекте «поезд проезжал через семафор» задолго до инициализации (переход сигнала \code{run} в высокий уровень), поэтому цикл прохода поезда в тестбенче всего проекта (\code{niosII_tb.sv}) был изменён и представлен в листинге \hrf{lst:main-train}. + +\begin{lstlisting}[language=Verilog,style=VerilogStyle,caption={Изменённый основной цикл тестового стенда},label={lst:main-train}] +initial begin + train = 0; + wait (niosii_inst_reset_bfm_reset_reset); + forever begin + repeat(22528) @(posedge niosii_inst_clk_bfm_clk_clk); + train = 1; + repeat(10) @(posedge niosii_inst_clk_bfm_clk_clk); + train = 0; + end +end +\end{lstlisting} + +В результате моделирования была получена диаграмма работы (waveform), представленная на рисунке \hrf{pic:modelsim-before}. +\begin{figure}[H] + \centering + \includegraphics[width=\textwidth]{03-fpga-lab-02-beforeindividual.png} + \caption{Диаграмма Modelsim} + \label{pic:modelsim-before} +\end{figure} + +\section{Индивидуальное задание} +В качестве индивидуальных были даны следующие задания: +\begin{enumerate} +\item расширить разрядность памяти хранения до 32 бит и на запись и на чтение, чтобы изменения были видны на светодиодах. +\item добавить регистр (помимо \code{ctl} и \code{divider}). Если там 0, то схема должна работать без изменений, а если 1, должен подключаться режим мигающего жёлтого с длительностью, которая берётся из памяти (параметр зелёного цвета), то есть мигать циклически со следующей периодичностью: + + \begin{tikztimingtable} + length & 5D{green} 5D{green} 5D{green} 5D{green} 5D{green} 5D{green}\\ + yellow & 5L 5H 5L 5H 5L 5H \\ + \end{tikztimingtable} +\end{enumerate} +\section{Выводы} + +\newpage +\appendix +\setcounter{secnumdepth}{4} +\section{Приложения} +\renewcommand{\thesubsection}{\Asbuk{subsection}} + +\subsection{Исходные коды проекта} +\label{appendix:src} + + + + +\end{document} + + + diff --git a/03-wireless-technologies-in-information-systems.tex b/03-wireless-technologies-in-information-systems.tex index 41d99c9..0ca7c44 100644 --- a/03-wireless-technologies-in-information-systems.tex +++ b/03-wireless-technologies-in-information-systems.tex @@ -457,7 +457,7 @@ N=30, R=50, L=125*8, $T_s$=1мин $P_x \leq 1\%$ \end{itemize} \subsection{В сетях без иерархии} -Destination Sequence Distance Vector. Протокол построен на основе Беллмана-Форда - поиск кратчайших путей. Каждый узел содержит таблицу переходов к ближайшим узлам. +\textbf{Destination Sequence Distance Vector}. Протокол построен на основе Беллмана-Форда - поиск кратчайших путей. Каждый узел содержит таблицу переходов к ближайшим узлам. например, сеть A-B-C-D \begin{tabular}{|c|c|c|c|} @@ -473,6 +473,36 @@ Destination Sequence Distance Vector. Протокол построен на о Чем больше номер - тем свежее маршрут. В данном случае метрика - это скорость (количество переходов). Узлы должны оценивать надёжность соединения. Преимущество в том, что мы всегда знаем кому передать пакет (актуальный маршрут). Минус в том, что для того, чтобы информацию о маршруте собрать нужно потратить $n^2$ сообщений, где n - это число устройств. Подходит для маленьких сетей. +Вычисление всех маршрутов заранее - это проактивный подход. Есть подход реактивный - вычисление маршрута по факту запроса. + +\textbf{AODV - AdHoc On-demand Distance Vector Routing.} + +Когда отправителю нужно отправить данные, он формирует пакет Route Request и все устройства в его радиусе его получают. В запросе есть служебная информация, исключающая дублирование итд. Далее узел Д передаёт через конкретные узлы (кратчайший маршрут) ответный Route Response. До появления запросов никакие таблицы маршрутизации не строятся. Эффективность протокола зависит от числа узлов назначения. Протокол изначально разрабатывался для эпизодических сетей. Не подходит для больших сетей с устройствами на батарейном питании. + +\subsection{Маршрутизация в сетях с иерархией} +Выделяем некоторые устройства, которые становятся управляющими +\textbf{LEACH - low energy adaptive clustering hierarchy}. Разделяем сеть на кластеры и выбираем головной узел кластера, подчинённые узлы передают пакеты своему головному узлу, который передаёт данные на шлюз. Сеть формируется в несколько этапов: +\begin{itemize} +\item выбираем головной узел (5\% узлов должны стать головными, выбирают узлы самостоятельно) +\item выбираем кластеры +\item остальные узлы принимают решение, к какому кластеру они относятся +\item режим работы - каждый головной узел формирует расписание TDMA. +\item головные узлы между собой тоже разделяют канал по CDMA. +\item перевыбор головных узлов для усреднения расхода ресурсов. +\end{itemize} +Основной минус в предположении, что головные узлы всегда могут передать данные базовой станции, и что головные узлы распределятся примерно равномерно. + +Если часто меняется сеть, иерархия работает плохо поскольку нужно переназначать адреса узлам, а это жнергозатратно (меньшая устойчивость к изменению топологии). Идея в том, что мы экономим на трафике за счёт того что мы выделяем маршрут по головным устройствам. + +\subsection{Геометрическая маршрутизация} +Распределённая сеть, где каждое устройство знает свои координаты и координаты своих соседей. Чтобы передать пакет, узел отправитель должен знать кооржинаты конечного узла получателя. Вычисляем расстояния до ближайших соседей. + +\[d = \sqrt{(x_s-x_d)^2+{y_s-y_d}^2}\] + +Таким образом мы понимаем, что нужно передавать узлу, который находится физически ближе к получателю. Решение очень масштабируемое из-за того что у нас почти нет служебного трафика и минимальное хранение. + +Возникают проблемы аппаратурного характера и локальных минимумов (когда заходим в тупик). Проблема локального минимума может решаться поиском в глубину или ширину. Мы должны понимать как узнавать адрес узла назначения (неотъемлемая чать это некоторое хранилище координат в виде хэш-таблиц). Поиск по кратчайшему пути не всегда оптимальный путь. Поэтому часто используются не физические, а логические координаты более достоверно отражающие качество связи между устройствами. + \end{document} diff --git a/03-wtis-lab-01-report.tex b/03-wtis-lab-01-report.tex index ce77854..9be9e10 100644 --- a/03-wtis-lab-01-report.tex +++ b/03-wtis-lab-01-report.tex @@ -3,7 +3,6 @@ \input{../common-preamble} \input{../bmstu-preamble} \input{../fancy-listings-preamble} -\usepackage{icomma} \numerationTop @@ -92,7 +91,7 @@ Для выполнения имитационного моделирования необходимо задать диапазон изменения среднего периода передачи пакетов ($T_s$) таким образом, чтобы полный нормированный трафик $G$ был в диапазоне $[0,05; 1]$ -Поскольку $G=\frac{N_{TX}}{T_s}*\tau$, где $\tau=\frac{8*L_{phy}}{R}$, а $L_{phy} = L_{app} + 63$, то $T_s= \frac{N_{TX}\tau}{G}$. Для $G = 0,05; T_s \approx 361,6$, а для $G = 1; T_s \approx 18,08$ мсек. +Поскольку $G=\frac{N_{TX}}{T_s}*\tau$, где $\tau=\frac{8*L_{phy}}{R}$, а $L_{phy} = L_{app} + 63$, то $T_s= \frac{N_{TX}\tau}{G}$. Для $G = 0,05; T_s \approx 361,6$ мсек, а для $G = 1; T_s \approx 18,08$ мсек. Для моделирования был выбран диапазон $T_s = [10; 400]$ мсек с шагом 20. \section{Выводы} diff --git a/pics/03-fpga-lab-02-beforeindividual.png b/pics/03-fpga-lab-02-beforeindividual.png new file mode 100644 index 0000000000000000000000000000000000000000..57ae9408a16912f004275565022eab211e79ef68 GIT binary patch literal 91661 zcmbq*2UL?;yEQY;SO%4`qSD3!u|Wi+mwcj#ND~y08U;ZhK%@mB1az$Q5tI&*-iZN1 zC$RvL-U%dxs1X8$5Frf`LjK^4&V2X3-+%8~|GSpU_4e~}&Uv1__p?u;uUMMw_~pTi4cWw`M3g%d04UWMf(%7 zpKJz;T2&={6d4wLnL<;l$2g-|fWLOrI&eX^&4!S*^gE zWEgB6{FLD(Fn|nPG#}rs7$gT_Z>>I9om#%H^T&U&Cgi)$$J*@EUl{Ykg2Gw1rmkv- z+=0&YR#?3hf!b|ngt|P>ALISyKi}&0^YxuPB5w6x+dcOii*|3bH+|cekm#vx>_;q> zU-J3;*lYBekz}IiixzgK@9zsGI)D~FqA{p2r935wyWqf|l*Y~b{C)lmeZ8w$q!()E z8xry&l1+~49aT%t0tRPA+6dMKOe-0;CD~(`AHLs^AZ>Y)(h@d2x-4Yig!QeabEdu0 zx_xqUq9?CV`WK=&z}CacB9gtcQyg=R$?n80;jR*F|GwXIRBHW$R8ir{bX0dk9G7!6 z>%9>C)R1ikIv-Lhn#AR4t#{dm3y{-~qt1&+;3i8DzkN&Tn^99!-V$gy3TDf#~|vJ z=;`~i>63Cg%~fWBqC3`ZdU8DE9N9MMOL*^l`iuyDSag146iWC**TBDY;V@5hwutxc zkusT-$JEO{^oN?UratP;bx`0`fhM2?Q!h*{o~;M-qF|VOdH8}VQxBtOuQH$@4CdYn zqII3W06ol)7lq$n9}~EyV!Noxi&=Z7q}IEbjSis8r`FD%oj6u~IjQ+VFt-*Xqaa|{ z0!w|9`%K(eM1>-XC24o4P~YH7U6e7B!gR^3;6e4pMUuX?5TiaQ| zXL0rX(7r+1*kXQy{4lim4IyPEX(X(Ls(3RpAriP(4=Oi7vJ$O-NIPoE_+5MB?RLZ(~$Q*7!0Rc&mytbQ|H7him*m7oll)HbhJ`yCn(%#{eGFKl(qZFLi z#jzB;r;C|p9qWcddtzRH*WJi6zKm?puYi%^^@50H@Ge@;00(P8+gV z#E%MKezM#%t)O(0e*^!=QBw~&AfPTsny3yyjly4VA#x_4N@cZeG2V#264a>Atl_bD zIbS2vm#8;deFb&4nx%4%ggSUKk)KVBLylBsjMSOVpVe6l5~&McU6y4v*MJ0t3v2zt zc8@%$u<>0%4RG6n+bxBev<5m&iYko`Vr;Q^>=x8~`PLJzc|_~tregxe%r^h@SgDww z#4o+~s}Kqif(#$)+;;wR)}^l3A7&|H&b#H*_NgyKe6;YP12C($N0UZoSb}xJ4nolE z8*uYM^M6K8U)@1dzsO=u7UIgSsbu2D*ZjGi$&6%bMNVY5qs4KqU)m&zX!~2~j&Elr zB$Cha8iA3Vu`|r^^ENVnA6HVe@M#vOn{V5_bj?s|$Busn(ht|uNaN%G`sZDq#7C0S zvopP!4mN@%yh3QN#2LxHES+TeRVkllvSt5G%~@3M&Tr2(5hscQy!CX0_GSQv zc_&07O_kP<4845@^5uKXeyX0J-!)*$U>dTcE=zv9Q#^tjcDxvwxy2Q|S|JSm-lqBY znK-BEg1Te1Kkp{}ee8_?izK3Eu253p?pb9~u1hgxHHR=13yQFJ{QDw_i>1Lfq|^aV z(L73+C|r)EiQs(E`bXq%=p7Q&tI#3GW($VhJB?e1DhvE7O+)NAx@=>+mt1(wMh}?l z7a#+uTkT{-1%Ao&{z2kE=>r52m0ehL>lNKX*Gcc?Ci{(CzOmg)E@q3f-7Q|~@Y{6? zjwd~A^Vw=@shE6F;`MQkS7@SF?lTXJ3@xRkKJ~0NXXX%Q)!ScXnO&#<(T~{b{w*Qh zn0bEL2giRS&7W3|`go9#@zW}V0=q5l*->(2T(}vnv@7+)zMPaX^vO7Vp)B- zM%mQ|j^$<}q`*!(CwrmPpLzYyMoCDd8NV<*zRZje&eAXXx(VcPYpd)P5&Rv4E}Ut(rHb&+haqvT|h(xrO^$=ey<)SB3s@yMq4h>+Vh1 zoGi^w9oWB?;AiQH*Uv@F4Jn4EphG0?9Vh!W4QX206Ea6GgU*SAD7`l5N`81~D%T45 z2mEK=VaoNEsErx6vE5Lz4Hv#YZhAy!o1eH-{8PQWH4rAS=GM!SaDrDeDYv)Mb*RVB z)WM}IXOf#>k^kpy;xQJNE*|6!oE2(fvkyA27!&omA4|!WvTwK&w7W>G$l9@cZ^TpW==t?#>>W zk-E0&UZ+mbjJZfke|@cYzYvq2nHg01K*go$LMjjp!%#N~a!xP6t6woYbrS>~t>1Ru zVgZuh&%DLr-QC^uktPSH=_V(mt{nUJ8gXhmZE0?QB9t7G8vDn&e~UI_%(XsdLrqVQ zA_gSL;5C2EYk%IT?Y*+^2zpu_xf+)l;#HJH46TejYj8w7i}6Fs_Gwu(%qlV6;1`$F zrSOU>b+QrztC^Ao_Ad{;6UG-rAh1of6G1w}Ah5wm5pft{=JIep;`!TPgOM2sVR;^w zP^nJd?;{-+qQe_K?}vr$hu=cGuO6rM5d296eZHAhPlzv$QUKv`S%Ud6zaBz|QHY)^ z!N6~mz>ZOxca$1*qGv4KKN$v=i7kyyLpSy2bPwhT=5sZ{&HKwpOHJ}VEDR(pL=P{% z>MBFX+%=lwl)BNF8OUw4i6@aCMO&E}e;Z+MJd>&kE4n@<8&KqNLDhr+CrgZ zFlvlvn^0Ecs@S*7#79i$j?24pBTtwsApH36gERf4p3foyN3Ie4-V&62!LC`VY3Uo` z+_JXi|lwYfN4qw5*&d+WaQj5>>Xtfq?;rhBqLcGYmu{qv-KKZMmg z%D^_sq3Ahv_YvjXqpAoKozzd|Utd4RZ3z#QUC~L?va6p60y~v#^l^|&Oqo;!^sgQd za=GkE?n8%=iiiTr(K6+F6&g0Yq?e$=Ny{&;6on>06O_ZKEBeqJhGhH;n=^(hHBPB{ zk>G=Y;7!K7r7)Y#i}gOBKo#+*%JR)BB+!UbdY)~H&O$%B2;!S+{-aribwnLy-2?Bmls6(XmkX+ z(1JIid7{n=pMEd>k`L)&-SN!vDy{ubxw<1EoF8nHUg{TfpQSAyE3!VLN1vW*1m zL2b9q@hg#Wmf|!jSzE7S%21vkeLFWF9;AG*#++649pBusG1n;d+e1`Xn~9x6JGwQW zi)9&zG4+T~O~}dxNUTC>oz;gXLt$G;%GO}Ud4rFR#WP*IvkhA>l$%yyf-nV@7inX+ zdNq=s_^P{)H}?z%A$l5)LW<8XAXEti+<{Oz?~4uRl+%-++a*)$OGa^9av<&|+;X=- zWSg_aSpVjgIqf<9M*GgGWW+7Aa(WWVG%BaD!|s${|6*cnAus4NM0aN()ZvMy)+*uT zI?6ay@C#;D`i%Ftlp%2gCj20^e#6ntLb+7#vRCX-G^684FO%tMWrcLjBUYJd`ZI>t zCf*$LhJ-|iJ2{B>mhmY%&5qEaE}O(U=cK@L`>R1+^Fv&Xu)Jmq`zl_N!DvaS#n>@N zM{9V-i&nuHw?mUq&rqur(m%8woe(3G?D*c)!66G?&sRxX^M_|nfZv;t#S*`TFxDH z8CGy0wLP^h!PM7E zu~hgvIkBmxX9f}4TyrkeSAU^TO$y6B80tA%>ZWWk;%uC(k%Ay!##odj$d(0ET zM59dthJbfYG3Menuo%cffMMWm$A=cactGu*x#TzVCqC%UK~AJx znmYRiRixdEsxQ$heSu9(OK#WzYioN0a$hGm3pHxGd>36lw~rBuQ4jXK4{opmMpi!6 zZ>;=OK=|CZ#eEqAyz*{`>;dW5R@K83HP=Y=UqP9@qwU7J=1JuM{DUPUD{v;N{T_SU zofm7sGW-V}ey4Aw&DwA9_{%;$R?*+^k{Cgi9>XZ)!e?Vg7NieHGi@~5b+;MhZ1*GEF62g{o+5*tH* zD^}Q>-WLE-Sr`oGC(WrY8mQbrU-3mCdYc*(77Y5UtyH`X?Z^)_ae;y^*zU;8s-@;P zE`rO9FE36#P)&x=c&;dUIq5bysQ2(>Rnof%HK17%K){q+#LM(Y?%1+DQh7-2%MYnX zQw+Va5e@r69+Aq8QQnjfgx?DlexDGz8mtXieH+pDsQ&)$?$j|c19KitO!mrW&u&o} z4$3KhuOQkxcnY;%Q0@`4n^-aEGyJJaaOSQ1j5gEdRLjowN3VYw)UdqOTyz95J#{T$ zrstq;)VhAQZivt4sP!A#e2BW17R@o<$rSB^)$}A4RwWt{5J3;2+iR$4hu~s7-ZY7w zL=^|0mZ>l4Yp~#%$&!?OvsZ~}5Gx)+XF`kcb0TjvL>BA}mSOeo+wC;llueDzC0+MY zN!A3D6L{?yztd;6X5PEum!B=^urMUeBD|#D#fLLWDwtkgbR4S}jXv*p`jsnDoX?i9 z4P|~G1SBmj=KF}h8X=cy4^+3ilew6xU1u?_%fhHqoZoCDVknhQOoLYaF>XX)$bz?% zJDlMnc;*Be8$RW@0m_2h6MAY31v9vyh@jIX6n;rZve&)Is-J@vVs%gLIok0`FchqS z1Z-Z^3SxM>Ak}3^T*-sdG5d6H*Yz!|d>$7a^m^n*%Vq`aPQq+ncdoukNK6O1ue@K- zVYNR~H9nS;4N}Q%4Ih(=M0$l+IoCKRd*Iu@ER)}2=+=*EfsvJ{ z*S#uo@=0mBOp)v@tm^J}UGzO_7ZuWTQVIA1%7GQbrTAxedpc5=?vHO;H~^Nd{H=~B zaB@@Y&-x)8QA8L-aP@)yC17HAoASK$P%(a76LAZ>WIiuH2(t1$4fgfkQ>~ytk{+ja zbX)`_26EmBW}(!2oC(nPFfv_TJ!((~Ts!0t^+_5g>> zDfj@OcG|g3vGyR29%iI45c(5}VOHWA9U;UY;~6fvvMxBAgl1Mfzk6A4eJYOC^!-sc zo=+{O)L%PEyp}{vIaaH1cX^O~06DN(BF$h8Stqw^rTWYgxhb?GJxS8A7)N4=J7^m00{6CC~ z)@Q=sj2|`Wpyk34d&65^h3v{GCm}+5#~ey}we0H!^BI-uU>V8YUcIiyFQa}kl9+!W zy77O$Z872l+^HsH2I7uww7FhVwK`uH|7d1j6YTQ!U~Z!-K24SHH62f7wS?6H&)V&c zlUiRGi{T?4PZA`^c$!T=q#lvMkRB=$xc8-tsfMTEw4UZngiQow$2ag+)c+{X^s-1m zvQLu*yr~~ML#H=Jh>;(`c!h7|aVP>J)%-VNTPA88Ox3dE&DB-Y4u>J$c6`jw7LTb2 zKRd6S1n+*1YN8mYpiu0rabNyKXKDTlDe=>>%6?7# zb*4A#49{J)^0ANlC-wB#QeP_ghYItrxO6k0D6R%GR4hk)-yV3LEJYCJO@@#DQ=R#s zt}a$ay<#s%Gs2U{#xkyjj;8ObK{=4&4%CL7;GX0_oJ57w;_(K5(#3XZJ!oYf8rwM3 zVFDH%Z(q25ZGkh+FPRvxskR%uPI2B~R-)r`n6%2ojCe%S*vT5#jlY4$1h%ICE}LE!3vWKZrSik^>pt{CK-)snY5KlHT= zip*nNAU5=FUF=(SV^IeOheJH-4A>n3iFZRZ=Cr(xV1#}D)7vYRE;OlG_6N`!DHP|& zH))ASJWo5Ajg>dSUg!+(Zkj41T=8(-xFk^wevi|rYKtpwM)aNwHYBB5;|Vd-LI10k zkXuzQRg(16v<^cPGdz^{xU%`Ct0F4QqSKd^f96f@%5}oSsxMmFL=vgh6Y?f<<)z zT~EW&|8V7Z%m~0=OWx4h-axTw^5u$kGPT;2d=(F?N=>JvHOSSB;To$?V$gdG(%LwN z9G0IqCF^R~r_8eF6df(xDznQK{WNszl^|8m^lxPzd^hEhkVALxkaN(Jb7RIfV%OUn z=$F*r@x4DD`=3E?ffbpD;=NjI)ofjSh9V#9Z1s>MPJRZLj|(-E1sJR5MJ*L_pS;}2 zO4;`zYWcWStiNvav(`nEuNSNe$qRFH!)Dh=A*Syu5g8!8v}1miD%Smmo!>z2vKZvb z9N9Hq$bc+g5Jxg+rXsw|nwe2yT3egPJ$nkyt7OFcRY6G}jsn7)XzIJ-M~wxm_90S3 zE8R~_S6@c5dMc}nC9x=tBd+e)x}cmB;zm(jbTMF8+0`5+$Jwm>M~vc#!OK1s#??TnU#csgsBS^E9su00S>ZS) z!ce@D4hO%4VY;U&BkiufVQXaS$PA#Z`1!F#-zI|v6;J&A&)jLQ9(@%s>Xa|2-bo7^U0 zd9SoJ@)!^;WFuN@^*O8i2!Uzi+{?)Ac2+;oOu_h97ii9!e}F9lGQd!4sLd&agBl=! z;7xnEa^jQGeL%PX;`w4?viW^%CCUoC1@On*9i;1;0RXSP(wX9)P^V;Su; zD)*4Life9{))5XzYG#(l#_ml$mTHg=H`M4P+_V6t`z4PG;c2Z!$Kaze%~qX1XgZg_U&RkPN>MFzn@~A7JN>caUV7CVx6i-`2s%H&mF?a zOkz+YJHd@=2h(S@{`rAj6RrB~xbik0pkDbgu*EM=n zY)Y@O*htL1SZ)#9y!dWwVmh@YwDODYiu8}CE)cYzF*0JZp2M%Ur>ozd zU0Y=Z&ySR%MXL`2*#u92|31vlrr^2VF4%~P(aTLQ_R6KudvGIn%olrxA(7&gWSG>enSh@+4RwO5EuqXSQ@Ak-dX zejhk zS<1*ck7$A z63VWwds1CNOv72hEuQtv`qKEeDHEov0X>z!Di_omSm|-C0eD{2dK5ka*|KO|`;;!f zvM#UHicF&?(Fr`IPZ>7vrnC&eC{V#$<{kusOYD9{I8%^|!?9)|wKUtRbG@-sq2j!h z(0PEQ#0u$2y3A-cS{v%o70cH`{jSk+`?;#-#Xy5;GMw2uw$j& zF~Ty+ED?(wf@@rj&iuib`#~D;f(mPXM}krEq~I02S3QCLG+w8V{+66le-`BUzQF>V#7c7twE;Rw@7yoeo&Rz4?_HDYN;kx+@OE)I;QO{U zz471R%X_h|yxRJYVw!}ZI_KOov6B4UP#ji=g2Hf`uNhkv_Ube*i)$tXs4zTy?Xut$ zyMrq;%=&}Z(^?Fg3iVHP^zwh!GM=klX8`jrp(H40l(#4B=)K(pggQS<)6P{5qw_m< zsB4Xtj8zBt#jhWUCK4kN;-F>P(z;yaz}dF@k>U3z^c9a7CH@$T*`M8<(#!}5oY#_%7-or;ko z+1Z&pyAWvn+b?UMbnHK&p0YY7*-5%OwU#!1`m73+te+c(#Ra*I)pacxOESvi$^>QA zuIa+Z{O-O}HAQwXIiwnWo8E0%_!!lQ*27~J{6c9mHVTSR4R)G3l$&VhuiLLx%hln-X7;>-`iw$Xsu1Kp48B1#KL#+~G3` zuw2_>9BAZJ&i)TtZv`2Zyv%uk9tMqfe+cuSb!C;Y$_{wCjy9e?3no5^cIh}fmeCge zu4wNo!<%D=Jg3eOq%->c)?snB3x|U_nwF`sKVg)X>~M=Wsh-s%5sy4JS>CE9;;y% zDhQU4FX7B6Y2mmNB`2jLGi7!MRM!Nw)}+<&Y}MDu>A8h3K}PZdTyDOJfA6HJNzSl; zu~kjs%PcRs>%h&jGTPxd+UB){Jz`(+t0oIu@K(g^D`@B>`ILV%(m7l zS-;7fgsxbZz4q%hy?Kqs@aI@-XEQG>3Ng;PFvv+XT4>$>&RM4ah5H13C@5G~XMS}^ zKB?Yr(D3myv3I1>Nwcao^>>_ALF?>#wHkeYe7plHu7%^qRf|ytm=0og2H_@ZnbT+J zQgFtC_GG@b=wNR?3e(f12K2O?60$iHX;{@T)!4S4-sY+S(b!H_-K0& zlr`Q^eH$T*C+0TfUq7|?U8KSCST!PloN#udYr@;J_pVTjb6~ekCLVIP;8xwjD^kY& z2R=n4Ha$1+Ao+TjYN&Wy_8Lah`RwEZ&WvM}CALLYH<$Qv$B_0ovOz^YPeM`tH9 zDjF~L-v96)bYjozpvufPpTM>K7(VZHkl(`lNL%y8m2#9RzeYB6s$*ftwrW8A;ybcc$v@rZzt~bX(3UEA_X6Cdn1@1hVI6#wY&EtOf2f> z8jY9To_reTjyL8;>e(gk!QSm5xOy56Q&}DRqNDRk=V>W&#fcSORqthJS7FNQPcURa zO+c`MWITqxGPBPmQQn=V?tdy=E2n4JFNHB2bYU>!nO2`kVyZT`WKPpSD^Z>nD;MKV za;H7!Gp-w0u6$Aj!_!yI6Z>WCk0~YiOJzI3vJ9*98jnD;tX^Oi4AZl^MVAN&^LZE+ znw`cSIAA>jyenG++QgU~ud8UQO+|!}0f3z4(_a;X7-pxvM(MriK z?q^VRn!u8nnii}+4EV0&)x}ntnqUWq4oWqIxu$Di$^^q*6@Y~-YorB?T9D4Xs+~2cCLp#$u)=lkpFR-Nk1!T-xhG-{ z5)+xuR2b#i#Ht6^j}+oOLr<(E8TKZ)b7?mgB0QdR z5Y%tL^m$6~j(;O6rr(Ilh8iy>Dun-$s2ETtaXT$#6$~r8J(7Wz$A(GbGT4X+rGHiejiOfwnY(c$MZJLT*@h$0zJrhFus1=z6DF@KUW>rJw7#st~ zOE{P!Pu*vpzghMlaVh2`00RySJ9A%I`3SZeYnR z*2=uufR=}|dT7WyF)zKlzT|T!9hl*C(fsrT3bhrn_>M=yYgyq_kNA>{OTd>ZTJm_k zoHAc$C-Dv2I3#UD4}NTin#0wYFPPQ697#D~-AY?6DQM%g_<6c8*Un@D_-y^t90g)* zEy>V@;2yjSYv^*CynntvD8$JZ9f8P2pB_<(2#A?^rRJ_-(7*p?zkAf?8BTkNE@jdF z;d+9&c+Abiwj5aq``Wnq*l5%oIvlHVq|Sw>O7iUY+tx{UN2@kGT=)Cr?ta+-wH2%& zZ6+>Yy3|LhxSKtNR;U=(^=plBrI+G6Gw}%64ktnkKRpiZ{)izJzYA8OqRQ5$$jVv8 zY(+!~I((Wa38&!lX8nU8oZn;%_g9krSqh<;biq6`he;m}7OTOdkJzhS$F5oVloJxa z>>(~c=kgFt-T+Tj$}B9-iF}q5wy4M-5HO3S5VPkuO@~rKPs*M8A1LE7^HXyJo*503 zh3)JEOM90t_3)?M-4j3QR(y1W!7pIKAMA&S3HMIM=>Ae`l(kjl{a@+7!F}{Be2P8V za9>J}h%3&3K8!f*y;t0uEKCf*r6>d zZ|kHv)BA4rpI5YUjj{%tM_43|vO;#D8BK{3ns9%!ORfsB@oyB_&^-z=SLegT(qhAP zu~&iKBn&#}WE9~ZvV=xp(RH||Q1dDM#Tv+Xlif)FtvI<;zENIruiQhTbMdP)vv+MS z%!&njyI~>Bd4hF4JYjv+k2-^SGLFq#-LM3>5!n%7WDyJ5yw^zyyv=L0(mxwNK zdy8|5MKD%ltx&JEcrF?g5t9?8ItO1=Y~n+}MZk!Uk|tf7tPC>}q;>xjWq-0k**#PK z6J;l;un9dUYZ^nJNjrg&*qxTY4SzIjUBMh#{#+N6?3%2n5Z6h-{%eQUog2;)piax% z{WgB6^J~7E_R`WPR7_RiYP=R86x3;;7x5%CuSEV4QXd(Lx)MNFlumLURrUV6+#KI! z%1HHZO;V~{^fLilv+|4r%p{`ZvL>&8DQ{dgpo7{h*W zWLU?)_mP346`ZdlBiz3ZG}JA=Hn1||-%r}dRWIn9*{_&+ znLv+XBw7m?zegQ)U?X=gA6V@VSZHK!B<=c3t_xRWN%DGHBT)Q&z+36WRbqF~?eK`H zh9jy0!SLD1YAXBLzTSOd)QGOCb}QgGLQTemhaR}zoB(wl^Wg* zV6wMYT6`({ZnS%1hW~I7Yc>G;H?c1%zq>V5lD7vbQT-Cf1@E2{*sLjMiw4Xg(TsEf^qwOZigYl7F-|4-;59M_u$IR6QWcQ3oU98A6s7W z3kR!lo&2d9Sr6ygtN<4*&JTQ0-#!7Y`Zr6e@XA?~De9vIj<{FkMS4>A$McpL_?&Ll zy+}dKI)ia_uX?QlrLlv7JzB%+ULe)c8+r%|PU53hM|W(p7VOaDm6z|G zypLq=8Bcn@J|CrhY0rdKPWXK>ipmFtFFS-ANzkFA*A@(xmn^umYH9))PSRP3a?lP;fUrA>E9)x$41Ega)t|va_Xw`ei+&9>vBN^12w*OFex>q0R@G!mgXY zBWc}PA2^nO&}O}afk zn@%SbpuC)S5;IY@;GAR!t zHxpUoP3gWlrobgm1T>iBud8R6fFbE29JxdS<9guBbBKmJIfC z9Yjl%D?J1k(J1e-tdaG;H}UrY)jH1*R4Z3; zk2*J*t}ZHotcqurlZib_VyVTFy;8hWl1jxdVxQY?KI;__iR{8Eg`GV#G7n$|JLI$D zAuA6yM~6TGmGQnR>Wwp%Z2z;o@|Y;DfwQLBRayqukV z8lA0D%>?~jgqt}JRrbT79pZ{$zCA}PS0AF+5a^2KNGiHJ_=nK zaf};nBEXigC)eN!5r1whgB_lHrpNJJkIW3;h}9U6e0WF+b}$za_n<-}n)BGDyr;#~ z*~)=R9ImZ;LBJ@sX{B^Ge6GwD1P>Z9GUTbJ0MX7@XK63lsf<10amqC+P*hVt0oav* zo-Rn|YP3%}fx$g30nrXM^H_F>ZM=2Cac;wyy#3?>s$-}lLA`uNQ&ZF5rQyySTcNh8 z_6aG3z?Lo6o&z-UtRjSgmK&0kZqUs3Y*18=Wwx%yASmjJ`JXON`b)D^mBH!mR2Rm| z>+iW>pmp8nP^b^b}IMX6g3p!P?UchabeW-cD4mP7qos+5b4WJ)<<#hl1f-^S>OWTMsX+j`3dpU`>Smb4x%aQ!OZ zc&b5-Qyt`BotgFZrn**h1I?ltdbiX0OHS$IwsdZZxYjQwUo|b^>1f9nVY%0jc=p*7 z!KR>N7Rjo)E2;tABZ#Hz)^JzznM{-zG9Q6Dr#CTbcqDFYo`%>4a7r&{nnOpVx@aBK zOpvp`CXMB)1vr>5sHt|xjh1zs*mIS|dDLO=kl!V{pL84lXy(z(=l0#XuwJc>y6N`P zA!$88aGzVpgwBx>|IUW8fUcfXF^cSln|%(h3f(fm2*1;N^@4MFyadDgWqukXF}qti4( z3}9I8CFaP?!#caU|E^4#VBN93UH1e}q3ELM^~S=Kd&xhG#$i*w)(DRNKtj-WZ-XwlZZO|^| zsVk*)u-eS#39%GC4u#eeyo+HeLu(;{=ix%G^1O28EykJMTu|e|Ee`IxAr?k20M=!O ztw&f&oRG4n{*wYdQ!BRlGQ4G;FxLd6?Ss_CaW@e!wE-d6^B;)B>ZH9W$z0`T|{`aUGjAZ&BxR!zOS+~1ELhI z{kXMT)_{PI)2oK*woOR~A%w93glC>PTadf`HFP3l9fj}@jhe7qxCcC?yK>R@5%cgK z)k1{EL_LICCpEbxG>W6WkH8bEH8BszGT#|8nkWUqdhp;YRMdqMgTaY{-gT(!{53tX zL3tCyWnQk~sz4(ds`69`)Ub;1%f;Odi(4$WsW)j(LB-E;2Xwm2QxBHgrc1{ty3IW7 zct0qOyX*{hS^0z>;6?YuJG|>7_+cZTX;qa}@mydkr+lbE@VMwVzHoDwwvG|8@mV9n z{qq5t=F4Pxh9g$9NUYkNM)~7#u`@Ahkf&Ep?^1t4$MU?p2c%KH zDFZEEV8?ZGgZ8WU_3g@q#WJ&IbJI#b0AB+$)G z(m&Pxc#e=4{XX-Zm})4y^v51lx2%^In@+~L1KM_H?FfhfBlLNO-BkE#PYajCbkK=! z+R2bYMu%(o>b~&BMw*M?mE*a}mjQJ$v1f6(OalXP2m3Uu=+tAZShu$%7gUZGt|ylX z0Zw!aM~C^r6th`Bj?ejH$C_&q7CE#2!!PKqx2!bgU6M7TQ|v}^JUy6LOe?yV#l<<9qP$ zNfYx6dslh$8p}+M@5?!Zl+q@KLIX)8o)!-=h=>fRk+yWsCbybkW)J4&}ykMiZqsZ zJ>)eEi<}w|C1pEQ$T-!)b8%#^N&i?m`ZKY)&VoEZ*nW~qu_GRNTIsQALIvsd{cySOdBE^Tq~ zev;eb`ytZCAr}0Fv@3cnjP$6++_3SNut8*ZOOv7ytAmBz?2ny4;#r~c)}By6NkAKm z-DgcghrG_~dH|HgUh(xc?nVqTO&(20fu(QucaJ?{ND3F~s79PCPOiuSzow@xh7_bJ zMH`G%&MUE6;YWmko0*Z4l$91ulFCAuwadefz-k$BtR7X0p+~zs=%;a z3B;W&`Y8v(z)qMKnPtdKpkd7y;KwbxSP+M&`)g9-d=y5+3y(Y;KNrA=op61#R?ex# z$N-r*o2jTscR|;fGC0a>L;w97f*A3mS`peRN>y7qtHjt(2|jX_T^UWvz{tPQjBn;~ z^(k?`V#d=lP|&@Q>O5_av76ZS0a>!V?(Hy+nmgts%Lsj;M|QnGiPOJZc`ryw9Pdum zB-nXakW-{V|1E|QMYJw79YzN%G~_k?!5t7LL|@&T`6AlE$M-X3$%9{8jmTZ9YwhCI zYsMJrshkC#1prgFVjzEpL35;VRZa%@4a&&dXjrC)q2WVR@zTIB#A#^D7YzE!!a&#* zMUOw(MR%_gx2WfU62e&Q?sIaDphWE2LpmvwXdCZM3NZkB_B6Dpss>&6l09{(oQ~Db z9pA+2=|86oHP~M>^V)G23y>@35yEOrb_F*1pXnBMtRg;u8zH=UD^k$UxWw^FD^*BP^IB)9u%i*=~ z*zjb>IroaJHkab;2cL?cO0K#}J)1?P+j<5uj{xhih6p*bWgzU|ZN6q?nWCJZn0Qm> z#E%-2KR#llG|~`Q!O#IJ;c#?gGsr5I524U)w8s0Kd`kf}t7Oum^iNq|2;2PjvoyZj zUebPeA^s?GxT1w4=;-vs!oDNIy4&EqVr1Ae*NF95y2*v(XyI1!d2oRz?&%{g2zL8{ z_A?W>oOu0~p&Zefl1mKa>PFi}Zc)SOH)Qs>I`+D%4!RaTYK7GHDp;mr{eK7jiZ&KU z#QCP!*->&4o?An(EBNjLZi3%j8t2MOzq)?B4vY09JDYI({3ZaY?DJbT+!OT7)j8U+ zK8;AN1_-!R4jWiF()v-z-bq#HFkL)|1H(1y$cI{J-XEpzvB}bXC5d$UAG5yx)`9s$ zD?${)5?KDXSjYSNd(zk_#O&aJ#lX!3ii%D82qBifQX6kGp~Ol z#=osS*DJePHqtiW?8OA^itQ)qS_`sD7OpAp)VxS^MV>=O1n`24|Yc_bOFWhyMxRpHicO=hq#3wGarioXu*Fs|mVLLjEM^ z74rghAj~S)rP3v#R2_ak09k0yhF{kAb;|_nEYFddL%=l>KJhtnbq-H1ImaP-&j)Ny z45^=siL`wL4F}tqGwE8TsH>w0y9w|p z#&hr(4qqObozTsDX(%5GSfyVJLE3WdLzM54qJ=@fgS19J81AnD;R6ysG^BmT0RfHs z<2ni=Q^cjqDB6dP*Lxlz=CLB6>>oYFA-UMHE7k;&vOq+pa2M8yZYqO(vo{9 zxa;Jm70fS!y#j~d^Ix>;IRLiQxPkrv-uiAL&P}7Xd|x&rkrpDJ$gNPsBVrUS*>u&o zi5)7E7k&Z?5&RL*%2@ znIE|zT05uu|GD_FF`RRf971rZDsB;*YqSieTEc4h$wX@2o_}+y+@mJ$8Mckl2r~VL zdqrYN569A5JgpvN%IL?=2p6}1qkIw)mb)Vnm(rKh`y)Df_0L{4Wi;D?GUT6)fBp4@ zKQBY3ufDuw>J_!}3|e5q{kov!5=W`B@>iGUY6};_**$JtjdC~;B1=$>hpSJcwiq4{ zg#8RUzHDdHkRc1i00*aGpP!s<&?&Udrn$RMkH&V`y-~eBp>0d3QiG;p#$WM{j~%b7 zEUB_}Ks{}%sP-Qfe$lssEuNt-wWzV4xIp&$R%G#?As^(AZ^7U2pk8xn?rOO?=JswX z|9XByU0#!&hXK;M?L>@fv_{!0RXn=m3_J4igwtqaK2||CaSpOO-7?KpWM0cuwnx{oI=V(* z@#djY@#b{6~5|iyfN$>AtL|dmw{ObkV7*dg}cJ_5;H2G!ws(i=^xDRF` z=`%QUC@Uv2BjiIg;T^z%-iuk!;$E@(nNZqTeWBP2IOww*!f;mhE+1^va0rV3e3;a8 zfU6S3c2-bdd{3PF6%OviJ4CUEmz$W;?n*!4)%99^EmDG;SRn4)6ZYvtN)K(M+6?BBDL z+%q%Pm)BQBVlBGCWH0%DZ{nnUQPN1{hfWi+!^{T0pPYE9rzvllh~uw0zIA8LJVp6ns=$s_8#3$TZMp4B{MA`R@-Q1tSw zLq7`oowRBnEaLK?wX|{n0+sg8-8bDxrx0q_4Svo7AmrZdE`(-X8j+C<{^qxB{HNcR zVEAvvy99rLj^1RkG|uiq&ZJ$y5G0URxESxTI4Z$uyBpOSye7t#7dLZNCAANtkUe#s z^XmpZu2-u|a3@@*eU{wfOHT7qlk!< zh$u+S2-2GviVy-iiik87r9-5Hlt}NvLXlnqgdU|Ngb;#25+DTLJ2;>|qqFx@&RXX^ z>+laN2`2GZ?)xg=>+@BAz&Q}s4w+ZCrw3iLUA6R-d&uQkhzrynbhm{g1MHjn!ppOk zF}A)R;quW{g_8*rW?y2&;y+aHqt!#^kHfD1ZwPEJPY++a$2cLjd?vh-I{Z;(H%_Z= zj#*?FHfe!bx9Ngsi&n#>RG!o5&lIi3WjbQ-#M%Njcw54sDSzukO@Smof4dsF@EwZr9g zfsVK`<#^7d zvH-6r+FYi1d+3|Sx=b+i;Po!M_Cb|K-g#Fib@!Y`Z*@WI=k8h40mQs~cz{tD@p-iB zlyUO!mg8Mv%ZCotswiv`w6DA91bH#|h^}6+X11Pxh+?Sh zgG7dO^YF`7J{s%8ZEaZi{_)c#n^{F~kn;uj>d{iDM~G`w%|Vq!cXy@?T`p9%sqUn- z??FaJu-=r!UbUjgCH(BwKIDXVH2I{oL6eE!GlkrOyu6OKWYa3|=L#IiIf4$3H(+Bk z=oT*WM_M3OM~!|m*}mggVc@|+g=%OX>X?G@F8fdV1saV9Q(dM+WIi`TMoU94HM^Q` zG=VoYmq*{eY%;?&u$&?n&f&jYgDb#4Uf}BAB+Ql2m0(r|-C(*5PCG|}&r;44+varl zzTBo}<(0dX?W@9C<-Vrd>5#rZkkI1a@!?|iuJ}@1xL7aa#*VL^-FO)GO8d3;v7!T< zU#VDsmHH-bxbqlH>C7R%ZZ7y(Pu@F1HD-w*+0g)T*4OFIz@9(%d#O+465se?X-2|b zb_*eqzW*wrZb}TCYPkn4ts)~eJ##(VY2z)&FIzcx({7kYFM2qx<;`I(n8K?@@*b*Q zUUfB!TXlCnV%!tn?#=JX&SZS)>>I(UoZCbGqR~2t~f!xH8J2 zaHh9s}X6c%g#S<9(_8y2Fxu3AP)HiN$@ zI4gOUT$39u--@#tc$wYM7~DPEBd{;@_}>as>t&{OY+J%--PiW@JGM)Hacb_RVXpt< zs{i?ax9X3*L`&edztqHJd7AcbtgsIZ6*H0ez7nhwenrT;z7H{hRP_?5nj4HIA*r`b zj3e+vDJX9SYaZ^mm_FgY!^Ks4+40+T?!u0Mz3`~H3?Uhm-C65#^F>yWH*(>W8P z{M*R%^G!J?&3sJzp{Ez2?&n#ksrzv$>GDEeV&O|m5AA&7g^(emH$e@5!AOtK*C4n@ zbHV5zr^M-PW134wr*+m2;P2077?8LdARQ<%cNPARm4(dop+3Fu3>P)XnHXIT(TdT> zLfdA`$XMvpo>&K#A|)}QFh?J56{riw)w>0I`pb+v4U*vw`GkYATmJ~VPL3fmTMiRH541f#5Pl@5OL13(3CWos_ALGa=QSK=(@j=jx_$Mp2)i@=X*~VDH)u zvqb1EuJrEc-H%aBe#oUCX=$5t2{s&e4D)hxq@rV9vzKsbGmi1!g7u)?#M`@ltlBtdGM|zeP>1QJn}we%om2*5pexl~8ZITtY4} zM|KjqaK9%bpDtqgJQep#e3)9Z?A#)S{l?raS)3J9vO5dTKc{71+BuZFx{J5MdKeTz zov^fCStF3p4=>g0&_Kt9>S_}(6_8dnKPx&v2yd(Ce{l(@n{^%Kx$S)YwAAx#qiB`RQ_xWfFdWU)w}!O89i7i!$?m@LusNoL_>moSA~!Rr&vw~ zq@{5f>430|B@cMCK^f>|-Ik(v>F#BYv$dIs$91sK+p_)`dG#eGF@0juyn8~j#20}K z;~s=iona(uR#kU$D!el24Pt;GJd1siNWT=9%&1d!zAz+Cd&=}0BZJF!<32hO!%hZk zQKzE=!d-hzhL^(UdGMb`b0a>c(1RqZv?Z#2M0ITEKIvD_{?gI1I(7br+aF7TVS}2g zGcF67*3}@G^00~C3S$N<@pjo3*}0bJ#15@xSK)?NXY`9rtIroEg_W!7_<|vQL^Qz; zRXm@ieghL?IDcX%q?PBWnY4+`Nkj=;jkzl%oGxm1ngTb$q)s=bN`PCy~eg(&?KaSz2&B2rm?GW84k$xmOE`0#de4eSic~L*%t5n^n za(>S}l9xKu`f{6zL|3G|FtkH?xCE%(-sKuvvoBqne5w?AVS%7!0@OdS4&Sp(@fe=V z9tmL4Z^03mE1_3Cz&NCfm%9p1bu04IqrEviSt6miWJG+4d4`0GZo+k{;UIuke;z&Qyj11MC4)<+rMv zTEjm{&b_Q_wXB9dFiM^>MP1ri5xUGP=h0H>icuLzBj-6tDfKXjox(0G*Zz&(eOv&> zYc7o(@=mgsJwtu84IS6zJ7?nibeP6dkW!318;eYjtnwIJOh=G9%89TWvBVTQPo`#$ z-yE$l7vuwh3k@G+f-Vd!$83jjUVa*m5lp>!%0^S+c@6EDh&$w5*j()dS~0B(&6vn` z3AMt#a$pem4MtXye;>ZB4oHJhF(H08AOQo0Jhm>7zy>~`LuPqVFbc}82?2Gkm3Q8z zc&P4P?fj@vNQ6;cIb*vBey8j@ZT$O#-ouowh)X>MPF}R6JV_tPUa)(2IaP2^HpPa2 z6_&YtUPRVT=W};UhGo5Ld~I{L1&&Kg@Y|NL;%6`IQ*$WA<7|;1= zg2izk1*cLujHHlsu~KtC4YGe<1&>}~I(sWGU~XSql@{nijk$dN)D-klHM$&TqcoJJ>(|#oS+(e73~IU2a2Rb+0_WLsk)q+8+TbW|F^8!bG7?frjAx@g=pvJI+pxI9C!bXQ zap5pE)RiAM6f5+MNARwBsAjMe=}V>uv_A7QIjL=IGV9`ItekOoU}LLiV>F zoq3#AJs@5qDekp-ekD{I4)xw4etG>7L;V zxP_q)xyaNWa&Q&=~dqQ6Ls&~jkxsky{h8g;N@zznn`6@fNx1$#)LHfce z6M|js7f7ZL++Fs-uGriUW;%j#`}zzioP2#TyRcL@(*kz1sPaQ<4*pBCguR(kC6nID z(9pa&%374jCxG{H4!7(B7b};symhXD$L_F_`d;KSGp#HsdG@-)gx@qpJbY3DC1f>8 z78w!s8C}njcUS2hWCTz@Fh7SzJ7H4nhg5lR6g$1pCPAP#frS+ zR18oyB8zo!mbe>h6)6FEHJ?jkIWkXLwmE9v@S~|7N(r3eg;xDm#oN{)09ia)HQOla zT$c!?`bPSG#H;wGEH^_{%>nInvIyHXIwneX#_nUi+?+}FP;s%*ESXaK4*>dMI!(Xh zpeRr)U$v$M6_sTYj;T{zvccYhJUhDN4s}fTLuwAZc0ZMXCZu}lFg^wv4SZx_1yZ7h zMN7g45tfTfE&OAcCJe<}QzMi9`=Rc7hLDS?;*vvR^=haVvq8vjoA#u{=9M5~O4L}9 z_L2k{1bU%l)eD8;%kFR69!<^^SQP5MZQFjwHP257+S%4=_ZCRJm_H}qucm$!%=$a| zTc}Xc7wsG1j>%>zNN#iT#m$T?t59^0!Rx4s5o7V<4$m-o$?c!n62YUV&jLOL-$p)s ziVP+X5cs9-MXRmC;j=+*B3BDvwK@-<&l!@yN<&vM zv91%Kiap6wx6MhB$90=i9n@c!Y#NpJwD#S%S>jr`M1leTG{S3Ten=eP1g`G291 z?8?6chBI^Jch~>UBVn!`rd$mge#w_vUynSN4rxQa#)1CoKzQ1ZLbfmgg>vKP^^53> zY*|^y{KJ}!iS13B7Mis$n{f6gT?R6xl%gV`ZZmi5nkfeZhIx-d?r6#&uR@=*SE!`_ z)y$?2!)yNZCQ8$0_W@WhF{^&>M{<+sNkuw?&m>BvZm&UF?m%?9M5zlmw$(A7R2=p8 zCBSa98HOEi_U!WSE3TxcjQOH|fx*nLt2=4q++z>HFLtq;_=k}GyRfZi-MJ^{x-B2g z05LnkL4N!_;}>jw)Z0eTask4z?OLI4kVHVo3p$={A0Lgmi!@howgA+tmDw1ocs9BGL2 zd#Mg)iw|+%ML)bY!>)XYo8Z6zAKoUKJ?W)=9phmZg*M~ic2#*ox|zn$H`5P_-ByI-l|34hBZ`>ht)<&ZqQZ{Yv!!t*Vw3e)`O#B6H&n>V|2O5`&w z$8d$xj4d+1LD|zFmWX)pd!|Mtfl%TJA+ZtZrn;jgr|dwGA9Zh3o~3NpJm5PMF}*5 zVl;HE7(G9UK}QdA_?|#fksKMR8z)`USTdxUotoO9Tivnsj8p0gVL@tl2Tg(y>@IQvev`k>#mo!AJ=$f-uL)}%k!C# zl%?CBm2GVCHupa6H8@z=(Z4K!~A}R%FC118{&rq z8z6p=5y+Z86AM#AS}AR-*7-9a^jK(oev2XW1_5c&9~1}ZGfoMuLSZI_FBgp?6~GGq zagU)QM%sEd#$lYwA#a(|Dclyxfg_~1qK`2oD7?$YZgM3enJJ@7_@iG=Ma^JcU0u!& z9`Vwb0>bs@NgW)fX+-DJN3#p*56!)3!e(On&)Tg+3}U%GhXVHSOV@i*jX%22Nc?Q@ zFxU0Sfb2fS2u9jl_oX;R_=8pyklX9VA6!JK?hjq*Z*FNR>#4~}xhbn?ZVyWWdzl^l zuzb2i@miMShyY59-fPMMSECI*c87ae5e7io`i64SgD-+7%a$X%+4!VTEgKNXv5TL)qvRdOAMw5<=Iogaa%#&ISX8_wBfq8X`c=a7_;>3i)SF5{ zW&z{1C;B&w7H|gz^1h6W=N;jVlrNdO&0P@*>Y~^CqDHSB6)cPZLa4~A*3%h1^B-?( z*YhNs+SL8L8jAMzgN`5%)gtp8<9eA_tXU@z-SDo(_ZX-}T_hhDTt#=(9Ls|(zB;6) zJ!N;W*8M?U=30;RWj>BGk9v8whsP_=k|6Eu2}JTsUeB@&(VWCYm*Gm1_vp=?9-l^P z=ikqz&;9@(hHBnQaMVWPS^$8&9C#`slGD%xOzhq7pC8>`XxH>%ZGt)Y4Hs{y?)EnuPW;|9sdh2)-?+jky~W# zO9qUL94Ab3a5lAwO4 z#r_x|DD(p0;8WSR3&$ru*v{VA4ds-4-SnYY#u6>i(D>YmVK4;>=Xw+; zUzl7;1EN5U_~m179%;GoHOu=p&fO`lx|irW~czQ#iy z@mu+4!urxE=DAJxjwsIqte~08q0l$t=9N+WUMt$lnV_kaHN`bRtNW?L zydKp)RzoxnpUgezPb?g;yPWr<35N$Vt-bX>;paXheW#}d7L8>x_A!xcPo1@&o6R7b z0U3}X;DVP&3F%^=@NKWwrQLjorrNy`;irqIAB4QUMsI~bA{Ye5 zA7z|ozj*=r8yy8qU54Gr=qshg9~3Kj+_SEhxf4E$0NVq8r9*(FXGlX#<<$@N*{;JI zeCTbM2P^ZQnAn5@ztwaiY1oEgCYExBs4}y8K4-O!qoXmPwH>7hzbo$Z;bc4n5#c-W zL9#zpDCZajzr+Z`VwZ1_@s+<(FD|tvunwQT?I^D^2|5|`@)DJz@$h2Tt&~r_0t#v_ z=7Rm>aPzvJs>!b9cBvNB${ufh&a6*137Q1frf&T5KbBrs+-})2l*gcwKKb5}oMM^S zOsFYqgeJ0XDa!)YhUQE%W8ALX5QgVN%u}&oZs8Me#W@T@@s+11hwmMnpLDz{gAlNr zJ`rHBB4l=l66<2ay~^-t_8MRc ziq1tsGZ7oYk0Z~;KN^Nz;2#I?c>*M~i$s)Xm8&n6;VS{bjElKwhAI2mL+t}V8amH4 z5TYJ~$G0RT0D5wf8RHzeN2LWNi?+UImXv^eS~Q&UJeA)m75b*w?%zccx833!R;*+k zgb@1sK~Viq#&6TR&}GdMwI0N!ekLP>-Ek0pQs&sNK~VHc*j;uuzi1TwnaPGzo*8rh z;BdIyZ1NbRq?56+l)T;X}K}(1focfo=S2y@ORN!e_ zG*@&fc^wPvZ@4(rwtSiJ84G--@=q-A3rVC-9cRugw(c7&FgiZV3SD~>DuM=N;Bv&C z`Cj@)Sd5%GT?ya9NQjVSVP;;vK|HHcGp_#xc{bEHm}H(Gz!lz0xZ&%xI5W&nsf(OE ztG8Bj`%pBG@!?kaH#QlC!(UG-D#~39^Zg(N@qBl64UyKErAo4oPP#AWP}pBsN?v^Z z+VTTn{qhps0UPZ~9blt%LIWT`viN>=`OVEg(P%=>W$TgjYl?QN*5B-_KMeA|WyFe1 z|KsClx#a<(yY?LUi*rkX^$DoG18QLjp#1>Pt-}U3=Ue`%NFtS1dSC8!!+qf*8=i!& z_2u@x>t$3|u|i@CKO6u05QrfTDJ^dvc&!AEJ?fX&)c2slp zB-bh0XJ?qN!nR+ffW~j*{@k>wCJJ!Cstvy~)p%_)DX=$lXk)T+oYlXn3B$+YYRgto-u4jx%uQvpU%s1%k_l&P% ziq~)VP125&noi#WpA_4EYg`9DJ^b4Nznyk*c{Z^BIRw84TCiLBllQ`ZAA@g(kE?k- zJOsIbbJA-XiZwVIIHXF{9699?^MO*YH@YSF4a7*GG;LHf7X>t2;eI(ItHxjy>r$-W zKf-%94u)>%56GW^&1|4fR(Sbx4VjZxoWH`33E*i3pEs4VF`@xFjqt4dUvhPjNbC`rr z!)Qo)KO%MQx?h zS-Q0Tf6yLyUno+GwR`Ix$&Iy)c+6LAghx0!BKhtrZtcU?*qxOV%WGih4JLB|`uzGP zBD8)0?hMp^xAwBOd5HTyTA1gIhxfhqw!EubVQ5|0$=Cxw>k;p<+kW}?`I}wXUgIC` z9<>VfMz^52`S3oHK69DhLwKfYeKp#2vy)A`|8s`q7fr{=i?+fFWm<^%cR#~&cRS@$ zFF7e9jBh{3vzjHWriBV34TiXCvI<{$h7>Q}G(U z7dJKhbdMo|2q+ANn^gMW3tuc6e}^amC|+Fg5Q~4=_|^Qq(@cC;NdshES$t>U?bqdy zj=wF3v~1nN&jrdM{R(JMxlfk*TIsjkCa_cEe__h~FP(A}Nc5QkZJfQA_X|)fSF?<2 z12t{AWZ5i(F*vty))_Hhd-?^Vqm0*%cYnB%{Dm-HqDbGoRU-sPKlTWH4zptU=YWA1 zA9i_Z{n%`JogIDg^1##kbT&SQe-tS2Hhyj@MSEYaSo-FvivL^$Xg0mfEI@lzD}A+X z?EjIlUK0q&O|D#Y#DV0BEgWwRjzYFf4mTYny4Tc!-GGz**)J=`Lt{Q0HHF51&-8>d z{%=iB4u>rB^U3>!u&C8?IkH3D{AKhlMHbc2jhG=c`mcs3%KxsmOESf^E&=&%oqy)P zTj!t0W^aa8^0V>DpDX>7|K&=5IYu$?ZdC>1aqd7;M1_3LdCm$@E2+8esG{n_+1H|Y zs~SFmcsi{)eH0xZ$1xaW&HyZ<1H1#K_~NF*M)%vqnPG?dCZqE{83$H z0hPdJtAf!oycauZaJc2@o2u!8vXyKx#U1a{q<49*ng`PZmnQ+GOcmPX)3Uj5j} zsW}E@LxnBJk_{J07M>hq!!t2Uam+a1DL~M=Kq=tV{Z-gOI0)we>8~12K4??q6;|aO z(bdDSIPWGmR5bt$Ozwr{Z`?A2+#90ve_6mMvkUl|RUT{gdlQ((@2zL(GI`3^`BwMN zi%fUCa1P5baA~&)fsr~AtNM?~PRdR;Nbp!2*+`dpniRHGx8Kw`Ly|ZvRec_dA+x~Omeu2>bVOXmpPw^i*cNLDA7rcR%eKsl) zR3dW%RFqvx;M|jo)1(+*sR59N;1bwm=Xk(P9YY9~Wf)bRTwi_e^|dm z%)9c8hU=J~Am;d6nG|Tagurmc+JH7?n(+abR8Xe=KS)TL1olrH41EH?%}#MR*UQs- z2fp&qJHJBYc<`sL+`T0Z(=_A8 z^^KQ7762Z|l9|IlmT3LW#*;R8Vr)y%LT2AltB}qTm%TA#!vRAM39jb#r}FB(TGr3g zrc=B0y)K(D*#d4spQ&lBdB9>pIr~tw>>%QayKYK-)TH!1XQs%hvexg;n@akmr(7WW zsNLqop07&N08Rf7*G2^THOsnmebdV3Mz4UH=X&(7xMr2Njx%0=_DebYzSU0v=pS*v z__wbqF=wquG{36%-PtbwM-RzGq-dOfFy|%G_3fx_}p93E(AWM|Z zoPH^N)EZV$&d9Ix;>*hm7<#9ikNZvf378~u(v! zk6Bolah>cOW!OMlx6E?nj*3L^sU2K|oOWI~$i(|O89S6`L2gn0bw}Fk^C;B6?nql+ z7!8In4|W*?#JIbrfr}oR0R1TcgZiE2OLoe$@o1N^Y~`pmn*#$B1gV0kvw5P+m`K_tWBo@h0K2xC{LGEy3TF5W6Aa zoSPAzjDMPysEc{J7>5XYti}lkzU%ezT@cKVg^&3X_m>)Ya?pkWQiSx{=qRr3bYWRT zwLu;%$odwFZii#lrLs+?4*Jz@9QPj@6KrezIz5>R&jx34&FoXI#JlJ)=UuaYj1bhV zgw#kg&rd%{%Wa4`rzL6+Ey(x8_Ye0HmWs{NuI9c|3SgKg*PEKKWwK-Z@2ft_MjAdG zGld~m`9Vu|M)QVvEMFT-ytCTCcf@xFQ@m*BBk$5Q5-4Az~iukdUzot(*$aONy0iIB?3f)JVy70{ob3@NBY`*-9!TRe! z$>%Bbqi!6?Co!xIMIawr5$L(D2rQgAQO%52y@_Tk0^Om%qC4LX%k~pEoWW?#8-ce# z5h%>5R3U=6g@t%992kjM;%GOz?e=|El@>y~HJ);7wtPAL|=1km$R`?UHJ3 z&Xn4r5Dha?sq_RUdY(=LL)15DbsKol(~GBbS2q%wO*hXrv+d-|Z+`qEYsvMN<1>EN zYb)>R2U#Lvevc*qlB}~^N`0GC4_j9qsd}ni_R!J)m!>kw^Az57EL$8-QhUnP{EKI) z_PZ+yT|Hp7cuIboKn0T%37m7%*;aJMVOqAE?WFnjR*4J%k!xLt=l7Owt zH^ninWi_Sak^K#AGM>J1|HWCMF1E)vH)x`+-z1xUdk^|GyEYzf`qdIB#Fov!+GIAN zzLtj#Mx0+f6Rw_jK99Tp@saa;aNBDS)f;<_8Ecf~)^tj0RGsHH(u&NVs!UqS2tI24 zzBKpnjfkFuwdP|hq-)6!9Tt18k6sH}?6DZV2JPw0b3#6=%ZmtfEkiE8(uv%kH+n5P zZ%-!@3?rz}_N#9~EsX?Q>D2=c%MTxhTO~E`;=*GeDbFk_vfjmZCtLSBG#{|9F z<4L$YqB`PXpQXr(>z<0$_ELaQq{AU-9fp5vbb`KmcUc};_m<1M?B^OIq0$)hcRl_p zX#2gAGF66p*1MGOJm?F6=hMm#BZ$%MihS13s(_E>j)sp7b=41 zwy{4FHqu{|5+A0bPT^D=EzeHH!QH8N#bzF@3*fcd>H2osuLHvH=#VGRK5RYMaOh*! zfUA$V`h_7L{Rzce3Al)7ZurYKw+h{=`CT+oQ_?a$p&#K&8r!k;HwIs$G;wm5niuZo zU6#q5(*q}pm_+grSMCW>&36$$i(3?#yCG&>|6VWh|uAtqi}>H!J<#vNi< zFq$e}o14|=ijh2QMQBvumR1Q1No_mktnCvm>c80P{;|{>;EhKYY&EO*5|oHZ7W38B z(eu)XTus#8DnlVB@8Ee!Gh%Xr3;7=!zPl$saR!i75aKD$1M}BRY|5eib&f)82Xe7S zp<>X{NUGZHZif8r`8mNhyOPZK2yR(`)1Nz0HoV@IZ24*K7TWT{(Q1wQT`Tey2|Jr;v*?#;0|%p43dE<^)~$$F^~x&UwH?2dO@rnOGP{ReiT$+8RKuKvQ{- z*#9hcS~GQ8FYSI6JBj~45IePl5pooMc(S8YQCE{=7%!*#92L5|NO+0iSO}dK=>F`l zPTQK#x{LOo0G6kN_=|Xs^^?6x+V+H|lkTN8O2c>+O;rJ`)^WWU&^w`h$JJ@4Wr=6h zog04*rb^ifzUJQ~_zxECZ2lTc?NhZ9Ll`9pkEBPpuqc3we~GytB=)|ZJO!g4lBYJl zWr;t#qjq~%&oiR0k_rSv6QLmiV6yxTPGz(;`*gR%)-Gv|%m{2^i%dfq&}O)DqQieL zz%Y7ymrnl#2WCxy*!zyg7U9(7QjP7xg*0X+EgV;W#zwl2!A+TykTKQ26!Vy9II!`7 zplqXQzv{!ZA%U5zXzuG=1E020&MnPPe++Lg>%>W()LSYJJO>=rKIig5&p+q#vaMTp z3(xciti%{u$uY!dEy!dn?qjUlJ%ogM{j|r^g5Tn#(0!Mnt?7&>&`xH>Z@YL>ULl_< z?D=zkyvPQ9+^7qGv`YMoc;lwUcgn-A8i@G!HD&>FIM0mYI7n(mBh{C{F-ZPFbvWZq zp!(P5OmF9)m47WfBgZ{ID9x~G^NZOQ9U=)JNyx6 znJR=ntx11R#hHgVq5i2$z(p`|g9PCgv{MBW@^8(GcP0yzYPSvD+&BISx~FP(5?XGY zCX(24Fh@>odUorU@&}e<-*y()U6-B!*QJjW=$663I0tv*U5%tpJX{ClK6Z5gBGT~ftGqg#Y!ZsvPFtR z_OKa3{kJ>O#9t2BgvB)TsEZmlA zBYJl35j}uv5{&A62f(x`btPh?BK)LkyH%`vc8Wi;{ zlUGA+PH!o2Rhu&Zxy0WB;1k`?jy@tVmuOG+73H-niYjT;NkcSBq?ODk&z1xb@-O*> ztyEuE?=Use2n#YL|H2!zIO075^oUKSAA@W(m3d8oM+W~pM{WF}M9YP`h1Nxp6zBz1 zFjhk+%+mWLmZHrt@<<3x9lhVbA0?eB4aisdmku3#OXbGXmoiDBI}pE1(Ho6Ahz!2OPt_K&$se*AxLjj1{hkDfTjK zdwqNj#A3Ak!DCIB2^iPu4H{Gx%Byn?!MOJ-^jPF4gg`PKcI2pT2d;8GQj)h&UE*)^ zEEph=ynk1?NaMIQ$~(9WRpygtg=uF=B08opk6MFft{GT!XcGX;0LqEL8}*^p`p{<_ z2ahB_(m#i`Y%?0>x1jHT;_)q6Y70+(|T#h#Kg_7a>{ z7?n0s>y_2WTggD5p_)Ad%uYtF=+lyfY0(M^NU^OrLrEBH`QJ(&Yg7xv->%*>Y}Eo& z2lFl&d=;uYmc7 z%~lyyu8(A1jqB4sQ+RwcP8>W5Vl0g8kPtEXM2r)T_Sbd~*e4E_3!xgzr0c||NM@u|Wr(xOkrOyWd#vzOkU2Cq!2OAAi* zjZ}ncWS&0>4iIC{Rxth~4lq3-VFLdBi)R@8Nw?ONY0+j}?vA`_hh+jFPN;Fd-O{;B(;mNZ7!Z?UxZ=Ff47LH&ANA_2tbrB3iyuklFBM!ydOO7 zb3BSO^Agy*2mlEXh;J%upSLA5gyi)eV3)B1R3!jBkog9O&)w2(QU8D-JrpUQdqF#{ zJ*v_jIr<=)*WrpopMu=^;cH?p<_{%`xtHLi*vOIu%3MoeJW9T`ULMCUi(fc`QIvFb ztQe#wvHf<6ZF9Mmd ztrqE{N^L<@rsDfq!mCPOJpXK~tX+x5P<`X{Kyg^UGgAg%T6xW2xHn0`%TjilOL*zt zkpseoMHivpZ=CTns+5nzM5DH|?p5tfF!ac6&OD8Nbe(WDzUk~-Dl)3qO4~9=Gh2Sj zIB#yM^d&j4Jwc7acKhr3ky=$i&6Ly49oB;BW8KC4otpU=OcHj?|oxE5s_q z0g5d*FvmswioYg4`n2T1G**2_k%M z?#JzgyC9L0b9Kk8TV66daaU!}KS}BxHAa}aS_V4|*_pLv9|Zol)PZ3g7YNgQDNkT^ zTRCIJvm>mEMzOQ2vhlGCXZzfe=06KSzV#2N}Fbq zh%2+u4l?)fi1h-{G=9yc`Y#n@k%!jQlSa2ZK4TytL3Ddca@}f!PKS$*rEk`WRZ^%* zzt>gVqd>F0@*cTpSU4uhRsFV#>eaE)Af+kqq=_YsyST@e00?ht(pMw{(WrltoIvFj zJv;jHeJqLxH+}p;^QRn=i9@dgm$#W>f9bp2=R6f6xyv@O|CjOpF|@fWDLhb=8jizufFS_ z4)0Sa5A(04Z`iPSAB0{1u>C6Y2b7hBU`?E4oeWmK7NFQb%5x^;lm?S?0b8qcVV49fg zT0wn3wJgOfrkoZBWFvHa`V|hflL3V=aZewE6((J;HmtQQvUM@TMOH*Ikct7ATq-SHJB}LXO*d8BL1ngJ8RdI zg~?93tXC47>CSix>CW)f=@B$G)oEs}>b3N+ zWqjWeMI3IpS|jeZiqC9BlXX43dXC)#=o#x>z8KE-FpeloyCK}CPi7(BK*uImAggdo~5}i+3&+2YE0#beT?CkG9ncO_WT;wrNOGhDr z{}cU~_q2bcY1`p{BM(+!E1x{myC^iS$C=*o_AgbDx8S}{4#rI-c^P{^2_AA9Oz%CX zdyjg-&zGlN%x-B0NRh~gL)E+ShlUaT1kQ@(!h*F%eVPhUC0(Fm_{D!*W@fVmSq=RS zBVT-uhb_^u$BJlGrx?gPzJDOR5=7?r-kefU*t1ZQvTUMdc5(<1Tb+#i@$DovjMT0L=eF83k!K?iLc2VEA zivlw{RlfI8?GfpP)l@`v0A>}6lz`CYgXWvz5*>hFtqPpN1P+Q+Gco$4Yk6Myp=rl< zK334toYNMUEJ?B{ zSN6p4a206#bzBp2xVQ%+hf4BI9IfR>MR_1v)%{Sw8&1DVLeN?7v%y<%)U|vV3|NWP zGf}LByq6mhR*S~I0ASFx60lTF06AK z`(?I?Sg+UT%j$@?udPIsa zK$IXpjmnbkt@FNs=J7GTi8$nN*);e@K|^bYd1k!m@_gmeF&(`PNP+cPKqu2GG1m<5 zS(foIPG~Vd-06Y{d68T3(0s_r+ffxUbbe{0!Za5Xm`8z#|DN}K zkqE|~Pnh+aCZalMh93&Q#v`lPjPt$=6Bk4f8NZ{g$vJ|f`Gy684)opCxh#(~26p0p z{quGpZ5RCgVDf5_24bA<`VoJDo0WbaL<;ajh-oGJa-BA@r#wn~>x=7KchLs&yyIqS zErA8hTr@w5ofsVg-06}j1>_BNxcaaHD&OG~c83S5xvm3wQl=+&G}h1!;vF2{R@wsC zJo3;Iqhe^=9`!l!rvkuPyLT-TQTC3L@DK@g3XA8REU~01d`PuJ^9QVx5S}0N&nx86b=J_YJ-^fgrAEA^Q)Hxc6Xkmhoqao7!Dy$ zgeCYyn0HW*yQ)+%&6fQAmpppm*(8qJ~8P$u`6w#b@?yCIH!SHn;Ig3*61 z141tbhPKasH6AK7&O_?Nvk>z^)$^f?9le|!Kr&n<5hqM4U}-i9D@gg# zc3?-=B@xWke@lFXvp*R1HrOxbR^dF?i}DQNJMhnplr{ylWmNBIrS%>Amn%Zgh|l9i z;V)aWEC7O$nBVSWCjczJu-60OQZ(ZVp-$3;SU!>=5kN6QD2k2{zmVtR^Z%Zu+X)ZID|St;v=5;x8;!&>@` z3qEn!&N}pLB_9czgjPM{l&cKjZ`CJR94CXtq(vdfbOUXxjYx!~g zqmOtkP06}sZ|m-__0&Jrk8{DQl^?hJP7I(=JZaye5dF1ing;Nw>7VhaeSUUyy~Bog{oK|B9~sC`gQ0)ggUPA90`KrUy~<`9!m0+Ns&8?1j|h@L`V+K6(OU_O(pBD#GZAIWX8`e{(yxUx&| zOo*7Y>Uj|W1ogKd#up^H0++BQpV7ufldKEFgMb;BP@-#V3byjqQ%-c#VEfQlP8dnY zs4^8R!la6#L_q{0D7DRUl(~fbxtQr{`KM)m>{!hch9dIj?Igt!ZD-x#rRZhWpL>(sCVQwrvdIJPd(M@t>U&UXVQt zL=_+J9kJm6%EHfQ;T{5FgzYV130Qiqss#gUGZ%gV|1O%|{W@Su32Bu-kMrlFYT3gD zu%lBQ+e5IUmaWk)x!m?Vz=tx~CAiMX>i)>9e9DnRG_Z(K9!MuAE@EzDfVIrxe!#eM z4ofBWUBjp#S|8Q*SvsOVoDO0s+Kz66#fG^^&}|n93-{~xwJ>NLSnD?RXhn}~6{#U1 zN3&zC2?vT zN#S70P_8B=(OmV`zgKR8a`qea89o8LWnet-_x1_bQwLytYrmImKCfBpQK7!e#PeO{ z#a#Jy26@5j%0cq}&iB*~gSo$QQ!J;Qw`hZxv4);KB&CstY8GE=aPB24wFQfhjp>4lA2Y=aEg4JNp&sxw zbkr42tDYiDr^=*jQo|)2+>Znfw#~;yee#;6!`y&*)^LD}pRp}kom~5pZB&)-uuwO^ z{J?wE$!hv&N#qa;D&qU3y(pqD95a1M8M)Wnv16ra%BHJv3jTB+*$vyQ*k8QginuTx zVm@%fzAaYNqV9f8Ye-qd2{%*4P$`x0+j7O(nIRTP*x=(N+WauBW43mtw&&AX@~nQp zB>4gTtxuj)vynakyOQ^LUj7h<^6ro4v*PL(SSElmcVml+GeL8Z$;yaz%}4&(OOL?u<)RC)vQ~yS0Dr-QncdVG95nGO9)5$*K_3isV^2W+nKVqBH zYPA(0@{yR&O|}f8Bn;(ZYLr-HG4g=W*ukS#Ld@Yh2#l)f#E7QYQ9Pjr=D#TrQ z9c6e*1%M3El~b#CWPV^@X20^=h2uaxqmah}iCfB=F76vfktCZ@q?GE6Mm^N-CSTEK zk-}r=g1SE3;Az3;BW{%xDE8xm+TZXu#7cL{UbS%g&jW5B#?uqV*F>*0MTR1)m$pUh zalg&gCF2$(kUB`SerC)n>rIgGy{pCX=Eh;qy_IaM($^5~L}PNv8qh^li1G zqI-d@9#hQSOa@kg)|pUII*$UtSBzw(3ko>aB8(ChI{EcwOmJ?niNS;F0w`ig$YDtz zS2a)?615KHORk1aDO_(${RL@mAQEb())cG%fUnK{xVlCC;--7(YJiA?ZbQuij5MNX(ut5GWRi5$D0;gR@Ex9r<3)D_{+LJ#aH+IJ-q`+vxL z@3^M&EqatYwoz1+s#F_A5E!HrAfh1Br7I9nBAtLCBy_MVU8MIeJuw2IlNl)y47~&h zMF~9=DWQb+4$jET+&eS(-S_*v_s`>VKIVhK$;l~uf7jY;?M(s56#*q);~ej~N8Vx% z7CJy5rZEa&<4|8S3&JMSY6y+Jq|yTCkQf<>sc+^2CW!(S{?Ue|h7MTm_Oo7`->OBdMlR4Lc7tsO9O1X$cXU^G z!2zhr|Lydx=eo{dKPz!jJwN}3Qf>iUO=pe=Q|hzU=vx1Kfn%blfNmPeC=Ag)TU>GC z*{s4GcemWGbkfgGC+SYM(fxx}AA^f9dR8x8Po9{sVdbd;8j$_~|6#4_wzn0)Q3&L$ zMeALk(^m2V10;nqFubYrn-rOqfm*%RpD@YF{y*!R(c z$9sPJGBbh(*r{cB!Qf5J;wIX(*i%H>i>8s5Oq${GS7IUvt|)URe=R?zkZ2xtP!~$W zui9e2f%wm=wFU2b;c=+HJ*@S^YL>t@23u`|C~(^(R`QGsR!Hw3!P^q3vtuNa`lt zCbm5CCh^ez#oCQ4D3>eSYbQS?7LX#~HocwBg|`PY*1gg17M=0$u-Pt?=CyICu=&_C zzEOM2Yn#IMf6i2YoF;Mj?(Ps*z?0#vw|v>LRuyXEHNL@?6HL#2cg9N=DmW~48Np54 zeXaPn3qBKzB}%FRKVHIvd=@dgqJVze={D~!YX<-s#~fe&S4Gj~g;a1H?{+DcFH8hz zMy(nvZl&A0b8LH}sI3Fk)f=D@fSiX!`K9mMhoFgU@0K+G&?M3uU3hFGz(wZ=3=2pOs>>o;!Tgt3Awv zgcUiTlIxU}^*F>tV!`u)=i;cTDXvVo`Pp>2Lg%U<=R~?=INDaHz3pqoQ(T=pe3cu8 z0dXpH+Dj$|)0VP_e9n*s%Ui7jP7Zhiv@C@jtYlUz4-EQJTlU+oE9pn*0|C4sT)XV3 zIR_v+jeC6+F}H^^l=XySl-GEk`vy?`bpYP@dDt9iK!Ui+IKq7%xxHp%Uk%J-Hbz+t z%Xh)0T>c#g{eAx(|8c=mJmMkr^RKOBWp~C7?Ts?i(UQG9vQ3$s?oRUFKt1};As0*X z!I3>_CLo_5+}{=I(^o^UM-Fbj^J$T6rJfO3mBXAp*9gw?sA%Jkwilv# zWx6tHGW2vU)@Fk6W5Zds>f5u`2otGRUg>Y^Krbh@rbMowbnfUD=A; zeNk1)c}hkGKHPh27dp^JRs(ja`4(sv-Zi7&tq|p24sN}@OleJ z7qqk<1+Zj3-PyBwJ+@kJ0$`d5Z@NS8V?j%%)CWbfBsyZiIK^_l;ID^C{I8#!!crqxZg5+~8?sK)puY>@>M`;uTBG5uZ!0t+#-g#EttiiN7S) z`RI}5&L%|}`&af2?Rl;DO1Ofa;b*`~qVU+sz_F&WSLN#PCAof6<}^!c$2^Uyw&Rgms8$2IgbN}j2vlUFr+y-m0eJ$4CVZ(|)lf2j4*F^8ASm#%yNL}&Fm zUAzK{bjL2e^Rg+N(vi!lPH75K0TrQ^Z2zU&^%!W*;2n$Y_gA_41BvvmA!Eo1UvQYasm*w*6+7pduP=>|tZWJK zW@&@3@Wmb>soO-pa#NZ&Q&qV`FC&pKtsr97$Z}3KiQoN zW(s2U8%sK%CB?5iCjLtwIl93;vblbov*K1u~6R!)ZxYXm3}WTJQtolI$nNBSG9`n^Syr_!@ZYN@hK_8 zif&`C6iX>%cR)2?%?VS@US1hq6mwNBzICYA1f!OHv2~vI^M<`HsyDkrEbcGzuvu zH_HX)xIWXATs487cr&K(h7;7Z`8$8DG5t{&HpI7`yc_!rGa|1tQah*Rz7rkW;8g;2 zhiZM6JkZZpZkXti@%>L`ZOtklsLyrFz^^AN`OhC(3<7#Yx%2O&&#~>K8Wm7ngkOP% zo|Jcq0xzARaEfJ)`6-XeL-7B6;nF&$kkV~(6n5y3EkGYO)$9Jj@AgRODqyOf{G+M5 z&wcCZ1*AuaUuf;pR>9~UB`dCbvLBA9*_yT=QS+hU^6O~7nH|Wx~ zDU!xHR*a50aof(@log3Xkgn-D3xWVrRC6=vKv*9`|MHv`gP%-vRa_VGAr9~};N(R`D}cQj)p8cw69RY2xG z(8=gTi~t!)!ZPQC_xJYbVtX#@4-_D3hD|tDmd#xWL_~wJYi8LAkc<_?nN&xAm*!8G zE>3ouE%$peAcFROi;l+#)C?nuPg-HSp|&_X6;c$6MnTx92i(3yd4@yh@sKypbMoA)gt2W%AlS;`cgt?n(XGOrGWb`nw2j6{tWdJ6B}#Ero1DJoWisjD=B8|UJ!(^1w7t3c2c2lUT#mep!vwM$Q!7sGr_Q-$CnIEj@CciUuM^(1+ut(9bo zEdkjv$(W!8+}VBQjbC>29Byyv_pun-=;67aJbmoWj;FF`)vItZs|TSL&BA8B{1!&@4o#>^CusViRQ8^bVJHmy6_ zaBCB%&@Mqo?m25fws?NZkGV8C53-=-=T93zW9xF|kq)hVFTGN=;ZPaUv{{*xGP`?= zOiVuG3`s*ruvAudOy!k_m_?L2IsWLJI35M5mWk~XGf2nZG+y#J#T4D$+H*CKJGHhr zE___t&(6u;zIr%kkT^eHsdysvq=D7Qxlk`?C?C-aDX$=hp5V?&fAI^;D+sM)bKuMz zu0=Etm7jzgkFhzQ-i_HM-dA2)MsL>M8m%;<`4EB)sPHYCERoG;aITQD!GRm) zTkaJvD56>KG|HLRQLWypZNx@7+60=oZACxqxsmggX*@$mMI^fKv93DO<(4!wZ6 zo51R>lT&6$dH)VeGo5hcGs1Kcq{xZL`;#)bMcDQVYNkip^fp(r7sQUr9fex_n*EuD!r-<}Stqajy%LXG*eAfw@m0lZ#y2E1G0ty`?v& zk!Ywbh%`Nkh7%>?ynwpfagLoE`9-ih+%o#m&rUPOu9TU~GE)qC5}QCED#}h%@=eKi zfIp%ZAbLd5*r#DVPVMFT6^()Bm_GCoS|CCP>_H12cp2VP&|iBN2VYq(e?q5{GPsLv zG1E`bYCX+oaJ3dWmN3c;O$AXk@SG-#NyOOt*jCLen2fG;kn>6Juq_Jwnm)>=7`h@| zgaReExo#VOL6~c!y}4>&?bx{x?*q^|c(eVpsOVikxg(&H|^*hbwVn=n4cmchh z>Nq!}L}LW=i7-bA8-5gduooLjYxy+P<;c^k%ArNoB2epPul#6HuxNn`83Lz7|z8%`TZ;{BvMZufp<6T~Kko)P+LfMeK$(&swiFr=wj46UbG2~3o@a$y1 z2ewpVv$7S|kVWc8ClcWw8cXz{<@6bvEf*3ZE=HF&2W|UV<4yoK8$_1cQ?4JxXjNQ; zXCui?V2eU8=Z4;O#p#o1%&cV}=Ct$i!TzGT$-1FHQ*kTG2YA&$f3ClN-l{Fwv?jPH zw4a1@5mEVomPBxyrwT2{QG{%3`hl>g#fn3DCwt9SvJ1?_U1=`INjwR%E`Z{^%|VbP z9I+Zre?~JNiS*`L?nAlsR>k?TE%yOoN*1$>Ohi;mx1 zh*A$-)dq`(`;M%C<@b8fY^YpzT{>RMMfbU_>IFo^vC&eV@Q_y*s91F#bE}t`Z9({A z^Gm^MfeF4$uwpOHyqPP_WGBQ$Lw=Yh{@wll~&9HMO zn%V%Iai<)-9uarn4~eGQ)^N&d!oN7-*2+dX35vK;C< zq0+8A3D_LX$wJP>6vWjdF8rsPlK)m8(l!iAWwGH!a5ognl5?rvfggHxF_PgB(g#(Z zNVT&hnVYxuosmQnSErodu9$d|aq7F;86N{zC@;CS#harTW6eu$G@wl&m3t|@7>VMd zQ3aE7UGWyT&^iKo6ULrgG;ESHMIy@3B_uJ^YG0t+BizdgO|v6c5Zp-KoGA;MHYV7O zNOV%!CT8@_jCK-UnXzv7v1W(&3p8)mE1IZvgzI?wn1gD7%U)`y;%7lbphRY|Q8khuv|OHDlmT+S?PWd2+^D7df7QTTWXmvt%u{#M`=+cC@uX zi;IGJ%QEQX#&%3R35Elt)u%+XHdFMPDDc^K`F^H%G|L#l4M?u`8ashNjV zy}v2AGkRQUZ4hw+7*-)O-GUhw4zd=&WeS!kZCp6f-m5!xZFKSY)2x)+5#lFrr}{p) zsiCMC&+^`|NBXEqCgDku6yl{*4 zHGF9q77y+H$j0{YiqvCtQmqMXKEGg}V!3DMsE}8Kt2qCrx0=g5>eJ3e)fr?gX=*f^ z>b^a*N(fif^XRhbyj>$CjO7tYpZlW9D{6c}U`bd?vh-TT=>Wm`GvH0Hw-??-O34X$ zoC@#wx${cP@=N9*!h^3zF2v*tp%xt{-Bb%^6q7Ai`N{x=p}|T7;^dVM*0yPlE2}6Q zX$a5~nyXnBT{n;;fzt0ja_hy9)#}{pFzRsF#05pmORo@$;{N`%t9QBqE|f@&@ThB0 zq+$H7DY+lsRWsO4-T7Lv1FpetHyHFx!&>}_(lG`2o&1BeU$Ihm`4wm9?dXbuBR$j= ziI!DcNMA)L%-0-TlkAObVe7tWXG!X(A5KnOsaV0`{4H{ZM^s?2$%z7a8AdL_W702~ zrDDBL1oJx|Z|Dh&Cw*vzt!#8-i&A0E%f=Ad@aQz5s}Jh@6tbFsi`|PheNrd{{u~}->FHM zOT5pe4h|Q|#rumkOE|42h)tOp6@}cVenQUl$e=Cxq{<2)cLJ6xHym+xI4T;-ugh0c zoQlBkf zO=ywcZJ!3?w)KP!PMH_fxI8%Pc2YQP_og9c!gmj1t!mw1# zLWJMW2kHD|{B^LBN4(6+WYjG^(Ve87&zr8!BD-{az(v&c#Bqe55k*zFptWmkY|G>a zqhu=0*J3sDk$L#fxgXVS*VTO2)B9To+KR4TBqYRl(7n`x#XI_1YGu$U8sbx*fuElx zq)x%Y&r`d|a`1?sB?10fX(<;Nv*(Ms7)G_`X~UnYQU0K~IK5^Z0N|bUu5P9P;HiLE?H7`!e8s+2h`7N@N`TB|ey*kOb~jrX7C1@7Q>egAQ~Dg3!|~-@sjpR-Zf{nBnRLNqa_`a!QSHWj$Alf@;X{sf7HYoI)#`f zDkz1?A!dlRwu&ub)QmO`sn|+e92Op0w|km}H6W_=c`1;pk=V4-1~w`6BsdI42|I1t zjWxfkKIl^fM0m6Gw*Iii#5t}><(VfFPADSkm88d@T;!ctsMr>+sMZD0 zO17oD1sto+!5i%fzB2G$ZuRy!jiQFbPEIGolP7Al5MnX)zG4oo4Q~>{2|CuZX%aBL zcac#gq~7_B&Nz`gpDfLs`oQ!q?7#!j6qLalNOL+%w~eo{+Ej&K)S;D)jZnWkKebk8 zJdig;QIV?qdh(mV7Qam z&cwax+crs^gApbQT6<)*+xq~-M9=kukXrUu9Qx!+y5zRm?W&*j8{wr#6G*-2=vw_! zCou#!A|wr0ndUEs!y?fOTITq)4WbiHS%33LX)%^2ZH2_G-goxP2!Zw%Z1VJykVDQ~ zy`~(cMFrq4V1dHOV#95knC3-u(qAYA0CcG1$c)7V5M^=R#i7jE!#y{TR-toI_o3=V z!6MD&^a}sN-Qz|yH17Uri4AVU;)(UK89p252}ceo*HfloGG1PPLZ%^5Z8AtC%$DM4 zTPwF%i6+c(*y%zBy_`phIdOh(Ufp$_1W2w_!IpO|T#Y>hnHQEEQZJpf*|SqSn=2G# z$Z^0Tnp_U=AI0(<33UbB%})S&ii$h)Bn+89?AwFEmctWibBeNq0L@L1I&*!kOdad%r^d$}Y*hnA3b2IeF@?%6ymL{Qi35kFBx?9s%V^iIyS zA-DJ>ib8l^iiGX`C=Sz8F}k7!Rf6-g8RqmhFDEoK^u}lzJeg<*)?1VLq-mJ5jiA1h z+cvimiDGHEB4gWBnRbWfgrjN>%GmZhDAc?aTI)rLYT~n0P| z@y+)YLZOAAFGIOXF>{r=5Jo4C7n{rI3tZ&#qSl;eMR*?1lQd2nv`H_!hgkBkI-h#Y z%r*?hW?E%?kjBJG3b}j?xY=@GXcN-gspWiA#GuLKF;0-P1r1C-PUT|Htn3XdEvJ)b z%#-zwng@VXYeG{ePWxCvq@d9QB@?_#za3-4yoL|~?5Z>aG_jOaX=jD(U zyWQ|Roc=`cMIilQOEOz@nQ^@)^Rm>H8fTjw6H+U1^ObJ;NWH4spu>=*PL7d|(<3Ut3{)FdMLBx{= zPMZn>7iW0z^^x<#6%X}!VE}`O-x@$J4p>-M0aXD`oXiVW=&OSZUNbixKRG?Lj64cC9Bt(`x zGsjByB%1K$fV;>?zQreig?7G5p2l1$#4vZa3d~z<1(%)`lq7UuBuvc_yyp0Kv?&;I zCxrSTB{YXVjTBf;7*;<@#T+ax?jRSyiKfYxIcp~}%8EnhI0=Q}1A8&Bpv=&jB4O^A zw4?3H*Da8)x_8V)5eix41aKQ|xUFlq*8odN#?`>_^s&G5UmuG;C-(=}^lT&dBEg z#a8OpN&qM`=&`C+BD`*Z+&3x#@L*29wd|`^WjcLvXisN?0=lf`YH>hPd5gE7g>4lt zZLs>SByiA^_qun8+~W30X}IKeUjv`z!(Jr~TkR#Kv=fzXWOc zdR5Nm;mc)0ks*jr-2vD1X4vzjWNt|#CT;y+-8|wXB^VirD79b=#0a;v&Oj0rJk~!&!L+QEIcHmQ>pO<4Re@$&W%23cVzk z8ucBQjhU@1ut01D=Lz1ZyHp`cLcVdn*Y>&scs>iKZS+-=G!{BhG}!f10ELuA1Z`C4 zbe70*r44hG?c=h_o4{Htl-76<_aSh$fYduZI!<+;UgCsQ-~=$vUk=jtQg4*_SU|OC zdJ!7w+(p&y6J zqT^SUEuWdh+)|RiLCoXty~m(r+%#DaLk(QH{E~`D% z4ED&mgaT23o5jID(BH|yklx^8p$T|*>SGmBhCL2{7BfnhwG>Vab zw0T{jrfAqoT(jGIn^TfXgcg?{gh2HPpGWCK^^M$y$w$~4P@%yZ0Qo^fEN@OrTiwo4WqLhUF zP8uhCnc=V@%8}{@u1e&SWl-mL~5*G=H;7(0qJ;ipH#@O>(bEj+HFAK`BggA#-<2hHxU^Ljv=hRtD*! zMhPLk1IW_J{w}z(WRaPKqO5d)|E3@A@pyo-EY}2^*IbwxK|``+1Kb{?E!WVHyO}Hj z@E0jQ^A#`NY;q*7OqjZ|sY$SqJ6Hx%d?OCqI|;gvvVxX7%SzUXjN!>Q8zAjGw zJV=dEWXEMFMvfP8JGBekWILl?ImAqC+&J0*y}EwjwARkcgERlYPuU-Mf%qS! z8>?BBWn%teYj?ONJ#zQCDy5l3wBE5@wX3rMnjc}^yW9Y~S~IhvP~#ex2HXsBH2uv= zbRvv~3W6!x7KC@DPR~6Zcpi8)%yL0NCVpb?!@IVrZyX*g*cKvvYBfxx2PQIC~DC)%zR zyLMNWXM}v34DxR&1gcH6+{FfmPtMB~2OC(s!OeXkwjn>MRm;Vl7(6{>=%5@RKM zeMokXg!WvRylyp`N?*$k?H6xNs;aKJ(TlDi!*Z`m%V0t2N#X9^l*4RqGMSD?B&vQx$u@liS8 z3ujNOReEYll{Ob0p_!G#9-tfWbz2q}9k4xuKMhK6S*lRZhfhQp@71vVMgEoFO7m70 z+tff8so(pGwl)?7Z?!wy%}tubM?hPM_S@AN4YBsKwpLuGRrCrQ=e$~F4EjC~T3PX} ziTM0eWei2`E3cOkx3yR;=`;aJSr;tZ`RR6iKRuzJzKlfpqbG6n%}cY2QT|pme;|Gq zx-OGmels_p15yQDT_r$zQyOF%g{^B09U!3~Iq2u5jCI?_*7Xm#%6c}U-qFeqw53~S z5__5lMq9JHHm4}LrZxDYqESauNZO7HB|${@#tyEjl=&YY5LqdYjwTC zYOpq6uXsD5LlbYidDS$)c%-=x?rx2ins7p*bGM18me!ndYgCV*N=x*ruY)}R3hAZq zl}!WCl;qq0UxRmVKrSX5&MkjIZ%(d1HVRCyf}Q{znIo0_H?MQuvm5wbUY0Qua4L1D zbb5L^qBK-MKwzp53tcMoIXCZq{@aFx4wjRquMhs`))beLf}YgZO_EbddT9xPM4M)Q zk?8BlRBsSjbM?+aRY~D*{9*VWDlzO&_U4Rcz}`$Q^V9f!9wGySR5LKv0wAseP5(63 zLICgrN4J|KXgW{6wDZQ<`*W;CtloTH8 zJg#iWSn~e;HN)|<`Eir)?}9FUe~W+pK?gu=rYaf>|+qs$$J3IOK@9d^}|YC zmzpd~H2c_b>!`!NJgrY&A)#A_Wx&dRuF?}GhJ|EZ4+za4TQK7h&Kt9eSJl-UX&HD& zK{^TkrV;5x5C649M6k4}H}=|We)uq{(Y_4k(otV6-bkMbj-cia&^?rIx3whGYtHLtHm&)#CQ)Qi=8q>4d9eqfFpDE8Qlg&$LY&oh69h_XaeMs1CDO}EB zYGJjAShBWwq2#^lOw(CwUD1xXcmRhI&Q#T&H0KM0bT>U%IzNaFpkkV%YuH-7J@v8vZpC8BP=md?^l$;R|9naZ6%y4y9n3X!6^U&W-4U zz-kPzrxh_tH}VG0@g7n#xItzUpN|OW8 zQ!(ISrl_gMj(1ZR4*@db|`wQy*X1uVqH9zs4Vgx|*_gN#km3(|sulg?^k+ z4mH0=4_?96K3110)S8ehNtqS%Ue&kGE$oPn-^9)HEZj^@j$Nx-bkdKHzqp`UQh- zN_iCI4Ylv`nowAc&syi#uRqaNS8>~$OlFw@FmG?~2e8b*z@rMN**HZi?|z|R=#1<< zvJ#NF{<@h3`54v?1QhA94h#Mkkej^+|Dl4w)4x~r0Y5G}Mj@H=t()(v2EAz)5H?5; zh_^^RA6IxG+LJVkyQ(`n&6U1ihSBx++kZ&TIVrq1G+$E>nB3+60#tF@%Um~^lLN+W z;Jl*gC*Dck&q1LM&3BuCl)j%Dl!z$geJ08=ac`OhcAh_W2jHEi^||Md;NdDz^+`L@-#5#FJS{_EPdZU!_WLIp#9qh_}`3= z76yr_1%K$IaW672Yn2CT#e%uzeBhetC{xf%Gj<6}hs(14{w~vv<#0@wDCmLwP4*Hg>{y}*RjC}pJqf74?D)|EVoJJ_399tF)47YTE zNddM%NKEW&5TC zw1z2*?6kT>__FgmE-8)iN-Mc=uxR-BbMMc2{&~I=O9(&6j}srZVj2J7anq*7#F{L; zpBHfVU!QCyI@iiw^IM8I%fI*&U{lfU_;74tl}46oS@e8GPukItfto%6jN&<%y55(Z z&~W}K-m`02y4_5-^$!cpM+fv}3zw7+>K)p4vMRXn`<$98U3IWfXLO%`%KTe=R=T(T z;pPB=a8CG>@EGCKEWY2}9|e2v4}e0@xV=Xq`29{HP_FDz2u4J%-x-A9|5Uhu{zBnW ztB!`(&?^!+URcQ{Nb-(%J{Oimj14`+rqD_I9Pqu^=|4C;E}6YqVR}4fXUE~cwl>}D zbvJ#_=V66MTu*^r{+}(%doU%$xN#VUqwzsx^62=1(XsbLjs>|_hGyN7O z(LAU3JRkUD4^I9X{v9vRqXPH{XP6cue_O5FD9r>4 zduEXM5YQoDaFOJnGX&y~8vm-C(+WWToBuwKy~!-T49JH*h}0Gv2rDX%$Lj{=^N5~K8dMb~!c z>m?R%-wW{zCrrMNHzsZ{2P$b^{r_7E;3VT~k)a;qPSAJ?S*j#*?KV0KAmReNPN zXySj(2wCHQlMx<#0~-IQ8G*DAA-m?VV5agmUTxbU0dqKH?HX(kH0&7U$9H+n^vno$kc!J-AQ zLJ>xHO(m|ZKfnwg%pS~m^P29UI^X_pFynmuzrl>GclP0;n1`}8ykDTAjBMH411>f`fbYp2qIeOtbs7kH#Zd7iQ$1*Sc zhsxAr4UOtI?OknOee`R3vo#+_^R%xuez72F;93VJ!Q+l2eC z_HBm^2yf=#8c4q`3E<>kEQM0vtvZB2SZTk6oG)B^`6xH9oYKq} zskezJwGViBOU1@EteUG<$>n<_dj!d{1K`8*$B2td-C>dT8lB*SZ&H&X>q8?sB9k`H zCqi9M`)#)#^}=P}5jMP!)gFqYP$&A38t6I&6jpWofOJAa(nv&A^xFK8R$X0PH<_Hc zzQ$Mo-%$xlbuiHqyDR)Ugna_=9qvh+;)6hqYYyh4x|@@)k9 z+GdVjeLi#FYh%;N@$LKfEJK<0 zY`2LgL1v-bcIL+U-Km8wyi^}B@*Bj0aaBj167+6}oRvT#h zz*A#rt`EW)UpH6TLnl9cM<@J$g--e<;5izpvbNu|R(vo>2e-i@2AC>;bs&~uv(6c6 zz+M1;#r?1H2V%dkV;C4dzX2TmVADVFVLuBPYRrKeYXvML`o4XlN06uBSMTTu<_%rp4V*CG;f{)^Pq? zihJ~7_lw=;qih*=->=5sfQnfVD7#+E`SO;9J?``NZ%}Kf@y!$MOQkK(aLlsUWbu=y zM2&NW+qk46PmL>&UyW9~{15satq9E)-(^#u2G0Q|PXO6sft4!ey(5si4j_SyG&FXBkJ*i0y56S<-?cTBy78$)8 znNPb(vbUhacPK{?mXNTMVFmI8hur&L4_cf0t<=igtb!qe1t$C?dQGiDEYy2?30BZj5uV)i4Ly7fpY$b}JjJlHamH%3*ht`q2Mr>s08;UVB{usYyq9j|*}}(Gzw(Ve?mYQu4hd z#&cx~CN6Pu_gFV;&f+SHpj_0%*s7c!fU1yNKX>OCfp`uK5eH-lrIy5JfJ$Lb7Vj;x2i^7mKyPu3A5bx2 zpNjI9xmDU*ULd^(P-@KXW<>A&zy{>DJuL#H_D@=b{<3J#R&C%2p&^hxo`)bd^Ptl+ z#KZg3H$zY!-?DU`G7iqfIYmQn{6P7!eC_-BVt9RYpVF$DI|BaAd||n}1qu+h#4mo) z8bwxpBeo(nC=*8ZH!mr0(UKZxT2XTQV^0qF$T^;<_4pglE zh+2@cTkB)~gi(2=zy@B}&kxa98tue57wP_7*O@AznFE(@NDW_fO{z$ct>%7;=gk-% zOTcW%y}#zmV7ILl*TPi1Z$rOj@JAWz&YLWtc@G#=^|cDGXWIJdSPR$41v)*MWyx6! zYxMSmb^L>r@&b3nY~>}=X}P@w@!>*r)%Ib|)&Dg;oGP>J5?QsnJaBmJo9tDzA^F2v zs@AC4oUkQ&s{r`QT|`1C6;=m=NVsO6_Uk zmOk@P>01D|rYs{^L0t@gjW^$Kz|DYq{$Eps{@ycliUZ|n4pcnmn#+r~HbE%IGPYll zS1)C_160aZ3jK|SN)!1io?;205^(X@U-3z=$U+S5XK@RJD9x!h76yhNqw=z3Zv1h$ zw*}xk|2>56e5nzvHOiT=4rZL#^UI?=E*s>+V>0<Wxf z#V@h@ClS5^te#zY<=s?M2H@|1(xAV*wp3hCM6-ELI~rDv>Q6`y$whK7p1XA*OV|iyb`pX zx^U|uzUz7UpSEaPc}`9a)hmicQ1{eix~Zw@c#pm3an#C`{$lessM63}!oyiaA6T@j z39pDp(vSb*&X#w{<44&TIJ4f!kI}ZXMU9-7nvm{G)O|e6a5pkAOGXk9Fzq!KCv(#d z93h8J;`oCEFDma`{2t1u#z-9J1ctZAqoLza+Fy14P+*tcJ4drW0{o0*bYEBsfC@gI z+DVo4vB^->2hYF#LuC84h?1QN-%~7IBYiS5NId_?#@k|mhhksM#K5q(a^IdK*Io+W zzX}WtoV4Gs!{U)+Z@=ujD-!v*kG@Ns9jc0b@$zL}S($9<0{GR<-GP-AXQjC1s>G4# z{i;zFY0^hoJp2_Qwmk`$p$gh)d6IuRnWg>02I zLUx8Rl}*%@QPjAa<}dylQoIi2P6`FP#%Rcr5B`4sPdq9E_% ziw^WvHMN#pGt{8L+=cZS{rJjc;}0iuopda_Ez6GLio6=S}9t7nOO1u8B-zX@m$^BzAcrHw?ec=cO#DX)=PC82m24cdq_sb zeDFfiKabr%fU$dQmc>3vIcS;?_eWc56MA(Xl44x(zZr+rqq}wuJoR+^VgcpExrum< zj7#ZtQ$;H(kIK24N|o$Jt#bv@A^zPC#8uB=jOmOK8421p+MmuLW5^LNnz z@0g3jQB*8pE`5sYx#vjv-D5f8!ay_x+n{Q_AwFN(d!@23;P)u4K$JaS?zaK*J7|E$^2mA_PKg?LC*vc z0TeHaCfx}A(KL$!V^_wCH(^$QjwCmXoJuohlQvx3sWWJPgwp<`C&)1Bj`L<`3;&KV z(dw8R{UV~dxZz+CHz;SJ+~KnHFcmWp%RBi%8T*XR#AGq0qHs|MXv))k8ZgP!u3FjG z8G=IERSh<5J0)+laFkKE)w0QOUpQeEJ~~03`iazXy)56M zbZRSPu2G`x*Felz+Y2$spel3Aakxy|HJ>l{kk(l}v%cK*NteA+cJEb znfJfnQXO60QoT4lvdwV7<7Wk^I{id+uE0g$BW?%s^-Dpys6_bb$={e*hpPLz=KWss z>xMh&@Uik0>D*EKQcC5R6ZhiJPnW%}SZ$m&eT9?qOmcCXbsqugX)5x~>&^xrTcn-+ zlVmYc?SY{;^q)c?y+dN99%E9*Ri(74;{gKehUlm|)wCUrYNxN+SN8=;*EajaWjskf zxeI{8O4mSPzXkP+>|c8DgoplqD+3hv!n;ruKt;W;C668-Ijo;}Dy}_De}Y(VQwoMy z63-os{0cP8CKA(`?SF3dfR{TC+u*+}A54-Q*n4`P{7W8;h6@B2bdTa7SDCM4IsBpQ z^RYrIM(!1t6wv@Om2&Bvp!^mm`sl4v+QhzC$8WA~Suo3wRti2GlI9j8C<IL zK7zReH48(dCGNz^@1GtoEp{8imhzQ{Et21vwqA|E@1Y3&u`EcnZy0i2*~EdCkmlj9 zPQ?Nr`o)n^Q;wPUjxW~q2JU3O*dFi4yZx~dqq%W@AL!o7dQdC7nqK2|-2nv5x4*lb zh{(zsHz&oMcYJuBxuUd`A9?w`+3O@Fc!1tyA6b3PiptP$#?ouVsADA`6Ll)4XWS85 z4)ED8cH5j$h5LZuZ>eW+*+MHmZ)FOlMEc$o>IOwn_Jq9itF)tz#LR7LpQQU|fI-p{ zNgL(QK_c4=g04DNs(4xZ%3pNSVD24!;YAW`OVM5QyMX-?Y*(NyeS@KVd#6sEa3Xdr zPY$~etxtpAPEr=)nm;BDf?eP94)u4yrSb5Q_yj~5^bpHYa2K|JW8+leDTwx2Qr3F* zO?z@>@U&5uGchrCet#g2nU62@CBIE%-Mj1OC)pYCI1}lsR7!Wm-T6KDE73h&mdld zJC(Mr@GMff2m|24b-CPO96q(oX`kIkwae~?@m3H*mV2-F z7j7GUI=k$HGtu-B&!2WqSb)@&Gc%5PPd2EVh9ArPG}ZKL;IuXHJko|Rh(OHnJ{%~! zG3i&9gL@J}<8=m(IoVLvjpf2I+mp)2dgXGJi?pN04oj|ne-g;Y?v);1a#t~ zkZdKH)WOPu#nGs+Wu%P?hW9thPS*@zYef}8t-)^ZsYp1v~J zck)kN{=VwrTdjJG)~g7O4V}=@sB{HzpQ#bY*anxPU^@4Em{RoHoSO%CY^S! zwJbqq`)FXxM5`=T1c`-2LJtBGk&Gkp!P~whM2yy0(egy|`jRknyIPj33GMq2zmMXb z)og~M##}uScaaYEK{hct@tX`P^}y9cJV5J{#hbTGkoLFId&5UWa9hk(d*Xq*^CqUVufPRVTT0u~c0L43!AcpId&deHn|t>WGDG=<+q`#R4+3JmlYH1iq@4sr?bL501VbQBk0Z5J$rdL8 zElQ*o!4P?vvALc-)F_?ZDSK`1@kj@J;iV2Yu6UdHiyDjZ2m|8nqe?p=W_ouE7wdVC zI&V^Nv-EGmFN@HjDXOJ%SBU`$#s5XL;PEFIVHnH~Ww ze-Z7I-*kFw+*sOB2#JFm?D5oAhMFhCY8x|Jo+=j$X^zU>)7txZx~G3Lh2xLB>SEXi`wAI3`T{;t#D<$jR051_ef`aN6d*R)gWwSsq~Y#s{d58B@OQ?8gd^lZ{EfmkzLg{KAl$o#8}H?L1|mbs-8 zV>&bW?C2QvT!Fx2w;Yj=b2~t%uy^xv@4(fqA#~ZNizM?l;wGsKTp!Z0s(Apta-eV9 zU9`OHoG+co@sud!i=Zhz=^zLpTWeG?W7JL}oLkdqO~KjpLCr);*V@wNfz$D2`_Cdi zmD5k>lJdqWT;I5Td^tAUKD!ug6oG}iRz5wC=U*weDm6*IR?wD7ePJTH6jL zPH}k-G<24Kriom3u04L^gwG>oMEq#J=ka6J*Q=0+v>DpX#}0wH#uPR0CzdqXNtnKs zG>#xxt(YgF{;*2@t)ov+bMrhW^i^;aP@NM>7@y=VOm{J&va%JPgCF*K z+Mw!AwWDAIqU1U+pIEen_#HcF7<%E-Q6wVJRu7RA_)fY+LwJd`*JW&%CMj{HH*d%m z-eOs!6}2PKV!XD;KmvtOT~WE$M)8_KBq%(8>V z`52V3*JAD1^H+vU7E*fH#^d+9%xH$caBiZfDDb0j8gDi#Lu|h!@+hufId54 zQpX^bScw2q$qO21Mvz5JHH*+MDTlnWa<{5DamFrDH|LKVK$LxkFKE0MzOx+@@A+`H zM$*9_9I$14_S{b1{9cM9rc!J-UC`EoaY`pyiG3A0rYQq-=|iMG;yiKF8{XkX>CV22 zq$i6|t|GbRIY}QOrVIOC;xige7F%_1n3|^9azyught0NZg*_aM<0`Ean8O<+t7gqI zKgj>giL*&_+u+*{VKW+~Pq;}HurQ`ESYA+G&AT6bMSHUZW#tiPELhq7@fugOqzeqM z*zkS^SUWI{c9R929Rd7+QYp~Lek=c4MTz|3E2A2_D(`dR)bH@|s>7k*!r~bXt{LZV zHM4imQq&m3=gX#^%eszB^CfK60&ndfS=m@&?3Z6DgIC+thG4c1l-ez~XZI@_mInPb z9`x|!mKTywfPdL=qChgC5%ke&)K?rC&#m2@;xgaxvwUmHP-GOGAe1d{+j`4!8(^UH za!K%p=$uud!wZWOgWJcwSJA{--NlBq%Cj|`&8+Snj{Qv-ArIIpubB6EMzWhKoV#SM z-R} z$yUg{cqfAstbdJF#Y(y55t<$ydy$>{wTHsw7W{v&GZ|pjvy;0`|Gv)r-MpiuKhsa$ z>uX85+N$bJG%h=y6wK1owAkA}p`C9UXE#O29ozI4(OVW%Ha7BZ76LBlHgH>ZzQpy& zW8|HpXFd>1{ApG)`OU1vd39FuGhyUab2TL=k1uPf*s{IZ zQuTggfDR5QmNHsr^@NMC7k;XQG%p7kPL8j1>jZ6{3+~o>`vk|&UnI`TyM~KP#3x*u zHgfFTavy2jhuQ%?j7-T{3!ot0HEkWZ;NAVVBmtJFZ%G0Ll5}dvFTt13w@0nBFM%*D zjpCp6kEPyUNQK`qH?Ed?Pr~rW2X{@v2VO)43{;*W(DI>BowC`17n(T7qeHHWB zFgMFtFEX`q6PA?C*ug#_HLxtEk5mqjOJxA%lj-%$=aJ1!=A81T((1VkM{TbCN{kku zKB=<_o2ID(=v#e(n5V@~-t>hnM|DM50C%RaxoX;};u|5EaJ|c5{pMJ$s5+Y*$2l7Y z5EH`CR0Ad1^(>v0s?C*Awb`##ZFvp?Q!#&#lKlXrWY52ml0hec(^xq$tYmU$JYa~# z;&&g}zS`KD>5BjcQgARU4}GzJTZu*9s`@(r|Lcj6SPtr%`8S2wPhOnCzuEV1(MDUzoE-r@fB-&3B$!Y+pJpG7WWI20wUELsSGq7R;+4mJT~GuTH0}z? zAMWi+G@9i;s)Z~ozsx3VoJPMCVgDJm>l=yl)-5PFrCr-XtQwD@SUwf@kQ|>6Fl%LtuK4w98xl=l>uo+Z1|~ofJZsxeJZaYIQVy7-R3*DT~6H#RDb%!BXni z%1*$9fHG=zeSNy2qTV0wS19STRO}(kU~(B78{7G$&Ef<`INZ$RtL_Cg(fiqHTEq30r!PL(taP!?feyS zFcPT{$jZ(M*Ej!I!Nmj^Nfp}B6|+fM`IVLG7;*8M1rU?7Yx##dSy`7by~&C^<%sJ< z?#*}JOBZ&k6Ro$~-H+Wa4JJhefV9t8*56JhxG5L%kDn*LWM(SNUtHV)T1iaaOEW4e zpmI@FA)a8|Oq8wPBmBVXT?3>v?m&3GYXAJZxl#!Ve&P?beIu=J)owgMlI?@|Mj&_p zYHC~t6xD?pWgvcoxfxcWAG?CSs1YXsav$vcnBH%Dx5=ey?V`I6hsm9iUiLlq4~2&# zYO>!e;D1wjybtdfPIfsgv(*;24a6$^3mDe#XJ!xTwm1E|nVCv(FK2+xn@T$1S-4k3 zAvcE77q*T>Sq%lQM{@i3I{92WapE_uA#X$pR zKZ-h5mZ(H0#*mx#uiX31ua>zfUFJmLg|MXkI0@Ovs%WMObs~PK=c+tgA-!lTqk?v4 ztY{@inSUvF{+uX-ZBny_Eff&?3recp0Dw>|GqlRrBR$y-5w0>gPmZne7DSFo8`6Gw zmF;lH%JzQ)k9s2}&h0={Lr-c}G`%tGcB{D6=wrNy-||Oh)Vu7t-)j?u4-F`Ci$@7`G{SrBfTu(TEl*)AW6O4{!+zE-uP-~FO_0?4Sn#YRyKN~O+iILzr!6L&dbrcFGe*HT z>4usCKwB5N-Zg{HIL+mM*D?F$((9qz%2}#xfASo<$y`X36$Q=MS!`lD^Yr-S)6DA` z!@WgzQ-HK-)+Cb)hfGSVBR@KmFe-mh!Myfgmj2NJa;-}HF1_~$P%RHH8*Tx&lNFE~ zUcU%-ft~ja(!?+=Fap`0^3m312>Y`9&95vSOAnr9u4>``s7vW|>s)i&+V-TpY}QsU z6LKq@WlR51cVRqjZ;4sa*>*fCu%&)q2Cvl<$%1M#%iBeboMsaYw(fa1%@N+GAI-|! z4e?uC9X!-&kw_Iv?u;V)k<;qKrNYa1zEB>E@h)*Oy_U>VuyUYxp%-;QWeM&yT|X?T z{}#>lMf%6LLXYTZru&@P3(1AE^Lz0LIc|6QTn2WLC!-ybcd~)w5U?eGh~Rpq#O0Zs zu=MG(N$d5Je^bkJYTW&vy5*cbN;V)-oAlu169rlMH?^NOrW;IMM;QYyhig!!+3{bq z$a$RtiOWQ+B4mb_ZFJm~gnc0I9DH{K85V z!cxLBJxomjXt*kVj_pZfTMn= zF0NnWiyofg^@o8jPJd(t8B{jpi6oad%VYh*t!A>!o>i7-^`KJZ;r&QKn z{Uo$w$9GJl!6gXLB2jIIuq#-`gdW#p*D_@QN(ZS_LQ zeIP<#83>;J8~I8!K7%$}zwnr|dqmhMX{M_9GT;XrJkQkgS#HuDC$@4`5^qj+T~9pm zJ27X*1nHTbAW(DO{;K9=NPu3s_J>sujqJWR1(Wm+=K^3$f7_KM=l<3FzEfn=6e3t^ z-Zt%}uis+Zr3<=k85H~6_Rn|ev6Wif1doE(O?f44YK_I z7!3+}UpoOd%5(4hGB@4d4k{iBVXoHA6? z7g;4OApN}<((Su0_44(KMW|OdZWlO#V0yb%9+YfYfuR4k;qow)CGy`jTwbzE*Hd3s zY|9Y%X2!?;Kc4Ze_FjUG{-O7BcS5|+H+fYq^u}W~)y5c1Wx~1H=f;)NP2=+13j3g3 zDPhsk`KRhtNNRPKvam+>4RGljhO+5zCv*th#)Qt^=<9^8DBoG)e>I?sh8MYQ_98wu z$~EloFgrMd@VE4V0TsU6ff*Tt?mG>?=VDsxRmjB0C!eK%z8qIJ@&e3_NaFA~@5iIL zTvL&ui@~HMD|PBve>Qq%?pG5qcYPi9X=*dmP2YH69PiCo>%Z*ez48x3HldXvTU^I! ztvh$0*$k_~qw88Lul{`th7+=5WpPBy9G}Fzb6dfu{t3^rkS_F5AIm z((yX*Mt$ybcDaFmkrr-!p_j3;H|_QLP#}ZGm{cjnknz~<4Hl0bVknhSj>8<2re|e~;5E<*5f)A;w@_f_Ui}FhJ@w}>2&E7E`m6e6+1VZ$&ghpf^ z72eE}vs`iavnWf4S93)GT?eJQNimF>-!L<7#MqciNrBZHm*fr(15* zY+p4k*!BfOTqo}oYal!gt^0-&Vb=z6E>6yoCKH}dmb^ve`+b{DlRrO2(*wjNC*J!d zr>w@pF`Ba*+=-m(9JvdHEMMeQ);iGW0rhd;W6|n1uxai1B){bs@g0RcZg|83oU;Uy zd(z~pJ3XTIlbt^?eY@Q;e1*Upn{&o28Zn;+w=T zLz^Dm)~man2d|5HA5(@G9;_TCq-&V_qn=*kM!6n#fkz%vO%^F|+hsdavRlj5DP+fh zr&J0yRq$MfvFT2FYXT=2Wpa!g%@np&emt5HD?49veD(D+Mi=8cJs#CeOp#ya_X6=m zJN;%P5I&Bf;Mop)jMw^Uojdi$tg`eJWO?)Ymg;^ih4hxWSp=ys)f|FMmW&j>Ks8q! z!3wMl3_q}~&E~@S+N^#GKpp+L?c%}{{|yhx(Pq|{r!-pMT?wAfkrVxGs_WP9Sl$)6 z%Wg~s-wsad-#-VOBxkK1=}VWczTj7t1z!Iy&21k^;Faq2 z9|`_}0op6tv)7Ae+gA%YDfbC{p#)%Z!#}XI@ZRKh*yNwGNgY%EeG%` zI7oP2_UN&1^wA(N>HXU;P&nv^1 zb~v}nj(y(@lvd1);J2(j?z4aal&Zb-a?$ipH|P3EA7@6IKZ<_XN3$KE{ZtSRWM;Nd z^cwJm@y%rgDfT_!3xfiq);hz-&)hiTSg)-i%MVPqoSD)*3k1_eys}IOKKe-7l_b4OPp7V~QdUbvoxXdvA zgF0{7`03eT>XtnIpOK%DIs4IrSzUZ@j}&F6S9KQ#?%y#nt)R z@^Rut*0=F&W>8Aa2iG2Z!oZy;#`rBFBr|ekxdgmoa0UAUbk38G$Ey48kxUa&;}ny{ z0fdMV;c6dIsY)Yy$&b}@gMalJ=OUOvT;)RxS132jNllRA##^VfX~3|H%RE>!5pJ$BEPL(_FXMm?p|UbB(rAx^sQC((nu3vq~A;e=eAY_g8pr z1AC*av9#SPQ_^#JkLN`+Qr5Xk?6FzJ#;JQ&8VshR7ilj>Omo-(hHjnxyo-*a2bRC- zRTY*~h422j?coG0z(+%t!@Tdp00NCMO$uc8 zUgj{}4<-QImX#^3SZ2ESTa7V=v}EXM4v96%nn-&9oz6eyH+m@7%stX%|Mr#~C9e|# z8x9>GSei2w;{tfY9CLh4=VMy%5~@j77KSpV>k|;BS6QwTQ^#+uwIy6v+Y-mXN%ZHv z=3zp|3&w`P8$8rx1VCz%)mR3N6_T?&5qR=WS*|MsCuV-zVSM5Efs1pBrtu7%n$1($ z1JJtzTndTAP`0_J%=YpVK?j`=qcFf{xAtgjZ)FZ}SsSdRC%3*|HDOxCC)Qso_bv9D z1|DP87B$L~OVE>!IDVto=lSv{O}faTwE@cn zU+fUWjEQe+atN}!8)Ae;yDw2{0cZSNtxy1!scuiu9N+Ny!&Z(2JhuUVeJpm_`3=R9 zYca0)rd4*4cD0`gy3cpm?+&B0z4DmY%nyy{dyCxgr;(>oz4dg( zShJ$4!fBZ1$WNYjW6-wAeP%sx<9#(znnW${tF#dn0Xmy&aPKmS?=1P;HbZ95?8DUyuu>oMru^pE{^a5)ASck$j_6T=Qc^0L6!>!C-LNOa!Um^qn1@JT0Q%R9W>kbu!C>b z#4Rg<#}f1)*0tw3@+4dcG+h9G@_gF6KXGmtmd}o0I|dTw>=U|X{mm#o4ShnM$?BVA zSSn)QLs%z}eX}mDV9D&wR4%55Vt@W!a~J#F_suv zpA$*{D3i_=;F8}s?Z9jL!?$#rQFi5T+(#EMAJnzhP%{$nZ?wb76DJC?X3j}UYUp|b zf!6xtX8mQYGW|p+fVRw)mfqM*n)J>;(-S>6Y0731Q_jy#8bx5Tme>Cc*v^>&TbRRR z@aFDGG4Al#H8e)I$h*F1DjqKmZ=8;g5SNHp&U0Uim#8>Sx`y6Hnc8td;`1La!vo7v zW?3s(p0$-cd?n;&o$K?B|LO^Y)Dw0J!lgKrupp!EIi8WGpjnLY+a?X7u_gRCNDtKy zlS;XGUJfZe8%2#{yN*!&AIH7;@#RY%b}`)O2$S*M)w!TrMMcG!H~pgddK~uj^2LPJ zIP3@iqLwlHYZ;QfbaRK>-tELqH(rLq4}wf_R?T?a6{n3G8Pi+Dv)*JkQ+vWfmw>8H z2o4ESd*!2y4U&f{H}Ur${iZl7yDT1tT@`&EA37VvCg4&!46{B#>fmbZT#Ci&Bt(JK z0bo7op$@2gJtH@j>eaPy9x=)mwHnton)C>$es9aiCp(?<1Vky+>7KKiIRPVgzP_G` zn}?Kb*fOeB#zGFCZm3;+hPr)6pxc=1=*#Gg4+3AG_ce>fkql$Nh>VO&UWmkHQ#gBu zrk_ge`}z~+on*30_U*7<<^VwhYTj^G4>@(VIcT=KGux;kHNj z>ZdFi6eN|Yg{9e;s7YovW=i^RRVs*lv#-p~p3qfG9kxi-%}F|Y$pYUh#9vgD)1*Ol zz896%`st$jb_s-C7R-BgN|r*I5?XvF=HZ8SWOVpJ6r$6I$4KKu{`}EK>36y5RpLJd zyRJZ7=S*fu7VBs8{@pQUt!S1GpkwQVtV&|~md0}!LGy~9V8b)`f*A0t&yDLiZ9PD_ zs6Pwxo9kLv<2J$y7;ziRdwF-!jK7K7X#EAmZLo{3#%)MsAAizC6b!35PE#lk>(Vz= zy*uCxeKf^^{&Xh zUD?XJNRNb@ThG!jUb-&nFMr@mlHK~d!{qij7_3lyxzMTQmwarMqWrdn`*7DmdL=M! zC3+lweA>W%V8=oX!) zEJfm$(8HD-eLU8?njABA4C*x#bYAuBlmDR5uTUIEW|oHgE{;p*HJZCZIhW0@bPQ-J z^c3)al_tJ6b0Pa4GxjHrjM-CF*)!QJUM*Qor|;#RRMrsMnz`1y;4C&6GGir8Pg7!lp zf5VG4By6I$+^t2{jOsGWq8GrzJ+WrtRxtI8u{xo&(}vekAw~y*68pu;&oR`IAhjU3 zar3yJel}Oz5VRx8wWBkbe_cwdnxVJd)L_Kqb!EgFKaehv7+PPJ-rA?6sJfBW?G%Y! z0A<9Gjg0PCx`Y#8u^?H6%Y4VX4NBWp($eq0{kPmD?){z{x|7bCf=zD6y|0h<9*`?HQFAw4ZaiNEsNueMVE@bxl4nS^u6JCV|u+n2YPzS3A4 zwi?B6<4V++fL(juFYD`qk=-_SiMvF#@aNQh*wkY9vAPwO?5x5GUj7yS)A7%8LvNJ% z!#{pQ6WqXa=$ZQYRCG&*9w^-E8OOO|%I-BmdSEyzx$ZP>thc?%V1)61F=s1EX@vj| z`qQ$R@PD&@UaV>RsWm1(CYHfHuhVFD^OF6@9OOk|;GYcGXHJqdrApRBCeQDpXJiA= zCR2!bhWaGWa>TBpvMbebKMAsffQ9g$6!p=f;QDxhZsv34TEToG>7OPtlZ=T>b^kFZ z{o$rto>%)y#(2@@P*v%`nRDl)l%Y`HbHhPmK4(TchekgYzjTgy%>EaRjzQH2b{w_s zB*phw9oRN!V{D1*(qqaLbf>{3v70e=W%t{=XdfL15pBSX&Y))?iR;ddBo`jqZJt?5Gu z%Yxwgr{S-9@YheA8S)rnWN8gns}Eq=Sz@NTP;(s^RN2HO^e4_6s0^T09P;7+aFnCc z?G8g`Qjt~&jV-Idr)bX<4*ppn+ee{HFZn||ockOh#&PaW6-$1BXjI6^DMTRsZCkLT z6mT{b^{xOQfcj?e97v}7S89{*k{5}Q4DzDIp{#=1LF?53`I2r%fP7gxBS0Qkuja)q z{0k#N-kOmhZ@ZQt&w<{nFyR(n*AKGd@shULIXs0^C35fPciG;1s%>DD>J!x|bigUU zCH&Pbl=O<+BywKPriDlmiVa9JrU==^M64Xk*>w)Vvxmd~J|1eQkbCJGml#%*mhVBE zAuVNi(7+JNA46OTiONYq9nk~$tTPOJR=Y>?4=Y^wm2!fS3bh|T#9DA%IzCCR^_3!G z>Ub-9&pCC5vuOt&%BOX-h=K~x@t5EH<+$z7y(Xl#4A1p#=n?1tRFN|3PYj&HD3pAO z)ilU^(N$Ov3{J;RvegW1+o~cPa?JGbD>)x;1)nEZ`V;D6ssV=!n8vGa40tqL{KhoC z)6frp9akWPe!K03;*Cj;SGQw|LGt;)8Qi?kMcaG9U%gKA7fMu;wG*d#IFg@lEAt;ZuTUrn&X8BI>E@>;x@_qWB-IO@Ay{ zGXGStj2h@ST_1Nk|znAdxo} zK?*l*ce+*oB}qb`;j-WJR1t?a>pGV_^199?3W0PV(~)TokT=Sg3szn1KSx+#79<`_ z%UdTFjQvjE^6Wp=xBNAVGGizcn+_UXc7{spBuaSXYl(Ywz#P*q7crpT_=;7*P-)v@ z0hF?V8Kt`xQ-W_za&ekDBz3|D^pYW5$LP+pr4@13K(RNrsN@h~h3`Z`MiZ>0S(>Y> zVX0mpkJ}xT?{#f_L4WCEt5mLGGpEeQ^dQ=Z_^;-qb$qT8X7(w_0L7j3umkn&9C~ z4K}hc2Sr;AmtlYC8@#a3=Ddn21tc>6PK*ntfHH70YAP(VZ&}W9Eq#cm9_`c033wfyuKXF)P zr=hP*bKJ2PZ#?AJ@2gB&e}<(QB_C&9gQfXj`D+2otIA+;cy#Jpq@v%DUu}jF7qFy% zJ3=|fXm$KCsTC@%qa~gBQK$cOC#Zft2HVQt;v*Phw@0TXv|b!1a3qU3WKa1@B88N; zp*mv*&UKve*qoas2QlSH>Mbl$WSOZvNXQbtj5uz(<1X$@oR2U&I+ZFNNoZ@z8IZ_^ zlMFwwXKoreH_;}nb?Pq0heLP83a%eAvVLxu%C4e9vES3a9$M4BTCZqdqi2u|De|Md zqP6-&?RHbA)8r$Y^ncC(70UwqwESwqY~e8x0hB;TVfi@UqO6l^ru?pObbq4vG%LAbu7pJBH#sI|!vkz5h1n&b$!UI89y zAUpX^0WpEw7~t6JEA7d}8JrAMqWU{|I@}4ewe`32M!?Vbyk|c=pmQK1!_=}M@Ylnq z^LeZ{-nb`;$5n_7X#(8)tCzWk5Dy#Cs39rclgZ zfSCg#jRs>;P~YS@fSc7f& zf73+xIs6a z7M#=v-2$GqZo%{uiMT&FjFWa9Y+6l-`65Lwtl1HZu^VL_8Erre6#IA>+Q3zDb&YXT?e zT!yE6zq+g)HnW#ycI*Gg?tl-%k$zTj!Ta5;fR@VS;EGnWYfY>9q;j`pvvTkO%K@Hz z$qXa7?V}~*QUBT;!rke%4Me4IIuhEJZfrcyg*<>iw&c&Htt49nNPmlQD^vN? z+~&`#3DKc%mS4;eHub5G)1@_^XUJKaOW88k?$l`RKI+)2vJct=& z7xgcBm|jrmY$6seXIVD#Q{r%&s*z>Y>lrtd+iDTX=ue?3CD^@zr7)^K_<8eaKOPab zyvCZ)NR7r6xLsV4_CDuBW`_m1ahdI^`!#X7=k1Q~apXOEB?iJF7hEcC2u#P~!8y=9 z70~AcXL`idy%Z_7sU-D8WM4VACMl>}SS_aTB34ZOAe3w7yx?O#k+TabiF`FX z+@%?1H&EM=IDmq;!)QplZrs9OqoreC2ggnq+$Yy@kE7|IhHNj&lNWBly*o>&=S0K% zi%m4njL!Gydi<MbqUr6)r4sLD**E-C_wwiaDJHmpSw*lzJS*!Xdh- zLdpG|LQjaL<7sSS5hleZRxuLg8f20A^AkpCi0He-{jLp2gotl3f-r!s&CmJmt`!Tq z*3Gz)&B8l2t0L3EQV8QJ=iXVT1&hC@Ij0enGCr@HhKPDNPS(T8WSSJ@IT~wI3JEqw z&O*A)Nby?~o1Z!3vr5PdgC&$c(6m(51b>U|qKJ^4*=Amje{2vb2W3E4W_#dxQdd)> zn|F3VVw0U2KZM)$u+9kU-XbZh@y=vZ3@*Auj5RGQr~5IyQ7-cgtybX$kr?v6{Z)GGc-~C8`dofB&Mbmj?6%Gf%qufj#CYV~ z4Oo1-xSIU+c_UJmC@#xcx53lZQreIs;yhGW`alYFkFy|6KZwJ^yHk(VQX0Jzo&_!( z0&%`G8IkqwF3KohWSr!XiV&UAPeWuRqQI-gUp6+QN>+{28lC;@**b5i~cTSJbm!58RJwbGNG|4B>%P8hna>?9t2X=*&yb+4@Egi zj9et`_1nRHq4qyPX8+^53AG=@j9*aI<~NX4Du|&q|FF`U>a$ZsHzKk-b`9i+A?@W)$IO&slW~>fQGX`r598X}9J?NdfA%68YQ_YiWGW zoR>_NKIZe$`?VM~M{w2Gxv=!5f2gncNFJQYFH6G2I`&!5 z2CjLOYos|oLJ9YyvDpUP_Mg)E-Wq{)zN>_geFkvZ@vgm?-~4aWx?60N+~ky?i5CNn z29y$Wj#|gmpStmZwS4i~3R{LX<8$nnA;}xV^41^td8bgDeeyRVyDk)Vg<=2z7Q|1@ zR-LAEKxVRv0uoofKN^+FTlR~T@NuEeD3AN^U+8C|Obr~LzNE8{`ReeA$^ECZ2hVeN zv7^km$DOIX-A|3Tvm2V4c7b9Ci*U`|Dwi(U=G4c;I#8VxWWenQqIS05@O6&a;PX{8 zpA9*`7r{$=-!$;4lG=1v)BrHx>5=;=9{cyY=L95g_&d!*PBeuyoLkZ*9f5qnXD97q!z;>3SFvUl2G=(n_T)`8rhTOj zKhun92!7dO`7NFAux%Qf58BuQcVAV6l={M*$8=0L#5WJi2x{4zzHES&_ zrBlc6B2OB~YsS3-%7+D4sZNY}a86!h(x_cyePplWO!K}2;)Rlr zvp9F!+6Jc~2+;kVP2Qau%UAThQs*2C;7$$mMy-UXQcJYJu&Y?1WNM}rghMxNl-7SQ z$P6utD-Xyr)z7{-kYPLAHGbn7M=B=Du2Cu#gBoI2Lc)J(%%OJQK8qBYi5vsryu$+8 zc2UHaQkFMxALzBB(4Q@X3Abw#@5S2woCmk%(kpwtTu1;B+gWA^vjatNn`W{cM3%8m zDk9w}7Ko|S<5lnZZ$Ud{AZ1US?aYE}?hf3jb?|^?Rf0_LF!tudhOr=9RJ`GEJ6fp~ z^|~OJb0#YhaccafOX-sR|5}qt zYema6#r`Aww_q+=ev=XhB{vX~+^%sm>)kvBy=@sSAF^(qO67~!=<-qIpF(uoF;Fvq-8pJ56uFvce0G8&~BEd56U9Anuh&tV=Pc-uFUnzvU&^Z2rt|nGm06W&3f~vl^i*Kt{Le)ej<;`SatGqv zc|}Olfs3wB7R8=O*+;qsv0RQv$yi<#QSfgS^C^15UX^9svD{GJG}53u0{lhi9U})6 zSzYm#C@aUs!n1mN#Oy-{M8*7WH+2Uu;3~Gty74p}1_A0Gw;m3+BWr?G9XQYtNY%nv zEDFvYp*Ju#uWrY}o8QeKbRhv>2P8>yV@*EOKk1@!cjR?SXh-Iluv&K11RJJd#_X_~ z`WK+>bJF&$-3XN6G5gw)aa~SP*EsXs+=BM{!o?f~SqHsag%G7XW6;Dd1dnEM0=!gZCnfSa{a9<Sb%v*s!A%Fi%pQ`< zXP>m48-G>3@|kW6juC?Yree3^*jxYfx$OEHdkau&wnrGLM7m4zP@4$1pcgff*dI5Z zxvXm}M?h z&DvI7_L`kY;IJ=#fS-w*YoSu5hzlcCDn(@O)VZFizE&@}*}m2?dABYB=GMl=a;L?k zr3*>)0DEdgdn;NDO zI5_ePRr1wk^Skr>#2t!5Mr)(fM~q3S1@66J~8#eJb+U|&g$a~17x*KZ6eXTd`T0i;t zy{83l75SC%)iw}hq8J@8v(W?xM=a`7j}S86SC)q~D*45siY87Yr^&OHQOTzhmk5AFwhgwRM6R2wyy6c1B4c;=Zh%s74>>`sbOu98 zG=zAK(e-Gy)P8=fTioeHIsO`F3{)g|fhItAvYBsE3z5qQv&}mttj?q&TaDFG>4{ z z4}zHRT!+%Jlco1QKUBWi=RMQtLZiBdbomSfNsRK!FZdTX0kYrd77{T5S~V?1ZLyOG zFn+$^9p^*QgXzk+FJcR9Z^z)>9a@mf(~JK0U9aZKNe8H7oke5e9C%gwqI7VJaK)V7 zs2+aqD3x2z=egWGg1PNi+mTT;-lP=oYzz+Z<)!8p6-avA&y)%UIMM52z_8F~U=yej zRdqqzPEqG9Gv@hP0~7lUKt2c- zr{=9WCdFzT(Ht&QSmDv77tSsyzStzz7LJOBnEQh;zoOq7IJNI z3Xj9WYAf?9wDXbLP&P^4w_{*{Hkm9>ZV<)mZ45_BFD568!LYKV{a6wGN+u-nGc zMuY;5dkc1YrMoSkwL?%^G;HM)q9q(sVKQ^LoX=8|d@CHkpah}9prQELV26^= z*Qs|3E=$~{!q0C~?j$OWRgVhN>gEPL??bmJs9KpOvIzj^-|YKyH#|d3q{pcN_~WttQxPf#>Q2A< z(Mw-p7MruD#wewLuy*1>AC5{Nd{0?cE#-REOP(uS$Xh&x z3Id;TiSyH~!7`WV6TPxzy7A&>W0SHdaRgG6bXvh{M&cUD%WE`er$l>akb8TatVFBD zc#1n^R|{>fPeY%#Rv5^XRbH?aRBi z=@0*qDx-(#cJKfA^u-s62BKwKyN_`%yqiI@XNvT(+j-aev-0sP@*auZ>Pgo}@cMCj zOk~|Txy`%F{oVDD0RH9qF|UaK<&9VP`+$GRIN_E1WF24UbH=}%sP@O@IcrxvKoZRN zSKRkyyXpbj&3tbay`tWGT#kB$f2PNMug0whNP_GAW!Agim+h(tNP_wP;@`=i@e05H zxIEz%7We%cw;mt~=KBl(ZMkbjN^0Mde~2|^69gc z0g|UXP~*K2&(uEaKhEROGu}^o%RNfEJ`{gZp4cb)_4Mqc+0hepbjN;uI_z0l6u!^m zFGHG&d}aJ4RPATIqW&1vu6l)&F#MN&?=4jSi@W;v=AA35&bE6CK*#Tw*SzwF;>?%y z`xx(UNQ3RY&F?$)-ec+y!gy~(x3RsyStov`D|mlHyV%~x!(QQ}p9$}8=r*pO-wOWQ za#!h@o}S+14|;5;)r}9*p7-1;o`0Rc{Q&*S2j4^QT)a*{{QG~R%RhgeZdm(;c#O#n zJ!|y-8{eTD_pFP4*KfE=)mz>ox^<0ieg8ibA2?a9tJihN0 z{);>Rg2KOa{GL~ic!ld#TmHr5A^#9y8~!Dg@op!6Pw}o0{v|i=`?6j23f|w)D%X2k zm*#t3!FwCp!S(*`)X#JU?{8>_p!cy(z0cylU*py*cz;7X1pR)(?>PnkZJ9aK)6?}l zN;`78JO)1&JJL?=xO(^ejQ-ixH|W;u|3K${{NJeZ#wwlt`+uT$ul`s1<(|K%{JX03 zOE=f3?_EEyC$!m3>o?OcU-%dFfww;m0rV`-OjD-~-Yw%oN6 zNownES^fXedw=ww=(g4WC%v2Ap|FjOH_(lA%f|btcjE)}{>=~4Kcidm$Poa5or0f% zKI#=ta;tyz`IrkQyLEidzZ{s_RqvvH;pbmI)Gr_aHtLTxT=+i_`F+N9x}@L7cz;6@ z!rt5b-ch@tOn7fY*16u_Vefl}_cvr+l=m_J?B;rYAL{V_hOD!_zxfaBT#x^@+?5hf zY6AekF2?^8G5r?`ejXV=hwT5~-W{MO3gDQ`pdrz6XUk zVwC2Ko6+6mTlGxKirk;u8DOXBUG+4->z5_7>aXOr)U>t?J~CkGuOId}I>++Oe@R|) zLN+$EWt(><-@Jq5uX2?97<=x|{Y zJX6L>Z;;92dVX?ZmTA9TF>pNCP_``t?`S!ZOI{^%`_UuXnTR zk>{&HNA%nMaR$}wHD;>ks5`nI^36;=KgmqbP|s1Xb+hUr+srm*s*LrXuWnX7180S2wF3^37~LL)q>*lJAe9sSN-C006*gJeD7MZUYOcsTo=Bg0(m{00000 z`^@U2e1GTapkI&WN4BPRb`GrJdcAIM_`FeBhhqZ(006Mh%sxu?5nEHcy4=7TTqtr~ zjtu|+0Kh&+^ij5^_U(3imVgypE|={MKjX@<%;VSq0002&GrNzHecbQ&uOBzlN)V7J RwnG2_002ovPDHLkV1h0J1n~d> literal 0 HcmV?d00001