fpga-lab-2/Top/niosII/niosII.cmp

8 lines
157 B
Plaintext
Raw Normal View History

2022-10-18 16:52:06 +03:00
component niosII is
port (
clk_clk : in std_logic := 'X'; -- clk
reset_reset_n : in std_logic := 'X' -- reset_n
);
end component niosII;