fpga-lab-2/Top/niosII/niosII_inst.vhd

14 lines
325 B
VHDL
Raw Normal View History

2022-10-18 16:52:06 +03:00
component niosII is
port (
clk_clk : in std_logic := 'X'; -- clk
reset_reset_n : in std_logic := 'X' -- reset_n
);
end component niosII;
u0 : component niosII
port map (
clk_clk => CONNECTED_TO_clk_clk, -- clk.clk
reset_reset_n => CONNECTED_TO_reset_reset_n -- reset.reset_n
);