From 1589af18e7af1dbc485e85ae742cdd2758d94422 Mon Sep 17 00:00:00 2001 From: "Ivan I. Ovchinnikov" Date: Mon, 19 Dec 2022 22:48:11 +0300 Subject: [PATCH] sem ram widening correct, generate testbench in PD was needed --- HDL/IP/periodram.qip | 2 +- HDL/IP/periodram.v | 55 +- HDL/dec.sv | 2 +- Testbench/dec/dec.qsf | 4 +- Testbench/dec/dec.qws | Bin 619 -> 0 bytes Top/#niosII_tb.csv# | 151 + Top/Semafor_hw.tcl | 13 +- Top/Semafor_hw.tcl~ | 13 +- Top/niosII.qsys | 20 +- Top/niosII.sopcinfo | 10 +- Top/niosII/niosII.bsf | 2 +- Top/niosII/niosII.html | 12 +- Top/niosII/niosII.xml | 178 +- Top/niosII/synthesis/niosII.debuginfo | 12 +- Top/niosII/synthesis/niosII.qip | 8 +- Top/niosII/synthesis/niosII.v | 2 +- Top/niosII/synthesis/submodules/dec.sv | 2 +- Top/niosII/synthesis/submodules/periodram.v | 55 +- Top/niosII/testbench/mentor/msim_setup.tcl | 2 +- Top/niosII/testbench/niosII.html | 12 +- Top/niosII/testbench/niosII_tb.html | 12 +- .../testbench/synopsys/vcs/vcs_setup.sh | 4 +- .../testbench/synopsys/vcsmx/vcsmx_setup.sh | 4 +- Top/niosII_tb.csv | 6 +- Top/semafor.qsf | 3 +- .../RUN_ON_HDL_SIMULATOR_ONLY_semafor.elf | Bin 490481 -> 490530 bytes .../RUN_ON_HDL_SIMULATOR_ONLY_semafor.map | 908 +- .../RUN_ON_HDL_SIMULATOR_ONLY_semafor.objdump | 11499 +++++++-------- .../semafor/mem_init/hdl_sim/niosII_mem.dat | 11597 ++++++++-------- .../semafor/mem_init/hdl_sim/niosII_mem.sym | 314 +- Top/software/semafor/mem_init/niosII_mem.hex | 1482 +- Top/software/semafor/sem.c | 10 +- Top/software/semafor_bsp/settings.bsp | 4 +- Top/software/semafor_bsp/summary.html | 4 +- 34 files changed, 13292 insertions(+), 13110 deletions(-) delete mode 100644 Testbench/dec/dec.qws create mode 100644 Top/#niosII_tb.csv# diff --git a/HDL/IP/periodram.qip b/HDL/IP/periodram.qip index 1e64f90..66f194e 100644 --- a/HDL/IP/periodram.qip +++ b/HDL/IP/periodram.qip @@ -1,5 +1,5 @@ set_global_assignment -name IP_TOOL_NAME "RAM: 2-PORT" -set_global_assignment -name IP_TOOL_VERSION "14.0" +set_global_assignment -name IP_TOOL_VERSION "18.1" set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{Cyclone IV E}" set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "periodram.v"] set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "periodram_inst.v"] diff --git a/HDL/IP/periodram.v b/HDL/IP/periodram.v index 7f94151..2360633 100644 --- a/HDL/IP/periodram.v +++ b/HDL/IP/periodram.v @@ -14,24 +14,23 @@ // ************************************************************ // THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! // -// 14.0.2 Build 209 09/17/2014 SJ Full Version +// 18.1.0 Build 625 09/12/2018 SJ Lite Edition // ************************************************************ -//Copyright (C) 1991-2014 Altera Corporation. All rights reserved. -//Your use of Altera Corporation's design tools, logic functions +//Copyright (C) 2018 Intel Corporation. All rights reserved. +//Your use of Intel Corporation's design tools, logic functions //and other software and tools, and its AMPP partner logic //functions, and any output files from any of the foregoing //(including device programming or simulation files), and any //associated documentation or information are expressly subject -//to the terms and conditions of the Altera Program License -//Subscription Agreement, the Altera Quartus II License Agreement, -//the Altera MegaCore Function License Agreement, or other -//applicable license agreement, including, without limitation, -//that your use is for the sole purpose of programming logic -//devices manufactured by Altera and sold by Altera or its -//authorized distributors. Please refer to the applicable -//agreement for further details. +//to the terms and conditions of the Intel Program License +//Subscription Agreement, the Intel Quartus Prime License Agreement, +//the Intel FPGA IP License Agreement, or other applicable license +//agreement, including, without limitation, that your use is for +//the sole purpose of programming logic devices manufactured by +//Intel and sold by Intel or its authorized distributors. Please +//refer to the applicable agreement for further details. // synopsys translate_off @@ -47,10 +46,10 @@ module periodram ( input clock; input [31:0] data; - input [3:0] rdaddress; + input [1:0] rdaddress; input [1:0] wraddress; input wren; - output [7:0] q; + output [31:0] q; `ifndef ALTERA_RESERVED_QIS // synopsys translate_off `endif @@ -60,8 +59,8 @@ module periodram ( // synopsys translate_on `endif - wire [7:0] sub_wire0; - wire [7:0] q = sub_wire0[7:0]; + wire [31:0] sub_wire0; + wire [31:0] q = sub_wire0[31:0]; altsyncram altsyncram_component ( .address_a (wraddress), @@ -81,7 +80,7 @@ module periodram ( .clocken1 (1'b1), .clocken2 (1'b1), .clocken3 (1'b1), - .data_b ({8{1'b1}}), + .data_b ({32{1'b1}}), .eccstatus (), .q_a (), .rden_a (1'b1), @@ -96,16 +95,16 @@ module periodram ( altsyncram_component.intended_device_family = "Cyclone IV E", altsyncram_component.lpm_type = "altsyncram", altsyncram_component.numwords_a = 4, - altsyncram_component.numwords_b = 16, + altsyncram_component.numwords_b = 4, altsyncram_component.operation_mode = "DUAL_PORT", altsyncram_component.outdata_aclr_b = "NONE", altsyncram_component.outdata_reg_b = "UNREGISTERED", altsyncram_component.power_up_uninitialized = "FALSE", altsyncram_component.read_during_write_mode_mixed_ports = "DONT_CARE", altsyncram_component.widthad_a = 2, - altsyncram_component.widthad_b = 4, + altsyncram_component.widthad_b = 2, altsyncram_component.width_a = 32, - altsyncram_component.width_b = 8, + altsyncram_component.width_b = 32, altsyncram_component.width_byteena_a = 1; @@ -165,9 +164,9 @@ endmodule // Retrieval info: PRIVATE: UseDPRAM NUMERIC "1" // Retrieval info: PRIVATE: VarWidth NUMERIC "1" // Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "32" -// Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "8" +// Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "32" // Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "32" -// Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "8" +// Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "32" // Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0" // Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "0" // Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0" @@ -182,29 +181,29 @@ endmodule // Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" // Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" // Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "4" -// Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "16" +// Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "4" // Retrieval info: CONSTANT: OPERATION_MODE STRING "DUAL_PORT" // Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "NONE" // Retrieval info: CONSTANT: OUTDATA_REG_B STRING "UNREGISTERED" // Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE" // Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_MIXED_PORTS STRING "DONT_CARE" // Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "2" -// Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "4" +// Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "2" // Retrieval info: CONSTANT: WIDTH_A NUMERIC "32" -// Retrieval info: CONSTANT: WIDTH_B NUMERIC "8" +// Retrieval info: CONSTANT: WIDTH_B NUMERIC "32" // Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" // Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock" // Retrieval info: USED_PORT: data 0 0 32 0 INPUT NODEFVAL "data[31..0]" -// Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL "q[7..0]" -// Retrieval info: USED_PORT: rdaddress 0 0 4 0 INPUT NODEFVAL "rdaddress[3..0]" +// Retrieval info: USED_PORT: q 0 0 32 0 OUTPUT NODEFVAL "q[31..0]" +// Retrieval info: USED_PORT: rdaddress 0 0 2 0 INPUT NODEFVAL "rdaddress[1..0]" // Retrieval info: USED_PORT: wraddress 0 0 2 0 INPUT NODEFVAL "wraddress[1..0]" // Retrieval info: USED_PORT: wren 0 0 0 0 INPUT GND "wren" // Retrieval info: CONNECT: @address_a 0 0 2 0 wraddress 0 0 2 0 -// Retrieval info: CONNECT: @address_b 0 0 4 0 rdaddress 0 0 4 0 +// Retrieval info: CONNECT: @address_b 0 0 2 0 rdaddress 0 0 2 0 // Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 // Retrieval info: CONNECT: @data_a 0 0 32 0 data 0 0 32 0 // Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0 -// Retrieval info: CONNECT: q 0 0 8 0 @q_b 0 0 8 0 +// Retrieval info: CONNECT: q 0 0 32 0 @q_b 0 0 32 0 // Retrieval info: GEN_FILE: TYPE_NORMAL periodram.v TRUE // Retrieval info: GEN_FILE: TYPE_NORMAL periodram.inc FALSE // Retrieval info: GEN_FILE: TYPE_NORMAL periodram.cmp FALSE diff --git a/HDL/dec.sv b/HDL/dec.sv index 93e7541..7a8262e 100644 --- a/HDL/dec.sv +++ b/HDL/dec.sv @@ -1,5 +1,5 @@ module dec -#(m = 8) +#(m = 32) ( //clock and reset input logic clk, clrn, diff --git a/Testbench/dec/dec.qsf b/Testbench/dec/dec.qsf index 8490cb1..afc4529 100644 --- a/Testbench/dec/dec.qsf +++ b/Testbench/dec/dec.qsf @@ -59,9 +59,9 @@ set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top set_global_assignment -name QIP_FILE ../../HDL/IP/periodram.qip set_global_assignment -name SYSTEMVERILOG_FILE ../../HDL/dec.sv set_global_assignment -name SYSTEMVERILOG_FILE dec_tb.sv -set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top set_global_assignment -name EDA_NATIVELINK_SIMULATION_TEST_BENCH dec_tb -section_id eda_simulation set_global_assignment -name EDA_TEST_BENCH_NAME dec_tb -section_id eda_simulation set_global_assignment -name EDA_DESIGN_INSTANCE_NAME NA -section_id dec_tb set_global_assignment -name EDA_TEST_BENCH_MODULE_NAME dec_tb -section_id dec_tb -set_global_assignment -name EDA_TEST_BENCH_FILE dec_tb.sv -section_id dec_tb \ No newline at end of file +set_global_assignment -name EDA_TEST_BENCH_FILE dec_tb.sv -section_id dec_tb +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/Testbench/dec/dec.qws b/Testbench/dec/dec.qws deleted file mode 100644 index b6d0b1862c15dc16d71511cec1e3e0075aada7c9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 619 zcmbV}F;2rk5JkT?6qFo*f&w86$tVdyqC^x_REQpe?LcI}CKyp5&O|{ZB(6Y1%UKA4 zHxs71bKf~)G*xK3LSc=< z6wDk8*F1!sqM>f;!_f7Zb0jE2|EN!CUk=O zk)_(TF4%n5lJ+RgkzgsQil359m|3g?4bgh`pH4mxE?IR^)$dZt`zEzT$W*NJ#lh~8db=KsptNUdk)_&D>u?UW%+jR$=JFvDtX diff --git a/Top/#niosII_tb.csv# b/Top/#niosII_tb.csv# new file mode 100644 index 0000000..d1a3955 --- /dev/null +++ b/Top/#niosII_tb.csv# @@ -0,0 +1,151 @@ +# system info niosII_tb on 2022.10.24.18:26:01 +system_info: +name,value +DEVICE,EP4CE115F29C7 +DEVICE_FAMILY,Cyclone IV E +GENERATION_ID,1666621532 +# +# +# Files generated for niosII_tb on 2022.10.24.18:26:01 +files: +filepath,kind,attributes,module,is_top +niosII/testbench/niosII_tb/simulation/niosII_tb.v,VERILOG,,niosII_tb,true +niosII/testbench/niosII_tb/simulation/submodules/niosII.v,VERILOG,,niosII,false +niosII/testbench/niosII_tb/simulation/submodules/verbosity_pkg.sv,SYSTEM_VERILOG, COMMON_SYSTEMVERILOG_PACKAGE=avalon_vip_verbosity_pkg,altera_avalon_clock_source,false +niosII/testbench/niosII_tb/simulation/submodules/altera_avalon_clock_source.sv,SYSTEM_VERILOG,,altera_avalon_clock_source,false +niosII/testbench/niosII_tb/simulation/submodules/verbosity_pkg.sv,SYSTEM_VERILOG, COMMON_SYSTEMVERILOG_PACKAGE=avalon_vip_verbosity_pkg,altera_avalon_reset_source,false +niosII/testbench/niosII_tb/simulation/submodules/altera_avalon_reset_source.sv,SYSTEM_VERILOG,,altera_avalon_reset_source,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu.v,VERILOG,,niosII_cpu,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_jtag_uart.v,VERILOG,,niosII_jtag_uart,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_mem.hex,HEX,,niosII_mem,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_mem.v,VERILOG,,niosII_mem,false +niosII/testbench/niosII_tb/simulation/submodules/dec.sv,SYSTEM_VERILOG,,dec,false +niosII/testbench/niosII_tb/simulation/submodules/periodram.v,VERILOG,,dec,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_sys_clk_timer.v,VERILOG,,niosII_sys_clk_timer,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0.v,VERILOG,,niosII_mm_interconnect_0,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_irq_mapper.sv,SYSTEM_VERILOG,,niosII_irq_mapper,false +niosII/testbench/niosII_tb/simulation/submodules/altera_reset_controller.v,VERILOG,,altera_reset_controller,false +niosII/testbench/niosII_tb/simulation/submodules/altera_reset_synchronizer.v,VERILOG,,altera_reset_controller,false +niosII/testbench/niosII_tb/simulation/submodules/altera_reset_controller.sdc,SDC,,altera_reset_controller,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu.sdc,SDC,,niosII_cpu_cpu,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu.v,VERILOG,,niosII_cpu_cpu,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_debug_slave_sysclk.v,VERILOG,,niosII_cpu_cpu,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_debug_slave_tck.v,VERILOG,,niosII_cpu_cpu,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_debug_slave_wrapper.v,VERILOG,,niosII_cpu_cpu,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_nios2_waves.do,OTHER,,niosII_cpu_cpu,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_ociram_default_contents.dat,DAT,,niosII_cpu_cpu,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_ociram_default_contents.hex,HEX,,niosII_cpu_cpu,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_ociram_default_contents.mif,MIF,,niosII_cpu_cpu,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_a.dat,DAT,,niosII_cpu_cpu,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_a.hex,HEX,,niosII_cpu_cpu,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_a.mif,MIF,,niosII_cpu_cpu,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_b.dat,DAT,,niosII_cpu_cpu,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_b.hex,HEX,,niosII_cpu_cpu,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_b.mif,MIF,,niosII_cpu_cpu,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_test_bench.v,VERILOG,,niosII_cpu_cpu,false +niosII/testbench/niosII_tb/simulation/submodules/altera_merlin_master_translator.sv,SYSTEM_VERILOG,,altera_merlin_master_translator,false +niosII/testbench/niosII_tb/simulation/submodules/altera_merlin_slave_translator.sv,SYSTEM_VERILOG,,altera_merlin_slave_translator,false +niosII/testbench/niosII_tb/simulation/submodules/altera_merlin_master_agent.sv,SYSTEM_VERILOG,,altera_merlin_master_agent,false +niosII/testbench/niosII_tb/simulation/submodules/altera_merlin_slave_agent.sv,SYSTEM_VERILOG,,altera_merlin_slave_agent,false +niosII/testbench/niosII_tb/simulation/submodules/altera_merlin_burst_uncompressor.sv,SYSTEM_VERILOG,,altera_merlin_slave_agent,false +niosII/testbench/niosII_tb/simulation/submodules/altera_avalon_sc_fifo.v,VERILOG,,altera_avalon_sc_fifo,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_router.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_router,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_router_001.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_router_001,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_router_002.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_router_002,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_router_004.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_router_004,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_router_008.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_router_008,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_cmd_demux.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_cmd_demux,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_cmd_demux_001.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_cmd_demux_001,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_cmd_mux.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_cmd_mux,false +niosII/testbench/niosII_tb/simulation/submodules/altera_merlin_arbitrator.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_cmd_mux,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_cmd_mux_002.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_cmd_mux_002,false +niosII/testbench/niosII_tb/simulation/submodules/altera_merlin_arbitrator.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_cmd_mux_002,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_rsp_demux.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_rsp_demux,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_rsp_mux.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_rsp_mux,false +niosII/testbench/niosII_tb/simulation/submodules/altera_merlin_arbitrator.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_rsp_mux,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_rsp_mux_001.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_rsp_mux_001,false +niosII/testbench/niosII_tb/simulation/submodules/altera_merlin_arbitrator.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_rsp_mux_001,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_avalon_st_adapter.v,VERILOG,,niosII_mm_interconnect_0_avalon_st_adapter,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_avalon_st_adapter_error_adapter_0.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_avalon_st_adapter_error_adapter_0,false +# +# Map from instance-path to kind of module +instances: +instancePath,module +niosII_tb.niosII_inst,niosII +niosII_tb.niosII_inst.cpu,niosII_cpu +niosII_tb.niosII_inst.cpu.cpu,niosII_cpu_cpu +niosII_tb.niosII_inst.jtag_uart,niosII_jtag_uart +niosII_tb.niosII_inst.mem,niosII_mem +niosII_tb.niosII_inst.sem,dec +niosII_tb.niosII_inst.sys_clk_timer,niosII_sys_clk_timer +niosII_tb.niosII_inst.mm_interconnect_0,niosII_mm_interconnect_0 +niosII_tb.niosII_inst.mm_interconnect_0.cpu_data_master_translator,altera_merlin_master_translator +niosII_tb.niosII_inst.mm_interconnect_0.cpu_instruction_master_translator,altera_merlin_master_translator +niosII_tb.niosII_inst.mm_interconnect_0.jtag_uart_avalon_jtag_slave_translator,altera_merlin_slave_translator +niosII_tb.niosII_inst.mm_interconnect_0.sem_ctl_slave_translator,altera_merlin_slave_translator +niosII_tb.niosII_inst.mm_interconnect_0.cpu_debug_mem_slave_translator,altera_merlin_slave_translator +niosII_tb.niosII_inst.mm_interconnect_0.sem_ram_slave_translator,altera_merlin_slave_translator +niosII_tb.niosII_inst.mm_interconnect_0.sys_clk_timer_s1_translator,altera_merlin_slave_translator +niosII_tb.niosII_inst.mm_interconnect_0.mem_s2_translator,altera_merlin_slave_translator +niosII_tb.niosII_inst.mm_interconnect_0.mem_s1_translator,altera_merlin_slave_translator +niosII_tb.niosII_inst.mm_interconnect_0.cpu_data_master_agent,altera_merlin_master_agent +niosII_tb.niosII_inst.mm_interconnect_0.cpu_instruction_master_agent,altera_merlin_master_agent +niosII_tb.niosII_inst.mm_interconnect_0.jtag_uart_avalon_jtag_slave_agent,altera_merlin_slave_agent +niosII_tb.niosII_inst.mm_interconnect_0.sem_ctl_slave_agent,altera_merlin_slave_agent +niosII_tb.niosII_inst.mm_interconnect_0.cpu_debug_mem_slave_agent,altera_merlin_slave_agent +niosII_tb.niosII_inst.mm_interconnect_0.sem_ram_slave_agent,altera_merlin_slave_agent +niosII_tb.niosII_inst.mm_interconnect_0.sys_clk_timer_s1_agent,altera_merlin_slave_agent +niosII_tb.niosII_inst.mm_interconnect_0.mem_s2_agent,altera_merlin_slave_agent +niosII_tb.niosII_inst.mm_interconnect_0.mem_s1_agent,altera_merlin_slave_agent +niosII_tb.niosII_inst.mm_interconnect_0.jtag_uart_avalon_jtag_slave_agent_rsp_fifo,altera_avalon_sc_fifo +niosII_tb.niosII_inst.mm_interconnect_0.sem_ctl_slave_agent_rsp_fifo,altera_avalon_sc_fifo +niosII_tb.niosII_inst.mm_interconnect_0.cpu_debug_mem_slave_agent_rsp_fifo,altera_avalon_sc_fifo +niosII_tb.niosII_inst.mm_interconnect_0.sem_ram_slave_agent_rsp_fifo,altera_avalon_sc_fifo +niosII_tb.niosII_inst.mm_interconnect_0.sys_clk_timer_s1_agent_rsp_fifo,altera_avalon_sc_fifo +niosII_tb.niosII_inst.mm_interconnect_0.mem_s2_agent_rsp_fifo,altera_avalon_sc_fifo +niosII_tb.niosII_inst.mm_interconnect_0.mem_s1_agent_rsp_fifo,altera_avalon_sc_fifo +niosII_tb.niosII_inst.mm_interconnect_0.router,niosII_mm_interconnect_0_router +niosII_tb.niosII_inst.mm_interconnect_0.router_001,niosII_mm_interconnect_0_router_001 +niosII_tb.niosII_inst.mm_interconnect_0.router_002,niosII_mm_interconnect_0_router_002 +niosII_tb.niosII_inst.mm_interconnect_0.router_003,niosII_mm_interconnect_0_router_002 +niosII_tb.niosII_inst.mm_interconnect_0.router_005,niosII_mm_interconnect_0_router_002 +niosII_tb.niosII_inst.mm_interconnect_0.router_006,niosII_mm_interconnect_0_router_002 +niosII_tb.niosII_inst.mm_interconnect_0.router_007,niosII_mm_interconnect_0_router_002 +niosII_tb.niosII_inst.mm_interconnect_0.router_004,niosII_mm_interconnect_0_router_004 +niosII_tb.niosII_inst.mm_interconnect_0.router_008,niosII_mm_interconnect_0_router_008 +niosII_tb.niosII_inst.mm_interconnect_0.cmd_demux,niosII_mm_interconnect_0_cmd_demux +niosII_tb.niosII_inst.mm_interconnect_0.cmd_demux_001,niosII_mm_interconnect_0_cmd_demux_001 +niosII_tb.niosII_inst.mm_interconnect_0.rsp_demux_002,niosII_mm_interconnect_0_cmd_demux_001 +niosII_tb.niosII_inst.mm_interconnect_0.cmd_mux,niosII_mm_interconnect_0_cmd_mux +niosII_tb.niosII_inst.mm_interconnect_0.cmd_mux_001,niosII_mm_interconnect_0_cmd_mux +niosII_tb.niosII_inst.mm_interconnect_0.cmd_mux_003,niosII_mm_interconnect_0_cmd_mux +niosII_tb.niosII_inst.mm_interconnect_0.cmd_mux_004,niosII_mm_interconnect_0_cmd_mux +niosII_tb.niosII_inst.mm_interconnect_0.cmd_mux_005,niosII_mm_interconnect_0_cmd_mux +niosII_tb.niosII_inst.mm_interconnect_0.cmd_mux_006,niosII_mm_interconnect_0_cmd_mux +niosII_tb.niosII_inst.mm_interconnect_0.cmd_mux_002,niosII_mm_interconnect_0_cmd_mux_002 +niosII_tb.niosII_inst.mm_interconnect_0.rsp_demux,niosII_mm_interconnect_0_rsp_demux +niosII_tb.niosII_inst.mm_interconnect_0.rsp_demux_001,niosII_mm_interconnect_0_rsp_demux +niosII_tb.niosII_inst.mm_interconnect_0.rsp_demux_003,niosII_mm_interconnect_0_rsp_demux +niosII_tb.niosII_inst.mm_interconnect_0.rsp_demux_004,niosII_mm_interconnect_0_rsp_demux +niosII_tb.niosII_inst.mm_interconnect_0.rsp_demux_005,niosII_mm_interconnect_0_rsp_demux +niosII_tb.niosII_inst.mm_interconnect_0.rsp_demux_006,niosII_mm_interconnect_0_rsp_demux +niosII_tb.niosII_inst.mm_interconnect_0.rsp_mux,niosII_mm_interconnect_0_rsp_mux +niosII_tb.niosII_inst.mm_interconnect_0.rsp_mux_001,niosII_mm_interconnect_0_rsp_mux_001 +niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter,niosII_mm_interconnect_0_avalon_st_adapter +niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter.error_adapter_0,niosII_mm_interconnect_0_avalon_st_adapter_error_adapter_0 +niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter_001,niosII_mm_interconnect_0_avalon_st_adapter +niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter_001.error_adapter_0,niosII_mm_interconnect_0_avalon_st_adapter_error_adapter_0 +niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter_002,niosII_mm_interconnect_0_avalon_st_adapter +niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter_002.error_adapter_0,niosII_mm_interconnect_0_avalon_st_adapter_error_adapter_0 +niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter_003,niosII_mm_interconnect_0_avalon_st_adapter +niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter_003.error_adapter_0,niosII_mm_interconnect_0_avalon_st_adapter_error_adapter_0 +niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter_004,niosII_mm_interconnect_0_avalon_st_adapter +niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter_004.error_adapter_0,niosII_mm_interconnect_0_avalon_st_adapter_error_adapter_0 + niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter_005,niosII_mm_interconnect_0_avalon_st_adapter +niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter_005.error_adapter_0,niosII_mm_interconnect_0_avalon_st_adapter_error_adapter_0 +niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter_006,niosII_mm_interconnect_0_avalon_st_adapter +niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter_006.error_adapter_0,niosII_mm_interconnect_0_avalon_st_adapter_error_adapter_0 +niosII_tb.niosII_inst.irq_mapper,niosII_irq_mapper +niosII_tb.niosII_inst.rst_controller,altera_reset_controller +niosII_tb.niosII_inst_clk_bfm,altera_avalon_clock_source +niosII_tb.niosII_inst_reset_bfm,altera_avalon_reset_source diff --git a/Top/Semafor_hw.tcl b/Top/Semafor_hw.tcl index 8fa8006..0a26897 100644 --- a/Top/Semafor_hw.tcl +++ b/Top/Semafor_hw.tcl @@ -1,11 +1,11 @@ # TCL File Generated by Component Editor 18.1 -# Mon Oct 24 17:47:36 MSK 2022 +# Mon Dec 19 20:23:41 MSK 2022 # DO NOT MODIFY # -# sem "Semafor" v1.0 -# 2022.10.24.17:47:36 +# sem "Semafor" v1.1 +# 2022.12.19.20:23:40 # # @@ -20,7 +20,7 @@ package require -exact qsys 16.1 # set_module_property DESCRIPTION "" set_module_property NAME sem -set_module_property VERSION 1.0 +set_module_property VERSION 1.1 set_module_property INTERNAL false set_module_property OPAQUE_ADDRESS_MAP true set_module_property GROUP "User Logic" @@ -54,12 +54,13 @@ add_fileset_file periodram.v VERILOG PATH ../HDL/IP/periodram.v # # parameters # -add_parameter m INTEGER 8 -set_parameter_property m DEFAULT_VALUE 8 +add_parameter m INTEGER 32 "" +set_parameter_property m DEFAULT_VALUE 32 set_parameter_property m DISPLAY_NAME m set_parameter_property m TYPE INTEGER set_parameter_property m UNITS None set_parameter_property m ALLOWED_RANGES -2147483648:2147483647 +set_parameter_property m DESCRIPTION "" set_parameter_property m HDL_PARAMETER true diff --git a/Top/Semafor_hw.tcl~ b/Top/Semafor_hw.tcl~ index 1dec36b..330d180 100644 --- a/Top/Semafor_hw.tcl~ +++ b/Top/Semafor_hw.tcl~ @@ -1,11 +1,11 @@ # TCL File Generated by Component Editor 18.1 -# Mon Oct 24 14:36:52 MSK 2022 +# Mon Dec 19 20:18:22 MSK 2022 # DO NOT MODIFY # -# sem "Semafor" v1.0 -# 2022.10.24.14:36:52 +# sem "Semafor" v1.1 +# 2022.12.19.20:18:22 # # @@ -20,7 +20,7 @@ package require -exact qsys 16.1 # set_module_property DESCRIPTION "" set_module_property NAME sem -set_module_property VERSION 1.0 +set_module_property VERSION 1.1 set_module_property INTERNAL false set_module_property OPAQUE_ADDRESS_MAP true set_module_property GROUP "User Logic" @@ -54,12 +54,13 @@ add_fileset_file periodram.v VERILOG PATH ../HDL/IP/periodram.v # # parameters # -add_parameter m INTEGER 8 -set_parameter_property m DEFAULT_VALUE 8 +add_parameter m INTEGER 32 "" +set_parameter_property m DEFAULT_VALUE 32 set_parameter_property m DISPLAY_NAME m set_parameter_property m TYPE INTEGER set_parameter_property m UNITS None set_parameter_property m ALLOWED_RANGES -2147483648:2147483647 +set_parameter_property m DESCRIPTION "" set_parameter_property m HDL_PARAMETER true diff --git a/Top/niosII.qsys b/Top/niosII.qsys index 94898cb..d87b3bd 100644 --- a/Top/niosII.qsys +++ b/Top/niosII.qsys @@ -81,6 +81,22 @@ type = "String"; } } + element niosII + { + datum _originalDeviceFamily + { + value = "Cyclone IV E"; + type = "String"; + } + } + element niosII + { + datum _originalDeviceFamily + { + value = "Cyclone IV E"; + type = "String"; + } + } element sem { datum _sortIndex @@ -380,8 +396,8 @@ - - + + - + java.lang.Integer - 1667216169 + 1671467059 false true false @@ -5652,12 +5652,12 @@ parameters are a RESULT of the module parameters. --> - + int - 8 + 32 false true true @@ -8350,7 +8350,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Semafor - 1.0 + 1.1 1 diff --git a/Top/niosII/niosII.bsf b/Top/niosII/niosII.bsf index c61f1b7..3f01ac6 100644 --- a/Top/niosII/niosII.bsf +++ b/Top/niosII/niosII.bsf @@ -75,7 +75,7 @@ refer to the applicable agreement for further details. (text "red" (rect 117 163 252 336)(font "Arial" (color 0 0 0))) (text "yellow" (rect 117 179 270 368)(font "Arial" (color 0 0 0))) (text "green" (rect 117 195 264 400)(font "Arial" (color 0 0 0))) - (text " niosII " (rect 262 216 572 442)(font "Arial" )) + (text " system " (rect 253 216 554 442)(font "Arial" )) (line (pt 112 32)(pt 176 32)(line_width 1)) (line (pt 176 32)(pt 176 216)(line_width 1)) (line (pt 112 216)(pt 176 216)(line_width 1)) diff --git a/Top/niosII/niosII.html b/Top/niosII/niosII.html index 2a603dd..3db69d8 100644 --- a/Top/niosII/niosII.html +++ b/Top/niosII/niosII.html @@ -67,7 +67,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - +
2022.10.24.17:48:012022.12.17.15:20:08 Datasheet
@@ -101,7 +101,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord altera_avalon_onchip_memory2 18.1
   sem - sem 1.0 + sem 1.1
   sys_clk_timer altera_avalon_timer 18.1 @@ -1766,7 +1766,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord

-

sem

sem v1.0 +

sem

sem v1.1
@@ -1821,7 +1821,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord
- + @@ -2038,8 +2038,8 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord
m832
deviceFamily
- - + +
generation took 0,01 secondsrendering took 0,04 secondsgeneration took 0,00 secondsrendering took 0,11 seconds
diff --git a/Top/niosII/niosII.xml b/Top/niosII/niosII.xml index c4f8dad..9f0eb1b 100644 --- a/Top/niosII/niosII.xml +++ b/Top/niosII/niosII.xml @@ -1,6 +1,6 @@ - + @@ -607,36 +607,36 @@ niosII" instantiated altera_nios2_gen2 "cpu"]]> queue size: 59 starting:altera_nios2_gen2_unit "submodules/niosII_cpu_cpu" Starting RTL generation for module 'niosII_cpu_cpu' - Generation command is [exec C:/Software/intelFPGA_lite/18.1/quartus/bin64//eperlcmd.exe -I C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9289_5316253009415989539.dir/0009_cpu_gen/ --quartus_bindir=C:/Software/intelFPGA_lite/18.1/quartus/bin64/ --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9289_5316253009415989539.dir/0009_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] - # 2022.10.24 16:48:27 (*) Starting Nios II generation - # 2022.10.24 16:48:27 (*) Checking for plaintext license. - # 2022.10.24 16:48:28 (*) Couldn't query license setup in Quartus directory C:/Software/intelFPGA_lite/18.1/quartus/bin64/ - # 2022.10.24 16:48:28 (*) Defaulting to contents of LM_LICENSE_FILE environment variable - # 2022.10.24 16:48:28 (*) LM_LICENSE_FILE environment variable is empty - # 2022.10.24 16:48:28 (*) Plaintext license not found. - # 2022.10.24 16:48:28 (*) No license required to generate encrypted Nios II/e. - # 2022.10.24 16:48:28 (*) Elaborating CPU configuration settings - # 2022.10.24 16:48:28 (*) Creating all objects for CPU - # 2022.10.24 16:48:30 (*) Generating RTL from CPU objects - # 2022.10.24 16:48:30 (*) Creating plain-text RTL - # 2022.10.24 16:48:31 (*) Done Nios II generation + Generation command is [exec C:/Software/intelFPGA_lite/18.1/quartus/bin64//eperlcmd.exe -I C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9343_6886777904387685244.dir/0034_cpu_gen/ --quartus_bindir=C:/Software/intelFPGA_lite/18.1/quartus/bin64/ --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9343_6886777904387685244.dir/0034_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] + # 2022.12.17 14:20:37 (*) Starting Nios II generation + # 2022.12.17 14:20:37 (*) Checking for plaintext license. + # 2022.12.17 14:20:38 (*) Couldn't query license setup in Quartus directory C:/Software/intelFPGA_lite/18.1/quartus/bin64/ + # 2022.12.17 14:20:38 (*) Defaulting to contents of LM_LICENSE_FILE environment variable + # 2022.12.17 14:20:38 (*) LM_LICENSE_FILE environment variable is empty + # 2022.12.17 14:20:38 (*) Plaintext license not found. + # 2022.12.17 14:20:38 (*) No license required to generate encrypted Nios II/e. + # 2022.12.17 14:20:38 (*) Elaborating CPU configuration settings + # 2022.12.17 14:20:38 (*) Creating all objects for CPU + # 2022.12.17 14:20:41 (*) Generating RTL from CPU objects + # 2022.12.17 14:20:41 (*) Creating plain-text RTL + # 2022.12.17 14:20:42 (*) Done Nios II generation Done RTL generation for module 'niosII_cpu_cpu' cpu" instantiated altera_nios2_gen2_unit "cpu"]]> queue size: 7 starting:altera_avalon_jtag_uart "submodules/niosII_jtag_uart" Starting RTL generation for module 'niosII_jtag_uart' - Generation command is [exec C:/software/intelfpga_lite/18.1/quartus/bin64/perl/bin/perl.exe -I C:/software/intelfpga_lite/18.1/quartus/bin64/perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=niosII_jtag_uart --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9289_5316253009415989539.dir/0003_jtag_uart_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9289_5316253009415989539.dir/0003_jtag_uart_gen//niosII_jtag_uart_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/software/intelfpga_lite/18.1/quartus/bin64/perl/bin/perl.exe -I C:/software/intelfpga_lite/18.1/quartus/bin64/perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=niosII_jtag_uart --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9343_6886777904387685244.dir/0028_jtag_uart_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9343_6886777904387685244.dir/0028_jtag_uart_gen//niosII_jtag_uart_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_jtag_uart' niosII" instantiated altera_avalon_jtag_uart "jtag_uart"]]> queue size: 6 starting:altera_avalon_onchip_memory2 "submodules/niosII_mem" Starting RTL generation for module 'niosII_mem' - Generation command is [exec C:/software/intelfpga_lite/18.1/quartus/bin64/perl/bin/perl.exe -I C:/software/intelfpga_lite/18.1/quartus/bin64/perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=niosII_mem --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9289_5316253009415989539.dir/0004_mem_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9289_5316253009415989539.dir/0004_mem_gen//niosII_mem_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/software/intelfpga_lite/18.1/quartus/bin64/perl/bin/perl.exe -I C:/software/intelfpga_lite/18.1/quartus/bin64/perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=niosII_mem --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9343_6886777904387685244.dir/0029_mem_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9343_6886777904387685244.dir/0029_mem_gen//niosII_mem_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_mem' niosII" instantiated altera_avalon_onchip_memory2 "mem"]]> queue size: 5 starting:sem "submodules/dec" niosII" instantiated sem "sem"]]> queue size: 4 starting:altera_avalon_timer "submodules/niosII_sys_clk_timer" Starting RTL generation for module 'niosII_sys_clk_timer' - Generation command is [exec C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/bin/perl.exe -I C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=niosII_sys_clk_timer --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9289_5316253009415989539.dir/0006_sys_clk_timer_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9289_5316253009415989539.dir/0006_sys_clk_timer_gen//niosII_sys_clk_timer_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/bin/perl.exe -I C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=niosII_sys_clk_timer --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9343_6886777904387685244.dir/0031_sys_clk_timer_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9343_6886777904387685244.dir/0031_sys_clk_timer_gen//niosII_sys_clk_timer_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_sys_clk_timer' niosII" instantiated altera_avalon_timer "sys_clk_timer"]]> queue size: 3 starting:altera_mm_interconnect "submodules/niosII_mm_interconnect_0" @@ -880,18 +880,18 @@ Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s - Timing: ELA:2/0.001s/0.002s - Timing: ELA:1/0.011s - Timing: COM:3/0.073s/0.105s + Timing: ELA:1/0.000s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.009s + Timing: COM:3/0.059s/0.091s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.001s Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.013s - Timing: COM:3/0.030s/0.037s + Timing: ELA:1/0.012s + Timing: COM:3/0.031s/0.041s @@ -899,39 +899,39 @@ Timing: ELA:1/0.000s Timing: ELA:2/0.001s/0.001s Timing: ELA:1/0.010s - Timing: COM:3/0.028s/0.035s + Timing: COM:3/0.023s/0.028s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.010s - Timing: COM:3/0.030s/0.035s + Timing: ELA:1/0.009s + Timing: COM:3/0.026s/0.033s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s - Timing: ELA:2/0.002s/0.002s - Timing: ELA:1/0.009s - Timing: COM:3/0.024s/0.025s + Timing: ELA:1/0.001s + Timing: ELA:2/0.001s/0.001s + Timing: ELA:1/0.016s + Timing: COM:3/0.024s/0.028s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s + Timing: ELA:1/0.001s Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.011s - Timing: COM:3/0.030s/0.040s + Timing: ELA:1/0.010s + Timing: COM:3/0.023s/0.030s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.002s - Timing: ELA:1/0.010s - Timing: COM:3/0.024s/0.028s + Timing: ELA:2/0.001s/0.001s + Timing: ELA:1/0.021s + Timing: COM:3/0.024s/0.033s 61 modules, 199 connections]]> @@ -1334,19 +1334,19 @@ niosII" instantiated altera_nios2_gen2 "cpu"]]> queue size: 59 starting:altera_nios2_gen2_unit "submodules/niosII_cpu_cpu" Starting RTL generation for module 'niosII_cpu_cpu' - Generation command is [exec C:/Software/intelFPGA_lite/18.1/quartus/bin64//eperlcmd.exe -I C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9289_5316253009415989539.dir/0009_cpu_gen/ --quartus_bindir=C:/Software/intelFPGA_lite/18.1/quartus/bin64/ --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9289_5316253009415989539.dir/0009_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] - # 2022.10.24 16:48:27 (*) Starting Nios II generation - # 2022.10.24 16:48:27 (*) Checking for plaintext license. - # 2022.10.24 16:48:28 (*) Couldn't query license setup in Quartus directory C:/Software/intelFPGA_lite/18.1/quartus/bin64/ - # 2022.10.24 16:48:28 (*) Defaulting to contents of LM_LICENSE_FILE environment variable - # 2022.10.24 16:48:28 (*) LM_LICENSE_FILE environment variable is empty - # 2022.10.24 16:48:28 (*) Plaintext license not found. - # 2022.10.24 16:48:28 (*) No license required to generate encrypted Nios II/e. - # 2022.10.24 16:48:28 (*) Elaborating CPU configuration settings - # 2022.10.24 16:48:28 (*) Creating all objects for CPU - # 2022.10.24 16:48:30 (*) Generating RTL from CPU objects - # 2022.10.24 16:48:30 (*) Creating plain-text RTL - # 2022.10.24 16:48:31 (*) Done Nios II generation + Generation command is [exec C:/Software/intelFPGA_lite/18.1/quartus/bin64//eperlcmd.exe -I C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9343_6886777904387685244.dir/0034_cpu_gen/ --quartus_bindir=C:/Software/intelFPGA_lite/18.1/quartus/bin64/ --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9343_6886777904387685244.dir/0034_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] + # 2022.12.17 14:20:37 (*) Starting Nios II generation + # 2022.12.17 14:20:37 (*) Checking for plaintext license. + # 2022.12.17 14:20:38 (*) Couldn't query license setup in Quartus directory C:/Software/intelFPGA_lite/18.1/quartus/bin64/ + # 2022.12.17 14:20:38 (*) Defaulting to contents of LM_LICENSE_FILE environment variable + # 2022.12.17 14:20:38 (*) LM_LICENSE_FILE environment variable is empty + # 2022.12.17 14:20:38 (*) Plaintext license not found. + # 2022.12.17 14:20:38 (*) No license required to generate encrypted Nios II/e. + # 2022.12.17 14:20:38 (*) Elaborating CPU configuration settings + # 2022.12.17 14:20:38 (*) Creating all objects for CPU + # 2022.12.17 14:20:41 (*) Generating RTL from CPU objects + # 2022.12.17 14:20:41 (*) Creating plain-text RTL + # 2022.12.17 14:20:42 (*) Done Nios II generation Done RTL generation for module 'niosII_cpu_cpu' cpu" instantiated altera_nios2_gen2_unit "cpu"]]> @@ -1390,7 +1390,7 @@ queue size: 7 starting:altera_avalon_jtag_uart "submodules/niosII_jtag_uart" Starting RTL generation for module 'niosII_jtag_uart' - Generation command is [exec C:/software/intelfpga_lite/18.1/quartus/bin64/perl/bin/perl.exe -I C:/software/intelfpga_lite/18.1/quartus/bin64/perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=niosII_jtag_uart --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9289_5316253009415989539.dir/0003_jtag_uart_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9289_5316253009415989539.dir/0003_jtag_uart_gen//niosII_jtag_uart_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/software/intelfpga_lite/18.1/quartus/bin64/perl/bin/perl.exe -I C:/software/intelfpga_lite/18.1/quartus/bin64/perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=niosII_jtag_uart --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9343_6886777904387685244.dir/0028_jtag_uart_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9343_6886777904387685244.dir/0028_jtag_uart_gen//niosII_jtag_uart_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_jtag_uart' niosII" instantiated altera_avalon_jtag_uart "jtag_uart"]]> @@ -1459,19 +1459,19 @@ queue size: 6 starting:altera_avalon_onchip_memory2 "submodules/niosII_mem" Starting RTL generation for module 'niosII_mem' - Generation command is [exec C:/software/intelfpga_lite/18.1/quartus/bin64/perl/bin/perl.exe -I C:/software/intelfpga_lite/18.1/quartus/bin64/perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=niosII_mem --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9289_5316253009415989539.dir/0004_mem_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9289_5316253009415989539.dir/0004_mem_gen//niosII_mem_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/software/intelfpga_lite/18.1/quartus/bin64/perl/bin/perl.exe -I C:/software/intelfpga_lite/18.1/quartus/bin64/perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=niosII_mem --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9343_6886777904387685244.dir/0029_mem_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9343_6886777904387685244.dir/0029_mem_gen//niosII_mem_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_mem' niosII" instantiated altera_avalon_onchip_memory2 "mem"]]> - + queue size: 4 starting:altera_avalon_timer "submodules/niosII_sys_clk_timer" Starting RTL generation for module 'niosII_sys_clk_timer' - Generation command is [exec C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/bin/perl.exe -I C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=niosII_sys_clk_timer --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9289_5316253009415989539.dir/0006_sys_clk_timer_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9289_5316253009415989539.dir/0006_sys_clk_timer_gen//niosII_sys_clk_timer_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/bin/perl.exe -I C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=niosII_sys_clk_timer --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9343_6886777904387685244.dir/0031_sys_clk_timer_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9343_6886777904387685244.dir/0031_sys_clk_timer_gen//niosII_sys_clk_timer_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_sys_clk_timer' niosII" instantiated altera_avalon_timer "sys_clk_timer"]]> @@ -2128,18 +2128,18 @@ Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s - Timing: ELA:2/0.001s/0.002s - Timing: ELA:1/0.011s - Timing: COM:3/0.073s/0.105s + Timing: ELA:1/0.000s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.009s + Timing: COM:3/0.059s/0.091s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.001s Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.013s - Timing: COM:3/0.030s/0.037s + Timing: ELA:1/0.012s + Timing: COM:3/0.031s/0.041s @@ -2147,39 +2147,39 @@ Timing: ELA:1/0.000s Timing: ELA:2/0.001s/0.001s Timing: ELA:1/0.010s - Timing: COM:3/0.028s/0.035s + Timing: COM:3/0.023s/0.028s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.010s - Timing: COM:3/0.030s/0.035s + Timing: ELA:1/0.009s + Timing: COM:3/0.026s/0.033s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s - Timing: ELA:2/0.002s/0.002s - Timing: ELA:1/0.009s - Timing: COM:3/0.024s/0.025s + Timing: ELA:1/0.001s + Timing: ELA:2/0.001s/0.001s + Timing: ELA:1/0.016s + Timing: COM:3/0.024s/0.028s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s + Timing: ELA:1/0.001s Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.011s - Timing: COM:3/0.030s/0.040s + Timing: ELA:1/0.010s + Timing: COM:3/0.023s/0.030s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.002s - Timing: ELA:1/0.010s - Timing: COM:3/0.024s/0.028s + Timing: ELA:2/0.001s/0.001s + Timing: ELA:1/0.021s + Timing: COM:3/0.024s/0.033s 61 modules, 199 connections]]> @@ -2605,19 +2605,19 @@ queue size: 59 starting:altera_nios2_gen2_unit "submodules/niosII_cpu_cpu" Starting RTL generation for module 'niosII_cpu_cpu' - Generation command is [exec C:/Software/intelFPGA_lite/18.1/quartus/bin64//eperlcmd.exe -I C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9289_5316253009415989539.dir/0009_cpu_gen/ --quartus_bindir=C:/Software/intelFPGA_lite/18.1/quartus/bin64/ --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9289_5316253009415989539.dir/0009_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] - # 2022.10.24 16:48:27 (*) Starting Nios II generation - # 2022.10.24 16:48:27 (*) Checking for plaintext license. - # 2022.10.24 16:48:28 (*) Couldn't query license setup in Quartus directory C:/Software/intelFPGA_lite/18.1/quartus/bin64/ - # 2022.10.24 16:48:28 (*) Defaulting to contents of LM_LICENSE_FILE environment variable - # 2022.10.24 16:48:28 (*) LM_LICENSE_FILE environment variable is empty - # 2022.10.24 16:48:28 (*) Plaintext license not found. - # 2022.10.24 16:48:28 (*) No license required to generate encrypted Nios II/e. - # 2022.10.24 16:48:28 (*) Elaborating CPU configuration settings - # 2022.10.24 16:48:28 (*) Creating all objects for CPU - # 2022.10.24 16:48:30 (*) Generating RTL from CPU objects - # 2022.10.24 16:48:30 (*) Creating plain-text RTL - # 2022.10.24 16:48:31 (*) Done Nios II generation + Generation command is [exec C:/Software/intelFPGA_lite/18.1/quartus/bin64//eperlcmd.exe -I C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9343_6886777904387685244.dir/0034_cpu_gen/ --quartus_bindir=C:/Software/intelFPGA_lite/18.1/quartus/bin64/ --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9343_6886777904387685244.dir/0034_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] + # 2022.12.17 14:20:37 (*) Starting Nios II generation + # 2022.12.17 14:20:37 (*) Checking for plaintext license. + # 2022.12.17 14:20:38 (*) Couldn't query license setup in Quartus directory C:/Software/intelFPGA_lite/18.1/quartus/bin64/ + # 2022.12.17 14:20:38 (*) Defaulting to contents of LM_LICENSE_FILE environment variable + # 2022.12.17 14:20:38 (*) LM_LICENSE_FILE environment variable is empty + # 2022.12.17 14:20:38 (*) Plaintext license not found. + # 2022.12.17 14:20:38 (*) No license required to generate encrypted Nios II/e. + # 2022.12.17 14:20:38 (*) Elaborating CPU configuration settings + # 2022.12.17 14:20:38 (*) Creating all objects for CPU + # 2022.12.17 14:20:41 (*) Generating RTL from CPU objects + # 2022.12.17 14:20:41 (*) Creating plain-text RTL + # 2022.12.17 14:20:42 (*) Done Nios II generation Done RTL generation for module 'niosII_cpu_cpu' cpu" instantiated altera_nios2_gen2_unit "cpu"]]> diff --git a/Top/niosII/synthesis/niosII.debuginfo b/Top/niosII/synthesis/niosII.debuginfo index eb4baf7..5354989 100644 --- a/Top/niosII/synthesis/niosII.debuginfo +++ b/Top/niosII/synthesis/niosII.debuginfo @@ -1,7 +1,7 @@ - + com.altera.sopcmodel.ensemble.EClockAdapter @@ -53,7 +53,7 @@ int - 1666619281 + 1671276008 false true true @@ -5673,12 +5673,12 @@ parameters are a RESULT of the module parameters. --> - + int - 8 + 32 false true true @@ -12834,7 +12834,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Semafor - 1.0 + 1.1 1 @@ -12925,5 +12925,5 @@ parameters are a RESULT of the module parameters. --> 18.1 18.1 625 - 7A31C1D08890000001840A4024CB + 7A31C1D08890000001851FD02AF4 diff --git a/Top/niosII/synthesis/niosII.qip b/Top/niosII/synthesis/niosII.qip index 3e7c915..3665102 100644 --- a/Top/niosII/synthesis/niosII.qip +++ b/Top/niosII/synthesis/niosII.qip @@ -2,7 +2,7 @@ set_global_assignment -entity "niosII" -library "niosII" -name IP_TOOL_NAME "Qsy set_global_assignment -entity "niosII" -library "niosII" -name IP_TOOL_VERSION "18.1" set_global_assignment -entity "niosII" -library "niosII" -name IP_TOOL_ENV "Qsys" set_global_assignment -library "niosII" -name SOPCINFO_FILE [file join $::quartus(qip_path) "../../niosII.sopcinfo"] -set_global_assignment -entity "niosII" -library "niosII" -name SLD_INFO "QSYS_NAME niosII HAS_SOPCINFO 1 GENERATION_ID 1666619281" +set_global_assignment -entity "niosII" -library "niosII" -name SLD_INFO "QSYS_NAME niosII HAS_SOPCINFO 1 GENERATION_ID 1671276008" set_global_assignment -library "niosII" -name MISC_FILE [file join $::quartus(qip_path) "../niosII.cmp"] set_global_assignment -library "niosII" -name SLD_FILE [file join $::quartus(qip_path) "niosII.regmap"] set_global_assignment -library "niosII" -name SLD_FILE [file join $::quartus(qip_path) "niosII.debuginfo"] @@ -16,7 +16,7 @@ set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_DISP set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_REPORT_HIERARCHY "On" set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_INTERNAL "Off" set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_VERSION "MS4w" -set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19HRU5FUkFUSU9OX0lE::MTY2NjYxOTI4MQ==::QXV0byBHRU5FUkFUSU9OX0lE" +set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19HRU5FUkFUSU9OX0lE::MTY3MTI3NjAwOA==::QXV0byBHRU5FUkFUSU9OX0lE" set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBJViBF::QXV0byBERVZJQ0VfRkFNSUxZ" set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::RVA0Q0UxMTVGMjlDNw==::QXV0byBERVZJQ0U=" set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::Nw==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ==" @@ -666,8 +666,8 @@ set_global_assignment -entity "dec" -library "niosII" -name IP_COMPONENT_NAME "Z set_global_assignment -entity "dec" -library "niosII" -name IP_COMPONENT_DISPLAY_NAME "U2VtYWZvcg==" set_global_assignment -entity "dec" -library "niosII" -name IP_COMPONENT_REPORT_HIERARCHY "Off" set_global_assignment -entity "dec" -library "niosII" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "dec" -library "niosII" -name IP_COMPONENT_VERSION "MS4w" -set_global_assignment -entity "dec" -library "niosII" -name IP_COMPONENT_PARAMETER "bQ==::OA==::bQ==" +set_global_assignment -entity "dec" -library "niosII" -name IP_COMPONENT_VERSION "MS4x" +set_global_assignment -entity "dec" -library "niosII" -name IP_COMPONENT_PARAMETER "bQ==::MzI=::bQ==" set_global_assignment -entity "niosII_mem" -library "niosII" -name IP_COMPONENT_NAME "bmlvc0lJX21lbQ==" set_global_assignment -entity "niosII_mem" -library "niosII" -name IP_COMPONENT_DISPLAY_NAME "T24tQ2hpcCBNZW1vcnkgKFJBTSBvciBST00pIEludGVsIEZQR0EgSVA=" set_global_assignment -entity "niosII_mem" -library "niosII" -name IP_COMPONENT_REPORT_HIERARCHY "Off" diff --git a/Top/niosII/synthesis/niosII.v b/Top/niosII/synthesis/niosII.v index 086dfa7..9d473d7 100644 --- a/Top/niosII/synthesis/niosII.v +++ b/Top/niosII/synthesis/niosII.v @@ -137,7 +137,7 @@ module niosII ( ); dec #( - .m (8) + .m (32) ) sem ( .clk (clk_clk), // clock.clk .ctl_wr (mm_interconnect_0_sem_ctl_slave_write), // ctl_slave.write diff --git a/Top/niosII/synthesis/submodules/dec.sv b/Top/niosII/synthesis/submodules/dec.sv index 93e7541..7a8262e 100644 --- a/Top/niosII/synthesis/submodules/dec.sv +++ b/Top/niosII/synthesis/submodules/dec.sv @@ -1,5 +1,5 @@ module dec -#(m = 8) +#(m = 32) ( //clock and reset input logic clk, clrn, diff --git a/Top/niosII/synthesis/submodules/periodram.v b/Top/niosII/synthesis/submodules/periodram.v index 7f94151..2360633 100644 --- a/Top/niosII/synthesis/submodules/periodram.v +++ b/Top/niosII/synthesis/submodules/periodram.v @@ -14,24 +14,23 @@ // ************************************************************ // THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! // -// 14.0.2 Build 209 09/17/2014 SJ Full Version +// 18.1.0 Build 625 09/12/2018 SJ Lite Edition // ************************************************************ -//Copyright (C) 1991-2014 Altera Corporation. All rights reserved. -//Your use of Altera Corporation's design tools, logic functions +//Copyright (C) 2018 Intel Corporation. All rights reserved. +//Your use of Intel Corporation's design tools, logic functions //and other software and tools, and its AMPP partner logic //functions, and any output files from any of the foregoing //(including device programming or simulation files), and any //associated documentation or information are expressly subject -//to the terms and conditions of the Altera Program License -//Subscription Agreement, the Altera Quartus II License Agreement, -//the Altera MegaCore Function License Agreement, or other -//applicable license agreement, including, without limitation, -//that your use is for the sole purpose of programming logic -//devices manufactured by Altera and sold by Altera or its -//authorized distributors. Please refer to the applicable -//agreement for further details. +//to the terms and conditions of the Intel Program License +//Subscription Agreement, the Intel Quartus Prime License Agreement, +//the Intel FPGA IP License Agreement, or other applicable license +//agreement, including, without limitation, that your use is for +//the sole purpose of programming logic devices manufactured by +//Intel and sold by Intel or its authorized distributors. Please +//refer to the applicable agreement for further details. // synopsys translate_off @@ -47,10 +46,10 @@ module periodram ( input clock; input [31:0] data; - input [3:0] rdaddress; + input [1:0] rdaddress; input [1:0] wraddress; input wren; - output [7:0] q; + output [31:0] q; `ifndef ALTERA_RESERVED_QIS // synopsys translate_off `endif @@ -60,8 +59,8 @@ module periodram ( // synopsys translate_on `endif - wire [7:0] sub_wire0; - wire [7:0] q = sub_wire0[7:0]; + wire [31:0] sub_wire0; + wire [31:0] q = sub_wire0[31:0]; altsyncram altsyncram_component ( .address_a (wraddress), @@ -81,7 +80,7 @@ module periodram ( .clocken1 (1'b1), .clocken2 (1'b1), .clocken3 (1'b1), - .data_b ({8{1'b1}}), + .data_b ({32{1'b1}}), .eccstatus (), .q_a (), .rden_a (1'b1), @@ -96,16 +95,16 @@ module periodram ( altsyncram_component.intended_device_family = "Cyclone IV E", altsyncram_component.lpm_type = "altsyncram", altsyncram_component.numwords_a = 4, - altsyncram_component.numwords_b = 16, + altsyncram_component.numwords_b = 4, altsyncram_component.operation_mode = "DUAL_PORT", altsyncram_component.outdata_aclr_b = "NONE", altsyncram_component.outdata_reg_b = "UNREGISTERED", altsyncram_component.power_up_uninitialized = "FALSE", altsyncram_component.read_during_write_mode_mixed_ports = "DONT_CARE", altsyncram_component.widthad_a = 2, - altsyncram_component.widthad_b = 4, + altsyncram_component.widthad_b = 2, altsyncram_component.width_a = 32, - altsyncram_component.width_b = 8, + altsyncram_component.width_b = 32, altsyncram_component.width_byteena_a = 1; @@ -165,9 +164,9 @@ endmodule // Retrieval info: PRIVATE: UseDPRAM NUMERIC "1" // Retrieval info: PRIVATE: VarWidth NUMERIC "1" // Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "32" -// Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "8" +// Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "32" // Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "32" -// Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "8" +// Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "32" // Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0" // Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "0" // Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0" @@ -182,29 +181,29 @@ endmodule // Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" // Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" // Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "4" -// Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "16" +// Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "4" // Retrieval info: CONSTANT: OPERATION_MODE STRING "DUAL_PORT" // Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "NONE" // Retrieval info: CONSTANT: OUTDATA_REG_B STRING "UNREGISTERED" // Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE" // Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_MIXED_PORTS STRING "DONT_CARE" // Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "2" -// Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "4" +// Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "2" // Retrieval info: CONSTANT: WIDTH_A NUMERIC "32" -// Retrieval info: CONSTANT: WIDTH_B NUMERIC "8" +// Retrieval info: CONSTANT: WIDTH_B NUMERIC "32" // Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" // Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock" // Retrieval info: USED_PORT: data 0 0 32 0 INPUT NODEFVAL "data[31..0]" -// Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL "q[7..0]" -// Retrieval info: USED_PORT: rdaddress 0 0 4 0 INPUT NODEFVAL "rdaddress[3..0]" +// Retrieval info: USED_PORT: q 0 0 32 0 OUTPUT NODEFVAL "q[31..0]" +// Retrieval info: USED_PORT: rdaddress 0 0 2 0 INPUT NODEFVAL "rdaddress[1..0]" // Retrieval info: USED_PORT: wraddress 0 0 2 0 INPUT NODEFVAL "wraddress[1..0]" // Retrieval info: USED_PORT: wren 0 0 0 0 INPUT GND "wren" // Retrieval info: CONNECT: @address_a 0 0 2 0 wraddress 0 0 2 0 -// Retrieval info: CONNECT: @address_b 0 0 4 0 rdaddress 0 0 4 0 +// Retrieval info: CONNECT: @address_b 0 0 2 0 rdaddress 0 0 2 0 // Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 // Retrieval info: CONNECT: @data_a 0 0 32 0 data 0 0 32 0 // Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0 -// Retrieval info: CONNECT: q 0 0 8 0 @q_b 0 0 8 0 +// Retrieval info: CONNECT: q 0 0 32 0 @q_b 0 0 32 0 // Retrieval info: GEN_FILE: TYPE_NORMAL periodram.v TRUE // Retrieval info: GEN_FILE: TYPE_NORMAL periodram.inc FALSE // Retrieval info: GEN_FILE: TYPE_NORMAL periodram.cmp FALSE diff --git a/Top/niosII/testbench/mentor/msim_setup.tcl b/Top/niosII/testbench/mentor/msim_setup.tcl index 7595bbc..17caddb 100644 --- a/Top/niosII/testbench/mentor/msim_setup.tcl +++ b/Top/niosII/testbench/mentor/msim_setup.tcl @@ -94,7 +94,7 @@ # within the Quartus project, and generate a unified # script which supports all the Altera IP within the design. # ---------------------------------------- -# ACDS 18.1 625 win32 2022.10.24.18:26:02 +# ACDS 18.1 625 win32 2022.12.19.20:25:08 # ---------------------------------------- # Initialize variables diff --git a/Top/niosII/testbench/niosII.html b/Top/niosII/testbench/niosII.html index eecb47d..4246d4c 100644 --- a/Top/niosII/testbench/niosII.html +++ b/Top/niosII/testbench/niosII.html @@ -67,7 +67,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - +
2022.10.24.18:25:232022.12.19.20:24:19 Datasheet
@@ -101,7 +101,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord altera_avalon_onchip_memory2 18.1
   sem - sem 1.0 + sem 1.1
   sys_clk_timer altera_avalon_timer 18.1 @@ -1766,7 +1766,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord

-

sem

sem v1.0 +

sem

sem v1.1
@@ -1821,7 +1821,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord
- + @@ -2038,8 +2038,8 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord
m832
deviceFamily
- - + +
generation took 0,00 secondsrendering took 0,04 secondsgeneration took 0,01 secondsrendering took 0,07 seconds
diff --git a/Top/niosII/testbench/niosII_tb.html b/Top/niosII/testbench/niosII_tb.html index 70de2fa..1df88df 100644 --- a/Top/niosII/testbench/niosII_tb.html +++ b/Top/niosII/testbench/niosII_tb.html @@ -67,7 +67,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - +
2022.10.24.18:25:322022.12.19.20:24:29 Datasheet
@@ -101,7 +101,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord altera_avalon_onchip_memory2 18.1
   niosII_inst_sem - sem 1.0 + sem 1.1
   niosII_inst_sys_clk_timer altera_avalon_timer 18.1 @@ -211,7 +211,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - + @@ -1982,7 +1982,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord

-

niosII_inst_sem

sem v1.0 +

niosII_inst_sem

sem v1.1
AUTO_GENERATION_ID16666215321671467069
AUTO_UNIQUE_ID
@@ -2037,7 +2037,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord
- + @@ -2360,7 +2360,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord
m832
deviceFamily
- +
generation took 0,00 secondsrendering took 0,05 secondsrendering took 0,04 seconds
diff --git a/Top/niosII/testbench/synopsys/vcs/vcs_setup.sh b/Top/niosII/testbench/synopsys/vcs/vcs_setup.sh index d1d4184..c44ab83 100644 --- a/Top/niosII/testbench/synopsys/vcs/vcs_setup.sh +++ b/Top/niosII/testbench/synopsys/vcs/vcs_setup.sh @@ -12,7 +12,7 @@ # or its authorized distributors. Please refer to the applicable # agreement for further details. -# ACDS 18.1 625 win32 2022.10.24.18:26:02 +# ACDS 18.1 625 win32 2022.12.19.20:25:08 # ---------------------------------------- # vcs - auto-generated simulation script @@ -94,7 +94,7 @@ # within the Quartus project, and generate a unified # script which supports all the Altera IP within the design. # ---------------------------------------- -# ACDS 18.1 625 win32 2022.10.24.18:26:02 +# ACDS 18.1 625 win32 2022.12.19.20:25:08 # ---------------------------------------- # initialize variables TOP_LEVEL_NAME="niosII_tb" diff --git a/Top/niosII/testbench/synopsys/vcsmx/vcsmx_setup.sh b/Top/niosII/testbench/synopsys/vcsmx/vcsmx_setup.sh index bcd9ede..70994b3 100644 --- a/Top/niosII/testbench/synopsys/vcsmx/vcsmx_setup.sh +++ b/Top/niosII/testbench/synopsys/vcsmx/vcsmx_setup.sh @@ -12,7 +12,7 @@ # or its authorized distributors. Please refer to the applicable # agreement for further details. -# ACDS 18.1 625 win32 2022.10.24.18:26:03 +# ACDS 18.1 625 win32 2022.12.19.20:25:08 # ---------------------------------------- # vcsmx - auto-generated simulation script @@ -107,7 +107,7 @@ # within the Quartus project, and generate a unified # script which supports all the Altera IP within the design. # ---------------------------------------- -# ACDS 18.1 625 win32 2022.10.24.18:26:03 +# ACDS 18.1 625 win32 2022.12.19.20:25:08 # ---------------------------------------- # initialize variables TOP_LEVEL_NAME="niosII_tb" diff --git a/Top/niosII_tb.csv b/Top/niosII_tb.csv index 101c794..0da0a88 100644 --- a/Top/niosII_tb.csv +++ b/Top/niosII_tb.csv @@ -1,12 +1,12 @@ -# system info niosII_tb on 2022.10.24.18:26:01 +# system info niosII_tb on 2022.12.19.20:25:06 system_info: name,value DEVICE,EP4CE115F29C7 DEVICE_FAMILY,Cyclone IV E -GENERATION_ID,1666621532 +GENERATION_ID,1671467069 # # -# Files generated for niosII_tb on 2022.10.24.18:26:01 +# Files generated for niosII_tb on 2022.12.19.20:25:06 files: filepath,kind,attributes,module,is_top niosII/testbench/niosII_tb/simulation/niosII_tb.v,VERILOG,,niosII_tb,true diff --git a/Top/semafor.qsf b/Top/semafor.qsf index 26a840b..49362a8 100644 --- a/Top/semafor.qsf +++ b/Top/semafor.qsf @@ -50,10 +50,11 @@ set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (SystemVerilog)" set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "SYSTEMVERILOG HDL" -section_id eda_simulation -set_global_assignment -name QSYS_FILE niosII.qsys set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name SOURCE_FILE niosII/niosII.cmp +set_global_assignment -name QSYS_FILE niosII.qsys set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/Top/software/semafor/RUN_ON_HDL_SIMULATOR_ONLY_semafor.elf b/Top/software/semafor/RUN_ON_HDL_SIMULATOR_ONLY_semafor.elf index 4fb727db37f124b295e3d1d4dbedb48db48ba109..98e2a245d9dd06516579892fbb0c606dddd252d1 100644 GIT binary patch delta 32674 zcmbt-4}4VBweH?$CSd|m2TVwS00BY>5CefI0fP)0<&UT_nMtAsosa~m(ux`t+vo%h zl2*JzPwc@OJ3&LuZKFaHJ5-}Y8x<8>Y-u01X^pq(Exk=!Y^kL!%KN^(&zUo*@BZ$4 z@BDu2d~2`0_F8MN{pXxHGdcP2?~|WAHF;;YF}{A+^h=XEZx`a!{x2N!Pk6oEpYidT zp?~g7&Ro*^nm0Z!RB~w`#f&>1UP=d1bRm|M=ig^7%q! zoH;nO~ya*p}ywCi&NPf02!Wf+0BA`wU`5(3ok z^Rsi%lz8- z=~Nk?FP}1hq4pA;qLEK}0DeKa) z&379j_Xo@T$qmbxgRXw_m8|n+bI;GR&NpD^_fv}GRCCJIDmk_1uBqj6PJf+8c#Y=f zAK$> zKVt!Gu9`6m=i6p%M1;SXaWnYKb8f(SPfjtS{YB0)DfgNabEk}M{<@)a+}CqyZg~>A z_2}FZH2TThB?iX&-259;sDkcy^qY6)UkMwpD#p%3 zcFdXcEbuSQ`!1E{&jtVJ{Nl9UtQJh4Y}m<5H~QaC7os^}elY(s%$u2o+oAeQ;UbTm zX_)5~V%D1<7hdUE9x%*nE}1BM&8ths8)BDv--1Q5)BOH|Z$n}2!pjWNY(BYgIts5X zT$BDe4*E0Dq`(XoRU?RJik2;4PtsFGbH>=f)?AOsLo}^QHWo{2s}SdS5EXDqYtqi- z!DWcioO0p#X;ex69p^xjnzzneb=KQfGj!qGm>1J7dR#7lB?KQL@E#Xw1~B==GLf4k zvOm)ZlS|1G7t61?W%x*Sk7cWZZl*lmpcVidH${pR0b)K>lgHb$p z9BT&7oDsR_aJ%Xkm>}OPo_+-9&rHWuqM0R!E*}e16Bp+qxyu)4Vm@5I_($>;bM_L>jrB_|lhe%aEV&{3+k=_d zDKpcJ=4lu8pV2!erZVhdvq)(T^vt|CPdWp*MvX>WNioL!osva{_|QyVT0V}8FgIWL z$9VdiG0CNOj(PLaI{6jzM@#2W=buY&RTeVLt(TN$#)o;#e0cD?bLm%?1U_$)HTM)Q zyTv$HZ)2l$gmcaBEWi3(@nw(5_~hWwxub+%q?yT=PB#E^F8%eVmB(LpJ<@R7W!pbp zkz9I7dY}MSK0G*-En5ADmo@v7lZ5CuuPZe_t^Ci@o6+xv%U4_&=;W3vMgP+t&H(8> z_Zx+3B+jjztrBo9rraT~2()-nkA%z~H?7(H;PP21{TXm}X4wZ=YFG4p+QCn*xY-cv z&1YB5NMBC}qx_{FT%|;FX0lfZ)XcebmiferyrQ|8E$p|K6M@^M+Tph~W9RZ?St3Q) zygsed^N$tF}-@1IXS+?41X0P^n#h1Op?7uAC zJZJU!ScRe0E2j6~;N|Ru^D(-Ak7iC21Iz{b`Vja%=F6+s!Q`|nZ}N%-cKat*j4?Z} z%!iG4udG1mUh~K6rkN|(T$LJlq!6ZXjZMLIbkCaX)E~Nn=AL)fyze!{Jw4A}dx=5( zQ^mI=Y(E@oKJVIHg&ChjKFIiZ*$080a1&lVq36O%lhtol?T{atmDO`c;Ywcc(ZNhs zq7UbpWz|WaQ}a@(-yD10EN|euI^3RXuj`kSc~fyJ;ilq~Gehy6`gOD5hJy6Kqh7(` z$vB0Zh}JQ$ zkJ)FQcjH8JTTT9=g3M`w7rbI1(vJZ-2P-1-ff|UpuAGCFamJPxYB};v2b+&JUYhF-m~zz|2xzub6z68jwJx%Q@8Bo^{Z;TX<$*3QB(KT&%N z_>^^zgWtPulgilXgwB}L>e4?Ik7%b zPW>Ena{Z#k^4cWf{p8HAxhk*>2eB8wzod0qcJsOPAgRr!b|9(Krfwjq*QP!oX~3q#K+;j027#nun?``7lcWevr)~1yhzx*I zZAt@@vTVu$lJadT0+LE>Dg~0(*c1ekYHX?pk~Z1Y2#oO5WK#=}w8N%0AgSG^P9Uk< zrd}Ya&!zz&>99>lfuup3hJmCJn@-*+A_6CI+9vN!$N(tSrZgZa%cdM4Dc`0dAgRQr zQXpxKO+g^3#-@58X_HNjH$^ZJP-wEL1xVUqQyY-fZc`_a)NNBQkkn_>0FZRprlUa8 zpiRR-(uhqbBVbN~PTS-SBLkpRo6>-!ESqwGqn_KW=&Rzrn! z28R%aXopu4t&y}`h|EUK_o1%OeE9xZ=7IZ5W{x=4fH@#Td>#89uDm#kJh*2Ao-`*s zFje}@#Sctf)qyG>WL>~iU@x#8Evl1+*n;vAU?$4PM*&f$GB?~TAgyKtDK9qnz-F2G z$^%nVGS9&%LpEss{((iZ!<^PKRd$=pao%ax;(W~9igS^<2j?2|6`cFc4_c;9OPhwl zK)a1V#WL9d91;A%(~@<%5f4vAOucsdBe@9nL}X9-Mp4$8h$WKft-(d=KYL^B*_|%n946 zvl!Rmjb44^5Rr<}RF%oBMI@Hs5_{mRxE61AL7+VaHV2W-i9rZ(fIU zo_P<>UFKsuEIDEpKD-_0A3PkA51Hrv+cY`YQ}}N(1p~FvYRx^4$uoYm5VK^^XhyR0 zf)GrDU~h^RZpFu&0rLavqRA&wlLi&pJ{tAY?f|npNr*o{G{2OF^36 zeR3k)k35-`!HMU?#L1UI&tD~`!K^|U?lq@AHOUZ3X30~9$lI5m%9dNqN1j?Zy%z@0 zx7D`}qE$%F&zF_Pb~u^;6lTu>bNH!whA-K?^{I*Gyv|fvZI*Oin6(r0c?#Ms8lzmH zD`@K3dQymjUi0D3e0jutp>x%kYE1V<3_y%B&)qwIj2GqCSS)HY=k1*Wt*iG=N^=&4 zY`+R?XUTuS?Q!$oy%QpwzU&MR)eUFW$o4y+ID089U4;HF^+QjYy(AAJXHCiWWd{7o zOQf^9WP3N-%`Jxd9M$PilBWzk5n|b5YF;5(#dRp#f1Zj3I=3uQwWDX|w`)=`JMMq( zd&t9u`<7rXY~D8^(g>>?kcmutV7Fst3vr!JgM&?DWm#Hk=(pg1z^8vM^pro}Jiiv-eSQpDo$Z z^T@!=(U{85|LBYyHlP3THms_Pk4%`%|2}u(hs+Y@#chKMWc5E(na(m5bcU3;Jx?l?k#kJglXWn-XMOY#FDYc9tP7 zSc64M#qDvSnAc@yy<4E}dKX-dz~y(6Z^cL_d3K}Y3q~-i^1HU=FeSgI&i9fxU^OOr z+9>%M21Gt@>l~uQPn`p{WPp-Ql)RXHALeM1=L94RpM!*y{LP{cpD^Ei_Xo1O=YgMG zD&@|e*MEAMl*f8T|ExgDqMpS+zd1$L^mM+TBe9jeIkW;>-`EfCFv3&Jp%2o`Z+=iJ zH=FN&Fh0^g2}=?^y9#D=k^dG9M_QH;S3t%&uVuLy6HJ9!ji@O{&DOJOFj}*kP;(<{ zI1e2=hiuuuC&)HW))rpUvdic&uVadK_!A`zVP~rpvofb@i~pI>dcc>6t;+1leS@5@s zsZ(1pz2xRr4Bm)Y_EAHUcRDWIy6Ru7+BOqaE6t&gmdLW6Nq>LWhwb5yXDX9gpqFJu z%jCr8*U6`Sa-TIiMP4?uYX!2R8-W35?!MRQuks^!UR&m5g5gzZUI`c~YmUp#%%>lzZsMdbNP|!*T)$b!ufB-ze_n*i zSQD$wl0!aOV_iB^w#t>(+cRa>oMAM%49Xnw2XL;E^Tn*ZT-=nvTUES5IK&1J-l7a*{l1y`3v3&lrLHVKgp99cG@o&=v63ggYVx zNuDjSF|*`>6y$JVzHE~7t>uOC{IwgQ@;+t;ueZ$k{meNc%4tHyf1%>Sc!kL6vX!~h z#+5zIVyeg)wAJh5RU-F9@M;WU)~^ z&$@M-Ot=13C+Cc=pNG2y+}O1(wz7B1Db`i>@_t!w9jTYAWurBzL9UX=t%e4aPFed{ zs)b+^h=*=ap}tIRIy z4&$q$Kj49cT#Tpu*!Xx;Klbkw+%-lCx+yTmLr}d&30kaw-74F#1b1$h1+pu4aI<{R zlhw7r2`Aah+3o-JIGAfAJ8bnf%CF1z*or%$Dch}S_sEIzm{oF*d~|dRW;<`?$1P{3 zR>g9@EH5-X;JLJU^4?xXJPuB^bcL-fepNp9#Zx&0fNqZ3d8mnQCoG0_GNB77XGS&LQ z9(i%b?qznPA(3?})j#COcUxnglwTN~7SJDsj$id@$N%x9yj99HtGp9aGHh9$a_wmE za^w{=ZNfDP+WvRsd9k{^@}R^fG~;RcjageRwbOs_43x8+;^g@#8N2FnIq-oyVhuel zuanuaC0%lXA+xP7en;+@RZ?oVZT%pHs#@n6)uFcB+F4~sHzWiNGCXC0fZdu^p ze7W8B>Sp9->}Ko7-Ew?ZJET4)tt{=g0Atr?Wp1sTW} z!$=fc zys=3=*e8(RnqGMmwvE1CS&+7Q6+U*eW2@6osgBi;-)w!-D<{li4P*7(fNzt;c&C^# zsMI#%;?@hU&${G!nT>gQ)AO))3Ts5C!y0RpwN<~MHK+I~Yb&h} zXl8Q0>> z4}>ChJZ_R6OGoi4SV!!?xbEzEJN5hhGQ~hzN56{k2v{>;l?$+Xs$Z4E@VoSf@+(rd zT0a<&1+#WvV|U?--=YiWJH@p7RjxK+m*gbrx6XYHTZ+$`^BQuIV_o^0ygY9hv1MM3 zok1?VA3-E}jzcivVFZ|YJMt=*Jf*tOXB~JATVg)K&w;>O6OT0=F_pe9pBP<+x}B)= zt&P`>iedeoZ;W{jU6mKLA%-&RrZ?p+awzupo3hD}L)OZ}7?9(!{fA|%fot26AIpp7 z&e&Z)mTyVfZ7qLSUW#p~uMipI&LwVapo3WVI$UCQ{#JJRaNDr> zPqO{Ia24*9@yY!mk&L_EVQctL*eScLrGJ)>0N(kt%t{-8iV9}==pBdw`}UZD*vEgC z&xdiL?I|;|4OwIzEjL!kld-G{<9aE5vAcstnlY=xi>d#8Lyk9mLQ0Wj@L`pwM@o-h zJ}}nsYGJSSy-K4EcbhA!jH&X7wV?{8j$6-F85xVYVR(KfWxA*E1xKHswmqGObjtj! z?RnXd>At0Lo5is+RfgA)Wijvd#uN{(WHZ(p_>dS2tu=1;;G)$ZHtzDs?%1MwV+TH~ z#0DCO$70uRFdo9EmDsN~8eLM>#2&oasPV}D*l)gQd?E|^pvyB`dPcwCxofp&^lDGa z>MLJ9(P#K|Px$=H^OlflPJcIB<2D;}Fse&88=GV`A*a+l}8! zxi41QXk3rU|8(>&!$2B3wiuT|cX*3&5)oMMec5;ex2Jo)V$8xt@y)Lo!`y$Kz1O%x z=EeSYuaW7&hI#H*W4s}E$7ViYTx;NtOgs4cHsd$=b~|?0cH<+73Gl_Q8m-v;KKiPG z%`Y={>TAfi5h%1Gj~SD!q8-M!Wbo;q?m%Tz?C8TrL>gTSST`|t>m$Y*X|ylYrT?|l zD3eA@k#1M|bz>i9)!)8uTu!4Gw;4}MBYcrkwLW>&_&N61pM3+66YI8&cO^P;-($u- zh$nXHn-~)e%A9s%ImFk+FD|`LqVvVpV^0~s zH0oDq_q#d`?%99pG^(*v0j5|Ddoh+?>o0rJ>)zP!zl|DfW|wvug@)n1N;Qk^{El%R z_mqzB8js@xPf0iDZJA{~V?2+QdeyVW`>DAiv~VcT9?0Q+>NF4(u>A2T%A6C(YOX< zc~zfLfu!u|!{pg%z0zkaN;(EJHCAmu`saVi@Ff-D?j}!{=c9~Cy!a*KR@|!o`%A_m z+{%3Lk}-Fz^RSqGz?a)%vo-l;^kKwW1xQ*s9X+(By>4V!J7301#+tdW-zcy;UP9`c zlCa%b@4Rd*GQ2s~cV03IQs%?T2*lOa(u2lAd>Fj#AmXdBb{#b4VfDOn5T=h>f27cB zozo9tp0%_e{VKNZ?MG0%t>^oVD{)1aub|XxEhhM_+W;5xlS+%7sA?qbILeI)FFI&uAqqF68o?_^L5IsR$qZ+O1~> z(7MC=?SOGHE``%xgTm2bt>DER@mu%41_i(M{A(~@YW<4PWF@^0;h?qjbz_!MaqagDdKg8F(etbfSbta8~^?65whu+*x3(^#0~_v33DvWsBiu{TkVk7{qi{Gjz)!bU6Q zEwt^mmc9j*PV3IMkc?Jq&s&&hUTf^zXb`X#zYSr&)$le{TCFGEM!OvA6_)a?f4q(6 zW!43UQ5v!u2*<4_4jXmofP4oLsB5qu#WnA!NgR3yR@4aEUem>6Lx~|%d{HW`JK%>&D&7^AxMglBWgkMe;V{j=z6hk(O1GK zPz0uk+}dFuybYZ3U>L!uw_pQ1GE z18_tLV55{`9f5mhqB7R;|D|pt3>sp7)CrL7wX8_X;9nV~FkyIE{BHxH!VzV>FmBwH zE%}U0iufF0v$$>e|7OYCNhoKH+(tJ`aR+6Zjp|**WHSL%hw00^zV?8wkHsY$Qm`N})yrp%|o1 zkPE1aAYoXs4TRHLO5pduXz7ILx(m_We5DvBICeu(awV!FRFZ=JpVUeOZ8+o_4u95g zB@Jh*HuVI@aHTTL=eSZ-613fV*KVb2x8AWEVZ`+yL)_&f?f_vnbp!g9cz%@VQ+C&t z0XBlTrin+jm@sIIiQ4r#!YL5!5$K+7h}pREVwcH|0CN-{By7}T!WIzS5Kn0_LE!qV zb`+pc$zR8BAN4rIrwV(O7(NHt5L?A@;7L%jctG4PrbkakQ1Ge{>zGKVZ@u9AK*?f< z(j(7KO0kJB1fn^jo)?=4Cu}j1eTT{xqV6~{hWMRs@z!H_G=B6#7uyjE3U)s?v7bKG z&#ekm!NW7V%f2RVs2nFfHnkiZdc#&nhdMd6ZgS!qAd_$!gkfonK(k9Vsw4C&b_3fN zBM599gliOA&WG$l&9(!&L8C;oFZpgn&%zt3uIe!0sA9_rBZ}R?K4gO8Ey_@+9)tNW zMMX9E%^)c@5V{mwM>wq5^@L-J-7o|=uGqR%+=^v^5GEn4*bN3D}?~X^e zo*_l(T8j0b@5^a)3Q9uRDJRgcN8BEXo&=-wY~N1k@zsf_<`8ozrKl#*9fyuMRe7!M zV*g{BXP^GAS;y@bw9;u&xzGuyqatrY(QEoYrCFy<7)5^biNPgwDRu*aU#lDVZ6g!% zOC>J7-O07yQ7l4jsZy*XtW<0{;ReN4 z5;_!H2Z%UfrP1sgT7{rJ)VUtq3l*ZPR)GcyM?reSCO4=noM_k!ts5eAs@evE-7F$Q zQMX+RhD48~pS2PcbD7kj0%JEJsTR>Qm1X}WltujhR zhf<6D>mw5~hR7L0)b$&j*b>)#DXRNGQq()uU>!q)sLAHHp15xWlqt53P@$!Sjas_# zT=?G&UMG-ThpLHRU|nk=TGQ{ z*r{{+LNg^GB#=N;DuHBlDDh3r36zP-j#qDRVoS`Q57o^eByD#D*r9pHDT{wrq9EZI zK33sMMfiHLvvQ58W#H@`a)@oSQ6H{{s$ipXCv0bSc6+I9?vqOQS^ zW00QQyTYRL5Q>T_yb+*d4SV6dRK*c)102$9HGUTn1|o;|0faS6__1Pd-~jB_ z0k;DfKWq^8k&TET`>|359}EI&G)vg5*c+Mv>=t|wp4L*gUqOypk?PkC1U-B~cld&C z--2%6g6v{M59JL`KRKb;C-|z4_os$)OZTVB?N5;X=}`R%GTMzGkGQKTN`|2$LB6OP zag{T*l$_4tJEFB5_g!!_pb}S`0}BCA!Y8*i2&_y6R}lnk1f3%qQtpMHK3Jz44>SH;9Q_rzd!f^w^ z0hiWB%{sHv(Q>C|IaAT9dQ?H+EFB%;y7;n~$grFdb(%WU)hRo37GcMuc1G6Gafa6^ zJBjT@cMpMZvqfOg!!tun%sJB2c71F==3R-gDTFm!?c9;myKALf#7HmDd2!%NExSI zX(48$Nm(r?>;@U)PTWB2<0woHfjr{QEm1Ps$9444tD-H>g835VBIvpZG3ZFAau+1f z9So<^ADtxhoNH0f$Ql7VJ`ip|w?xULD#I0So@6BGht*v7` z=SO_-;s<%eHh+{%CdezcZ4F1sagN|AlH213y5-!0lpYU&R9iBATJCngfu1qr3)+O(1M}O;Iv*gU!wLR+(sENErwchCz578Ie(P ze1icZBcy>m;*Lm^OtzAj6LK6mnLH)0m=7pYY>*){09YY3gJ9kpC8N6%?{_a83Z)tn z5#WE@@BhxO?rhxd8ragJ>zq#4*dmYcWycpk!x;e~)DimUH;%}e(}vY6l_*5$2kB8E zcSKhZ*zD}j9IoL?+AoDdintShL6dbC)(?x-Ah;#$RBWWmwOZ*|g@zMM7wkB(g4K=6 z3AWRguoKA$gAb#~@6c6*otfBWSl5U;*Jy~gJ3+W`%P5&{5X`yG z;`5Thod)67)f*+_Rqb$pOD0VhQln&wK)Ay%1uy}8x<&t+z>g7kAd0LlV)_hkl!8`J zN?aIakpZV_5yFLPloCcAm(oD=2qZcq6;2l2Ah#q2xy2WaFv?7jM{G%rl3{pwE=Bls z=5*8{0%JrI9V}x&6W4J(JI7FSQq=|t{4P6TpimnTCscKakUGJcQRMc4kaI#N+UcoA zE>Bqr5oqx2IY^Hq%48*hUh&Zb59Gkm>S)Y^Y)l2tNR)Axr`%K$nn4K@mc=&EnD`u? z;g3?#eYPHp{U9l>C!A7jl^bbgz8xtPoDmsBIE)W>SwSL0!-OE{_T>bDhLF0Z%Gqg} zgVePeU%Iq<9E7wHPARsEAYctsR7S~YXH|qqH%?F|Fw@RrAb(O<5g3e9;zoXsARPt00tulnR-ZTD}HA zN0_T|e{OHtgQ7{;|IWHL?SPauSQc7=Y&^sk)aYJU5C%XVagR4j=8!JAYO$_g57xR~(qJhu|(%T$4zw*>TNCV;SZ%dSn4yJ*y z8H80$aDoX%$sJM^4TPg0PeeT6i;^1wX&2<0rz+os!ypf}$YiU&G!Sw?aJ@N7W~G)B zYP5V~l*~>oC$wq#<|vtNE$_~b=={LY#;C9lH~E!Jex^#$IzkJGK~_e|>CCN5%v`0) zTqcsl4}pL@kZwUvr*vIHN+YnvoDPD}guqnlCgjRMs30)0x`Lb@?@EG`yUHlJY~%?o z2=hU@1-YQEAaG8oib&Mybw9ewUg0zGPC&nAIVIMp*lHOj9YcX5=~vcj2^k<< z(}es9T}5D6stFk#l=GcUMD)g^3bV6WseyTcb|K=sOcm@ypDEPV>3 z6(1kH0PQ9WnL60_ES1taLJmkhCW(+MRTUM47FBWo7B#^RD|sEm8dhP|5jZRGX_Bx; zv2}z^TFQV1Kpp`bWEd8-37?md2vaf_gk6cid7|2qDO2(~0*4c32qPe+Lgs{$R}fA) za%O=kz`zi=KGBpA0I8;AxDK)ZuP1hr7j*=kxcIkr9G-nD5C84(aI82)*sKXUU12v} z8{Bk--E?i}h#)Ua6GqL=)&^#alNwhl=11ot?B-zu^War6tHEa9@C`A6J%h1$2gL@r ze_^+O&exX_wY}u24we%*0kC@A$m2^NQjV@3(D?F(lna{42g{gLUd1}X<0_bPf=+Av zE1w~xm4AQ0`#+)�NB-YdViXPV+-5r9r}R5PzJ2pQNkZJ`b_51>^B4??D3d<-7G z&NhO;HUe~tLhu-|6BE*|@Z=w6?G=^{vztJeyzbmsPpj+)q|A1Xvbx?K@AXbUpx_wo zgwZ|_Zng*mpk#3uzlMrLSzvBQi3j!fQ0z&ua$8PcCCXPhp%i4di;`~z#hcY2kXu1< zfz#2rzzHlaKzP>X$)2Q00RF=uq=#@TNIf%l;@^!SH~>QY+?}hHYW%*@kD?wF)w>a0 z%P!ocyTRCNKxj^A1{wG%KnxB$;lEY)lcK*v+z!~+E<9I(PZijkL% zDmRq`y@5A3skR|PUl)8)GCF_?Iywr1QfidUab567$&5sBLXQ~2d=RwKqGWVD@t(Tz ztaIbJUB|<&vNs*pN{&*}CA5l40byb!NQ0B~=L}vql%1qQX9Oa1m;+bEA7OHOlBxm9q!^ zQ8GHXayPhjoXR>ljDZ^*#=s5k!88?Imh$^xW|WK$uAIT?cpi*oMak8Gkal4oL5zal zJ}U_vGyFWFN!?fLl~GCH>QHibnN)T{)(=V;hH5kqgOC=&Mr93CmQ18sm++AnOsi8x zhMrZz8C<HgHdw)8z$V33;XDY!tX%X#|fvf@+ha zG(v<@#fAxMK-wC)u&yB3RzBBFWr7=g)JgQBqZ z)g1vIQ+ypkx2sBQ=jNpi3jfp47_4Wy8f^mq42QA1gH46g%s(a!P~<4(Fd^So4n@fY zbOph&;!Y~pO=Y4Rx38{3RiZ+?QxS1W2lD?H2P3;i1sWujfzIwO71k()5W!IhxgUc6 zPa5%Q$u?V*i6Eg(v9*K_kakCIpRRBw6q{{Sq7dN$#g-GO7&&`JQMplRR1&mu$Wf-Q zn_B8>g{nk_cxsjXL&{i?kUc|f7=(O~4mhIN9982)%(#YByAUBqwF?uRc9_u=iPaA$koc#aaS&_?4A(N{uL4f2STGf^`25uErap&m$ORpZ1!K^urqB@{6VoH0^et(`$+DTst6MJP9t66B$JYPAS9EpL$T{3#CDKYCYLvx zO7Y3-whOUcDF~qvsCafh0+2Q;g<4t~(Cjeav}Or@Wxs-uH5>WouW}pln!Rv3TxhFRuGI=!wX@}O&4y?;2tt2-G~24o zRuJgH5RE>^MHAOQ4f7IE1Z0rpoz5GgP|a=KaDJ4?5vH8T%uwIS5ao_>PQ)+>T_Q9o zwwBNe(rdXj0(Q5qNo-f9L?Oa0iY+Hl@$3zcK5CRgB|+AR$KqgLU>owoK(-~n(r!r}_h0%5 zqjCobyA1WtXf_S1nIK3V5A@ljL_xxC#nuu!K{`j|dUZu&vrS4AB5YS|`St?%r{>wC zN*`O4MkPTjhX{_YJF3*x3RQ^;?x3>CF%YI9;iO_~31Xi8`46AU!TLc7A92|3s8S9Q zexulO0u|2=n7Ue_GEu>el1=iJ{UBkbVru~fxEpZNMvm{uNC<-M7AaAPP#?SFLeK3< z5x!HQ8iYO(ZcuE9Py^C~;AEbXJCs6@(5Be1Q_EtPt|j=fTc6$BRS3lu)HlcRb?$0Z z_QS+sWv!BM41_PIWt7aImJb6?YL;*s1Qq-=4Ggapd~ONwfz*$;oIrP@o^8?P;fSqk zM+#NOjhfFYhuDS&?E#DHg3*VgkkH%}#kJ@l0D@K^gh{(u@wJ2|kdB008g8~RfP`$t z1_^mu>iDF!H6UCa366zOl-%RGf*sMylS(RY3D$%C99FNAkb$cs*l4c+CA>9bVM#B^|YqlMr zlNjHA*zfv?%4V=0kXgk|?IDF(nhz4YY`Nn=wNuSPY<5zyn+Vj_nRMFmud3~%5emaf zx1KNpGQ1Mxi_&T&A?B1-5>h5=AE z$T|29jMFyZ);)gEd6!LmJqoD|?fAwHzF&jHwj|XKZT%p=XD!<%z8i{E=EE9x4*unM zC#WC9zi@W`yxFPedkgrNz|KLQf7wdn-;X*6|6+oFFys8iKz%wg0&;%J@BAr(`uToj zywgk@;jj0dgFJuG?HuI!<67q+Uxc63IR|VNgAYKO1ac1N8Labs(~+|+A67Ud zF3Ytj=UX3N<(V4cG<5v_JJgRP^!s6`acAI2ve|B$O{m|4@{Yft5(oRS7v=7-z`uQC z7)fG3%Fa7k+5Tme)!zi!VZD}weUsO~R2ZNFFRZO=aCx`OLnu3QkPiNcGB4z5cKu&a z=2~gD%M*}m?{ds*j5+OHgtGG*z;T90y^`zLLbS24YQ+m)==tp@+ygo_%6lHGe_2@YR{58snQ|UO$y{lb^AETU8h9R_5o{U+*y9Z|` zB&9*H(VAT5nL4)h8aI=r5aWfL5ZAVZb^kb`n<4H*IGhnIzX!Aa#VFY3??wIa0$dO5 z@E<@~y?2pi48Zea&JOos)Ca70;0A9H{3E^fUG5Hl65M28h7Q=nIRj1 zVoo!=Q0C66UjB~qUX;6+A&qtzKSWu*T+;3|-vq}0%8`e%+D?3!_WW;EVY@+c3IZs{ z#nUd2MVS{#XTO<lqs)5%C%~Vh zT!t=$?fN$`emfHad>{4vcLGidj-$+*8D}s2E6SZK-S!`kw!RtkjEnH|gR>h(oOD5sAww0 z)zWUjf0C0KTUzP4@Z8zm2{&kTz=MIqH*Q$;tQp*Z_SWO|o~cRd|JPXu>pe4)nECHWm?%TQ?DoS=$NAtmgnmPMLMA!IO*kvYw%IlQnBS;1lZ_!ZGV^ z!cVOzq1t+bu*Lc_;ZN4G4W10EU<2L>{vr8UcoF|26x~PB-^kCBA6f5H^dpMSke_Av zzF-Y)@Qk;nZ1nhzv0t#}Z}j9E8DFrffq1oSWTR(R((BzGQ4{;_M$f_0a~2d7E-ENo zxa7ixOBNJacR%C#nr~6zf<^O-=NDOLp7lhww?69ud1I~5CM|r*+WbP274u&?%9K|B TA@8{DA5|pBKB^e?uUY>a{=0LW delta 32677 zcmbt-4}4VBweH?$CNY7i0|W>VAV7ei5E6(IF-p+L->5N}NuorZK=`AgMvZN3qcb2# ztg!|Udg5(#u!fr6qJ{=LQDYe`Rs)CsihX>ec#?^&kX9jzx&=h zzu!9F+H0@9*4k_Dv(KJ0lap_snE25@Cbs8Te^|49>Ln?Sw+pd<&kcut<6h|Wjs5i0 z;3w^=SqocV^NkfTUy%q4?+f-dBYy9FwEvf3d-2G;`YA$;KDB7jE5l;+r~3v|M+!0a z)V{%m52vB|zQO&cLL*eOk00@kJ$Y(yVe9KKM|#b-u=TPL9uf8(Tom>#?Aekt8trtO zeLmVEKjuUGrz7Wjk1X;DTaL=}elmKBu;+}LHmbB#h%&@9T80lR2PYq~*NnQ>ifyr9 z9W`}IEF?tg2aCl1Q~N~f$BTqgaXR61c+OZMMo2p)v)em*`K(R$p3DL{%l=*FHB#6Y zjm|o=to3>Cs%6i3zm~SkyWL(r`U=SRjIP2tWz1JcmLlYZt?6O!#L^K9Tc`PAAK2d< zGnFc1X3IzIcN8zjJ_O13u@}lx`>nA#IgcWja9SivrSkb(BN605m zn2hs96QA|2|>O_}B*mt>*e>%o4t_-qKAAThHvFg;=wFd-f&M zOTTJ~880mIrLJAX4tIy2mr8xoe=Ty=)4NN1f1N$bg4I7yDv~4ZsgrBu$lk9_u9Tz0 zYdpehg~O>6gz$Pxz2{Fay}#6cFDDafALlGV2p3KHI?gXlDN6ZHr_bAA|8>f|NwMMV z$Sfa6ZLA3Ydd5(A=lS0WKUpNi8Q$mYOQu#^vElZ=O}%OKE}g7=8Os@MW@eW?e%d_P zynNbBobQ~r0lwaub|d)ZxvOyAm0OJP-pO4gWrsa!#-w4T4_i9L&-E^uQJI2%Ja%pg z8vXLzg%-wjp~cN}{(|$h`HQSqKCqw2 z|BC84bh=Y-V%~;#qjh_!J!`Im2ws`{JvJ#g7yM%d#iO6j-hw%l1C_kdR_xu;0vFh3 z`<$YDJF_qoGiX-fHt6puTrlpHTuXRAJM|7!jx21QlJ}6Obk=AYd$-h<^Hxe}Up_Be zK5gG}@mSfe+V{*$myZ47yziiW{rpRzH9$6&R)%7cNb$L^V770Cl(p%Xk^^fd#ir~cl{8hKu zzWThM$kN{F=YLBs-V}fXQTl5d8w*T5wn)rK5jkIIgo&tR$yd>DdF9ID+_aIu>Ri}5 zJ*U*3Q@mg_=g(Lx*0Hd4Y4(g^FpfWKPHozPnTpvd zy`Sydw6JkYv3I0>-333Do9sCYIg@T&c&W^^pIx{r=dmMMSVFT#Tj43^$4=>`6cZmV zu#BX)ir!fl=1F%5SK2q0ti$lXU9!N69kYjBR5_9t>x|jLH{25oD}S@>TP|87zh?jZ zqI0P8*+sXi#$&AT4Qc}T7J2Qyi$`R|$9i}H{P^P2%zp3U(l48Tr5CYzZ^NPz>ny$2 zouPxBWIwz3inC&Oq2HhF8$9a_;fsy3hg~w&0_0!v&KH%(+J%>1YL%XY+L`v_mtOyQ zjbUXMj~4kb_tCz=9MP(71F0#v1ng_e?9VHIR(2y2a>Hdy&l6p|2Bio;?cubLUioOD zT3BWEER`8KW=iP+uMk_jdSvoOPARqDziehkcr3?k(R<;`QiNTy1S{y0`#$gImrHK6 zVr%V7mQEcLTT4f0_{u!EwTW<6Dr}-k^=9R8d-u|bMU%3&Fd1G?sbq3>zP5(3@cD3| zB5zpxKCjdJ>C#2=^KMrx=Xmc~K5dM6@QYr>gYY`rUa{h=Vcyi>+w4Q^E<1n4Ik;f2 zUa@pqc$Js47%>djU2e^q68jO;gNb<$_?;ema`kxoqLs@mpVyunm|_2U#R5;P&}%Qc zWQ_gz$_1YACmtpLbmh4oG;(#$wu9vtWGs595SDSvPRC7nS9wmxs|i7A@7v|?daYP< z@At2|*dqS5>IsRyY!8GpDz+Dn{Vep}*iRR|CweDVKS%jnHEr_m_BFNVo`D@f{>S^W z?40Ux_T!hGovNmFRz6 zTbVs2Yl?W$EB1$C7>YA-orK;~Lof+)`|Y~Slw2y8?dLAjcdor}Oe`}+j6Q*WgC?cm{tmU+MEh&k zjmPT#$aT{`KmUGwUA`6jh5hk$*QD?Y7rlY&Z<0`bB^br|u6m^3ezN{1@Mo_67WnV3 z-l!)3$)vE|2pQd^5lN{|*0GnbX)8am0}Jz3EQi?kZ4ka3zYwH?Bw{(wEhpC}%c-AZ zPia_i!7CLh>gLG#&l@CfjJQeWl&((U5+S_qon!3T?w)JtV%{3UrS|rQHu-4pq_wz( zKH7WZy4$6%p<&*zh64@59{uFNuuneuWSE`3p>&vUl@QIK!ByKg&9IKwY~OT?bH!Ru zTCewpuqU*vP6$$kOSM2!(4`GPQlm@FKvLMHRv@X}r4Arzr%T;HQjbf0KvGOoNE`q| z8gS__kTmGh5Ri1tr4vAsxK>jdkmPeI3rNawDGx|0aH$wbDpiEr_cAb~3YThuq@YV1 zfTTv3nt`OSORYdsyGtEF(oUDUfutUn`hcVuDTLDjmj-~O!!8X1Nkc9j1Cma-B(6gS zKxr=dfTS#!a)6{fmkNNSVwXyRA)c1GQ~@N_x)cPGHn`LXBsIGf29jD`Y6p@!T-pgF zb-UC9B=xxzyH11zPU3(|13=PYmj;2PA(xH;Nhe$q*CPXTqc%kksu`50KR7QY-`}20Gx< z0FZRpr9mKR$faXI(g~MD5E%fax#RsDXm|3eUJ1#^Y7QzqE97DZV-F~6(yj-Y|PT>&35*y%^L~A517vkt0 znr}s2tNqI6nU)atA2we+eaILD=7KEou*7CXNeev4C%9ww@_Q#^D{#ZTlb3g*Dh;wO zUEkw>ciY=>#?ET%7`lRZAy>;@4+UbZ2>1dj%TMgLh_f1Y0dB}$Z*@gEl zkVWg>#9$9OnxA2ArGi`?oESUG^*B zJM8yx4%@Po{1lus?Zr6P+4VSg+M96Bv7f|wzI+=S0DF?&SE`4f+! zCKD>Ma~SHU+ySNo+y6g7bdJ|<=y+Fpd&?f{Pe+>MQ{&-2`>E`)oOo%NIJ2eS^EZiU zFtZSbd+aNpnqZyu+8duLMBbiwDn~Zi`<|LVwFd@Ax$2vapjAK?%$C*GHaIDG3bSXg zo!K?l@}}C6r^egYcV$Swy|L@O>^98jNocoVxN?QAps8omaUt@1?3cS{%Y*j+>RLYB zk7>Su0h~;=FWxm~xIpWgiLc$$j-}g?JWEq+#a=`*)=Z2tAw4w zp}JwN8rgXV6lYxoOXs7%7iFM@GJA0zMCO{3or^3OkQYmHb;-`%Xm@TA)X!0!4y1U> z(GwvST|mtxl2yD8W#=z5aDkp%o~+v08~9FLI%dcBpZh-Yu(oF*=EBaNaiK<7U5`v; zxdXcm!&`0LhmqWmn%kKpw`MCw{4iwqK*lM6vY1hdpuYh@OYuk&iQ6FF57FbUI4+%$4HGo8N7c}bm{DTiyl32mAf!7%?H=$!Bd}6Tv6Tb7|do#`f=520?9T^xK`tU3vE=GMSva0LFoP$_eS3ovCAuAY! zjCylQM(D}~iOM;Bu54wJtbCN#yFN+Qa7^*6i)*>KJP<}s{EcS>7e8T>U=+SJ+8(SPmq%Tz<|i-U7a12_^8w8O4=#e zNXbj7_h62uc={ok{~RQw)PF15nI`OizWsvi?(O~gB~rHae*B9|r99NT@IUgUEa<)A zmp7)%+TKI&=1MGO{~TP3rEl?jcUX0kY~SxP?Kj^mlN;@`e>W!7F#(q(dUiR?&OrXR zU^sl)LM(xdb6(44U`#L-W;UXx5;dDntHEf^Y(~v>sNp;`cJ{ln?P!fM<&YZlrZ$Nou5_6@y|ajpH7pzorUT0(&=5( zu-;<$MQ#w>Byh(tdef2DO*rc-??Ck1>GIVyDV((WL~E*TaLOs1Htp&a!w`~-j(JS zgQ2oEi8w{UduL-l{Zw@mCw)UY0+qsbjY8i462kvw5hi18bbGcOOp~?F?bBteEOY)f zU1py%h$fdpnInEL&NZ??%*>sEeIUHm#4AMJptE>}93yu)wKL=_+2m}VAuER;n2DVW z6h(f_d3T1KAP1a(&5#qP4MF}O8keCCGf&;<^0_tPiU>i9rzv{jOxc%?93C%_EpoPV zTcI3vZ39%^#mwOSmO0owyRGb&D5V>8haz$JQWlva47P*IA^@ezrnDL?W zUZKpExz1;W^38L~W(n~N807k@M_0_6eU5f=r`CUv5s5Mb6ht zWVPH7{dtL;ISl#uWQlA*zpq~^$Bj;#gPkXQZgKr$uaH~kJcugmxl|5DXRVUoPm>wWku|c|Dw^v=M#|C7xefB1VZph$ z*GnOGT;No+%Sq1N4RW&#KDt(}kd4mDwQ{*U>O8{Iac7XFTIZZ~@*G*`1lFO{??l$g zJQ;HitdmtT)5%_sK4dy~te17NBs#EO-ezT&6bey-v6P)DUVOR~XKx#iZ!IQ~ZFa7` zQQES?`RGRZHNZwZNRiFaXKs>5J+j$(_*PklD1LRT%qeOP;(MY$;UR{+V2s6ekK6hY z|DfP2c!Ui>GX>Td2x`lfpu#z~QSOi}POMSp%dY5O8s)D&*2r{CGt zBA*@Bah~3B-*X9dmf6ofc$U+9H&W8!47*1bjogV4GoELNi^suKil@t|xCgVp+gX2) zoH#7=e0*9&98)iihF8g}(wukilc`AOAMTS=WwDdKO%}?!=!M&uojNDy0XXh(E_^^f zhgtNe2V|`!JDsv`!d;H@t#8U#0M|YwOJ)`nV@ZNpx%>h+J>coy;Vj)De;_lWpY4#Jc~Jbc1DP##W_$*ghB_+%EOx6X<0V@G8z`NYGXo~U>3c}&*I!_i+oCg1eP!_K!KN2JBhKOdJB z=*EgCWRVcghRL?}eI| zo~{;Y-a&M2^tqT>^2U=T&dMhd{4(c}C*@q3=e+i$oF>zp51y14j@_}yZ8RvdZ>9Q2 z9QkhN!f(qPhWSeMC!wLsKks5k{*y371a+`KL2 z_ranCMK!0cs6qgvO>qpqjDXD`Zg%)~Sah036`9{e@whXo2P0ABT+)MZgVD|&i6iJd zu?KUj(|KVJCetxzXb%>TQs=Vo%Ur;X-GZXcGs zk3Nht#mvnrSsSrTa+dTv7r%xz#p_)28j_LYeEl_fSzZr<%en$fgPgw^QKWeGLNM+@ z#FceB5-S%z1vbUg>I}VxH8BtI=R)AEiw8RzF*W^IK0d4*b?vB2yEa~TMidv|C~Np@ z=&L+`2Vy999(qIGB#%V@^@eP*zDZ?BTPEzw&cEWEzU~$wFS-ldSWN3-*!TQg?&7{@!EfZm@r}@Z3l~-o zXezcCVVt?enAYyx@*DY6*&e;VJLqKo1xsa@bMs&1Lx4~JBC|7NP*K4w9@d5!uy7BLMduuo&j)d*{e6X%W61)? zTV*Yk$D-v`)-_Ukqfh&-Olu~eQF(q~$uU-%z*UoC@nMyxS4xjh-aEqbYGIG_yJ~9( zcAHZJhD5wzQJn4XO-yjwZucwP3x@( z@M$G_<_%W2l(o?x+-TK#WPfzjE!JnUkPo^%v!rL(i=MBn@C;kwNnf$@hsXLYpY92t ze|g>%GSl>TqjO24bq?+>H#b@nWLfn7M(YD?@XqGz>IbmqIu5F6%fVaMHhSy^iha+h4b4;;#75uUkjC0KId!wM6Ddr{7~`d9Y$$ zyvZ74$sN(az1CG0c4XSYt6Qz#OW70s&Nk~~i3#xd{Z=bhzZu`Ku=-_2^BzFHt&&3L zxyP&tPD7jZgsgbl^B^jlquy^?A!&8ZW8L^@5T1Gg|xy&x?R)5Ru5*? zblbX&MsM0-JuR)e^OdTT|ETp#EU+mKASZgnvEG*G#IuiCcOjl=-nTF&7?f)|t*N-V zM(=){a$ocxPgqx=lhGwRt=lB-OnpyU=ko4y^xNosk@Ln=)^DufQtkegE{luyq+M1m zmMXv`=aF3)OW{oY4tm`a9rrY9u$tZ8Z53LUxLh@h#{S(pn`_Fz_pEQ>1JB0YoVVr9 z>(5xv<4V2zS?gU)!E2vGyxq>+9_vpSkO_O#fJA-0RuCUyqqps~(k%4iYd^5YTDYDb z?z4Jv%`ADrx)>wA^#zPqf%6O?MLkz@ro^mKPR`4g*E#lr0UL_ghs+%G>>zJZ;WL z{nmn%Loie4Y-j&UU$)Xx3b4J&)8!z_n8Y`{Y~6~z>aSn67GN(k;)m9`Bh15M_MsiQ z*yvmiF*0`-Af;?7dgxsBV{5Dv`ysAmTr!xa`LdOt zUH~f}LhN^L-e=9nhruWJA--DYm3`J+Ts-#1PaD z=lz(q61ViiS5WG4ZXjehPXf;4CzUO3qH2-5qbN5em22U=A*tMta;-bEuOc0pg-i$g zl5zmeOPuAeVs11$x4jA<70!2GMZnF@ZwXz_h##SD(7E78sOxZUW9g9d7)xR2ZI=3- zf9krz{peGsvuVFIAw37~kKi*}F^ltg`K@@>nwnCC4}KlaZ}+2hr!)FB>q6WLuX+s% z1I1dwi#d_uJo6e9GMx8cgZWbD%pU`qo%4PS;bG@yl&sH;Q4rj92W#sV9?aTW>+dvfH0ugdV*;dNNQGZnjLTzq^Q)Q z5WZ`ItWLGBB(#AnvAvFVe(&<8c{|GcKvD#ZD2(F&H0Wc{<)j|H*$?_pkq_$t><~(@P)f0y zz%?^j8Q1aurEVh(TB0Cg0%UtFE7CIfS4JsJ8eSIv+d!yrP#G_b8&Aj)IVj~F2B(y6wNH_>OJ(<)EZBQBkLcd~H6O3{o z?u#a#Qwo)Y{fZ3|sGBt4EDkA=pRgO-K`GV{Vjvv>Io|T52(2+glZkSXk_8DRAg{Qi zEfgWwrz;Zeg2~N_Rjr>uWshi*s#Up`^9!an&CmdOW~D1Jp$MdtM6N_t z_z8oGT}wEjr38Ne3xi*D7ofY@N-;<V(|}V>eU|YeA4DzLG|41z|RI1NxP?AVTyiyQ|9q4Ip0A z!~rcP9CpP-?RqugI0*I#bWb{W939Aryu5=Vi2n4c={6}OA2kz*kggbHyD6KVR^1HKQGD%zADd3I8Y zjf5j0nj`9Yv5~;y5qNz&_hNX@Ub#m$x$%!w3Ou`8ehNUqC%`Vkw4WUP|>)E~tL15t^ ztW<0zzs}@?cC_mNbc4diEg`6mLO|CXh&hx})Dq~9Lr0vTjMa9r z|Gk=LpZ=y<rHIQ*L*3e`#@4Om};=b&|%bM^IK2s8v*5tT|>B1O9>5H+He;9 z?*Ok8NUl@W#CMblYXMr*?bZ@Zvp^)#ES|qyC=@75!4iP>93c2XDt}~Z>0c+X7R5SK zXZk`j#ULb*KvOD#WOOL;Ma=}tL}kaT*PGaq^XEl%3kXTu5dwB<-Z*9PPfFw`9Ky#c z+++yvD%MX3j!;rUBS`0uTv%233Eg9q&KKff=_#=* zEI1pXsHlPs03B;kzF5d}ucP8?W-D`g)E(j3VV}LR| zcm~@9*rC}zz#+|+qgNfOXF=1mGDJ}h!qQR4fIz9ZZKj_bA0fX1gk|5GNwFbW;Xf}Q9~RQ0EZ9pF<|Sjq$})ocr32PkeL(YG3Qs73XnhR_ZQ z#q|<63?HiA6jtDy*)ECh(FEeBAiS;9{gyNUy_LkHXeVEnK_ z*iAMh{Om`W3f^A`$lakDt*tfIQ+WEfF#d9SKT{BqFXcQ%lL| z9KJ(Z%ee1?qnJuueGV*?fRaABEkj`CD!8f&Km+JZ(Ug%oO--#$B0EBn9;T{xu-za` zN&+Was`x5)RM`>oAA*L7a46oCbFW#suG#|7-L2w4b*Vm95;*mYm12$?2o88@HE7n% zN~4vSnw3n&7S*FF0%z&45U-1`iSZ1}jHqd9rmHENIg7C4QJax9I%arH*(A0H-Q5qu zW{beyr_)Rb*W~=^kr;wMsya|buVFQrs!U^nd}ONB1PGk(XNbZkF>gk0gtI zgdHGD+{q6P>KK5@BOs5svpGUW`?#7udQ`NPSukI$T=)|%0t`B|Q@QgK=njT6=#Ng4 zdd_Q6&&axyV7;(~4QO+OOqw!WmB@1)6T@7i8wA~rI;Q*t$Rk?PA}7Ik;RE@vBW8hA zh3VBGZhd+os6PacLm*3(M#6B$YXVng3zEgz4t6)J^@G52@d<*!vJV_kuwGp4nQBF? z=b-32Bk$dM|1$`SMatCb0hnQ=xaHDJqiVl8F)vmhf`sOvK#QF4U`^P0m~^w%#H%DU zDYl^nz-yE{rnvnE+7B_=5GSlQB&^2wR2$JgtV~t0QG;qzMc4pJ5nIH9@evb3qpO@E zitoTx-G<_B)pl(zV$D5Gc@qhLmf59T zS0-Fnn%ZNqcp`*Ts<=;V#b>w^;7@`uZ3s-VsWNRCVU9{5?uPv$|x4OP9^DjeM+~QpnX)r9WAei??$b7EfJ#c8c9RmN~_WQrHt2-Od z=Q6m`qN`1(Yh95?q~*jfeugsyLa0L_Air@$&P*Ftmnl(z&=1ldEyx|z6$Car{WC|x za5e3hLLpt;iNB!9{tB)i7X2V@AOUTP4b>#9RvW8HbEFG)Osrsaqe{XK)xL_&8A(zH z*oMw~G2VbRi=`rT~N;ekp(n;L|Po-vEBNxC2pSH;bv$yb%hvfYRf_2#X9jLyHhD zR3nry>bR5!A_pPS8L2Wunh3HvImqU;NQhBpf;^%*BSMDZ;kgvy^O@682MCN2O?0q~ z0ZkH)L9XvDgoi z;u^wn#nvPutQNBV zMmVn68p25srl^dN(avfJp>E|OKwzfL#X$a;t|BlPQCtfpOq}X!V0?6ot0Cblo&%=DuQKE()Z+J= z82|X_vAR@MR}*R#TSI72Y%QTlOWOhcnk5W@n3KCiHkUaTKLoM&TGRrm7yb%B2(BDJ z_xO}kZKh-q8YD%heO3`RfN<-R5i&Y}DjF(Q#;ORVAf-a4Ov{%6=m>K)?k~|>_Ml(_ z*1yy4O|6i!2A73aAR7-cj2hkRDnbn85qEhbWcKSqT7=9YUC4-#IS!KIY64S$a0ot- zM}*LtoX*SDCKt?&140;tLH?pqG!RaJxZ?ODABNAid0y%TS)y5_}-+{+c6XbTDfP8$nnE2qu_7gxr2r zv6e6Z@`S{_X%TWmAnk%&%Vg!7Z~)|?7MX0-m$if(5L`D#$dqY0p;pT`M98#hIiX$4 z8zW@8wY)ngr1Jwq8zRCz63MS-@-tO}RujS?23Z{;r!%)YIdj!2bD2mIKLi4DLAnJw zozm4wDGk9Eb9xwrCIqHZHz8LJLIr_|)fMFQcvlll?y4i?vXLjWAj}5o7UU{)1)(4P zR~4a%>2*K4%3k3!aT}mtvz!vkRBZJOla8Ulk<3um>ItJjxXCp}=(A7DIa>4rZR24# zJm{RQF*!>O@u6{XcG84fW3rR#R?%;R^1Ft>5Y&`o5S%&qw#iH&(}ZdGP*)KcmTE#q z2W7sq35lL~R6%w&3*PVvkdOm9Lu_qPPlpr37i2&|Wj)9k4r-P@PpZBL1^V5u`W{T~ zdzMP+8bS_8Jthf}D^(R$gs`gE+^if=*X3k*@WLbOjUXTHhH$ zUYI5fc_LfunJrFg+^Lu!orho|59^r+p#lvOaumCsP@<&-)j_d7(ZAqMNc)w7`T8=X zmX}=B!Ab%r0IuFdyIDKBUyA1s5>ZdVf=<*SmQ-Nv6r9YI=8g1G-9 zdf}E2XgJq&9{rr=`$5nk90l>m3HV96TJ3WY3tKQAuk!9EFkfkSXahA|*0WJ6(3J$H z&Dh}b+|Kpa2uyNJS-3}XcmhG_@B+a)9Uy4XSpx`cBS5Dp0FNOz zG4VZ82h6%xST@XV0Ab!I=Egc&Wj`QgwsVx#btQ4D>r6kW;2t|+v=4;M79j>o6<^`k zP@xD5%NMF1-b1a91Oi&lnl$$Pe3imf}giOCKq(#W+ z0IKL{00gCs2$`e0;ERwM3gLtvF@)J5Xk|vo=y>8iO~kW05zp;99(El!wB&d;>v;N9 zJe$)ZWOO{0iFh_Mo)8vn70_m1gbZ_ndBAw`R6LtABV=?u@p(5mQC~SpDJzGM3Q&@W z*Vcz1Y-I_@K`K{dGE{6^LunCmdd93xOcS+DJ*0KK5i(rt&^j$55Kfwk4H+eqtqZ;g z8Ac9&B>-+oRYJ)u(}k9Za1R|^h}x?Y(cPC3VRUKA*?qnU868|@BDmF@$~riVK_WPe zK_a;OGF5O{%I|$y5i&ZsN(QInxi6F*A;&3?vI-dKoBfHIqkfhu*d54v*pKx5U^#n0R@7(nq#ZV! z{a)z?2(0BUKk=&!N@l7yeggk&0}3I0nuKL_P$Nfa1PG;y4HA}tv^8>dx`Nj{6d~DaHGy;S{ z#RdsOpwm-I-Q7x|ns8XLs|ngiwf3Q#B{INf-AcEnI|MwW_%#IGt|qx%A}{Sw_@9Qx zU{5K#eggjthp{IHn+hkGf86+iA_p4S2@~>MS0!c&S35VWzN={`McWB!C<{Cgu2 zg6nMM-cKmjkr2v2I!1DQ#aL2^bTU(k0tD8co=8fu{KtaI>b+>(2f|H>pzW-tVxF?IdUo98YSV^U@~c`up+>O* z0$&HG^KEn~=}`*)o)EBT27Rp|ECXp}a!snjPv}wX8bY6z5@K3PIHIKlzIcugAlxJ2 zEgjGkSqTudyLk4>A<`knlM|$tY7lG&bZAx? z4{6@SO05Wfi@^M!IL)@cA`n1QVsS2Vl#06 z!^AkqW-4nzqsdgg3&K8*ui{BljVg5`N-K{-GNh%16N;@S-(S{t&$kB0tC@ z(oaRm1VcFSQ9=+%WmRKhpr9SZC-Q(U#RdtxL3*B$>(dp4eTwxHVj!(U?sZ*37*MS4 zDf+McYBvFbX%~nj+67EI8cf{&wm@CC{C^Elt^fWcPk!Sm>3U_rM^(^%!XFhIAn<)g zx{u_Js|r7Xe^RU~Ofo4s7ldRIS{1uGMC<@*WpcT*s1%>PiFN_DD+M7m0u@isM+u~j zN}-;XVwxQUoX{*GL)ot)WX(eU`K#O}{3gi+>W5B?QV-I|0nO5gjx1;t`BEnBt&)mQ zDaFbU0a?mL{NoH?$keB6Y35IwRc1K;G<&IP;V0yr!yeWX&Q+|Rz;`g|9FZ%~6@;SM zO5FsNFczS(T%{N!7z=?&!a^XFFcCB+{Aib{+Euf5=DBoGJsVJ`SwaISO@#Ri2Rv+J zsZUqN0JBw0)dYr@&`WmF!Y+qI%>aBHQtoO90`2h^87)S&7HR`j6_t#pRcTgs0+rN1H~~JkSEgFx!L@KcoyoYroPA(0ZTNWON^DmcZyT5M65e zXXD-HjF7PV*vHa6yAL+U?K)V~kw)0=Q}(L}{UA$(?)1`GxAIU$pkFMRUOFDqVgg4Y z72jy`=i=;fx$;v*s0FbF-S2gI`p*U7*$N>KL=_Xu7C7%@EFn=#>{7vmn+M_PgmPL# zC%Rv?iO$p-1EvukQy)XylOQ}GYL1Z6bEmcecGx#u@OuI5548c>L)9{P2x%974hZ9i z@)upyoQPYkNm#9-RX1B{39|v3tpK6FX*9b zK*iG+Jo>0r3e^Oy8%Wko?ANKQ6>1U+_*09-hMo3*rmXo19dj6bJ)sMvBPQ3cD*S|s ze5GSzr-dG6AwcL@j#!=O5`W( zP;5P6CrIarT#v3uZni;*0)%@NTX}Cj{8RJvQKgS2rBO}L$^nAWO^hmawL(p@LSj(a z1 zV(S6NO5 zBB%7=WCE2V=wpo*8vnJVQ z;&L2_ckA|68J|P5A#DI*p~}Dvvgr>3GHbY~J)kg4^L}END>vCu z?NqY>n;lc^Mgp~UCQUp1Rkdl>2!%nV+dvosS>oQ1VgwrE2S9AKL$ibq5WWXf*r~*o z1jYkpW48&0yh^kt;Whq=xf!)|=M`I!BjflCd;^?14T7u?TGE%UCr1}v!HgPby3Y6u z!y1E^VtvBjdQ;npn%$rjQMgUyM#%QLMVT96gEo*`i$)i#hB=U}0%42Q2)IMD&46v1 zHRelDq!{1Ih5>EPn~Oa8p|A%mh_6%`0-2)#Jl}~z^2Rr8n&+EM%yAODHwBw(P${Sk z)C4jI`5sUoi0|nz$6hT9DWNwN20(nVhdKBTj1w;Ll~3lN^DdY8dK6MQ+VPDYe7^>X zZAolL%D`5O?^)x!)ku6d6sbH7*RVPGm*YD@=5MIYpEsL&zPEsX32YAX{L5An|1Q-W z<_~7fUkvci1)4zSr~Kwm5!BE3`Rj9Y&_J}2He4RZlx4%IlR2@)4yu%rjW? zeACF;mJcgKJn_+>IXc1f(U^I}bpSjcK$*il>)}HoK9Dg7ZBUOyxvI-EwLtK>`20lT zM*#O&q6*xvn}d7>Hge`5&yAfq$a6nt4)Ve1^JSh1GSrQ4>bWT!khn4|qoHNofSH3l z_e%l}d##@aN$X zDGK@ac^)2t#R|vKJEA{b;+Z7lFU+%0^(ZR1xbfehu)GW9a_7uto<(?5;^oUcA&GZ_ zy|vsk7jHNFl+fkOS>eII0dX!Tgq@pLK()?!W(DfP&TlCka6Sc$%vgv)fH|7w8%*(1 z;rx}J{1GQhkO!10{{t}1xp}4MO1$Un<(04zbpE{3b3NWpc6qsH?g+m96zWQs|3sq1 zd9d8GFVu&wvC|{awLgI2@G#ROVUnY0UhT~CG1T)u6LafNpnR06L66y<+2ULYy7lQO z^FPk;@QII3jYpYRTph}^H#G&%>$u+I4=D#?~z_MVVto{SgSe z0%fkMbqIh4Yf)@#bA@Meh||#c{VvoylluK2)VMm}hM}7Azm9Yz)jx@H z?O#!egZ~-?{(UI(z2n+mir9`am#AZ| z{5P7&A0#s*Zke6ADo9(*A4$(l+}9|S;hc7Km7D?A4GkL^C{fm4T2M|g15fQ62qSY zH`$kBn!Cd}4P|bDm@GBC;JLXt>Xt7;nd`C-Q-t-Gv5X~+^O5Chl!MOWa1-K7hfU6# zA?7=WO}P*`>%i6Jb(?KLy?Ki{&1^@RE310@JIcFI?p}ngfg8q;P*yLObUS?zW&T%= zJe1XPl7?x|e^?cC8>FVA50$uay5$ils~e+R9*;7Yc5_)wL7D&b3gfE!bqU)ittV9| z524=7+9s5FW&q0GGu`lS3heN~=JwP(n5C2OICkEpdw};x3fAQ{M0Dhfy|fAmz-yA7!;Sa3kj1tCE+lU7suW4#OlU#Uwyll*1Sl zIu~I5bIU(NnR@^e;4e`wM;C%_{p%RNx}*T#Mg7irIaR$Ai<=p<7XFR(%M$HB9p=30 z_lyjw_shCA`N}B%9|fj~^I#^*VtHbsU5Ik>Fzx-^<$MXszS6|%!~c458136!`*)zs zEvA{sEyKk;!GDFL$1O$t1`6ENn568WfuyWIiSkkB!GLFO$cG@=jDyAh3B-@YMQ(Wz z<)S6{l;94=F_gI<>vzkaqRbD29j?AN17mkZ;(nQqGT)hQ!kU0`1^UtI>d(nQ-27j0 zCQ&6&C|#CF?#rlXE=25ZgC(fXjNV-BIq$4l-ANlXI^e;;5gRrkde$6X&ppyl8a$Iz zxaZ1s{?g!?mcrDxJ7d>^<>lV)EL!W~t54Sx`kaRd-Aj8PA meb1)Mf6AGi@0}MtHv5c!NaxrA@5pU4{i)HJ{xkkH^M3;xb&e + 240: 000359c0 call 359c 00000244 : @@ -751,8 +751,8 @@ alt_after_alt_main: 244: 003fff06 br 244 <__alt_data_end+0xfffe0244> 00000248
: - {150,250,200,10}, - {250,250,250,10} + {0x150000, 0x250000, 0x200000, 0x10000}, + {0x250000, 0x250000, 0x250000, 0x10000} }; int main() @@ -772,14 +772,14 @@ int main() 260: e0bffe15 stw r2,-8(fp) for (i=0; i + 268: 00002206 br 2f4 { tmp = 0; 26c: e03fff15 stw zero,-4(fp) for (j=TIME_STATES; j>0; j--) 270: 00800104 movi r2,4 274: e0bffd15 stw r2,-12(fp) - 278: 00001206 br 2c4 + 278: 00001306 br 2c8 { tmp = (tmp << 8) | divisors[i][j-1]; 27c: e0bfff17 ldw r2,-4(fp) @@ -787,7591 +787,7592 @@ int main() 284: e0bffd17 ldw r2,-12(fp) 288: 117fffc4 addi r5,r2,-1 28c: 00800034 movhi r2,0 - 290: 1095f604 addi r2,r2,22488 + 290: 1095f704 addi r2,r2,22492 294: e0fffc17 ldw r3,-16(fp) 298: 18c7883a add r3,r3,r3 29c: 18c7883a add r3,r3,r3 - 2a0: 10c5883a add r2,r2,r3 - 2a4: 1145883a add r2,r2,r5 - 2a8: 10800003 ldbu r2,0(r2) - 2ac: 10803fcc andi r2,r2,255 - 2b0: 2084b03a or r2,r4,r2 - 2b4: e0bfff15 stw r2,-4(fp) + 2a0: 1947883a add r3,r3,r5 + 2a4: 18c7883a add r3,r3,r3 + 2a8: 18c7883a add r3,r3,r3 + 2ac: 10c5883a add r2,r2,r3 + 2b0: 10800017 ldw r2,0(r2) + 2b4: 2084b03a or r2,r4,r2 + 2b8: e0bfff15 stw r2,-4(fp) //program divisors p = (alt_u32*) SEM_RAM_SLAVE_BASE; for (i=0; i0; j--) - 2b8: e0bffd17 ldw r2,-12(fp) - 2bc: 10bfffc4 addi r2,r2,-1 - 2c0: e0bffd15 stw r2,-12(fp) - 2c4: e0bffd17 ldw r2,-12(fp) - 2c8: 00bfec16 blt zero,r2,27c <__alt_data_end+0xfffe027c> + 2bc: e0bffd17 ldw r2,-12(fp) + 2c0: 10bfffc4 addi r2,r2,-1 + 2c4: e0bffd15 stw r2,-12(fp) + 2c8: e0bffd17 ldw r2,-12(fp) + 2cc: 00bfeb16 blt zero,r2,27c <__alt_data_end+0xfffe027c> { tmp = (tmp << 8) | divisors[i][j-1]; } *p=tmp; - 2cc: e0bffe17 ldw r2,-8(fp) - 2d0: e0ffff17 ldw r3,-4(fp) - 2d4: 10c00015 stw r3,0(r2) + 2d0: e0bffe17 ldw r2,-8(fp) + 2d4: e0ffff17 ldw r3,-4(fp) + 2d8: 10c00015 stw r3,0(r2) p++; - 2d8: e0bffe17 ldw r2,-8(fp) - 2dc: 10800104 addi r2,r2,4 - 2e0: e0bffe15 stw r2,-8(fp) + 2dc: e0bffe17 ldw r2,-8(fp) + 2e0: 10800104 addi r2,r2,4 + 2e4: e0bffe15 stw r2,-8(fp) volatile alt_u32 *p; alt_u32 tmp; //program divisors p = (alt_u32*) SEM_RAM_SLAVE_BASE; for (i=0; i + 2e8: e0bffc17 ldw r2,-16(fp) + 2ec: 10800044 addi r2,r2,1 + 2f0: e0bffc15 stw r2,-16(fp) + 2f4: e0bffc17 ldw r2,-16(fp) + 2f8: 10800110 cmplti r2,r2,4 + 2fc: 103fdb1e bne r2,zero,26c <__alt_data_end+0xfffe026c> *p=tmp; p++; } //since we use pointers (cached data access) to write divisor RAM, //and not direct i/o access with IOWR, we need to flush cache alt_dcache_flush(); - 2fc: 00032500 call 3250 + 300: 00032540 call 3254 //select timeset and run semafor IOWR_ALTERA_AVALON_SEM_DIVSET(SEM_CTL_SLAVE_BASE,0x00); - 300: 0007883a mov r3,zero - 304: 008000b4 movhi r2,2 - 308: 10840d04 addi r2,r2,4148 - 30c: 10c00035 stwio r3,0(r2) + 304: 0007883a mov r3,zero + 308: 008000b4 movhi r2,2 + 30c: 10840d04 addi r2,r2,4148 + 310: 10c00035 stwio r3,0(r2) IOWR_ALTERA_AVALON_SEM_CTL(SEM_CTL_SLAVE_BASE,0x01); - 310: 00c00044 movi r3,1 - 314: 008000b4 movhi r2,2 - 318: 10840c04 addi r2,r2,4144 - 31c: 10c00035 stwio r3,0(r2) + 314: 00c00044 movi r3,1 + 318: 008000b4 movhi r2,2 + 31c: 10840c04 addi r2,r2,4144 + 320: 10c00035 stwio r3,0(r2) printf("Ready\n"); - 320: 01000034 movhi r4,0 - 324: 2115fa04 addi r4,r4,22504 - 328: 00003f00 call 3f0 + 324: 01000034 movhi r4,0 + 328: 21160704 addi r4,r4,22556 + 32c: 00003f40 call 3f4 while (1) { ; } - 32c: 003fff06 br 32c <__alt_data_end+0xfffe032c> + 330: 003fff06 br 330 <__alt_data_end+0xfffe0330> -00000330 <_puts_r>: - 330: defff604 addi sp,sp,-40 - 334: dc000715 stw r16,28(sp) - 338: 2021883a mov r16,r4 - 33c: 2809883a mov r4,r5 - 340: dc400815 stw r17,32(sp) - 344: dfc00915 stw ra,36(sp) - 348: 2823883a mov r17,r5 - 34c: 00004040 call 404 - 350: 10c00044 addi r3,r2,1 - 354: d8800115 stw r2,4(sp) - 358: 00800034 movhi r2,0 - 35c: 1095fc04 addi r2,r2,22512 - 360: d8800215 stw r2,8(sp) - 364: 00800044 movi r2,1 - 368: d8800315 stw r2,12(sp) - 36c: 00800084 movi r2,2 - 370: dc400015 stw r17,0(sp) - 374: d8c00615 stw r3,24(sp) - 378: dec00415 stw sp,16(sp) - 37c: d8800515 stw r2,20(sp) - 380: 80000226 beq r16,zero,38c <_puts_r+0x5c> - 384: 80800e17 ldw r2,56(r16) - 388: 10001426 beq r2,zero,3dc <_puts_r+0xac> - 38c: 81400217 ldw r5,8(r16) - 390: 2880030b ldhu r2,12(r5) - 394: 10c8000c andi r3,r2,8192 - 398: 1800061e bne r3,zero,3b4 <_puts_r+0x84> - 39c: 29001917 ldw r4,100(r5) - 3a0: 00f7ffc4 movi r3,-8193 - 3a4: 10880014 ori r2,r2,8192 - 3a8: 20c6703a and r3,r4,r3 - 3ac: 2880030d sth r2,12(r5) - 3b0: 28c01915 stw r3,100(r5) - 3b4: d9800404 addi r6,sp,16 - 3b8: 8009883a mov r4,r16 - 3bc: 000084c0 call 84c <__sfvwrite_r> - 3c0: 1000091e bne r2,zero,3e8 <_puts_r+0xb8> - 3c4: 00800284 movi r2,10 - 3c8: dfc00917 ldw ra,36(sp) - 3cc: dc400817 ldw r17,32(sp) - 3d0: dc000717 ldw r16,28(sp) - 3d4: dec00a04 addi sp,sp,40 - 3d8: f800283a ret - 3dc: 8009883a mov r4,r16 - 3e0: 00007fc0 call 7fc <__sinit> - 3e4: 003fe906 br 38c <__alt_data_end+0xfffe038c> - 3e8: 00bfffc4 movi r2,-1 - 3ec: 003ff606 br 3c8 <__alt_data_end+0xfffe03c8> +00000334 <_puts_r>: + 334: defff604 addi sp,sp,-40 + 338: dc000715 stw r16,28(sp) + 33c: 2021883a mov r16,r4 + 340: 2809883a mov r4,r5 + 344: dc400815 stw r17,32(sp) + 348: dfc00915 stw ra,36(sp) + 34c: 2823883a mov r17,r5 + 350: 00004080 call 408 + 354: 10c00044 addi r3,r2,1 + 358: d8800115 stw r2,4(sp) + 35c: 00800034 movhi r2,0 + 360: 10960904 addi r2,r2,22564 + 364: d8800215 stw r2,8(sp) + 368: 00800044 movi r2,1 + 36c: d8800315 stw r2,12(sp) + 370: 00800084 movi r2,2 + 374: dc400015 stw r17,0(sp) + 378: d8c00615 stw r3,24(sp) + 37c: dec00415 stw sp,16(sp) + 380: d8800515 stw r2,20(sp) + 384: 80000226 beq r16,zero,390 <_puts_r+0x5c> + 388: 80800e17 ldw r2,56(r16) + 38c: 10001426 beq r2,zero,3e0 <_puts_r+0xac> + 390: 81400217 ldw r5,8(r16) + 394: 2880030b ldhu r2,12(r5) + 398: 10c8000c andi r3,r2,8192 + 39c: 1800061e bne r3,zero,3b8 <_puts_r+0x84> + 3a0: 29001917 ldw r4,100(r5) + 3a4: 00f7ffc4 movi r3,-8193 + 3a8: 10880014 ori r2,r2,8192 + 3ac: 20c6703a and r3,r4,r3 + 3b0: 2880030d sth r2,12(r5) + 3b4: 28c01915 stw r3,100(r5) + 3b8: d9800404 addi r6,sp,16 + 3bc: 8009883a mov r4,r16 + 3c0: 00008500 call 850 <__sfvwrite_r> + 3c4: 1000091e bne r2,zero,3ec <_puts_r+0xb8> + 3c8: 00800284 movi r2,10 + 3cc: dfc00917 ldw ra,36(sp) + 3d0: dc400817 ldw r17,32(sp) + 3d4: dc000717 ldw r16,28(sp) + 3d8: dec00a04 addi sp,sp,40 + 3dc: f800283a ret + 3e0: 8009883a mov r4,r16 + 3e4: 00008000 call 800 <__sinit> + 3e8: 003fe906 br 390 <__alt_data_end+0xfffe0390> + 3ec: 00bfffc4 movi r2,-1 + 3f0: 003ff606 br 3cc <__alt_data_end+0xfffe03cc> -000003f0 : - 3f0: 00800034 movhi r2,0 - 3f4: 109c9704 addi r2,r2,29276 - 3f8: 200b883a mov r5,r4 - 3fc: 11000017 ldw r4,0(r2) - 400: 00003301 jmpi 330 <_puts_r> +000003f4 : + 3f4: 00800034 movhi r2,0 + 3f8: 109ca404 addi r2,r2,29328 + 3fc: 200b883a mov r5,r4 + 400: 11000017 ldw r4,0(r2) + 404: 00003341 jmpi 334 <_puts_r> -00000404 : - 404: 208000cc andi r2,r4,3 - 408: 10002026 beq r2,zero,48c - 40c: 20800007 ldb r2,0(r4) - 410: 10002026 beq r2,zero,494 - 414: 2005883a mov r2,r4 - 418: 00000206 br 424 - 41c: 10c00007 ldb r3,0(r2) - 420: 18001826 beq r3,zero,484 - 424: 10800044 addi r2,r2,1 - 428: 10c000cc andi r3,r2,3 - 42c: 183ffb1e bne r3,zero,41c <__alt_data_end+0xfffe041c> - 430: 10c00017 ldw r3,0(r2) - 434: 01ffbff4 movhi r7,65279 - 438: 39ffbfc4 addi r7,r7,-257 - 43c: 00ca303a nor r5,zero,r3 - 440: 01a02074 movhi r6,32897 - 444: 19c7883a add r3,r3,r7 - 448: 31a02004 addi r6,r6,-32640 - 44c: 1946703a and r3,r3,r5 - 450: 1986703a and r3,r3,r6 - 454: 1800091e bne r3,zero,47c - 458: 10800104 addi r2,r2,4 - 45c: 10c00017 ldw r3,0(r2) - 460: 19cb883a add r5,r3,r7 - 464: 00c6303a nor r3,zero,r3 - 468: 28c6703a and r3,r5,r3 - 46c: 1986703a and r3,r3,r6 - 470: 183ff926 beq r3,zero,458 <__alt_data_end+0xfffe0458> - 474: 00000106 br 47c - 478: 10800044 addi r2,r2,1 - 47c: 10c00007 ldb r3,0(r2) - 480: 183ffd1e bne r3,zero,478 <__alt_data_end+0xfffe0478> - 484: 1105c83a sub r2,r2,r4 - 488: f800283a ret - 48c: 2005883a mov r2,r4 - 490: 003fe706 br 430 <__alt_data_end+0xfffe0430> - 494: 0005883a mov r2,zero - 498: f800283a ret +00000408 : + 408: 208000cc andi r2,r4,3 + 40c: 10002026 beq r2,zero,490 + 410: 20800007 ldb r2,0(r4) + 414: 10002026 beq r2,zero,498 + 418: 2005883a mov r2,r4 + 41c: 00000206 br 428 + 420: 10c00007 ldb r3,0(r2) + 424: 18001826 beq r3,zero,488 + 428: 10800044 addi r2,r2,1 + 42c: 10c000cc andi r3,r2,3 + 430: 183ffb1e bne r3,zero,420 <__alt_data_end+0xfffe0420> + 434: 10c00017 ldw r3,0(r2) + 438: 01ffbff4 movhi r7,65279 + 43c: 39ffbfc4 addi r7,r7,-257 + 440: 00ca303a nor r5,zero,r3 + 444: 01a02074 movhi r6,32897 + 448: 19c7883a add r3,r3,r7 + 44c: 31a02004 addi r6,r6,-32640 + 450: 1946703a and r3,r3,r5 + 454: 1986703a and r3,r3,r6 + 458: 1800091e bne r3,zero,480 + 45c: 10800104 addi r2,r2,4 + 460: 10c00017 ldw r3,0(r2) + 464: 19cb883a add r5,r3,r7 + 468: 00c6303a nor r3,zero,r3 + 46c: 28c6703a and r3,r5,r3 + 470: 1986703a and r3,r3,r6 + 474: 183ff926 beq r3,zero,45c <__alt_data_end+0xfffe045c> + 478: 00000106 br 480 + 47c: 10800044 addi r2,r2,1 + 480: 10c00007 ldb r3,0(r2) + 484: 183ffd1e bne r3,zero,47c <__alt_data_end+0xfffe047c> + 488: 1105c83a sub r2,r2,r4 + 48c: f800283a ret + 490: 2005883a mov r2,r4 + 494: 003fe706 br 434 <__alt_data_end+0xfffe0434> + 498: 0005883a mov r2,zero + 49c: f800283a ret -0000049c <__fp_unlock>: - 49c: 0005883a mov r2,zero - 4a0: f800283a ret +000004a0 <__fp_unlock>: + 4a0: 0005883a mov r2,zero + 4a4: f800283a ret -000004a4 <_cleanup_r>: - 4a4: 01400034 movhi r5,0 - 4a8: 29491504 addi r5,r5,9300 - 4ac: 0000dd81 jmpi dd8 <_fwalk_reent> +000004a8 <_cleanup_r>: + 4a8: 01400034 movhi r5,0 + 4ac: 29491604 addi r5,r5,9304 + 4b0: 0000ddc1 jmpi ddc <_fwalk_reent> -000004b0 <__sinit.part.1>: - 4b0: defff704 addi sp,sp,-36 - 4b4: 00c00034 movhi r3,0 - 4b8: dfc00815 stw ra,32(sp) - 4bc: ddc00715 stw r23,28(sp) - 4c0: dd800615 stw r22,24(sp) - 4c4: dd400515 stw r21,20(sp) - 4c8: dd000415 stw r20,16(sp) - 4cc: dcc00315 stw r19,12(sp) - 4d0: dc800215 stw r18,8(sp) - 4d4: dc400115 stw r17,4(sp) - 4d8: dc000015 stw r16,0(sp) - 4dc: 18c12904 addi r3,r3,1188 - 4e0: 24000117 ldw r16,4(r4) - 4e4: 20c00f15 stw r3,60(r4) - 4e8: 2080bb04 addi r2,r4,748 - 4ec: 00c000c4 movi r3,3 - 4f0: 20c0b915 stw r3,740(r4) - 4f4: 2080ba15 stw r2,744(r4) - 4f8: 2000b815 stw zero,736(r4) - 4fc: 05c00204 movi r23,8 - 500: 00800104 movi r2,4 - 504: 2025883a mov r18,r4 - 508: b80d883a mov r6,r23 - 50c: 81001704 addi r4,r16,92 - 510: 000b883a mov r5,zero - 514: 80000015 stw zero,0(r16) - 518: 80000115 stw zero,4(r16) - 51c: 80000215 stw zero,8(r16) - 520: 8080030d sth r2,12(r16) - 524: 80001915 stw zero,100(r16) - 528: 8000038d sth zero,14(r16) - 52c: 80000415 stw zero,16(r16) - 530: 80000515 stw zero,20(r16) - 534: 80000615 stw zero,24(r16) - 538: 0001a300 call 1a30 - 53c: 05800034 movhi r22,0 - 540: 94400217 ldw r17,8(r18) - 544: 05400034 movhi r21,0 - 548: 05000034 movhi r20,0 - 54c: 04c00034 movhi r19,0 - 550: b5884404 addi r22,r22,8464 - 554: ad485b04 addi r21,r21,8556 - 558: a5087a04 addi r20,r20,8680 - 55c: 9cc89104 addi r19,r19,8772 - 560: 85800815 stw r22,32(r16) - 564: 85400915 stw r21,36(r16) - 568: 85000a15 stw r20,40(r16) - 56c: 84c00b15 stw r19,44(r16) - 570: 84000715 stw r16,28(r16) - 574: 00800284 movi r2,10 - 578: 8880030d sth r2,12(r17) - 57c: 00800044 movi r2,1 - 580: b80d883a mov r6,r23 - 584: 89001704 addi r4,r17,92 - 588: 000b883a mov r5,zero - 58c: 88000015 stw zero,0(r17) - 590: 88000115 stw zero,4(r17) - 594: 88000215 stw zero,8(r17) - 598: 88001915 stw zero,100(r17) - 59c: 8880038d sth r2,14(r17) - 5a0: 88000415 stw zero,16(r17) - 5a4: 88000515 stw zero,20(r17) - 5a8: 88000615 stw zero,24(r17) - 5ac: 0001a300 call 1a30 - 5b0: 94000317 ldw r16,12(r18) - 5b4: 00800484 movi r2,18 - 5b8: 8c400715 stw r17,28(r17) - 5bc: 8d800815 stw r22,32(r17) - 5c0: 8d400915 stw r21,36(r17) - 5c4: 8d000a15 stw r20,40(r17) - 5c8: 8cc00b15 stw r19,44(r17) - 5cc: 8080030d sth r2,12(r16) - 5d0: 00800084 movi r2,2 - 5d4: 80000015 stw zero,0(r16) - 5d8: 80000115 stw zero,4(r16) - 5dc: 80000215 stw zero,8(r16) - 5e0: 80001915 stw zero,100(r16) - 5e4: 8080038d sth r2,14(r16) - 5e8: 80000415 stw zero,16(r16) - 5ec: 80000515 stw zero,20(r16) - 5f0: 80000615 stw zero,24(r16) - 5f4: b80d883a mov r6,r23 - 5f8: 000b883a mov r5,zero - 5fc: 81001704 addi r4,r16,92 - 600: 0001a300 call 1a30 - 604: 00800044 movi r2,1 - 608: 84000715 stw r16,28(r16) - 60c: 85800815 stw r22,32(r16) - 610: 85400915 stw r21,36(r16) - 614: 85000a15 stw r20,40(r16) - 618: 84c00b15 stw r19,44(r16) - 61c: 90800e15 stw r2,56(r18) - 620: dfc00817 ldw ra,32(sp) - 624: ddc00717 ldw r23,28(sp) - 628: dd800617 ldw r22,24(sp) - 62c: dd400517 ldw r21,20(sp) - 630: dd000417 ldw r20,16(sp) - 634: dcc00317 ldw r19,12(sp) - 638: dc800217 ldw r18,8(sp) - 63c: dc400117 ldw r17,4(sp) - 640: dc000017 ldw r16,0(sp) - 644: dec00904 addi sp,sp,36 - 648: f800283a ret +000004b4 <__sinit.part.1>: + 4b4: defff704 addi sp,sp,-36 + 4b8: 00c00034 movhi r3,0 + 4bc: dfc00815 stw ra,32(sp) + 4c0: ddc00715 stw r23,28(sp) + 4c4: dd800615 stw r22,24(sp) + 4c8: dd400515 stw r21,20(sp) + 4cc: dd000415 stw r20,16(sp) + 4d0: dcc00315 stw r19,12(sp) + 4d4: dc800215 stw r18,8(sp) + 4d8: dc400115 stw r17,4(sp) + 4dc: dc000015 stw r16,0(sp) + 4e0: 18c12a04 addi r3,r3,1192 + 4e4: 24000117 ldw r16,4(r4) + 4e8: 20c00f15 stw r3,60(r4) + 4ec: 2080bb04 addi r2,r4,748 + 4f0: 00c000c4 movi r3,3 + 4f4: 20c0b915 stw r3,740(r4) + 4f8: 2080ba15 stw r2,744(r4) + 4fc: 2000b815 stw zero,736(r4) + 500: 05c00204 movi r23,8 + 504: 00800104 movi r2,4 + 508: 2025883a mov r18,r4 + 50c: b80d883a mov r6,r23 + 510: 81001704 addi r4,r16,92 + 514: 000b883a mov r5,zero + 518: 80000015 stw zero,0(r16) + 51c: 80000115 stw zero,4(r16) + 520: 80000215 stw zero,8(r16) + 524: 8080030d sth r2,12(r16) + 528: 80001915 stw zero,100(r16) + 52c: 8000038d sth zero,14(r16) + 530: 80000415 stw zero,16(r16) + 534: 80000515 stw zero,20(r16) + 538: 80000615 stw zero,24(r16) + 53c: 0001a340 call 1a34 + 540: 05800034 movhi r22,0 + 544: 94400217 ldw r17,8(r18) + 548: 05400034 movhi r21,0 + 54c: 05000034 movhi r20,0 + 550: 04c00034 movhi r19,0 + 554: b5884504 addi r22,r22,8468 + 558: ad485c04 addi r21,r21,8560 + 55c: a5087b04 addi r20,r20,8684 + 560: 9cc89204 addi r19,r19,8776 + 564: 85800815 stw r22,32(r16) + 568: 85400915 stw r21,36(r16) + 56c: 85000a15 stw r20,40(r16) + 570: 84c00b15 stw r19,44(r16) + 574: 84000715 stw r16,28(r16) + 578: 00800284 movi r2,10 + 57c: 8880030d sth r2,12(r17) + 580: 00800044 movi r2,1 + 584: b80d883a mov r6,r23 + 588: 89001704 addi r4,r17,92 + 58c: 000b883a mov r5,zero + 590: 88000015 stw zero,0(r17) + 594: 88000115 stw zero,4(r17) + 598: 88000215 stw zero,8(r17) + 59c: 88001915 stw zero,100(r17) + 5a0: 8880038d sth r2,14(r17) + 5a4: 88000415 stw zero,16(r17) + 5a8: 88000515 stw zero,20(r17) + 5ac: 88000615 stw zero,24(r17) + 5b0: 0001a340 call 1a34 + 5b4: 94000317 ldw r16,12(r18) + 5b8: 00800484 movi r2,18 + 5bc: 8c400715 stw r17,28(r17) + 5c0: 8d800815 stw r22,32(r17) + 5c4: 8d400915 stw r21,36(r17) + 5c8: 8d000a15 stw r20,40(r17) + 5cc: 8cc00b15 stw r19,44(r17) + 5d0: 8080030d sth r2,12(r16) + 5d4: 00800084 movi r2,2 + 5d8: 80000015 stw zero,0(r16) + 5dc: 80000115 stw zero,4(r16) + 5e0: 80000215 stw zero,8(r16) + 5e4: 80001915 stw zero,100(r16) + 5e8: 8080038d sth r2,14(r16) + 5ec: 80000415 stw zero,16(r16) + 5f0: 80000515 stw zero,20(r16) + 5f4: 80000615 stw zero,24(r16) + 5f8: b80d883a mov r6,r23 + 5fc: 000b883a mov r5,zero + 600: 81001704 addi r4,r16,92 + 604: 0001a340 call 1a34 + 608: 00800044 movi r2,1 + 60c: 84000715 stw r16,28(r16) + 610: 85800815 stw r22,32(r16) + 614: 85400915 stw r21,36(r16) + 618: 85000a15 stw r20,40(r16) + 61c: 84c00b15 stw r19,44(r16) + 620: 90800e15 stw r2,56(r18) + 624: dfc00817 ldw ra,32(sp) + 628: ddc00717 ldw r23,28(sp) + 62c: dd800617 ldw r22,24(sp) + 630: dd400517 ldw r21,20(sp) + 634: dd000417 ldw r20,16(sp) + 638: dcc00317 ldw r19,12(sp) + 63c: dc800217 ldw r18,8(sp) + 640: dc400117 ldw r17,4(sp) + 644: dc000017 ldw r16,0(sp) + 648: dec00904 addi sp,sp,36 + 64c: f800283a ret -0000064c <__fp_lock>: - 64c: 0005883a mov r2,zero - 650: f800283a ret +00000650 <__fp_lock>: + 650: 0005883a mov r2,zero + 654: f800283a ret -00000654 <__sfmoreglue>: - 654: defffc04 addi sp,sp,-16 - 658: dc800215 stw r18,8(sp) - 65c: 2825883a mov r18,r5 - 660: dc000015 stw r16,0(sp) - 664: 01401a04 movi r5,104 - 668: 2021883a mov r16,r4 - 66c: 913fffc4 addi r4,r18,-1 - 670: dfc00315 stw ra,12(sp) - 674: dc400115 stw r17,4(sp) - 678: 00031140 call 3114 <__mulsi3> - 67c: 8009883a mov r4,r16 - 680: 11401d04 addi r5,r2,116 - 684: 1023883a mov r17,r2 - 688: 0000e9c0 call e9c <_malloc_r> - 68c: 1021883a mov r16,r2 - 690: 10000726 beq r2,zero,6b0 <__sfmoreglue+0x5c> - 694: 11000304 addi r4,r2,12 - 698: 10000015 stw zero,0(r2) - 69c: 14800115 stw r18,4(r2) - 6a0: 11000215 stw r4,8(r2) - 6a4: 89801a04 addi r6,r17,104 - 6a8: 000b883a mov r5,zero - 6ac: 0001a300 call 1a30 - 6b0: 8005883a mov r2,r16 - 6b4: dfc00317 ldw ra,12(sp) - 6b8: dc800217 ldw r18,8(sp) - 6bc: dc400117 ldw r17,4(sp) - 6c0: dc000017 ldw r16,0(sp) - 6c4: dec00404 addi sp,sp,16 - 6c8: f800283a ret +00000658 <__sfmoreglue>: + 658: defffc04 addi sp,sp,-16 + 65c: dc800215 stw r18,8(sp) + 660: 2825883a mov r18,r5 + 664: dc000015 stw r16,0(sp) + 668: 01401a04 movi r5,104 + 66c: 2021883a mov r16,r4 + 670: 913fffc4 addi r4,r18,-1 + 674: dfc00315 stw ra,12(sp) + 678: dc400115 stw r17,4(sp) + 67c: 00031180 call 3118 <__mulsi3> + 680: 8009883a mov r4,r16 + 684: 11401d04 addi r5,r2,116 + 688: 1023883a mov r17,r2 + 68c: 0000ea00 call ea0 <_malloc_r> + 690: 1021883a mov r16,r2 + 694: 10000726 beq r2,zero,6b4 <__sfmoreglue+0x5c> + 698: 11000304 addi r4,r2,12 + 69c: 10000015 stw zero,0(r2) + 6a0: 14800115 stw r18,4(r2) + 6a4: 11000215 stw r4,8(r2) + 6a8: 89801a04 addi r6,r17,104 + 6ac: 000b883a mov r5,zero + 6b0: 0001a340 call 1a34 + 6b4: 8005883a mov r2,r16 + 6b8: dfc00317 ldw ra,12(sp) + 6bc: dc800217 ldw r18,8(sp) + 6c0: dc400117 ldw r17,4(sp) + 6c4: dc000017 ldw r16,0(sp) + 6c8: dec00404 addi sp,sp,16 + 6cc: f800283a ret -000006cc <__sfp>: - 6cc: defffb04 addi sp,sp,-20 - 6d0: dc000015 stw r16,0(sp) - 6d4: 04000034 movhi r16,0 - 6d8: 841c9604 addi r16,r16,29272 - 6dc: dcc00315 stw r19,12(sp) - 6e0: 2027883a mov r19,r4 - 6e4: 81000017 ldw r4,0(r16) - 6e8: dfc00415 stw ra,16(sp) - 6ec: dc800215 stw r18,8(sp) - 6f0: 20800e17 ldw r2,56(r4) - 6f4: dc400115 stw r17,4(sp) - 6f8: 1000021e bne r2,zero,704 <__sfp+0x38> - 6fc: 00004b00 call 4b0 <__sinit.part.1> - 700: 81000017 ldw r4,0(r16) - 704: 2480b804 addi r18,r4,736 - 708: 047fffc4 movi r17,-1 - 70c: 91000117 ldw r4,4(r18) - 710: 94000217 ldw r16,8(r18) - 714: 213fffc4 addi r4,r4,-1 - 718: 20000a16 blt r4,zero,744 <__sfp+0x78> - 71c: 8080030f ldh r2,12(r16) - 720: 10000c26 beq r2,zero,754 <__sfp+0x88> - 724: 80c01d04 addi r3,r16,116 - 728: 00000206 br 734 <__sfp+0x68> - 72c: 18bfe60f ldh r2,-104(r3) - 730: 10000826 beq r2,zero,754 <__sfp+0x88> - 734: 213fffc4 addi r4,r4,-1 - 738: 1c3ffd04 addi r16,r3,-12 - 73c: 18c01a04 addi r3,r3,104 - 740: 247ffa1e bne r4,r17,72c <__alt_data_end+0xfffe072c> - 744: 90800017 ldw r2,0(r18) - 748: 10001d26 beq r2,zero,7c0 <__sfp+0xf4> - 74c: 1025883a mov r18,r2 - 750: 003fee06 br 70c <__alt_data_end+0xfffe070c> - 754: 00bfffc4 movi r2,-1 - 758: 8080038d sth r2,14(r16) - 75c: 00800044 movi r2,1 - 760: 8080030d sth r2,12(r16) - 764: 80001915 stw zero,100(r16) - 768: 80000015 stw zero,0(r16) - 76c: 80000215 stw zero,8(r16) - 770: 80000115 stw zero,4(r16) - 774: 80000415 stw zero,16(r16) - 778: 80000515 stw zero,20(r16) - 77c: 80000615 stw zero,24(r16) - 780: 01800204 movi r6,8 - 784: 000b883a mov r5,zero - 788: 81001704 addi r4,r16,92 - 78c: 0001a300 call 1a30 - 790: 8005883a mov r2,r16 - 794: 80000c15 stw zero,48(r16) - 798: 80000d15 stw zero,52(r16) - 79c: 80001115 stw zero,68(r16) - 7a0: 80001215 stw zero,72(r16) - 7a4: dfc00417 ldw ra,16(sp) - 7a8: dcc00317 ldw r19,12(sp) - 7ac: dc800217 ldw r18,8(sp) - 7b0: dc400117 ldw r17,4(sp) - 7b4: dc000017 ldw r16,0(sp) - 7b8: dec00504 addi sp,sp,20 - 7bc: f800283a ret - 7c0: 01400104 movi r5,4 - 7c4: 9809883a mov r4,r19 - 7c8: 00006540 call 654 <__sfmoreglue> - 7cc: 90800015 stw r2,0(r18) - 7d0: 103fde1e bne r2,zero,74c <__alt_data_end+0xfffe074c> - 7d4: 00800304 movi r2,12 - 7d8: 98800015 stw r2,0(r19) - 7dc: 0005883a mov r2,zero - 7e0: 003ff006 br 7a4 <__alt_data_end+0xfffe07a4> +000006d0 <__sfp>: + 6d0: defffb04 addi sp,sp,-20 + 6d4: dc000015 stw r16,0(sp) + 6d8: 04000034 movhi r16,0 + 6dc: 841ca304 addi r16,r16,29324 + 6e0: dcc00315 stw r19,12(sp) + 6e4: 2027883a mov r19,r4 + 6e8: 81000017 ldw r4,0(r16) + 6ec: dfc00415 stw ra,16(sp) + 6f0: dc800215 stw r18,8(sp) + 6f4: 20800e17 ldw r2,56(r4) + 6f8: dc400115 stw r17,4(sp) + 6fc: 1000021e bne r2,zero,708 <__sfp+0x38> + 700: 00004b40 call 4b4 <__sinit.part.1> + 704: 81000017 ldw r4,0(r16) + 708: 2480b804 addi r18,r4,736 + 70c: 047fffc4 movi r17,-1 + 710: 91000117 ldw r4,4(r18) + 714: 94000217 ldw r16,8(r18) + 718: 213fffc4 addi r4,r4,-1 + 71c: 20000a16 blt r4,zero,748 <__sfp+0x78> + 720: 8080030f ldh r2,12(r16) + 724: 10000c26 beq r2,zero,758 <__sfp+0x88> + 728: 80c01d04 addi r3,r16,116 + 72c: 00000206 br 738 <__sfp+0x68> + 730: 18bfe60f ldh r2,-104(r3) + 734: 10000826 beq r2,zero,758 <__sfp+0x88> + 738: 213fffc4 addi r4,r4,-1 + 73c: 1c3ffd04 addi r16,r3,-12 + 740: 18c01a04 addi r3,r3,104 + 744: 247ffa1e bne r4,r17,730 <__alt_data_end+0xfffe0730> + 748: 90800017 ldw r2,0(r18) + 74c: 10001d26 beq r2,zero,7c4 <__sfp+0xf4> + 750: 1025883a mov r18,r2 + 754: 003fee06 br 710 <__alt_data_end+0xfffe0710> + 758: 00bfffc4 movi r2,-1 + 75c: 8080038d sth r2,14(r16) + 760: 00800044 movi r2,1 + 764: 8080030d sth r2,12(r16) + 768: 80001915 stw zero,100(r16) + 76c: 80000015 stw zero,0(r16) + 770: 80000215 stw zero,8(r16) + 774: 80000115 stw zero,4(r16) + 778: 80000415 stw zero,16(r16) + 77c: 80000515 stw zero,20(r16) + 780: 80000615 stw zero,24(r16) + 784: 01800204 movi r6,8 + 788: 000b883a mov r5,zero + 78c: 81001704 addi r4,r16,92 + 790: 0001a340 call 1a34 + 794: 8005883a mov r2,r16 + 798: 80000c15 stw zero,48(r16) + 79c: 80000d15 stw zero,52(r16) + 7a0: 80001115 stw zero,68(r16) + 7a4: 80001215 stw zero,72(r16) + 7a8: dfc00417 ldw ra,16(sp) + 7ac: dcc00317 ldw r19,12(sp) + 7b0: dc800217 ldw r18,8(sp) + 7b4: dc400117 ldw r17,4(sp) + 7b8: dc000017 ldw r16,0(sp) + 7bc: dec00504 addi sp,sp,20 + 7c0: f800283a ret + 7c4: 01400104 movi r5,4 + 7c8: 9809883a mov r4,r19 + 7cc: 00006580 call 658 <__sfmoreglue> + 7d0: 90800015 stw r2,0(r18) + 7d4: 103fde1e bne r2,zero,750 <__alt_data_end+0xfffe0750> + 7d8: 00800304 movi r2,12 + 7dc: 98800015 stw r2,0(r19) + 7e0: 0005883a mov r2,zero + 7e4: 003ff006 br 7a8 <__alt_data_end+0xfffe07a8> -000007e4 <_cleanup>: - 7e4: 00800034 movhi r2,0 - 7e8: 109c9604 addi r2,r2,29272 - 7ec: 11000017 ldw r4,0(r2) - 7f0: 01400034 movhi r5,0 - 7f4: 29491504 addi r5,r5,9300 - 7f8: 0000dd81 jmpi dd8 <_fwalk_reent> +000007e8 <_cleanup>: + 7e8: 00800034 movhi r2,0 + 7ec: 109ca304 addi r2,r2,29324 + 7f0: 11000017 ldw r4,0(r2) + 7f4: 01400034 movhi r5,0 + 7f8: 29491604 addi r5,r5,9304 + 7fc: 0000ddc1 jmpi ddc <_fwalk_reent> -000007fc <__sinit>: - 7fc: 20800e17 ldw r2,56(r4) - 800: 10000126 beq r2,zero,808 <__sinit+0xc> - 804: f800283a ret - 808: 00004b01 jmpi 4b0 <__sinit.part.1> +00000800 <__sinit>: + 800: 20800e17 ldw r2,56(r4) + 804: 10000126 beq r2,zero,80c <__sinit+0xc> + 808: f800283a ret + 80c: 00004b41 jmpi 4b4 <__sinit.part.1> -0000080c <__sfp_lock_acquire>: - 80c: f800283a ret - -00000810 <__sfp_lock_release>: +00000810 <__sfp_lock_acquire>: 810: f800283a ret -00000814 <__sinit_lock_acquire>: +00000814 <__sfp_lock_release>: 814: f800283a ret -00000818 <__sinit_lock_release>: +00000818 <__sinit_lock_acquire>: 818: f800283a ret -0000081c <__fp_lock_all>: - 81c: 00800034 movhi r2,0 - 820: 109c9704 addi r2,r2,29276 - 824: 11000017 ldw r4,0(r2) - 828: 01400034 movhi r5,0 - 82c: 29419304 addi r5,r5,1612 - 830: 0000d141 jmpi d14 <_fwalk> +0000081c <__sinit_lock_release>: + 81c: f800283a ret -00000834 <__fp_unlock_all>: - 834: 00800034 movhi r2,0 - 838: 109c9704 addi r2,r2,29276 - 83c: 11000017 ldw r4,0(r2) - 840: 01400034 movhi r5,0 - 844: 29412704 addi r5,r5,1180 - 848: 0000d141 jmpi d14 <_fwalk> +00000820 <__fp_lock_all>: + 820: 00800034 movhi r2,0 + 824: 109ca404 addi r2,r2,29328 + 828: 11000017 ldw r4,0(r2) + 82c: 01400034 movhi r5,0 + 830: 29419404 addi r5,r5,1616 + 834: 0000d181 jmpi d18 <_fwalk> -0000084c <__sfvwrite_r>: - 84c: 30800217 ldw r2,8(r6) - 850: 10006726 beq r2,zero,9f0 <__sfvwrite_r+0x1a4> - 854: 28c0030b ldhu r3,12(r5) - 858: defff404 addi sp,sp,-48 - 85c: dd400715 stw r21,28(sp) - 860: dd000615 stw r20,24(sp) - 864: dc000215 stw r16,8(sp) - 868: dfc00b15 stw ra,44(sp) - 86c: df000a15 stw fp,40(sp) - 870: ddc00915 stw r23,36(sp) - 874: dd800815 stw r22,32(sp) - 878: dcc00515 stw r19,20(sp) - 87c: dc800415 stw r18,16(sp) - 880: dc400315 stw r17,12(sp) - 884: 1880020c andi r2,r3,8 - 888: 2821883a mov r16,r5 - 88c: 202b883a mov r21,r4 - 890: 3029883a mov r20,r6 - 894: 10002726 beq r2,zero,934 <__sfvwrite_r+0xe8> - 898: 28800417 ldw r2,16(r5) - 89c: 10002526 beq r2,zero,934 <__sfvwrite_r+0xe8> - 8a0: 1880008c andi r2,r3,2 - 8a4: a4400017 ldw r17,0(r20) - 8a8: 10002a26 beq r2,zero,954 <__sfvwrite_r+0x108> - 8ac: 05a00034 movhi r22,32768 - 8b0: 0027883a mov r19,zero - 8b4: 0025883a mov r18,zero - 8b8: b5bf0004 addi r22,r22,-1024 - 8bc: 980d883a mov r6,r19 - 8c0: a809883a mov r4,r21 - 8c4: 90004626 beq r18,zero,9e0 <__sfvwrite_r+0x194> - 8c8: 900f883a mov r7,r18 - 8cc: b480022e bgeu r22,r18,8d8 <__sfvwrite_r+0x8c> - 8d0: 01e00034 movhi r7,32768 - 8d4: 39ff0004 addi r7,r7,-1024 - 8d8: 80800917 ldw r2,36(r16) - 8dc: 81400717 ldw r5,28(r16) - 8e0: 103ee83a callr r2 - 8e4: 00805a0e bge zero,r2,a50 <__sfvwrite_r+0x204> - 8e8: a0c00217 ldw r3,8(r20) - 8ec: 98a7883a add r19,r19,r2 - 8f0: 90a5c83a sub r18,r18,r2 - 8f4: 1885c83a sub r2,r3,r2 - 8f8: a0800215 stw r2,8(r20) - 8fc: 103fef1e bne r2,zero,8bc <__alt_data_end+0xfffe08bc> - 900: 0005883a mov r2,zero - 904: dfc00b17 ldw ra,44(sp) - 908: df000a17 ldw fp,40(sp) - 90c: ddc00917 ldw r23,36(sp) - 910: dd800817 ldw r22,32(sp) - 914: dd400717 ldw r21,28(sp) - 918: dd000617 ldw r20,24(sp) - 91c: dcc00517 ldw r19,20(sp) - 920: dc800417 ldw r18,16(sp) - 924: dc400317 ldw r17,12(sp) - 928: dc000217 ldw r16,8(sp) - 92c: dec00c04 addi sp,sp,48 - 930: f800283a ret - 934: 800b883a mov r5,r16 - 938: a809883a mov r4,r21 - 93c: 00022ac0 call 22ac <__swsetup_r> - 940: 1000ee1e bne r2,zero,cfc <__sfvwrite_r+0x4b0> - 944: 80c0030b ldhu r3,12(r16) - 948: a4400017 ldw r17,0(r20) - 94c: 1880008c andi r2,r3,2 - 950: 103fd61e bne r2,zero,8ac <__alt_data_end+0xfffe08ac> - 954: 1880004c andi r2,r3,1 - 958: 1000421e bne r2,zero,a64 <__sfvwrite_r+0x218> - 95c: 0039883a mov fp,zero - 960: 0025883a mov r18,zero - 964: 90001a26 beq r18,zero,9d0 <__sfvwrite_r+0x184> - 968: 1880800c andi r2,r3,512 - 96c: 84c00217 ldw r19,8(r16) - 970: 10002126 beq r2,zero,9f8 <__sfvwrite_r+0x1ac> - 974: 982f883a mov r23,r19 - 978: 94c09636 bltu r18,r19,bd4 <__sfvwrite_r+0x388> - 97c: 1881200c andi r2,r3,1152 - 980: 1000a11e bne r2,zero,c08 <__sfvwrite_r+0x3bc> - 984: 81000017 ldw r4,0(r16) - 988: b80d883a mov r6,r23 - 98c: e00b883a mov r5,fp - 990: 00018d40 call 18d4 - 994: 80c00217 ldw r3,8(r16) - 998: 81000017 ldw r4,0(r16) - 99c: 9005883a mov r2,r18 - 9a0: 1ce7c83a sub r19,r3,r19 - 9a4: 25cf883a add r7,r4,r23 - 9a8: 84c00215 stw r19,8(r16) - 9ac: 81c00015 stw r7,0(r16) - 9b0: a0c00217 ldw r3,8(r20) - 9b4: e0b9883a add fp,fp,r2 - 9b8: 90a5c83a sub r18,r18,r2 - 9bc: 18a7c83a sub r19,r3,r2 - 9c0: a4c00215 stw r19,8(r20) - 9c4: 983fce26 beq r19,zero,900 <__alt_data_end+0xfffe0900> - 9c8: 80c0030b ldhu r3,12(r16) - 9cc: 903fe61e bne r18,zero,968 <__alt_data_end+0xfffe0968> - 9d0: 8f000017 ldw fp,0(r17) - 9d4: 8c800117 ldw r18,4(r17) - 9d8: 8c400204 addi r17,r17,8 - 9dc: 003fe106 br 964 <__alt_data_end+0xfffe0964> - 9e0: 8cc00017 ldw r19,0(r17) - 9e4: 8c800117 ldw r18,4(r17) - 9e8: 8c400204 addi r17,r17,8 - 9ec: 003fb306 br 8bc <__alt_data_end+0xfffe08bc> - 9f0: 0005883a mov r2,zero - 9f4: f800283a ret - 9f8: 81000017 ldw r4,0(r16) - 9fc: 80800417 ldw r2,16(r16) - a00: 11005a36 bltu r2,r4,b6c <__sfvwrite_r+0x320> - a04: 85c00517 ldw r23,20(r16) - a08: 95c05836 bltu r18,r23,b6c <__sfvwrite_r+0x320> - a0c: 00a00034 movhi r2,32768 - a10: 10bfffc4 addi r2,r2,-1 - a14: 9009883a mov r4,r18 - a18: 1480012e bgeu r2,r18,a20 <__sfvwrite_r+0x1d4> - a1c: 1009883a mov r4,r2 - a20: b80b883a mov r5,r23 - a24: 0002f600 call 2f60 <__divsi3> - a28: b80b883a mov r5,r23 - a2c: 1009883a mov r4,r2 - a30: 00031140 call 3114 <__mulsi3> - a34: 81400717 ldw r5,28(r16) - a38: 80c00917 ldw r3,36(r16) - a3c: 100f883a mov r7,r2 - a40: e00d883a mov r6,fp - a44: a809883a mov r4,r21 - a48: 183ee83a callr r3 - a4c: 00bfd816 blt zero,r2,9b0 <__alt_data_end+0xfffe09b0> - a50: 8080030b ldhu r2,12(r16) - a54: 10801014 ori r2,r2,64 - a58: 8080030d sth r2,12(r16) - a5c: 00bfffc4 movi r2,-1 - a60: 003fa806 br 904 <__alt_data_end+0xfffe0904> - a64: 0027883a mov r19,zero - a68: 0011883a mov r8,zero - a6c: 0039883a mov fp,zero - a70: 0025883a mov r18,zero - a74: 90001f26 beq r18,zero,af4 <__sfvwrite_r+0x2a8> - a78: 40005a26 beq r8,zero,be4 <__sfvwrite_r+0x398> - a7c: 982d883a mov r22,r19 - a80: 94c0012e bgeu r18,r19,a88 <__sfvwrite_r+0x23c> - a84: 902d883a mov r22,r18 - a88: 81000017 ldw r4,0(r16) - a8c: 80800417 ldw r2,16(r16) - a90: b02f883a mov r23,r22 - a94: 81c00517 ldw r7,20(r16) - a98: 1100032e bgeu r2,r4,aa8 <__sfvwrite_r+0x25c> - a9c: 80c00217 ldw r3,8(r16) - aa0: 38c7883a add r3,r7,r3 - aa4: 1d801816 blt r3,r22,b08 <__sfvwrite_r+0x2bc> - aa8: b1c03e16 blt r22,r7,ba4 <__sfvwrite_r+0x358> - aac: 80800917 ldw r2,36(r16) - ab0: 81400717 ldw r5,28(r16) - ab4: e00d883a mov r6,fp - ab8: da000115 stw r8,4(sp) - abc: a809883a mov r4,r21 - ac0: 103ee83a callr r2 - ac4: 102f883a mov r23,r2 - ac8: da000117 ldw r8,4(sp) - acc: 00bfe00e bge zero,r2,a50 <__alt_data_end+0xfffe0a50> - ad0: 9de7c83a sub r19,r19,r23 - ad4: 98001f26 beq r19,zero,b54 <__sfvwrite_r+0x308> - ad8: a0800217 ldw r2,8(r20) - adc: e5f9883a add fp,fp,r23 - ae0: 95e5c83a sub r18,r18,r23 - ae4: 15efc83a sub r23,r2,r23 - ae8: a5c00215 stw r23,8(r20) - aec: b83f8426 beq r23,zero,900 <__alt_data_end+0xfffe0900> - af0: 903fe11e bne r18,zero,a78 <__alt_data_end+0xfffe0a78> - af4: 8f000017 ldw fp,0(r17) - af8: 8c800117 ldw r18,4(r17) - afc: 0011883a mov r8,zero - b00: 8c400204 addi r17,r17,8 - b04: 003fdb06 br a74 <__alt_data_end+0xfffe0a74> - b08: 180d883a mov r6,r3 - b0c: e00b883a mov r5,fp - b10: da000115 stw r8,4(sp) - b14: d8c00015 stw r3,0(sp) - b18: 00018d40 call 18d4 - b1c: d8c00017 ldw r3,0(sp) - b20: 80800017 ldw r2,0(r16) - b24: 800b883a mov r5,r16 - b28: a809883a mov r4,r21 - b2c: 10c5883a add r2,r2,r3 - b30: 80800015 stw r2,0(r16) - b34: d8c00015 stw r3,0(sp) - b38: 00027740 call 2774 <_fflush_r> - b3c: d8c00017 ldw r3,0(sp) - b40: da000117 ldw r8,4(sp) - b44: 103fc21e bne r2,zero,a50 <__alt_data_end+0xfffe0a50> - b48: 182f883a mov r23,r3 - b4c: 9de7c83a sub r19,r19,r23 - b50: 983fe11e bne r19,zero,ad8 <__alt_data_end+0xfffe0ad8> - b54: 800b883a mov r5,r16 - b58: a809883a mov r4,r21 - b5c: 00027740 call 2774 <_fflush_r> - b60: 103fbb1e bne r2,zero,a50 <__alt_data_end+0xfffe0a50> - b64: 0011883a mov r8,zero - b68: 003fdb06 br ad8 <__alt_data_end+0xfffe0ad8> - b6c: 94c0012e bgeu r18,r19,b74 <__sfvwrite_r+0x328> - b70: 9027883a mov r19,r18 - b74: 980d883a mov r6,r19 - b78: e00b883a mov r5,fp - b7c: 00018d40 call 18d4 - b80: 80800217 ldw r2,8(r16) - b84: 80c00017 ldw r3,0(r16) - b88: 14c5c83a sub r2,r2,r19 - b8c: 1cc7883a add r3,r3,r19 - b90: 80800215 stw r2,8(r16) - b94: 80c00015 stw r3,0(r16) - b98: 10004326 beq r2,zero,ca8 <__sfvwrite_r+0x45c> - b9c: 9805883a mov r2,r19 - ba0: 003f8306 br 9b0 <__alt_data_end+0xfffe09b0> - ba4: b00d883a mov r6,r22 - ba8: e00b883a mov r5,fp - bac: da000115 stw r8,4(sp) - bb0: 00018d40 call 18d4 - bb4: 80800217 ldw r2,8(r16) - bb8: 80c00017 ldw r3,0(r16) - bbc: da000117 ldw r8,4(sp) - bc0: 1585c83a sub r2,r2,r22 - bc4: 1dad883a add r22,r3,r22 - bc8: 80800215 stw r2,8(r16) - bcc: 85800015 stw r22,0(r16) - bd0: 003fbf06 br ad0 <__alt_data_end+0xfffe0ad0> - bd4: 81000017 ldw r4,0(r16) - bd8: 9027883a mov r19,r18 - bdc: 902f883a mov r23,r18 - be0: 003f6906 br 988 <__alt_data_end+0xfffe0988> - be4: 900d883a mov r6,r18 - be8: 01400284 movi r5,10 - bec: e009883a mov r4,fp - bf0: 00016a80 call 16a8 - bf4: 10003e26 beq r2,zero,cf0 <__sfvwrite_r+0x4a4> - bf8: 10800044 addi r2,r2,1 - bfc: 1727c83a sub r19,r2,fp - c00: 02000044 movi r8,1 - c04: 003f9d06 br a7c <__alt_data_end+0xfffe0a7c> - c08: 80800517 ldw r2,20(r16) - c0c: 81400417 ldw r5,16(r16) - c10: 81c00017 ldw r7,0(r16) - c14: 10a7883a add r19,r2,r2 - c18: 9885883a add r2,r19,r2 - c1c: 1026d7fa srli r19,r2,31 - c20: 396dc83a sub r22,r7,r5 - c24: b1000044 addi r4,r22,1 - c28: 9885883a add r2,r19,r2 - c2c: 1027d07a srai r19,r2,1 - c30: 2485883a add r2,r4,r18 - c34: 980d883a mov r6,r19 - c38: 9880022e bgeu r19,r2,c44 <__sfvwrite_r+0x3f8> - c3c: 1027883a mov r19,r2 - c40: 100d883a mov r6,r2 - c44: 18c1000c andi r3,r3,1024 - c48: 18001c26 beq r3,zero,cbc <__sfvwrite_r+0x470> - c4c: 300b883a mov r5,r6 - c50: a809883a mov r4,r21 - c54: 0000e9c0 call e9c <_malloc_r> - c58: 102f883a mov r23,r2 - c5c: 10002926 beq r2,zero,d04 <__sfvwrite_r+0x4b8> - c60: 81400417 ldw r5,16(r16) - c64: b00d883a mov r6,r22 - c68: 1009883a mov r4,r2 - c6c: 000178c0 call 178c - c70: 8080030b ldhu r2,12(r16) - c74: 00fedfc4 movi r3,-1153 - c78: 10c4703a and r2,r2,r3 - c7c: 10802014 ori r2,r2,128 - c80: 8080030d sth r2,12(r16) - c84: bd89883a add r4,r23,r22 - c88: 9d8fc83a sub r7,r19,r22 - c8c: 85c00415 stw r23,16(r16) - c90: 84c00515 stw r19,20(r16) - c94: 81000015 stw r4,0(r16) - c98: 9027883a mov r19,r18 - c9c: 81c00215 stw r7,8(r16) - ca0: 902f883a mov r23,r18 - ca4: 003f3806 br 988 <__alt_data_end+0xfffe0988> - ca8: 800b883a mov r5,r16 - cac: a809883a mov r4,r21 - cb0: 00027740 call 2774 <_fflush_r> - cb4: 103fb926 beq r2,zero,b9c <__alt_data_end+0xfffe0b9c> - cb8: 003f6506 br a50 <__alt_data_end+0xfffe0a50> - cbc: a809883a mov r4,r21 - cc0: 0001b580 call 1b58 <_realloc_r> - cc4: 102f883a mov r23,r2 - cc8: 103fee1e bne r2,zero,c84 <__alt_data_end+0xfffe0c84> - ccc: 81400417 ldw r5,16(r16) - cd0: a809883a mov r4,r21 - cd4: 00029240 call 2924 <_free_r> - cd8: 8080030b ldhu r2,12(r16) - cdc: 00ffdfc4 movi r3,-129 - ce0: 1884703a and r2,r3,r2 - ce4: 00c00304 movi r3,12 - ce8: a8c00015 stw r3,0(r21) - cec: 003f5906 br a54 <__alt_data_end+0xfffe0a54> - cf0: 94c00044 addi r19,r18,1 - cf4: 02000044 movi r8,1 - cf8: 003f6006 br a7c <__alt_data_end+0xfffe0a7c> - cfc: 00bfffc4 movi r2,-1 - d00: 003f0006 br 904 <__alt_data_end+0xfffe0904> - d04: 00800304 movi r2,12 - d08: a8800015 stw r2,0(r21) - d0c: 8080030b ldhu r2,12(r16) - d10: 003f5006 br a54 <__alt_data_end+0xfffe0a54> +00000838 <__fp_unlock_all>: + 838: 00800034 movhi r2,0 + 83c: 109ca404 addi r2,r2,29328 + 840: 11000017 ldw r4,0(r2) + 844: 01400034 movhi r5,0 + 848: 29412804 addi r5,r5,1184 + 84c: 0000d181 jmpi d18 <_fwalk> -00000d14 <_fwalk>: - d14: defff704 addi sp,sp,-36 - d18: dd000415 stw r20,16(sp) - d1c: dfc00815 stw ra,32(sp) - d20: ddc00715 stw r23,28(sp) - d24: dd800615 stw r22,24(sp) - d28: dd400515 stw r21,20(sp) - d2c: dcc00315 stw r19,12(sp) - d30: dc800215 stw r18,8(sp) - d34: dc400115 stw r17,4(sp) - d38: dc000015 stw r16,0(sp) - d3c: 2500b804 addi r20,r4,736 - d40: a0002326 beq r20,zero,dd0 <_fwalk+0xbc> - d44: 282b883a mov r21,r5 - d48: 002f883a mov r23,zero - d4c: 05800044 movi r22,1 - d50: 04ffffc4 movi r19,-1 - d54: a4400117 ldw r17,4(r20) - d58: a4800217 ldw r18,8(r20) - d5c: 8c7fffc4 addi r17,r17,-1 - d60: 88000d16 blt r17,zero,d98 <_fwalk+0x84> - d64: 94000304 addi r16,r18,12 - d68: 94800384 addi r18,r18,14 - d6c: 8080000b ldhu r2,0(r16) - d70: 8c7fffc4 addi r17,r17,-1 - d74: 813ffd04 addi r4,r16,-12 - d78: b080042e bgeu r22,r2,d8c <_fwalk+0x78> - d7c: 9080000f ldh r2,0(r18) - d80: 14c00226 beq r2,r19,d8c <_fwalk+0x78> - d84: a83ee83a callr r21 - d88: b8aeb03a or r23,r23,r2 - d8c: 84001a04 addi r16,r16,104 - d90: 94801a04 addi r18,r18,104 - d94: 8cfff51e bne r17,r19,d6c <__alt_data_end+0xfffe0d6c> - d98: a5000017 ldw r20,0(r20) - d9c: a03fed1e bne r20,zero,d54 <__alt_data_end+0xfffe0d54> - da0: b805883a mov r2,r23 - da4: dfc00817 ldw ra,32(sp) - da8: ddc00717 ldw r23,28(sp) - dac: dd800617 ldw r22,24(sp) - db0: dd400517 ldw r21,20(sp) - db4: dd000417 ldw r20,16(sp) - db8: dcc00317 ldw r19,12(sp) - dbc: dc800217 ldw r18,8(sp) - dc0: dc400117 ldw r17,4(sp) - dc4: dc000017 ldw r16,0(sp) - dc8: dec00904 addi sp,sp,36 - dcc: f800283a ret - dd0: 002f883a mov r23,zero - dd4: 003ff206 br da0 <__alt_data_end+0xfffe0da0> +00000850 <__sfvwrite_r>: + 850: 30800217 ldw r2,8(r6) + 854: 10006726 beq r2,zero,9f4 <__sfvwrite_r+0x1a4> + 858: 28c0030b ldhu r3,12(r5) + 85c: defff404 addi sp,sp,-48 + 860: dd400715 stw r21,28(sp) + 864: dd000615 stw r20,24(sp) + 868: dc000215 stw r16,8(sp) + 86c: dfc00b15 stw ra,44(sp) + 870: df000a15 stw fp,40(sp) + 874: ddc00915 stw r23,36(sp) + 878: dd800815 stw r22,32(sp) + 87c: dcc00515 stw r19,20(sp) + 880: dc800415 stw r18,16(sp) + 884: dc400315 stw r17,12(sp) + 888: 1880020c andi r2,r3,8 + 88c: 2821883a mov r16,r5 + 890: 202b883a mov r21,r4 + 894: 3029883a mov r20,r6 + 898: 10002726 beq r2,zero,938 <__sfvwrite_r+0xe8> + 89c: 28800417 ldw r2,16(r5) + 8a0: 10002526 beq r2,zero,938 <__sfvwrite_r+0xe8> + 8a4: 1880008c andi r2,r3,2 + 8a8: a4400017 ldw r17,0(r20) + 8ac: 10002a26 beq r2,zero,958 <__sfvwrite_r+0x108> + 8b0: 05a00034 movhi r22,32768 + 8b4: 0027883a mov r19,zero + 8b8: 0025883a mov r18,zero + 8bc: b5bf0004 addi r22,r22,-1024 + 8c0: 980d883a mov r6,r19 + 8c4: a809883a mov r4,r21 + 8c8: 90004626 beq r18,zero,9e4 <__sfvwrite_r+0x194> + 8cc: 900f883a mov r7,r18 + 8d0: b480022e bgeu r22,r18,8dc <__sfvwrite_r+0x8c> + 8d4: 01e00034 movhi r7,32768 + 8d8: 39ff0004 addi r7,r7,-1024 + 8dc: 80800917 ldw r2,36(r16) + 8e0: 81400717 ldw r5,28(r16) + 8e4: 103ee83a callr r2 + 8e8: 00805a0e bge zero,r2,a54 <__sfvwrite_r+0x204> + 8ec: a0c00217 ldw r3,8(r20) + 8f0: 98a7883a add r19,r19,r2 + 8f4: 90a5c83a sub r18,r18,r2 + 8f8: 1885c83a sub r2,r3,r2 + 8fc: a0800215 stw r2,8(r20) + 900: 103fef1e bne r2,zero,8c0 <__alt_data_end+0xfffe08c0> + 904: 0005883a mov r2,zero + 908: dfc00b17 ldw ra,44(sp) + 90c: df000a17 ldw fp,40(sp) + 910: ddc00917 ldw r23,36(sp) + 914: dd800817 ldw r22,32(sp) + 918: dd400717 ldw r21,28(sp) + 91c: dd000617 ldw r20,24(sp) + 920: dcc00517 ldw r19,20(sp) + 924: dc800417 ldw r18,16(sp) + 928: dc400317 ldw r17,12(sp) + 92c: dc000217 ldw r16,8(sp) + 930: dec00c04 addi sp,sp,48 + 934: f800283a ret + 938: 800b883a mov r5,r16 + 93c: a809883a mov r4,r21 + 940: 00022b00 call 22b0 <__swsetup_r> + 944: 1000ee1e bne r2,zero,d00 <__sfvwrite_r+0x4b0> + 948: 80c0030b ldhu r3,12(r16) + 94c: a4400017 ldw r17,0(r20) + 950: 1880008c andi r2,r3,2 + 954: 103fd61e bne r2,zero,8b0 <__alt_data_end+0xfffe08b0> + 958: 1880004c andi r2,r3,1 + 95c: 1000421e bne r2,zero,a68 <__sfvwrite_r+0x218> + 960: 0039883a mov fp,zero + 964: 0025883a mov r18,zero + 968: 90001a26 beq r18,zero,9d4 <__sfvwrite_r+0x184> + 96c: 1880800c andi r2,r3,512 + 970: 84c00217 ldw r19,8(r16) + 974: 10002126 beq r2,zero,9fc <__sfvwrite_r+0x1ac> + 978: 982f883a mov r23,r19 + 97c: 94c09636 bltu r18,r19,bd8 <__sfvwrite_r+0x388> + 980: 1881200c andi r2,r3,1152 + 984: 1000a11e bne r2,zero,c0c <__sfvwrite_r+0x3bc> + 988: 81000017 ldw r4,0(r16) + 98c: b80d883a mov r6,r23 + 990: e00b883a mov r5,fp + 994: 00018d80 call 18d8 + 998: 80c00217 ldw r3,8(r16) + 99c: 81000017 ldw r4,0(r16) + 9a0: 9005883a mov r2,r18 + 9a4: 1ce7c83a sub r19,r3,r19 + 9a8: 25cf883a add r7,r4,r23 + 9ac: 84c00215 stw r19,8(r16) + 9b0: 81c00015 stw r7,0(r16) + 9b4: a0c00217 ldw r3,8(r20) + 9b8: e0b9883a add fp,fp,r2 + 9bc: 90a5c83a sub r18,r18,r2 + 9c0: 18a7c83a sub r19,r3,r2 + 9c4: a4c00215 stw r19,8(r20) + 9c8: 983fce26 beq r19,zero,904 <__alt_data_end+0xfffe0904> + 9cc: 80c0030b ldhu r3,12(r16) + 9d0: 903fe61e bne r18,zero,96c <__alt_data_end+0xfffe096c> + 9d4: 8f000017 ldw fp,0(r17) + 9d8: 8c800117 ldw r18,4(r17) + 9dc: 8c400204 addi r17,r17,8 + 9e0: 003fe106 br 968 <__alt_data_end+0xfffe0968> + 9e4: 8cc00017 ldw r19,0(r17) + 9e8: 8c800117 ldw r18,4(r17) + 9ec: 8c400204 addi r17,r17,8 + 9f0: 003fb306 br 8c0 <__alt_data_end+0xfffe08c0> + 9f4: 0005883a mov r2,zero + 9f8: f800283a ret + 9fc: 81000017 ldw r4,0(r16) + a00: 80800417 ldw r2,16(r16) + a04: 11005a36 bltu r2,r4,b70 <__sfvwrite_r+0x320> + a08: 85c00517 ldw r23,20(r16) + a0c: 95c05836 bltu r18,r23,b70 <__sfvwrite_r+0x320> + a10: 00a00034 movhi r2,32768 + a14: 10bfffc4 addi r2,r2,-1 + a18: 9009883a mov r4,r18 + a1c: 1480012e bgeu r2,r18,a24 <__sfvwrite_r+0x1d4> + a20: 1009883a mov r4,r2 + a24: b80b883a mov r5,r23 + a28: 0002f640 call 2f64 <__divsi3> + a2c: b80b883a mov r5,r23 + a30: 1009883a mov r4,r2 + a34: 00031180 call 3118 <__mulsi3> + a38: 81400717 ldw r5,28(r16) + a3c: 80c00917 ldw r3,36(r16) + a40: 100f883a mov r7,r2 + a44: e00d883a mov r6,fp + a48: a809883a mov r4,r21 + a4c: 183ee83a callr r3 + a50: 00bfd816 blt zero,r2,9b4 <__alt_data_end+0xfffe09b4> + a54: 8080030b ldhu r2,12(r16) + a58: 10801014 ori r2,r2,64 + a5c: 8080030d sth r2,12(r16) + a60: 00bfffc4 movi r2,-1 + a64: 003fa806 br 908 <__alt_data_end+0xfffe0908> + a68: 0027883a mov r19,zero + a6c: 0011883a mov r8,zero + a70: 0039883a mov fp,zero + a74: 0025883a mov r18,zero + a78: 90001f26 beq r18,zero,af8 <__sfvwrite_r+0x2a8> + a7c: 40005a26 beq r8,zero,be8 <__sfvwrite_r+0x398> + a80: 982d883a mov r22,r19 + a84: 94c0012e bgeu r18,r19,a8c <__sfvwrite_r+0x23c> + a88: 902d883a mov r22,r18 + a8c: 81000017 ldw r4,0(r16) + a90: 80800417 ldw r2,16(r16) + a94: b02f883a mov r23,r22 + a98: 81c00517 ldw r7,20(r16) + a9c: 1100032e bgeu r2,r4,aac <__sfvwrite_r+0x25c> + aa0: 80c00217 ldw r3,8(r16) + aa4: 38c7883a add r3,r7,r3 + aa8: 1d801816 blt r3,r22,b0c <__sfvwrite_r+0x2bc> + aac: b1c03e16 blt r22,r7,ba8 <__sfvwrite_r+0x358> + ab0: 80800917 ldw r2,36(r16) + ab4: 81400717 ldw r5,28(r16) + ab8: e00d883a mov r6,fp + abc: da000115 stw r8,4(sp) + ac0: a809883a mov r4,r21 + ac4: 103ee83a callr r2 + ac8: 102f883a mov r23,r2 + acc: da000117 ldw r8,4(sp) + ad0: 00bfe00e bge zero,r2,a54 <__alt_data_end+0xfffe0a54> + ad4: 9de7c83a sub r19,r19,r23 + ad8: 98001f26 beq r19,zero,b58 <__sfvwrite_r+0x308> + adc: a0800217 ldw r2,8(r20) + ae0: e5f9883a add fp,fp,r23 + ae4: 95e5c83a sub r18,r18,r23 + ae8: 15efc83a sub r23,r2,r23 + aec: a5c00215 stw r23,8(r20) + af0: b83f8426 beq r23,zero,904 <__alt_data_end+0xfffe0904> + af4: 903fe11e bne r18,zero,a7c <__alt_data_end+0xfffe0a7c> + af8: 8f000017 ldw fp,0(r17) + afc: 8c800117 ldw r18,4(r17) + b00: 0011883a mov r8,zero + b04: 8c400204 addi r17,r17,8 + b08: 003fdb06 br a78 <__alt_data_end+0xfffe0a78> + b0c: 180d883a mov r6,r3 + b10: e00b883a mov r5,fp + b14: da000115 stw r8,4(sp) + b18: d8c00015 stw r3,0(sp) + b1c: 00018d80 call 18d8 + b20: d8c00017 ldw r3,0(sp) + b24: 80800017 ldw r2,0(r16) + b28: 800b883a mov r5,r16 + b2c: a809883a mov r4,r21 + b30: 10c5883a add r2,r2,r3 + b34: 80800015 stw r2,0(r16) + b38: d8c00015 stw r3,0(sp) + b3c: 00027780 call 2778 <_fflush_r> + b40: d8c00017 ldw r3,0(sp) + b44: da000117 ldw r8,4(sp) + b48: 103fc21e bne r2,zero,a54 <__alt_data_end+0xfffe0a54> + b4c: 182f883a mov r23,r3 + b50: 9de7c83a sub r19,r19,r23 + b54: 983fe11e bne r19,zero,adc <__alt_data_end+0xfffe0adc> + b58: 800b883a mov r5,r16 + b5c: a809883a mov r4,r21 + b60: 00027780 call 2778 <_fflush_r> + b64: 103fbb1e bne r2,zero,a54 <__alt_data_end+0xfffe0a54> + b68: 0011883a mov r8,zero + b6c: 003fdb06 br adc <__alt_data_end+0xfffe0adc> + b70: 94c0012e bgeu r18,r19,b78 <__sfvwrite_r+0x328> + b74: 9027883a mov r19,r18 + b78: 980d883a mov r6,r19 + b7c: e00b883a mov r5,fp + b80: 00018d80 call 18d8 + b84: 80800217 ldw r2,8(r16) + b88: 80c00017 ldw r3,0(r16) + b8c: 14c5c83a sub r2,r2,r19 + b90: 1cc7883a add r3,r3,r19 + b94: 80800215 stw r2,8(r16) + b98: 80c00015 stw r3,0(r16) + b9c: 10004326 beq r2,zero,cac <__sfvwrite_r+0x45c> + ba0: 9805883a mov r2,r19 + ba4: 003f8306 br 9b4 <__alt_data_end+0xfffe09b4> + ba8: b00d883a mov r6,r22 + bac: e00b883a mov r5,fp + bb0: da000115 stw r8,4(sp) + bb4: 00018d80 call 18d8 + bb8: 80800217 ldw r2,8(r16) + bbc: 80c00017 ldw r3,0(r16) + bc0: da000117 ldw r8,4(sp) + bc4: 1585c83a sub r2,r2,r22 + bc8: 1dad883a add r22,r3,r22 + bcc: 80800215 stw r2,8(r16) + bd0: 85800015 stw r22,0(r16) + bd4: 003fbf06 br ad4 <__alt_data_end+0xfffe0ad4> + bd8: 81000017 ldw r4,0(r16) + bdc: 9027883a mov r19,r18 + be0: 902f883a mov r23,r18 + be4: 003f6906 br 98c <__alt_data_end+0xfffe098c> + be8: 900d883a mov r6,r18 + bec: 01400284 movi r5,10 + bf0: e009883a mov r4,fp + bf4: 00016ac0 call 16ac + bf8: 10003e26 beq r2,zero,cf4 <__sfvwrite_r+0x4a4> + bfc: 10800044 addi r2,r2,1 + c00: 1727c83a sub r19,r2,fp + c04: 02000044 movi r8,1 + c08: 003f9d06 br a80 <__alt_data_end+0xfffe0a80> + c0c: 80800517 ldw r2,20(r16) + c10: 81400417 ldw r5,16(r16) + c14: 81c00017 ldw r7,0(r16) + c18: 10a7883a add r19,r2,r2 + c1c: 9885883a add r2,r19,r2 + c20: 1026d7fa srli r19,r2,31 + c24: 396dc83a sub r22,r7,r5 + c28: b1000044 addi r4,r22,1 + c2c: 9885883a add r2,r19,r2 + c30: 1027d07a srai r19,r2,1 + c34: 2485883a add r2,r4,r18 + c38: 980d883a mov r6,r19 + c3c: 9880022e bgeu r19,r2,c48 <__sfvwrite_r+0x3f8> + c40: 1027883a mov r19,r2 + c44: 100d883a mov r6,r2 + c48: 18c1000c andi r3,r3,1024 + c4c: 18001c26 beq r3,zero,cc0 <__sfvwrite_r+0x470> + c50: 300b883a mov r5,r6 + c54: a809883a mov r4,r21 + c58: 0000ea00 call ea0 <_malloc_r> + c5c: 102f883a mov r23,r2 + c60: 10002926 beq r2,zero,d08 <__sfvwrite_r+0x4b8> + c64: 81400417 ldw r5,16(r16) + c68: b00d883a mov r6,r22 + c6c: 1009883a mov r4,r2 + c70: 00017900 call 1790 + c74: 8080030b ldhu r2,12(r16) + c78: 00fedfc4 movi r3,-1153 + c7c: 10c4703a and r2,r2,r3 + c80: 10802014 ori r2,r2,128 + c84: 8080030d sth r2,12(r16) + c88: bd89883a add r4,r23,r22 + c8c: 9d8fc83a sub r7,r19,r22 + c90: 85c00415 stw r23,16(r16) + c94: 84c00515 stw r19,20(r16) + c98: 81000015 stw r4,0(r16) + c9c: 9027883a mov r19,r18 + ca0: 81c00215 stw r7,8(r16) + ca4: 902f883a mov r23,r18 + ca8: 003f3806 br 98c <__alt_data_end+0xfffe098c> + cac: 800b883a mov r5,r16 + cb0: a809883a mov r4,r21 + cb4: 00027780 call 2778 <_fflush_r> + cb8: 103fb926 beq r2,zero,ba0 <__alt_data_end+0xfffe0ba0> + cbc: 003f6506 br a54 <__alt_data_end+0xfffe0a54> + cc0: a809883a mov r4,r21 + cc4: 0001b5c0 call 1b5c <_realloc_r> + cc8: 102f883a mov r23,r2 + ccc: 103fee1e bne r2,zero,c88 <__alt_data_end+0xfffe0c88> + cd0: 81400417 ldw r5,16(r16) + cd4: a809883a mov r4,r21 + cd8: 00029280 call 2928 <_free_r> + cdc: 8080030b ldhu r2,12(r16) + ce0: 00ffdfc4 movi r3,-129 + ce4: 1884703a and r2,r3,r2 + ce8: 00c00304 movi r3,12 + cec: a8c00015 stw r3,0(r21) + cf0: 003f5906 br a58 <__alt_data_end+0xfffe0a58> + cf4: 94c00044 addi r19,r18,1 + cf8: 02000044 movi r8,1 + cfc: 003f6006 br a80 <__alt_data_end+0xfffe0a80> + d00: 00bfffc4 movi r2,-1 + d04: 003f0006 br 908 <__alt_data_end+0xfffe0908> + d08: 00800304 movi r2,12 + d0c: a8800015 stw r2,0(r21) + d10: 8080030b ldhu r2,12(r16) + d14: 003f5006 br a58 <__alt_data_end+0xfffe0a58> -00000dd8 <_fwalk_reent>: - dd8: defff704 addi sp,sp,-36 - ddc: dd000415 stw r20,16(sp) - de0: dfc00815 stw ra,32(sp) - de4: ddc00715 stw r23,28(sp) - de8: dd800615 stw r22,24(sp) - dec: dd400515 stw r21,20(sp) - df0: dcc00315 stw r19,12(sp) - df4: dc800215 stw r18,8(sp) - df8: dc400115 stw r17,4(sp) - dfc: dc000015 stw r16,0(sp) - e00: 2500b804 addi r20,r4,736 - e04: a0002326 beq r20,zero,e94 <_fwalk_reent+0xbc> - e08: 282b883a mov r21,r5 - e0c: 2027883a mov r19,r4 - e10: 002f883a mov r23,zero - e14: 05800044 movi r22,1 - e18: 04bfffc4 movi r18,-1 - e1c: a4400117 ldw r17,4(r20) - e20: a4000217 ldw r16,8(r20) - e24: 8c7fffc4 addi r17,r17,-1 - e28: 88000c16 blt r17,zero,e5c <_fwalk_reent+0x84> - e2c: 84000304 addi r16,r16,12 - e30: 8080000b ldhu r2,0(r16) - e34: 8c7fffc4 addi r17,r17,-1 - e38: 817ffd04 addi r5,r16,-12 - e3c: b080052e bgeu r22,r2,e54 <_fwalk_reent+0x7c> - e40: 8080008f ldh r2,2(r16) - e44: 9809883a mov r4,r19 - e48: 14800226 beq r2,r18,e54 <_fwalk_reent+0x7c> - e4c: a83ee83a callr r21 - e50: b8aeb03a or r23,r23,r2 - e54: 84001a04 addi r16,r16,104 - e58: 8cbff51e bne r17,r18,e30 <__alt_data_end+0xfffe0e30> - e5c: a5000017 ldw r20,0(r20) - e60: a03fee1e bne r20,zero,e1c <__alt_data_end+0xfffe0e1c> - e64: b805883a mov r2,r23 - e68: dfc00817 ldw ra,32(sp) - e6c: ddc00717 ldw r23,28(sp) - e70: dd800617 ldw r22,24(sp) - e74: dd400517 ldw r21,20(sp) - e78: dd000417 ldw r20,16(sp) - e7c: dcc00317 ldw r19,12(sp) - e80: dc800217 ldw r18,8(sp) - e84: dc400117 ldw r17,4(sp) - e88: dc000017 ldw r16,0(sp) - e8c: dec00904 addi sp,sp,36 - e90: f800283a ret - e94: 002f883a mov r23,zero - e98: 003ff206 br e64 <__alt_data_end+0xfffe0e64> +00000d18 <_fwalk>: + d18: defff704 addi sp,sp,-36 + d1c: dd000415 stw r20,16(sp) + d20: dfc00815 stw ra,32(sp) + d24: ddc00715 stw r23,28(sp) + d28: dd800615 stw r22,24(sp) + d2c: dd400515 stw r21,20(sp) + d30: dcc00315 stw r19,12(sp) + d34: dc800215 stw r18,8(sp) + d38: dc400115 stw r17,4(sp) + d3c: dc000015 stw r16,0(sp) + d40: 2500b804 addi r20,r4,736 + d44: a0002326 beq r20,zero,dd4 <_fwalk+0xbc> + d48: 282b883a mov r21,r5 + d4c: 002f883a mov r23,zero + d50: 05800044 movi r22,1 + d54: 04ffffc4 movi r19,-1 + d58: a4400117 ldw r17,4(r20) + d5c: a4800217 ldw r18,8(r20) + d60: 8c7fffc4 addi r17,r17,-1 + d64: 88000d16 blt r17,zero,d9c <_fwalk+0x84> + d68: 94000304 addi r16,r18,12 + d6c: 94800384 addi r18,r18,14 + d70: 8080000b ldhu r2,0(r16) + d74: 8c7fffc4 addi r17,r17,-1 + d78: 813ffd04 addi r4,r16,-12 + d7c: b080042e bgeu r22,r2,d90 <_fwalk+0x78> + d80: 9080000f ldh r2,0(r18) + d84: 14c00226 beq r2,r19,d90 <_fwalk+0x78> + d88: a83ee83a callr r21 + d8c: b8aeb03a or r23,r23,r2 + d90: 84001a04 addi r16,r16,104 + d94: 94801a04 addi r18,r18,104 + d98: 8cfff51e bne r17,r19,d70 <__alt_data_end+0xfffe0d70> + d9c: a5000017 ldw r20,0(r20) + da0: a03fed1e bne r20,zero,d58 <__alt_data_end+0xfffe0d58> + da4: b805883a mov r2,r23 + da8: dfc00817 ldw ra,32(sp) + dac: ddc00717 ldw r23,28(sp) + db0: dd800617 ldw r22,24(sp) + db4: dd400517 ldw r21,20(sp) + db8: dd000417 ldw r20,16(sp) + dbc: dcc00317 ldw r19,12(sp) + dc0: dc800217 ldw r18,8(sp) + dc4: dc400117 ldw r17,4(sp) + dc8: dc000017 ldw r16,0(sp) + dcc: dec00904 addi sp,sp,36 + dd0: f800283a ret + dd4: 002f883a mov r23,zero + dd8: 003ff206 br da4 <__alt_data_end+0xfffe0da4> -00000e9c <_malloc_r>: - e9c: defff504 addi sp,sp,-44 - ea0: dc800315 stw r18,12(sp) - ea4: dfc00a15 stw ra,40(sp) - ea8: df000915 stw fp,36(sp) - eac: ddc00815 stw r23,32(sp) - eb0: dd800715 stw r22,28(sp) - eb4: dd400615 stw r21,24(sp) - eb8: dd000515 stw r20,20(sp) - ebc: dcc00415 stw r19,16(sp) - ec0: dc400215 stw r17,8(sp) - ec4: dc000115 stw r16,4(sp) - ec8: 288002c4 addi r2,r5,11 - ecc: 00c00584 movi r3,22 - ed0: 2025883a mov r18,r4 - ed4: 18807f2e bgeu r3,r2,10d4 <_malloc_r+0x238> - ed8: 047ffe04 movi r17,-8 - edc: 1462703a and r17,r2,r17 - ee0: 8800a316 blt r17,zero,1170 <_malloc_r+0x2d4> - ee4: 8940a236 bltu r17,r5,1170 <_malloc_r+0x2d4> - ee8: 00036140 call 3614 <__malloc_lock> - eec: 00807dc4 movi r2,503 - ef0: 1441e92e bgeu r2,r17,1698 <_malloc_r+0x7fc> - ef4: 8804d27a srli r2,r17,9 - ef8: 1000a126 beq r2,zero,1180 <_malloc_r+0x2e4> - efc: 00c00104 movi r3,4 - f00: 18811e36 bltu r3,r2,137c <_malloc_r+0x4e0> - f04: 8804d1ba srli r2,r17,6 - f08: 12000e44 addi r8,r2,57 - f0c: 11c00e04 addi r7,r2,56 - f10: 4209883a add r4,r8,r8 - f14: 04c00034 movhi r19,0 - f18: 2109883a add r4,r4,r4 - f1c: 9cd71204 addi r19,r19,23624 - f20: 2109883a add r4,r4,r4 - f24: 9909883a add r4,r19,r4 - f28: 24000117 ldw r16,4(r4) - f2c: 213ffe04 addi r4,r4,-8 - f30: 24009726 beq r4,r16,1190 <_malloc_r+0x2f4> - f34: 80800117 ldw r2,4(r16) - f38: 01bfff04 movi r6,-4 - f3c: 014003c4 movi r5,15 - f40: 1184703a and r2,r2,r6 - f44: 1447c83a sub r3,r2,r17 - f48: 28c00716 blt r5,r3,f68 <_malloc_r+0xcc> - f4c: 1800920e bge r3,zero,1198 <_malloc_r+0x2fc> - f50: 84000317 ldw r16,12(r16) - f54: 24008e26 beq r4,r16,1190 <_malloc_r+0x2f4> - f58: 80800117 ldw r2,4(r16) - f5c: 1184703a and r2,r2,r6 - f60: 1447c83a sub r3,r2,r17 - f64: 28fff90e bge r5,r3,f4c <__alt_data_end+0xfffe0f4c> - f68: 3809883a mov r4,r7 - f6c: 01800034 movhi r6,0 - f70: 9c000417 ldw r16,16(r19) - f74: 31971204 addi r6,r6,23624 - f78: 32000204 addi r8,r6,8 - f7c: 82013426 beq r16,r8,1450 <_malloc_r+0x5b4> - f80: 80c00117 ldw r3,4(r16) - f84: 00bfff04 movi r2,-4 - f88: 188e703a and r7,r3,r2 - f8c: 3c45c83a sub r2,r7,r17 - f90: 00c003c4 movi r3,15 - f94: 18811f16 blt r3,r2,1414 <_malloc_r+0x578> - f98: 32000515 stw r8,20(r6) - f9c: 32000415 stw r8,16(r6) - fa0: 10007f0e bge r2,zero,11a0 <_malloc_r+0x304> - fa4: 00807fc4 movi r2,511 - fa8: 11c0fd36 bltu r2,r7,13a0 <_malloc_r+0x504> - fac: 3806d0fa srli r3,r7,3 - fb0: 01c00044 movi r7,1 - fb4: 30800117 ldw r2,4(r6) - fb8: 19400044 addi r5,r3,1 - fbc: 294b883a add r5,r5,r5 - fc0: 1807d0ba srai r3,r3,2 - fc4: 294b883a add r5,r5,r5 +00000ddc <_fwalk_reent>: + ddc: defff704 addi sp,sp,-36 + de0: dd000415 stw r20,16(sp) + de4: dfc00815 stw ra,32(sp) + de8: ddc00715 stw r23,28(sp) + dec: dd800615 stw r22,24(sp) + df0: dd400515 stw r21,20(sp) + df4: dcc00315 stw r19,12(sp) + df8: dc800215 stw r18,8(sp) + dfc: dc400115 stw r17,4(sp) + e00: dc000015 stw r16,0(sp) + e04: 2500b804 addi r20,r4,736 + e08: a0002326 beq r20,zero,e98 <_fwalk_reent+0xbc> + e0c: 282b883a mov r21,r5 + e10: 2027883a mov r19,r4 + e14: 002f883a mov r23,zero + e18: 05800044 movi r22,1 + e1c: 04bfffc4 movi r18,-1 + e20: a4400117 ldw r17,4(r20) + e24: a4000217 ldw r16,8(r20) + e28: 8c7fffc4 addi r17,r17,-1 + e2c: 88000c16 blt r17,zero,e60 <_fwalk_reent+0x84> + e30: 84000304 addi r16,r16,12 + e34: 8080000b ldhu r2,0(r16) + e38: 8c7fffc4 addi r17,r17,-1 + e3c: 817ffd04 addi r5,r16,-12 + e40: b080052e bgeu r22,r2,e58 <_fwalk_reent+0x7c> + e44: 8080008f ldh r2,2(r16) + e48: 9809883a mov r4,r19 + e4c: 14800226 beq r2,r18,e58 <_fwalk_reent+0x7c> + e50: a83ee83a callr r21 + e54: b8aeb03a or r23,r23,r2 + e58: 84001a04 addi r16,r16,104 + e5c: 8cbff51e bne r17,r18,e34 <__alt_data_end+0xfffe0e34> + e60: a5000017 ldw r20,0(r20) + e64: a03fee1e bne r20,zero,e20 <__alt_data_end+0xfffe0e20> + e68: b805883a mov r2,r23 + e6c: dfc00817 ldw ra,32(sp) + e70: ddc00717 ldw r23,28(sp) + e74: dd800617 ldw r22,24(sp) + e78: dd400517 ldw r21,20(sp) + e7c: dd000417 ldw r20,16(sp) + e80: dcc00317 ldw r19,12(sp) + e84: dc800217 ldw r18,8(sp) + e88: dc400117 ldw r17,4(sp) + e8c: dc000017 ldw r16,0(sp) + e90: dec00904 addi sp,sp,36 + e94: f800283a ret + e98: 002f883a mov r23,zero + e9c: 003ff206 br e68 <__alt_data_end+0xfffe0e68> + +00000ea0 <_malloc_r>: + ea0: defff504 addi sp,sp,-44 + ea4: dc800315 stw r18,12(sp) + ea8: dfc00a15 stw ra,40(sp) + eac: df000915 stw fp,36(sp) + eb0: ddc00815 stw r23,32(sp) + eb4: dd800715 stw r22,28(sp) + eb8: dd400615 stw r21,24(sp) + ebc: dd000515 stw r20,20(sp) + ec0: dcc00415 stw r19,16(sp) + ec4: dc400215 stw r17,8(sp) + ec8: dc000115 stw r16,4(sp) + ecc: 288002c4 addi r2,r5,11 + ed0: 00c00584 movi r3,22 + ed4: 2025883a mov r18,r4 + ed8: 18807f2e bgeu r3,r2,10d8 <_malloc_r+0x238> + edc: 047ffe04 movi r17,-8 + ee0: 1462703a and r17,r2,r17 + ee4: 8800a316 blt r17,zero,1174 <_malloc_r+0x2d4> + ee8: 8940a236 bltu r17,r5,1174 <_malloc_r+0x2d4> + eec: 00036180 call 3618 <__malloc_lock> + ef0: 00807dc4 movi r2,503 + ef4: 1441e92e bgeu r2,r17,169c <_malloc_r+0x7fc> + ef8: 8804d27a srli r2,r17,9 + efc: 1000a126 beq r2,zero,1184 <_malloc_r+0x2e4> + f00: 00c00104 movi r3,4 + f04: 18811e36 bltu r3,r2,1380 <_malloc_r+0x4e0> + f08: 8804d1ba srli r2,r17,6 + f0c: 12000e44 addi r8,r2,57 + f10: 11c00e04 addi r7,r2,56 + f14: 4209883a add r4,r8,r8 + f18: 04c00034 movhi r19,0 + f1c: 2109883a add r4,r4,r4 + f20: 9cd71f04 addi r19,r19,23676 + f24: 2109883a add r4,r4,r4 + f28: 9909883a add r4,r19,r4 + f2c: 24000117 ldw r16,4(r4) + f30: 213ffe04 addi r4,r4,-8 + f34: 24009726 beq r4,r16,1194 <_malloc_r+0x2f4> + f38: 80800117 ldw r2,4(r16) + f3c: 01bfff04 movi r6,-4 + f40: 014003c4 movi r5,15 + f44: 1184703a and r2,r2,r6 + f48: 1447c83a sub r3,r2,r17 + f4c: 28c00716 blt r5,r3,f6c <_malloc_r+0xcc> + f50: 1800920e bge r3,zero,119c <_malloc_r+0x2fc> + f54: 84000317 ldw r16,12(r16) + f58: 24008e26 beq r4,r16,1194 <_malloc_r+0x2f4> + f5c: 80800117 ldw r2,4(r16) + f60: 1184703a and r2,r2,r6 + f64: 1447c83a sub r3,r2,r17 + f68: 28fff90e bge r5,r3,f50 <__alt_data_end+0xfffe0f50> + f6c: 3809883a mov r4,r7 + f70: 01800034 movhi r6,0 + f74: 9c000417 ldw r16,16(r19) + f78: 31971f04 addi r6,r6,23676 + f7c: 32000204 addi r8,r6,8 + f80: 82013426 beq r16,r8,1454 <_malloc_r+0x5b4> + f84: 80c00117 ldw r3,4(r16) + f88: 00bfff04 movi r2,-4 + f8c: 188e703a and r7,r3,r2 + f90: 3c45c83a sub r2,r7,r17 + f94: 00c003c4 movi r3,15 + f98: 18811f16 blt r3,r2,1418 <_malloc_r+0x578> + f9c: 32000515 stw r8,20(r6) + fa0: 32000415 stw r8,16(r6) + fa4: 10007f0e bge r2,zero,11a4 <_malloc_r+0x304> + fa8: 00807fc4 movi r2,511 + fac: 11c0fd36 bltu r2,r7,13a4 <_malloc_r+0x504> + fb0: 3806d0fa srli r3,r7,3 + fb4: 01c00044 movi r7,1 + fb8: 30800117 ldw r2,4(r6) + fbc: 19400044 addi r5,r3,1 + fc0: 294b883a add r5,r5,r5 + fc4: 1807d0ba srai r3,r3,2 fc8: 294b883a add r5,r5,r5 - fcc: 298b883a add r5,r5,r6 - fd0: 38c6983a sll r3,r7,r3 - fd4: 29c00017 ldw r7,0(r5) - fd8: 2a7ffe04 addi r9,r5,-8 - fdc: 1886b03a or r3,r3,r2 - fe0: 82400315 stw r9,12(r16) - fe4: 81c00215 stw r7,8(r16) - fe8: 30c00115 stw r3,4(r6) - fec: 2c000015 stw r16,0(r5) - ff0: 3c000315 stw r16,12(r7) - ff4: 2005d0ba srai r2,r4,2 - ff8: 01400044 movi r5,1 - ffc: 288a983a sll r5,r5,r2 - 1000: 19406f36 bltu r3,r5,11c0 <_malloc_r+0x324> - 1004: 28c4703a and r2,r5,r3 - 1008: 10000a1e bne r2,zero,1034 <_malloc_r+0x198> - 100c: 00bfff04 movi r2,-4 - 1010: 294b883a add r5,r5,r5 - 1014: 2088703a and r4,r4,r2 - 1018: 28c4703a and r2,r5,r3 - 101c: 21000104 addi r4,r4,4 - 1020: 1000041e bne r2,zero,1034 <_malloc_r+0x198> - 1024: 294b883a add r5,r5,r5 - 1028: 28c4703a and r2,r5,r3 - 102c: 21000104 addi r4,r4,4 - 1030: 103ffc26 beq r2,zero,1024 <__alt_data_end+0xfffe1024> - 1034: 02bfff04 movi r10,-4 - 1038: 024003c4 movi r9,15 - 103c: 21800044 addi r6,r4,1 - 1040: 318d883a add r6,r6,r6 + fcc: 294b883a add r5,r5,r5 + fd0: 298b883a add r5,r5,r6 + fd4: 38c6983a sll r3,r7,r3 + fd8: 29c00017 ldw r7,0(r5) + fdc: 2a7ffe04 addi r9,r5,-8 + fe0: 1886b03a or r3,r3,r2 + fe4: 82400315 stw r9,12(r16) + fe8: 81c00215 stw r7,8(r16) + fec: 30c00115 stw r3,4(r6) + ff0: 2c000015 stw r16,0(r5) + ff4: 3c000315 stw r16,12(r7) + ff8: 2005d0ba srai r2,r4,2 + ffc: 01400044 movi r5,1 + 1000: 288a983a sll r5,r5,r2 + 1004: 19406f36 bltu r3,r5,11c4 <_malloc_r+0x324> + 1008: 28c4703a and r2,r5,r3 + 100c: 10000a1e bne r2,zero,1038 <_malloc_r+0x198> + 1010: 00bfff04 movi r2,-4 + 1014: 294b883a add r5,r5,r5 + 1018: 2088703a and r4,r4,r2 + 101c: 28c4703a and r2,r5,r3 + 1020: 21000104 addi r4,r4,4 + 1024: 1000041e bne r2,zero,1038 <_malloc_r+0x198> + 1028: 294b883a add r5,r5,r5 + 102c: 28c4703a and r2,r5,r3 + 1030: 21000104 addi r4,r4,4 + 1034: 103ffc26 beq r2,zero,1028 <__alt_data_end+0xfffe1028> + 1038: 02bfff04 movi r10,-4 + 103c: 024003c4 movi r9,15 + 1040: 21800044 addi r6,r4,1 1044: 318d883a add r6,r6,r6 1048: 318d883a add r6,r6,r6 - 104c: 998d883a add r6,r19,r6 - 1050: 333ffe04 addi r12,r6,-8 - 1054: 2017883a mov r11,r4 - 1058: 31800104 addi r6,r6,4 - 105c: 34000017 ldw r16,0(r6) - 1060: 31fffd04 addi r7,r6,-12 - 1064: 81c0041e bne r16,r7,1078 <_malloc_r+0x1dc> - 1068: 0000fb06 br 1458 <_malloc_r+0x5bc> - 106c: 1801030e bge r3,zero,147c <_malloc_r+0x5e0> - 1070: 84000317 ldw r16,12(r16) - 1074: 81c0f826 beq r16,r7,1458 <_malloc_r+0x5bc> - 1078: 80800117 ldw r2,4(r16) - 107c: 1284703a and r2,r2,r10 - 1080: 1447c83a sub r3,r2,r17 - 1084: 48fff90e bge r9,r3,106c <__alt_data_end+0xfffe106c> - 1088: 80800317 ldw r2,12(r16) - 108c: 81000217 ldw r4,8(r16) - 1090: 89400054 ori r5,r17,1 - 1094: 81400115 stw r5,4(r16) - 1098: 20800315 stw r2,12(r4) - 109c: 11000215 stw r4,8(r2) - 10a0: 8463883a add r17,r16,r17 - 10a4: 9c400515 stw r17,20(r19) - 10a8: 9c400415 stw r17,16(r19) - 10ac: 18800054 ori r2,r3,1 - 10b0: 88800115 stw r2,4(r17) - 10b4: 8a000315 stw r8,12(r17) - 10b8: 8a000215 stw r8,8(r17) - 10bc: 88e3883a add r17,r17,r3 - 10c0: 88c00015 stw r3,0(r17) - 10c4: 9009883a mov r4,r18 - 10c8: 00036380 call 3638 <__malloc_unlock> - 10cc: 80800204 addi r2,r16,8 - 10d0: 00001b06 br 1140 <_malloc_r+0x2a4> - 10d4: 04400404 movi r17,16 - 10d8: 89402536 bltu r17,r5,1170 <_malloc_r+0x2d4> - 10dc: 00036140 call 3614 <__malloc_lock> - 10e0: 00800184 movi r2,6 - 10e4: 01000084 movi r4,2 - 10e8: 04c00034 movhi r19,0 - 10ec: 1085883a add r2,r2,r2 - 10f0: 9cd71204 addi r19,r19,23624 - 10f4: 1085883a add r2,r2,r2 - 10f8: 9885883a add r2,r19,r2 - 10fc: 14000117 ldw r16,4(r2) - 1100: 10fffe04 addi r3,r2,-8 - 1104: 80c0d926 beq r16,r3,146c <_malloc_r+0x5d0> - 1108: 80c00117 ldw r3,4(r16) - 110c: 81000317 ldw r4,12(r16) - 1110: 00bfff04 movi r2,-4 - 1114: 1884703a and r2,r3,r2 - 1118: 81400217 ldw r5,8(r16) - 111c: 8085883a add r2,r16,r2 - 1120: 10c00117 ldw r3,4(r2) - 1124: 29000315 stw r4,12(r5) - 1128: 21400215 stw r5,8(r4) - 112c: 18c00054 ori r3,r3,1 - 1130: 10c00115 stw r3,4(r2) - 1134: 9009883a mov r4,r18 - 1138: 00036380 call 3638 <__malloc_unlock> - 113c: 80800204 addi r2,r16,8 - 1140: dfc00a17 ldw ra,40(sp) - 1144: df000917 ldw fp,36(sp) - 1148: ddc00817 ldw r23,32(sp) - 114c: dd800717 ldw r22,28(sp) - 1150: dd400617 ldw r21,24(sp) - 1154: dd000517 ldw r20,20(sp) - 1158: dcc00417 ldw r19,16(sp) - 115c: dc800317 ldw r18,12(sp) - 1160: dc400217 ldw r17,8(sp) - 1164: dc000117 ldw r16,4(sp) - 1168: dec00b04 addi sp,sp,44 - 116c: f800283a ret - 1170: 00800304 movi r2,12 - 1174: 90800015 stw r2,0(r18) - 1178: 0005883a mov r2,zero - 117c: 003ff006 br 1140 <__alt_data_end+0xfffe1140> - 1180: 01002004 movi r4,128 - 1184: 02001004 movi r8,64 - 1188: 01c00fc4 movi r7,63 - 118c: 003f6106 br f14 <__alt_data_end+0xfffe0f14> - 1190: 4009883a mov r4,r8 - 1194: 003f7506 br f6c <__alt_data_end+0xfffe0f6c> - 1198: 81000317 ldw r4,12(r16) - 119c: 003fde06 br 1118 <__alt_data_end+0xfffe1118> - 11a0: 81c5883a add r2,r16,r7 - 11a4: 11400117 ldw r5,4(r2) - 11a8: 9009883a mov r4,r18 - 11ac: 29400054 ori r5,r5,1 - 11b0: 11400115 stw r5,4(r2) - 11b4: 00036380 call 3638 <__malloc_unlock> - 11b8: 80800204 addi r2,r16,8 - 11bc: 003fe006 br 1140 <__alt_data_end+0xfffe1140> - 11c0: 9c000217 ldw r16,8(r19) - 11c4: 00bfff04 movi r2,-4 - 11c8: 85800117 ldw r22,4(r16) - 11cc: b0ac703a and r22,r22,r2 - 11d0: b4400336 bltu r22,r17,11e0 <_malloc_r+0x344> - 11d4: b445c83a sub r2,r22,r17 - 11d8: 00c003c4 movi r3,15 - 11dc: 18805d16 blt r3,r2,1354 <_malloc_r+0x4b8> - 11e0: 05c00034 movhi r23,0 - 11e4: 00800034 movhi r2,0 - 11e8: 109ca604 addi r2,r2,29336 - 11ec: bddc9804 addi r23,r23,29280 - 11f0: 15400017 ldw r21,0(r2) - 11f4: b8c00017 ldw r3,0(r23) - 11f8: 00bfffc4 movi r2,-1 - 11fc: 858d883a add r6,r16,r22 - 1200: 8d6b883a add r21,r17,r21 - 1204: 1880ea26 beq r3,r2,15b0 <_malloc_r+0x714> - 1208: ad4403c4 addi r21,r21,4111 - 120c: 00bc0004 movi r2,-4096 - 1210: a8aa703a and r21,r21,r2 - 1214: a80b883a mov r5,r21 - 1218: 9009883a mov r4,r18 - 121c: d9800015 stw r6,0(sp) - 1220: 00020bc0 call 20bc <_sbrk_r> - 1224: 1029883a mov r20,r2 - 1228: 00bfffc4 movi r2,-1 - 122c: d9800017 ldw r6,0(sp) - 1230: a080e826 beq r20,r2,15d4 <_malloc_r+0x738> - 1234: a180a636 bltu r20,r6,14d0 <_malloc_r+0x634> - 1238: 07000034 movhi fp,0 - 123c: e71caf04 addi fp,fp,29372 - 1240: e0800017 ldw r2,0(fp) - 1244: a887883a add r3,r21,r2 - 1248: e0c00015 stw r3,0(fp) - 124c: 3500e626 beq r6,r20,15e8 <_malloc_r+0x74c> - 1250: b9000017 ldw r4,0(r23) - 1254: 00bfffc4 movi r2,-1 - 1258: 2080ee26 beq r4,r2,1614 <_malloc_r+0x778> - 125c: a185c83a sub r2,r20,r6 - 1260: 10c5883a add r2,r2,r3 - 1264: e0800015 stw r2,0(fp) - 1268: a0c001cc andi r3,r20,7 - 126c: 1800bc26 beq r3,zero,1560 <_malloc_r+0x6c4> - 1270: a0e9c83a sub r20,r20,r3 - 1274: 00840204 movi r2,4104 - 1278: a5000204 addi r20,r20,8 - 127c: 10c7c83a sub r3,r2,r3 - 1280: a545883a add r2,r20,r21 - 1284: 1083ffcc andi r2,r2,4095 - 1288: 18abc83a sub r21,r3,r2 - 128c: a80b883a mov r5,r21 - 1290: 9009883a mov r4,r18 - 1294: 00020bc0 call 20bc <_sbrk_r> - 1298: 00ffffc4 movi r3,-1 - 129c: 10c0e126 beq r2,r3,1624 <_malloc_r+0x788> - 12a0: 1505c83a sub r2,r2,r20 - 12a4: 1545883a add r2,r2,r21 - 12a8: 10800054 ori r2,r2,1 - 12ac: e0c00017 ldw r3,0(fp) - 12b0: 9d000215 stw r20,8(r19) - 12b4: a0800115 stw r2,4(r20) - 12b8: a8c7883a add r3,r21,r3 - 12bc: e0c00015 stw r3,0(fp) - 12c0: 84c00e26 beq r16,r19,12fc <_malloc_r+0x460> - 12c4: 018003c4 movi r6,15 - 12c8: 3580a72e bgeu r6,r22,1568 <_malloc_r+0x6cc> - 12cc: 81400117 ldw r5,4(r16) - 12d0: 013ffe04 movi r4,-8 - 12d4: b0bffd04 addi r2,r22,-12 - 12d8: 1104703a and r2,r2,r4 - 12dc: 2900004c andi r4,r5,1 - 12e0: 2088b03a or r4,r4,r2 - 12e4: 81000115 stw r4,4(r16) - 12e8: 01400144 movi r5,5 - 12ec: 8089883a add r4,r16,r2 - 12f0: 21400115 stw r5,4(r4) - 12f4: 21400215 stw r5,8(r4) - 12f8: 3080cd36 bltu r6,r2,1630 <_malloc_r+0x794> - 12fc: 00800034 movhi r2,0 - 1300: 109ca504 addi r2,r2,29332 - 1304: 11000017 ldw r4,0(r2) - 1308: 20c0012e bgeu r4,r3,1310 <_malloc_r+0x474> - 130c: 10c00015 stw r3,0(r2) - 1310: 00800034 movhi r2,0 - 1314: 109ca404 addi r2,r2,29328 - 1318: 11000017 ldw r4,0(r2) - 131c: 9c000217 ldw r16,8(r19) - 1320: 20c0012e bgeu r4,r3,1328 <_malloc_r+0x48c> - 1324: 10c00015 stw r3,0(r2) - 1328: 80c00117 ldw r3,4(r16) - 132c: 00bfff04 movi r2,-4 - 1330: 1886703a and r3,r3,r2 - 1334: 1c45c83a sub r2,r3,r17 - 1338: 1c400236 bltu r3,r17,1344 <_malloc_r+0x4a8> - 133c: 00c003c4 movi r3,15 - 1340: 18800416 blt r3,r2,1354 <_malloc_r+0x4b8> - 1344: 9009883a mov r4,r18 - 1348: 00036380 call 3638 <__malloc_unlock> - 134c: 0005883a mov r2,zero - 1350: 003f7b06 br 1140 <__alt_data_end+0xfffe1140> - 1354: 88c00054 ori r3,r17,1 - 1358: 80c00115 stw r3,4(r16) - 135c: 8463883a add r17,r16,r17 - 1360: 10800054 ori r2,r2,1 - 1364: 9c400215 stw r17,8(r19) - 1368: 88800115 stw r2,4(r17) - 136c: 9009883a mov r4,r18 - 1370: 00036380 call 3638 <__malloc_unlock> - 1374: 80800204 addi r2,r16,8 - 1378: 003f7106 br 1140 <__alt_data_end+0xfffe1140> - 137c: 00c00504 movi r3,20 - 1380: 18804a2e bgeu r3,r2,14ac <_malloc_r+0x610> - 1384: 00c01504 movi r3,84 - 1388: 18806e36 bltu r3,r2,1544 <_malloc_r+0x6a8> - 138c: 8804d33a srli r2,r17,12 - 1390: 12001bc4 addi r8,r2,111 - 1394: 11c01b84 addi r7,r2,110 - 1398: 4209883a add r4,r8,r8 - 139c: 003edd06 br f14 <__alt_data_end+0xfffe0f14> - 13a0: 3804d27a srli r2,r7,9 - 13a4: 00c00104 movi r3,4 - 13a8: 1880442e bgeu r3,r2,14bc <_malloc_r+0x620> - 13ac: 00c00504 movi r3,20 - 13b0: 18808136 bltu r3,r2,15b8 <_malloc_r+0x71c> - 13b4: 11401704 addi r5,r2,92 - 13b8: 10c016c4 addi r3,r2,91 - 13bc: 294b883a add r5,r5,r5 + 104c: 318d883a add r6,r6,r6 + 1050: 998d883a add r6,r19,r6 + 1054: 333ffe04 addi r12,r6,-8 + 1058: 2017883a mov r11,r4 + 105c: 31800104 addi r6,r6,4 + 1060: 34000017 ldw r16,0(r6) + 1064: 31fffd04 addi r7,r6,-12 + 1068: 81c0041e bne r16,r7,107c <_malloc_r+0x1dc> + 106c: 0000fb06 br 145c <_malloc_r+0x5bc> + 1070: 1801030e bge r3,zero,1480 <_malloc_r+0x5e0> + 1074: 84000317 ldw r16,12(r16) + 1078: 81c0f826 beq r16,r7,145c <_malloc_r+0x5bc> + 107c: 80800117 ldw r2,4(r16) + 1080: 1284703a and r2,r2,r10 + 1084: 1447c83a sub r3,r2,r17 + 1088: 48fff90e bge r9,r3,1070 <__alt_data_end+0xfffe1070> + 108c: 80800317 ldw r2,12(r16) + 1090: 81000217 ldw r4,8(r16) + 1094: 89400054 ori r5,r17,1 + 1098: 81400115 stw r5,4(r16) + 109c: 20800315 stw r2,12(r4) + 10a0: 11000215 stw r4,8(r2) + 10a4: 8463883a add r17,r16,r17 + 10a8: 9c400515 stw r17,20(r19) + 10ac: 9c400415 stw r17,16(r19) + 10b0: 18800054 ori r2,r3,1 + 10b4: 88800115 stw r2,4(r17) + 10b8: 8a000315 stw r8,12(r17) + 10bc: 8a000215 stw r8,8(r17) + 10c0: 88e3883a add r17,r17,r3 + 10c4: 88c00015 stw r3,0(r17) + 10c8: 9009883a mov r4,r18 + 10cc: 000363c0 call 363c <__malloc_unlock> + 10d0: 80800204 addi r2,r16,8 + 10d4: 00001b06 br 1144 <_malloc_r+0x2a4> + 10d8: 04400404 movi r17,16 + 10dc: 89402536 bltu r17,r5,1174 <_malloc_r+0x2d4> + 10e0: 00036180 call 3618 <__malloc_lock> + 10e4: 00800184 movi r2,6 + 10e8: 01000084 movi r4,2 + 10ec: 04c00034 movhi r19,0 + 10f0: 1085883a add r2,r2,r2 + 10f4: 9cd71f04 addi r19,r19,23676 + 10f8: 1085883a add r2,r2,r2 + 10fc: 9885883a add r2,r19,r2 + 1100: 14000117 ldw r16,4(r2) + 1104: 10fffe04 addi r3,r2,-8 + 1108: 80c0d926 beq r16,r3,1470 <_malloc_r+0x5d0> + 110c: 80c00117 ldw r3,4(r16) + 1110: 81000317 ldw r4,12(r16) + 1114: 00bfff04 movi r2,-4 + 1118: 1884703a and r2,r3,r2 + 111c: 81400217 ldw r5,8(r16) + 1120: 8085883a add r2,r16,r2 + 1124: 10c00117 ldw r3,4(r2) + 1128: 29000315 stw r4,12(r5) + 112c: 21400215 stw r5,8(r4) + 1130: 18c00054 ori r3,r3,1 + 1134: 10c00115 stw r3,4(r2) + 1138: 9009883a mov r4,r18 + 113c: 000363c0 call 363c <__malloc_unlock> + 1140: 80800204 addi r2,r16,8 + 1144: dfc00a17 ldw ra,40(sp) + 1148: df000917 ldw fp,36(sp) + 114c: ddc00817 ldw r23,32(sp) + 1150: dd800717 ldw r22,28(sp) + 1154: dd400617 ldw r21,24(sp) + 1158: dd000517 ldw r20,20(sp) + 115c: dcc00417 ldw r19,16(sp) + 1160: dc800317 ldw r18,12(sp) + 1164: dc400217 ldw r17,8(sp) + 1168: dc000117 ldw r16,4(sp) + 116c: dec00b04 addi sp,sp,44 + 1170: f800283a ret + 1174: 00800304 movi r2,12 + 1178: 90800015 stw r2,0(r18) + 117c: 0005883a mov r2,zero + 1180: 003ff006 br 1144 <__alt_data_end+0xfffe1144> + 1184: 01002004 movi r4,128 + 1188: 02001004 movi r8,64 + 118c: 01c00fc4 movi r7,63 + 1190: 003f6106 br f18 <__alt_data_end+0xfffe0f18> + 1194: 4009883a mov r4,r8 + 1198: 003f7506 br f70 <__alt_data_end+0xfffe0f70> + 119c: 81000317 ldw r4,12(r16) + 11a0: 003fde06 br 111c <__alt_data_end+0xfffe111c> + 11a4: 81c5883a add r2,r16,r7 + 11a8: 11400117 ldw r5,4(r2) + 11ac: 9009883a mov r4,r18 + 11b0: 29400054 ori r5,r5,1 + 11b4: 11400115 stw r5,4(r2) + 11b8: 000363c0 call 363c <__malloc_unlock> + 11bc: 80800204 addi r2,r16,8 + 11c0: 003fe006 br 1144 <__alt_data_end+0xfffe1144> + 11c4: 9c000217 ldw r16,8(r19) + 11c8: 00bfff04 movi r2,-4 + 11cc: 85800117 ldw r22,4(r16) + 11d0: b0ac703a and r22,r22,r2 + 11d4: b4400336 bltu r22,r17,11e4 <_malloc_r+0x344> + 11d8: b445c83a sub r2,r22,r17 + 11dc: 00c003c4 movi r3,15 + 11e0: 18805d16 blt r3,r2,1358 <_malloc_r+0x4b8> + 11e4: 05c00034 movhi r23,0 + 11e8: 00800034 movhi r2,0 + 11ec: 109cb304 addi r2,r2,29388 + 11f0: bddca504 addi r23,r23,29332 + 11f4: 15400017 ldw r21,0(r2) + 11f8: b8c00017 ldw r3,0(r23) + 11fc: 00bfffc4 movi r2,-1 + 1200: 858d883a add r6,r16,r22 + 1204: 8d6b883a add r21,r17,r21 + 1208: 1880ea26 beq r3,r2,15b4 <_malloc_r+0x714> + 120c: ad4403c4 addi r21,r21,4111 + 1210: 00bc0004 movi r2,-4096 + 1214: a8aa703a and r21,r21,r2 + 1218: a80b883a mov r5,r21 + 121c: 9009883a mov r4,r18 + 1220: d9800015 stw r6,0(sp) + 1224: 00020c00 call 20c0 <_sbrk_r> + 1228: 1029883a mov r20,r2 + 122c: 00bfffc4 movi r2,-1 + 1230: d9800017 ldw r6,0(sp) + 1234: a080e826 beq r20,r2,15d8 <_malloc_r+0x738> + 1238: a180a636 bltu r20,r6,14d4 <_malloc_r+0x634> + 123c: 07000034 movhi fp,0 + 1240: e71cbc04 addi fp,fp,29424 + 1244: e0800017 ldw r2,0(fp) + 1248: a887883a add r3,r21,r2 + 124c: e0c00015 stw r3,0(fp) + 1250: 3500e626 beq r6,r20,15ec <_malloc_r+0x74c> + 1254: b9000017 ldw r4,0(r23) + 1258: 00bfffc4 movi r2,-1 + 125c: 2080ee26 beq r4,r2,1618 <_malloc_r+0x778> + 1260: a185c83a sub r2,r20,r6 + 1264: 10c5883a add r2,r2,r3 + 1268: e0800015 stw r2,0(fp) + 126c: a0c001cc andi r3,r20,7 + 1270: 1800bc26 beq r3,zero,1564 <_malloc_r+0x6c4> + 1274: a0e9c83a sub r20,r20,r3 + 1278: 00840204 movi r2,4104 + 127c: a5000204 addi r20,r20,8 + 1280: 10c7c83a sub r3,r2,r3 + 1284: a545883a add r2,r20,r21 + 1288: 1083ffcc andi r2,r2,4095 + 128c: 18abc83a sub r21,r3,r2 + 1290: a80b883a mov r5,r21 + 1294: 9009883a mov r4,r18 + 1298: 00020c00 call 20c0 <_sbrk_r> + 129c: 00ffffc4 movi r3,-1 + 12a0: 10c0e126 beq r2,r3,1628 <_malloc_r+0x788> + 12a4: 1505c83a sub r2,r2,r20 + 12a8: 1545883a add r2,r2,r21 + 12ac: 10800054 ori r2,r2,1 + 12b0: e0c00017 ldw r3,0(fp) + 12b4: 9d000215 stw r20,8(r19) + 12b8: a0800115 stw r2,4(r20) + 12bc: a8c7883a add r3,r21,r3 + 12c0: e0c00015 stw r3,0(fp) + 12c4: 84c00e26 beq r16,r19,1300 <_malloc_r+0x460> + 12c8: 018003c4 movi r6,15 + 12cc: 3580a72e bgeu r6,r22,156c <_malloc_r+0x6cc> + 12d0: 81400117 ldw r5,4(r16) + 12d4: 013ffe04 movi r4,-8 + 12d8: b0bffd04 addi r2,r22,-12 + 12dc: 1104703a and r2,r2,r4 + 12e0: 2900004c andi r4,r5,1 + 12e4: 2088b03a or r4,r4,r2 + 12e8: 81000115 stw r4,4(r16) + 12ec: 01400144 movi r5,5 + 12f0: 8089883a add r4,r16,r2 + 12f4: 21400115 stw r5,4(r4) + 12f8: 21400215 stw r5,8(r4) + 12fc: 3080cd36 bltu r6,r2,1634 <_malloc_r+0x794> + 1300: 00800034 movhi r2,0 + 1304: 109cb204 addi r2,r2,29384 + 1308: 11000017 ldw r4,0(r2) + 130c: 20c0012e bgeu r4,r3,1314 <_malloc_r+0x474> + 1310: 10c00015 stw r3,0(r2) + 1314: 00800034 movhi r2,0 + 1318: 109cb104 addi r2,r2,29380 + 131c: 11000017 ldw r4,0(r2) + 1320: 9c000217 ldw r16,8(r19) + 1324: 20c0012e bgeu r4,r3,132c <_malloc_r+0x48c> + 1328: 10c00015 stw r3,0(r2) + 132c: 80c00117 ldw r3,4(r16) + 1330: 00bfff04 movi r2,-4 + 1334: 1886703a and r3,r3,r2 + 1338: 1c45c83a sub r2,r3,r17 + 133c: 1c400236 bltu r3,r17,1348 <_malloc_r+0x4a8> + 1340: 00c003c4 movi r3,15 + 1344: 18800416 blt r3,r2,1358 <_malloc_r+0x4b8> + 1348: 9009883a mov r4,r18 + 134c: 000363c0 call 363c <__malloc_unlock> + 1350: 0005883a mov r2,zero + 1354: 003f7b06 br 1144 <__alt_data_end+0xfffe1144> + 1358: 88c00054 ori r3,r17,1 + 135c: 80c00115 stw r3,4(r16) + 1360: 8463883a add r17,r16,r17 + 1364: 10800054 ori r2,r2,1 + 1368: 9c400215 stw r17,8(r19) + 136c: 88800115 stw r2,4(r17) + 1370: 9009883a mov r4,r18 + 1374: 000363c0 call 363c <__malloc_unlock> + 1378: 80800204 addi r2,r16,8 + 137c: 003f7106 br 1144 <__alt_data_end+0xfffe1144> + 1380: 00c00504 movi r3,20 + 1384: 18804a2e bgeu r3,r2,14b0 <_malloc_r+0x610> + 1388: 00c01504 movi r3,84 + 138c: 18806e36 bltu r3,r2,1548 <_malloc_r+0x6a8> + 1390: 8804d33a srli r2,r17,12 + 1394: 12001bc4 addi r8,r2,111 + 1398: 11c01b84 addi r7,r2,110 + 139c: 4209883a add r4,r8,r8 + 13a0: 003edd06 br f18 <__alt_data_end+0xfffe0f18> + 13a4: 3804d27a srli r2,r7,9 + 13a8: 00c00104 movi r3,4 + 13ac: 1880442e bgeu r3,r2,14c0 <_malloc_r+0x620> + 13b0: 00c00504 movi r3,20 + 13b4: 18808136 bltu r3,r2,15bc <_malloc_r+0x71c> + 13b8: 11401704 addi r5,r2,92 + 13bc: 10c016c4 addi r3,r2,91 13c0: 294b883a add r5,r5,r5 13c4: 294b883a add r5,r5,r5 - 13c8: 994b883a add r5,r19,r5 - 13cc: 28800017 ldw r2,0(r5) - 13d0: 01800034 movhi r6,0 - 13d4: 297ffe04 addi r5,r5,-8 - 13d8: 31971204 addi r6,r6,23624 - 13dc: 28806526 beq r5,r2,1574 <_malloc_r+0x6d8> - 13e0: 01bfff04 movi r6,-4 - 13e4: 10c00117 ldw r3,4(r2) - 13e8: 1986703a and r3,r3,r6 - 13ec: 38c0022e bgeu r7,r3,13f8 <_malloc_r+0x55c> - 13f0: 10800217 ldw r2,8(r2) - 13f4: 28bffb1e bne r5,r2,13e4 <__alt_data_end+0xfffe13e4> - 13f8: 11400317 ldw r5,12(r2) - 13fc: 98c00117 ldw r3,4(r19) - 1400: 81400315 stw r5,12(r16) - 1404: 80800215 stw r2,8(r16) - 1408: 2c000215 stw r16,8(r5) - 140c: 14000315 stw r16,12(r2) - 1410: 003ef806 br ff4 <__alt_data_end+0xfffe0ff4> - 1414: 88c00054 ori r3,r17,1 - 1418: 80c00115 stw r3,4(r16) - 141c: 8463883a add r17,r16,r17 - 1420: 34400515 stw r17,20(r6) - 1424: 34400415 stw r17,16(r6) - 1428: 10c00054 ori r3,r2,1 - 142c: 8a000315 stw r8,12(r17) - 1430: 8a000215 stw r8,8(r17) - 1434: 88c00115 stw r3,4(r17) - 1438: 88a3883a add r17,r17,r2 - 143c: 88800015 stw r2,0(r17) - 1440: 9009883a mov r4,r18 - 1444: 00036380 call 3638 <__malloc_unlock> - 1448: 80800204 addi r2,r16,8 - 144c: 003f3c06 br 1140 <__alt_data_end+0xfffe1140> - 1450: 30c00117 ldw r3,4(r6) - 1454: 003ee706 br ff4 <__alt_data_end+0xfffe0ff4> - 1458: 5ac00044 addi r11,r11,1 - 145c: 588000cc andi r2,r11,3 - 1460: 31800204 addi r6,r6,8 - 1464: 103efd1e bne r2,zero,105c <__alt_data_end+0xfffe105c> - 1468: 00002406 br 14fc <_malloc_r+0x660> - 146c: 14000317 ldw r16,12(r2) - 1470: 143f251e bne r2,r16,1108 <__alt_data_end+0xfffe1108> - 1474: 21000084 addi r4,r4,2 - 1478: 003ebc06 br f6c <__alt_data_end+0xfffe0f6c> - 147c: 8085883a add r2,r16,r2 - 1480: 10c00117 ldw r3,4(r2) - 1484: 81000317 ldw r4,12(r16) - 1488: 81400217 ldw r5,8(r16) - 148c: 18c00054 ori r3,r3,1 - 1490: 10c00115 stw r3,4(r2) - 1494: 29000315 stw r4,12(r5) - 1498: 21400215 stw r5,8(r4) - 149c: 9009883a mov r4,r18 - 14a0: 00036380 call 3638 <__malloc_unlock> - 14a4: 80800204 addi r2,r16,8 - 14a8: 003f2506 br 1140 <__alt_data_end+0xfffe1140> - 14ac: 12001704 addi r8,r2,92 - 14b0: 11c016c4 addi r7,r2,91 - 14b4: 4209883a add r4,r8,r8 - 14b8: 003e9606 br f14 <__alt_data_end+0xfffe0f14> - 14bc: 3804d1ba srli r2,r7,6 - 14c0: 11400e44 addi r5,r2,57 - 14c4: 10c00e04 addi r3,r2,56 - 14c8: 294b883a add r5,r5,r5 - 14cc: 003fbc06 br 13c0 <__alt_data_end+0xfffe13c0> - 14d0: 84ff5926 beq r16,r19,1238 <__alt_data_end+0xfffe1238> - 14d4: 00800034 movhi r2,0 - 14d8: 10971204 addi r2,r2,23624 - 14dc: 14000217 ldw r16,8(r2) - 14e0: 00bfff04 movi r2,-4 - 14e4: 80c00117 ldw r3,4(r16) - 14e8: 1886703a and r3,r3,r2 - 14ec: 003f9106 br 1334 <__alt_data_end+0xfffe1334> - 14f0: 60800217 ldw r2,8(r12) - 14f4: 213fffc4 addi r4,r4,-1 - 14f8: 1300651e bne r2,r12,1690 <_malloc_r+0x7f4> - 14fc: 208000cc andi r2,r4,3 - 1500: 633ffe04 addi r12,r12,-8 - 1504: 103ffa1e bne r2,zero,14f0 <__alt_data_end+0xfffe14f0> - 1508: 98800117 ldw r2,4(r19) - 150c: 0146303a nor r3,zero,r5 - 1510: 1884703a and r2,r3,r2 - 1514: 98800115 stw r2,4(r19) - 1518: 294b883a add r5,r5,r5 - 151c: 117f2836 bltu r2,r5,11c0 <__alt_data_end+0xfffe11c0> - 1520: 283f2726 beq r5,zero,11c0 <__alt_data_end+0xfffe11c0> - 1524: 2886703a and r3,r5,r2 - 1528: 5809883a mov r4,r11 - 152c: 183ec31e bne r3,zero,103c <__alt_data_end+0xfffe103c> - 1530: 294b883a add r5,r5,r5 - 1534: 2886703a and r3,r5,r2 - 1538: 21000104 addi r4,r4,4 - 153c: 183ffc26 beq r3,zero,1530 <__alt_data_end+0xfffe1530> - 1540: 003ebe06 br 103c <__alt_data_end+0xfffe103c> - 1544: 00c05504 movi r3,340 - 1548: 18801236 bltu r3,r2,1594 <_malloc_r+0x6f8> - 154c: 8804d3fa srli r2,r17,15 - 1550: 12001e04 addi r8,r2,120 - 1554: 11c01dc4 addi r7,r2,119 - 1558: 4209883a add r4,r8,r8 - 155c: 003e6d06 br f14 <__alt_data_end+0xfffe0f14> - 1560: 00c40004 movi r3,4096 - 1564: 003f4606 br 1280 <__alt_data_end+0xfffe1280> - 1568: 00800044 movi r2,1 - 156c: a0800115 stw r2,4(r20) - 1570: 003f7406 br 1344 <__alt_data_end+0xfffe1344> - 1574: 1805d0ba srai r2,r3,2 - 1578: 01c00044 movi r7,1 - 157c: 30c00117 ldw r3,4(r6) - 1580: 388e983a sll r7,r7,r2 - 1584: 2805883a mov r2,r5 - 1588: 38c6b03a or r3,r7,r3 - 158c: 30c00115 stw r3,4(r6) - 1590: 003f9b06 br 1400 <__alt_data_end+0xfffe1400> - 1594: 00c15504 movi r3,1364 - 1598: 18801a36 bltu r3,r2,1604 <_malloc_r+0x768> - 159c: 8804d4ba srli r2,r17,18 - 15a0: 12001f44 addi r8,r2,125 - 15a4: 11c01f04 addi r7,r2,124 - 15a8: 4209883a add r4,r8,r8 - 15ac: 003e5906 br f14 <__alt_data_end+0xfffe0f14> - 15b0: ad400404 addi r21,r21,16 - 15b4: 003f1706 br 1214 <__alt_data_end+0xfffe1214> - 15b8: 00c01504 movi r3,84 - 15bc: 18802336 bltu r3,r2,164c <_malloc_r+0x7b0> - 15c0: 3804d33a srli r2,r7,12 - 15c4: 11401bc4 addi r5,r2,111 - 15c8: 10c01b84 addi r3,r2,110 - 15cc: 294b883a add r5,r5,r5 - 15d0: 003f7b06 br 13c0 <__alt_data_end+0xfffe13c0> - 15d4: 9c000217 ldw r16,8(r19) - 15d8: 00bfff04 movi r2,-4 - 15dc: 80c00117 ldw r3,4(r16) - 15e0: 1886703a and r3,r3,r2 - 15e4: 003f5306 br 1334 <__alt_data_end+0xfffe1334> - 15e8: 3083ffcc andi r2,r6,4095 - 15ec: 103f181e bne r2,zero,1250 <__alt_data_end+0xfffe1250> - 15f0: 99000217 ldw r4,8(r19) - 15f4: b545883a add r2,r22,r21 - 15f8: 10800054 ori r2,r2,1 - 15fc: 20800115 stw r2,4(r4) - 1600: 003f3e06 br 12fc <__alt_data_end+0xfffe12fc> - 1604: 01003f84 movi r4,254 - 1608: 02001fc4 movi r8,127 - 160c: 01c01f84 movi r7,126 - 1610: 003e4006 br f14 <__alt_data_end+0xfffe0f14> - 1614: 00800034 movhi r2,0 - 1618: 109c9804 addi r2,r2,29280 - 161c: 15000015 stw r20,0(r2) - 1620: 003f1106 br 1268 <__alt_data_end+0xfffe1268> - 1624: 00800044 movi r2,1 - 1628: 002b883a mov r21,zero - 162c: 003f1f06 br 12ac <__alt_data_end+0xfffe12ac> - 1630: 81400204 addi r5,r16,8 - 1634: 9009883a mov r4,r18 - 1638: 00029240 call 2924 <_free_r> - 163c: 00800034 movhi r2,0 - 1640: 109caf04 addi r2,r2,29372 - 1644: 10c00017 ldw r3,0(r2) - 1648: 003f2c06 br 12fc <__alt_data_end+0xfffe12fc> - 164c: 00c05504 movi r3,340 - 1650: 18800536 bltu r3,r2,1668 <_malloc_r+0x7cc> - 1654: 3804d3fa srli r2,r7,15 - 1658: 11401e04 addi r5,r2,120 - 165c: 10c01dc4 addi r3,r2,119 - 1660: 294b883a add r5,r5,r5 - 1664: 003f5606 br 13c0 <__alt_data_end+0xfffe13c0> - 1668: 00c15504 movi r3,1364 - 166c: 18800536 bltu r3,r2,1684 <_malloc_r+0x7e8> - 1670: 3804d4ba srli r2,r7,18 - 1674: 11401f44 addi r5,r2,125 - 1678: 10c01f04 addi r3,r2,124 - 167c: 294b883a add r5,r5,r5 - 1680: 003f4f06 br 13c0 <__alt_data_end+0xfffe13c0> - 1684: 01403f84 movi r5,254 - 1688: 00c01f84 movi r3,126 - 168c: 003f4c06 br 13c0 <__alt_data_end+0xfffe13c0> - 1690: 98800117 ldw r2,4(r19) - 1694: 003fa006 br 1518 <__alt_data_end+0xfffe1518> - 1698: 8808d0fa srli r4,r17,3 - 169c: 20800044 addi r2,r4,1 - 16a0: 1085883a add r2,r2,r2 - 16a4: 003e9006 br 10e8 <__alt_data_end+0xfffe10e8> + 13c8: 294b883a add r5,r5,r5 + 13cc: 994b883a add r5,r19,r5 + 13d0: 28800017 ldw r2,0(r5) + 13d4: 01800034 movhi r6,0 + 13d8: 297ffe04 addi r5,r5,-8 + 13dc: 31971f04 addi r6,r6,23676 + 13e0: 28806526 beq r5,r2,1578 <_malloc_r+0x6d8> + 13e4: 01bfff04 movi r6,-4 + 13e8: 10c00117 ldw r3,4(r2) + 13ec: 1986703a and r3,r3,r6 + 13f0: 38c0022e bgeu r7,r3,13fc <_malloc_r+0x55c> + 13f4: 10800217 ldw r2,8(r2) + 13f8: 28bffb1e bne r5,r2,13e8 <__alt_data_end+0xfffe13e8> + 13fc: 11400317 ldw r5,12(r2) + 1400: 98c00117 ldw r3,4(r19) + 1404: 81400315 stw r5,12(r16) + 1408: 80800215 stw r2,8(r16) + 140c: 2c000215 stw r16,8(r5) + 1410: 14000315 stw r16,12(r2) + 1414: 003ef806 br ff8 <__alt_data_end+0xfffe0ff8> + 1418: 88c00054 ori r3,r17,1 + 141c: 80c00115 stw r3,4(r16) + 1420: 8463883a add r17,r16,r17 + 1424: 34400515 stw r17,20(r6) + 1428: 34400415 stw r17,16(r6) + 142c: 10c00054 ori r3,r2,1 + 1430: 8a000315 stw r8,12(r17) + 1434: 8a000215 stw r8,8(r17) + 1438: 88c00115 stw r3,4(r17) + 143c: 88a3883a add r17,r17,r2 + 1440: 88800015 stw r2,0(r17) + 1444: 9009883a mov r4,r18 + 1448: 000363c0 call 363c <__malloc_unlock> + 144c: 80800204 addi r2,r16,8 + 1450: 003f3c06 br 1144 <__alt_data_end+0xfffe1144> + 1454: 30c00117 ldw r3,4(r6) + 1458: 003ee706 br ff8 <__alt_data_end+0xfffe0ff8> + 145c: 5ac00044 addi r11,r11,1 + 1460: 588000cc andi r2,r11,3 + 1464: 31800204 addi r6,r6,8 + 1468: 103efd1e bne r2,zero,1060 <__alt_data_end+0xfffe1060> + 146c: 00002406 br 1500 <_malloc_r+0x660> + 1470: 14000317 ldw r16,12(r2) + 1474: 143f251e bne r2,r16,110c <__alt_data_end+0xfffe110c> + 1478: 21000084 addi r4,r4,2 + 147c: 003ebc06 br f70 <__alt_data_end+0xfffe0f70> + 1480: 8085883a add r2,r16,r2 + 1484: 10c00117 ldw r3,4(r2) + 1488: 81000317 ldw r4,12(r16) + 148c: 81400217 ldw r5,8(r16) + 1490: 18c00054 ori r3,r3,1 + 1494: 10c00115 stw r3,4(r2) + 1498: 29000315 stw r4,12(r5) + 149c: 21400215 stw r5,8(r4) + 14a0: 9009883a mov r4,r18 + 14a4: 000363c0 call 363c <__malloc_unlock> + 14a8: 80800204 addi r2,r16,8 + 14ac: 003f2506 br 1144 <__alt_data_end+0xfffe1144> + 14b0: 12001704 addi r8,r2,92 + 14b4: 11c016c4 addi r7,r2,91 + 14b8: 4209883a add r4,r8,r8 + 14bc: 003e9606 br f18 <__alt_data_end+0xfffe0f18> + 14c0: 3804d1ba srli r2,r7,6 + 14c4: 11400e44 addi r5,r2,57 + 14c8: 10c00e04 addi r3,r2,56 + 14cc: 294b883a add r5,r5,r5 + 14d0: 003fbc06 br 13c4 <__alt_data_end+0xfffe13c4> + 14d4: 84ff5926 beq r16,r19,123c <__alt_data_end+0xfffe123c> + 14d8: 00800034 movhi r2,0 + 14dc: 10971f04 addi r2,r2,23676 + 14e0: 14000217 ldw r16,8(r2) + 14e4: 00bfff04 movi r2,-4 + 14e8: 80c00117 ldw r3,4(r16) + 14ec: 1886703a and r3,r3,r2 + 14f0: 003f9106 br 1338 <__alt_data_end+0xfffe1338> + 14f4: 60800217 ldw r2,8(r12) + 14f8: 213fffc4 addi r4,r4,-1 + 14fc: 1300651e bne r2,r12,1694 <_malloc_r+0x7f4> + 1500: 208000cc andi r2,r4,3 + 1504: 633ffe04 addi r12,r12,-8 + 1508: 103ffa1e bne r2,zero,14f4 <__alt_data_end+0xfffe14f4> + 150c: 98800117 ldw r2,4(r19) + 1510: 0146303a nor r3,zero,r5 + 1514: 1884703a and r2,r3,r2 + 1518: 98800115 stw r2,4(r19) + 151c: 294b883a add r5,r5,r5 + 1520: 117f2836 bltu r2,r5,11c4 <__alt_data_end+0xfffe11c4> + 1524: 283f2726 beq r5,zero,11c4 <__alt_data_end+0xfffe11c4> + 1528: 2886703a and r3,r5,r2 + 152c: 5809883a mov r4,r11 + 1530: 183ec31e bne r3,zero,1040 <__alt_data_end+0xfffe1040> + 1534: 294b883a add r5,r5,r5 + 1538: 2886703a and r3,r5,r2 + 153c: 21000104 addi r4,r4,4 + 1540: 183ffc26 beq r3,zero,1534 <__alt_data_end+0xfffe1534> + 1544: 003ebe06 br 1040 <__alt_data_end+0xfffe1040> + 1548: 00c05504 movi r3,340 + 154c: 18801236 bltu r3,r2,1598 <_malloc_r+0x6f8> + 1550: 8804d3fa srli r2,r17,15 + 1554: 12001e04 addi r8,r2,120 + 1558: 11c01dc4 addi r7,r2,119 + 155c: 4209883a add r4,r8,r8 + 1560: 003e6d06 br f18 <__alt_data_end+0xfffe0f18> + 1564: 00c40004 movi r3,4096 + 1568: 003f4606 br 1284 <__alt_data_end+0xfffe1284> + 156c: 00800044 movi r2,1 + 1570: a0800115 stw r2,4(r20) + 1574: 003f7406 br 1348 <__alt_data_end+0xfffe1348> + 1578: 1805d0ba srai r2,r3,2 + 157c: 01c00044 movi r7,1 + 1580: 30c00117 ldw r3,4(r6) + 1584: 388e983a sll r7,r7,r2 + 1588: 2805883a mov r2,r5 + 158c: 38c6b03a or r3,r7,r3 + 1590: 30c00115 stw r3,4(r6) + 1594: 003f9b06 br 1404 <__alt_data_end+0xfffe1404> + 1598: 00c15504 movi r3,1364 + 159c: 18801a36 bltu r3,r2,1608 <_malloc_r+0x768> + 15a0: 8804d4ba srli r2,r17,18 + 15a4: 12001f44 addi r8,r2,125 + 15a8: 11c01f04 addi r7,r2,124 + 15ac: 4209883a add r4,r8,r8 + 15b0: 003e5906 br f18 <__alt_data_end+0xfffe0f18> + 15b4: ad400404 addi r21,r21,16 + 15b8: 003f1706 br 1218 <__alt_data_end+0xfffe1218> + 15bc: 00c01504 movi r3,84 + 15c0: 18802336 bltu r3,r2,1650 <_malloc_r+0x7b0> + 15c4: 3804d33a srli r2,r7,12 + 15c8: 11401bc4 addi r5,r2,111 + 15cc: 10c01b84 addi r3,r2,110 + 15d0: 294b883a add r5,r5,r5 + 15d4: 003f7b06 br 13c4 <__alt_data_end+0xfffe13c4> + 15d8: 9c000217 ldw r16,8(r19) + 15dc: 00bfff04 movi r2,-4 + 15e0: 80c00117 ldw r3,4(r16) + 15e4: 1886703a and r3,r3,r2 + 15e8: 003f5306 br 1338 <__alt_data_end+0xfffe1338> + 15ec: 3083ffcc andi r2,r6,4095 + 15f0: 103f181e bne r2,zero,1254 <__alt_data_end+0xfffe1254> + 15f4: 99000217 ldw r4,8(r19) + 15f8: b545883a add r2,r22,r21 + 15fc: 10800054 ori r2,r2,1 + 1600: 20800115 stw r2,4(r4) + 1604: 003f3e06 br 1300 <__alt_data_end+0xfffe1300> + 1608: 01003f84 movi r4,254 + 160c: 02001fc4 movi r8,127 + 1610: 01c01f84 movi r7,126 + 1614: 003e4006 br f18 <__alt_data_end+0xfffe0f18> + 1618: 00800034 movhi r2,0 + 161c: 109ca504 addi r2,r2,29332 + 1620: 15000015 stw r20,0(r2) + 1624: 003f1106 br 126c <__alt_data_end+0xfffe126c> + 1628: 00800044 movi r2,1 + 162c: 002b883a mov r21,zero + 1630: 003f1f06 br 12b0 <__alt_data_end+0xfffe12b0> + 1634: 81400204 addi r5,r16,8 + 1638: 9009883a mov r4,r18 + 163c: 00029280 call 2928 <_free_r> + 1640: 00800034 movhi r2,0 + 1644: 109cbc04 addi r2,r2,29424 + 1648: 10c00017 ldw r3,0(r2) + 164c: 003f2c06 br 1300 <__alt_data_end+0xfffe1300> + 1650: 00c05504 movi r3,340 + 1654: 18800536 bltu r3,r2,166c <_malloc_r+0x7cc> + 1658: 3804d3fa srli r2,r7,15 + 165c: 11401e04 addi r5,r2,120 + 1660: 10c01dc4 addi r3,r2,119 + 1664: 294b883a add r5,r5,r5 + 1668: 003f5606 br 13c4 <__alt_data_end+0xfffe13c4> + 166c: 00c15504 movi r3,1364 + 1670: 18800536 bltu r3,r2,1688 <_malloc_r+0x7e8> + 1674: 3804d4ba srli r2,r7,18 + 1678: 11401f44 addi r5,r2,125 + 167c: 10c01f04 addi r3,r2,124 + 1680: 294b883a add r5,r5,r5 + 1684: 003f4f06 br 13c4 <__alt_data_end+0xfffe13c4> + 1688: 01403f84 movi r5,254 + 168c: 00c01f84 movi r3,126 + 1690: 003f4c06 br 13c4 <__alt_data_end+0xfffe13c4> + 1694: 98800117 ldw r2,4(r19) + 1698: 003fa006 br 151c <__alt_data_end+0xfffe151c> + 169c: 8808d0fa srli r4,r17,3 + 16a0: 20800044 addi r2,r4,1 + 16a4: 1085883a add r2,r2,r2 + 16a8: 003e9006 br 10ec <__alt_data_end+0xfffe10ec> -000016a8 : - 16a8: 208000cc andi r2,r4,3 - 16ac: 280f883a mov r7,r5 - 16b0: 10003426 beq r2,zero,1784 - 16b4: 30bfffc4 addi r2,r6,-1 - 16b8: 30001a26 beq r6,zero,1724 - 16bc: 20c00003 ldbu r3,0(r4) - 16c0: 29803fcc andi r6,r5,255 - 16c4: 30c0051e bne r6,r3,16dc - 16c8: 00001806 br 172c - 16cc: 10001526 beq r2,zero,1724 - 16d0: 20c00003 ldbu r3,0(r4) - 16d4: 10bfffc4 addi r2,r2,-1 - 16d8: 30c01426 beq r6,r3,172c - 16dc: 21000044 addi r4,r4,1 - 16e0: 20c000cc andi r3,r4,3 - 16e4: 183ff91e bne r3,zero,16cc <__alt_data_end+0xfffe16cc> - 16e8: 020000c4 movi r8,3 - 16ec: 40801136 bltu r8,r2,1734 - 16f0: 10000c26 beq r2,zero,1724 - 16f4: 20c00003 ldbu r3,0(r4) - 16f8: 29403fcc andi r5,r5,255 - 16fc: 28c00b26 beq r5,r3,172c - 1700: 20c00044 addi r3,r4,1 - 1704: 39803fcc andi r6,r7,255 - 1708: 2089883a add r4,r4,r2 - 170c: 00000306 br 171c - 1710: 18c00044 addi r3,r3,1 - 1714: 197fffc3 ldbu r5,-1(r3) - 1718: 31400526 beq r6,r5,1730 - 171c: 1805883a mov r2,r3 - 1720: 20fffb1e bne r4,r3,1710 <__alt_data_end+0xfffe1710> - 1724: 0005883a mov r2,zero - 1728: f800283a ret - 172c: 2005883a mov r2,r4 - 1730: f800283a ret - 1734: 28c03fcc andi r3,r5,255 - 1738: 1812923a slli r9,r3,8 - 173c: 02ffbff4 movhi r11,65279 - 1740: 02a02074 movhi r10,32897 - 1744: 48d2b03a or r9,r9,r3 - 1748: 4806943a slli r3,r9,16 - 174c: 5affbfc4 addi r11,r11,-257 - 1750: 52a02004 addi r10,r10,-32640 - 1754: 48d2b03a or r9,r9,r3 - 1758: 20c00017 ldw r3,0(r4) - 175c: 48c6f03a xor r3,r9,r3 - 1760: 1acd883a add r6,r3,r11 - 1764: 00c6303a nor r3,zero,r3 - 1768: 30c6703a and r3,r6,r3 - 176c: 1a86703a and r3,r3,r10 - 1770: 183fe01e bne r3,zero,16f4 <__alt_data_end+0xfffe16f4> - 1774: 10bfff04 addi r2,r2,-4 - 1778: 21000104 addi r4,r4,4 - 177c: 40bff636 bltu r8,r2,1758 <__alt_data_end+0xfffe1758> - 1780: 003fdb06 br 16f0 <__alt_data_end+0xfffe16f0> - 1784: 3005883a mov r2,r6 - 1788: 003fd706 br 16e8 <__alt_data_end+0xfffe16e8> +000016ac : + 16ac: 208000cc andi r2,r4,3 + 16b0: 280f883a mov r7,r5 + 16b4: 10003426 beq r2,zero,1788 + 16b8: 30bfffc4 addi r2,r6,-1 + 16bc: 30001a26 beq r6,zero,1728 + 16c0: 20c00003 ldbu r3,0(r4) + 16c4: 29803fcc andi r6,r5,255 + 16c8: 30c0051e bne r6,r3,16e0 + 16cc: 00001806 br 1730 + 16d0: 10001526 beq r2,zero,1728 + 16d4: 20c00003 ldbu r3,0(r4) + 16d8: 10bfffc4 addi r2,r2,-1 + 16dc: 30c01426 beq r6,r3,1730 + 16e0: 21000044 addi r4,r4,1 + 16e4: 20c000cc andi r3,r4,3 + 16e8: 183ff91e bne r3,zero,16d0 <__alt_data_end+0xfffe16d0> + 16ec: 020000c4 movi r8,3 + 16f0: 40801136 bltu r8,r2,1738 + 16f4: 10000c26 beq r2,zero,1728 + 16f8: 20c00003 ldbu r3,0(r4) + 16fc: 29403fcc andi r5,r5,255 + 1700: 28c00b26 beq r5,r3,1730 + 1704: 20c00044 addi r3,r4,1 + 1708: 39803fcc andi r6,r7,255 + 170c: 2089883a add r4,r4,r2 + 1710: 00000306 br 1720 + 1714: 18c00044 addi r3,r3,1 + 1718: 197fffc3 ldbu r5,-1(r3) + 171c: 31400526 beq r6,r5,1734 + 1720: 1805883a mov r2,r3 + 1724: 20fffb1e bne r4,r3,1714 <__alt_data_end+0xfffe1714> + 1728: 0005883a mov r2,zero + 172c: f800283a ret + 1730: 2005883a mov r2,r4 + 1734: f800283a ret + 1738: 28c03fcc andi r3,r5,255 + 173c: 1812923a slli r9,r3,8 + 1740: 02ffbff4 movhi r11,65279 + 1744: 02a02074 movhi r10,32897 + 1748: 48d2b03a or r9,r9,r3 + 174c: 4806943a slli r3,r9,16 + 1750: 5affbfc4 addi r11,r11,-257 + 1754: 52a02004 addi r10,r10,-32640 + 1758: 48d2b03a or r9,r9,r3 + 175c: 20c00017 ldw r3,0(r4) + 1760: 48c6f03a xor r3,r9,r3 + 1764: 1acd883a add r6,r3,r11 + 1768: 00c6303a nor r3,zero,r3 + 176c: 30c6703a and r3,r6,r3 + 1770: 1a86703a and r3,r3,r10 + 1774: 183fe01e bne r3,zero,16f8 <__alt_data_end+0xfffe16f8> + 1778: 10bfff04 addi r2,r2,-4 + 177c: 21000104 addi r4,r4,4 + 1780: 40bff636 bltu r8,r2,175c <__alt_data_end+0xfffe175c> + 1784: 003fdb06 br 16f4 <__alt_data_end+0xfffe16f4> + 1788: 3005883a mov r2,r6 + 178c: 003fd706 br 16ec <__alt_data_end+0xfffe16ec> -0000178c : - 178c: defffd04 addi sp,sp,-12 - 1790: dfc00215 stw ra,8(sp) - 1794: dc400115 stw r17,4(sp) - 1798: dc000015 stw r16,0(sp) - 179c: 00c003c4 movi r3,15 - 17a0: 2005883a mov r2,r4 - 17a4: 1980452e bgeu r3,r6,18bc - 17a8: 2906b03a or r3,r5,r4 - 17ac: 18c000cc andi r3,r3,3 - 17b0: 1800441e bne r3,zero,18c4 - 17b4: 347ffc04 addi r17,r6,-16 - 17b8: 8822d13a srli r17,r17,4 - 17bc: 28c00104 addi r3,r5,4 - 17c0: 23400104 addi r13,r4,4 - 17c4: 8820913a slli r16,r17,4 - 17c8: 2b000204 addi r12,r5,8 - 17cc: 22c00204 addi r11,r4,8 - 17d0: 84000504 addi r16,r16,20 - 17d4: 2a800304 addi r10,r5,12 - 17d8: 22400304 addi r9,r4,12 - 17dc: 2c21883a add r16,r5,r16 - 17e0: 2811883a mov r8,r5 - 17e4: 200f883a mov r7,r4 - 17e8: 41000017 ldw r4,0(r8) - 17ec: 1fc00017 ldw ra,0(r3) - 17f0: 63c00017 ldw r15,0(r12) - 17f4: 39000015 stw r4,0(r7) - 17f8: 53800017 ldw r14,0(r10) - 17fc: 6fc00015 stw ra,0(r13) - 1800: 5bc00015 stw r15,0(r11) - 1804: 4b800015 stw r14,0(r9) - 1808: 18c00404 addi r3,r3,16 - 180c: 39c00404 addi r7,r7,16 - 1810: 42000404 addi r8,r8,16 - 1814: 6b400404 addi r13,r13,16 - 1818: 63000404 addi r12,r12,16 - 181c: 5ac00404 addi r11,r11,16 - 1820: 52800404 addi r10,r10,16 - 1824: 4a400404 addi r9,r9,16 - 1828: 1c3fef1e bne r3,r16,17e8 <__alt_data_end+0xfffe17e8> - 182c: 89c00044 addi r7,r17,1 - 1830: 380e913a slli r7,r7,4 - 1834: 310003cc andi r4,r6,15 - 1838: 02c000c4 movi r11,3 - 183c: 11c7883a add r3,r2,r7 - 1840: 29cb883a add r5,r5,r7 - 1844: 5900212e bgeu r11,r4,18cc - 1848: 1813883a mov r9,r3 - 184c: 2811883a mov r8,r5 - 1850: 200f883a mov r7,r4 - 1854: 42800017 ldw r10,0(r8) - 1858: 4a400104 addi r9,r9,4 - 185c: 39ffff04 addi r7,r7,-4 - 1860: 4abfff15 stw r10,-4(r9) - 1864: 42000104 addi r8,r8,4 - 1868: 59fffa36 bltu r11,r7,1854 <__alt_data_end+0xfffe1854> - 186c: 213fff04 addi r4,r4,-4 - 1870: 2008d0ba srli r4,r4,2 - 1874: 318000cc andi r6,r6,3 - 1878: 21000044 addi r4,r4,1 - 187c: 2109883a add r4,r4,r4 +00001790 : + 1790: defffd04 addi sp,sp,-12 + 1794: dfc00215 stw ra,8(sp) + 1798: dc400115 stw r17,4(sp) + 179c: dc000015 stw r16,0(sp) + 17a0: 00c003c4 movi r3,15 + 17a4: 2005883a mov r2,r4 + 17a8: 1980452e bgeu r3,r6,18c0 + 17ac: 2906b03a or r3,r5,r4 + 17b0: 18c000cc andi r3,r3,3 + 17b4: 1800441e bne r3,zero,18c8 + 17b8: 347ffc04 addi r17,r6,-16 + 17bc: 8822d13a srli r17,r17,4 + 17c0: 28c00104 addi r3,r5,4 + 17c4: 23400104 addi r13,r4,4 + 17c8: 8820913a slli r16,r17,4 + 17cc: 2b000204 addi r12,r5,8 + 17d0: 22c00204 addi r11,r4,8 + 17d4: 84000504 addi r16,r16,20 + 17d8: 2a800304 addi r10,r5,12 + 17dc: 22400304 addi r9,r4,12 + 17e0: 2c21883a add r16,r5,r16 + 17e4: 2811883a mov r8,r5 + 17e8: 200f883a mov r7,r4 + 17ec: 41000017 ldw r4,0(r8) + 17f0: 1fc00017 ldw ra,0(r3) + 17f4: 63c00017 ldw r15,0(r12) + 17f8: 39000015 stw r4,0(r7) + 17fc: 53800017 ldw r14,0(r10) + 1800: 6fc00015 stw ra,0(r13) + 1804: 5bc00015 stw r15,0(r11) + 1808: 4b800015 stw r14,0(r9) + 180c: 18c00404 addi r3,r3,16 + 1810: 39c00404 addi r7,r7,16 + 1814: 42000404 addi r8,r8,16 + 1818: 6b400404 addi r13,r13,16 + 181c: 63000404 addi r12,r12,16 + 1820: 5ac00404 addi r11,r11,16 + 1824: 52800404 addi r10,r10,16 + 1828: 4a400404 addi r9,r9,16 + 182c: 1c3fef1e bne r3,r16,17ec <__alt_data_end+0xfffe17ec> + 1830: 89c00044 addi r7,r17,1 + 1834: 380e913a slli r7,r7,4 + 1838: 310003cc andi r4,r6,15 + 183c: 02c000c4 movi r11,3 + 1840: 11c7883a add r3,r2,r7 + 1844: 29cb883a add r5,r5,r7 + 1848: 5900212e bgeu r11,r4,18d0 + 184c: 1813883a mov r9,r3 + 1850: 2811883a mov r8,r5 + 1854: 200f883a mov r7,r4 + 1858: 42800017 ldw r10,0(r8) + 185c: 4a400104 addi r9,r9,4 + 1860: 39ffff04 addi r7,r7,-4 + 1864: 4abfff15 stw r10,-4(r9) + 1868: 42000104 addi r8,r8,4 + 186c: 59fffa36 bltu r11,r7,1858 <__alt_data_end+0xfffe1858> + 1870: 213fff04 addi r4,r4,-4 + 1874: 2008d0ba srli r4,r4,2 + 1878: 318000cc andi r6,r6,3 + 187c: 21000044 addi r4,r4,1 1880: 2109883a add r4,r4,r4 - 1884: 1907883a add r3,r3,r4 - 1888: 290b883a add r5,r5,r4 - 188c: 30000626 beq r6,zero,18a8 - 1890: 198d883a add r6,r3,r6 - 1894: 29c00003 ldbu r7,0(r5) - 1898: 18c00044 addi r3,r3,1 - 189c: 29400044 addi r5,r5,1 - 18a0: 19ffffc5 stb r7,-1(r3) - 18a4: 19bffb1e bne r3,r6,1894 <__alt_data_end+0xfffe1894> - 18a8: dfc00217 ldw ra,8(sp) - 18ac: dc400117 ldw r17,4(sp) - 18b0: dc000017 ldw r16,0(sp) - 18b4: dec00304 addi sp,sp,12 - 18b8: f800283a ret - 18bc: 2007883a mov r3,r4 - 18c0: 003ff206 br 188c <__alt_data_end+0xfffe188c> - 18c4: 2007883a mov r3,r4 - 18c8: 003ff106 br 1890 <__alt_data_end+0xfffe1890> - 18cc: 200d883a mov r6,r4 - 18d0: 003fee06 br 188c <__alt_data_end+0xfffe188c> + 1884: 2109883a add r4,r4,r4 + 1888: 1907883a add r3,r3,r4 + 188c: 290b883a add r5,r5,r4 + 1890: 30000626 beq r6,zero,18ac + 1894: 198d883a add r6,r3,r6 + 1898: 29c00003 ldbu r7,0(r5) + 189c: 18c00044 addi r3,r3,1 + 18a0: 29400044 addi r5,r5,1 + 18a4: 19ffffc5 stb r7,-1(r3) + 18a8: 19bffb1e bne r3,r6,1898 <__alt_data_end+0xfffe1898> + 18ac: dfc00217 ldw ra,8(sp) + 18b0: dc400117 ldw r17,4(sp) + 18b4: dc000017 ldw r16,0(sp) + 18b8: dec00304 addi sp,sp,12 + 18bc: f800283a ret + 18c0: 2007883a mov r3,r4 + 18c4: 003ff206 br 1890 <__alt_data_end+0xfffe1890> + 18c8: 2007883a mov r3,r4 + 18cc: 003ff106 br 1894 <__alt_data_end+0xfffe1894> + 18d0: 200d883a mov r6,r4 + 18d4: 003fee06 br 1890 <__alt_data_end+0xfffe1890> -000018d4 : - 18d4: 2005883a mov r2,r4 - 18d8: 29000b2e bgeu r5,r4,1908 - 18dc: 298f883a add r7,r5,r6 - 18e0: 21c0092e bgeu r4,r7,1908 - 18e4: 2187883a add r3,r4,r6 - 18e8: 198bc83a sub r5,r3,r6 - 18ec: 30004826 beq r6,zero,1a10 - 18f0: 39ffffc4 addi r7,r7,-1 - 18f4: 39000003 ldbu r4,0(r7) - 18f8: 18ffffc4 addi r3,r3,-1 - 18fc: 19000005 stb r4,0(r3) - 1900: 28fffb1e bne r5,r3,18f0 <__alt_data_end+0xfffe18f0> - 1904: f800283a ret - 1908: 00c003c4 movi r3,15 - 190c: 1980412e bgeu r3,r6,1a14 - 1910: 2886b03a or r3,r5,r2 - 1914: 18c000cc andi r3,r3,3 - 1918: 1800401e bne r3,zero,1a1c - 191c: 33fffc04 addi r15,r6,-16 - 1920: 781ed13a srli r15,r15,4 - 1924: 28c00104 addi r3,r5,4 - 1928: 13400104 addi r13,r2,4 - 192c: 781c913a slli r14,r15,4 - 1930: 2b000204 addi r12,r5,8 - 1934: 12c00204 addi r11,r2,8 - 1938: 73800504 addi r14,r14,20 - 193c: 2a800304 addi r10,r5,12 - 1940: 12400304 addi r9,r2,12 - 1944: 2b9d883a add r14,r5,r14 - 1948: 2811883a mov r8,r5 - 194c: 100f883a mov r7,r2 - 1950: 41000017 ldw r4,0(r8) - 1954: 39c00404 addi r7,r7,16 - 1958: 18c00404 addi r3,r3,16 - 195c: 393ffc15 stw r4,-16(r7) - 1960: 193ffc17 ldw r4,-16(r3) - 1964: 6b400404 addi r13,r13,16 - 1968: 5ac00404 addi r11,r11,16 - 196c: 693ffc15 stw r4,-16(r13) - 1970: 61000017 ldw r4,0(r12) - 1974: 4a400404 addi r9,r9,16 - 1978: 42000404 addi r8,r8,16 - 197c: 593ffc15 stw r4,-16(r11) - 1980: 51000017 ldw r4,0(r10) - 1984: 63000404 addi r12,r12,16 - 1988: 52800404 addi r10,r10,16 - 198c: 493ffc15 stw r4,-16(r9) - 1990: 1bbfef1e bne r3,r14,1950 <__alt_data_end+0xfffe1950> - 1994: 79000044 addi r4,r15,1 - 1998: 2008913a slli r4,r4,4 - 199c: 328003cc andi r10,r6,15 - 19a0: 02c000c4 movi r11,3 - 19a4: 1107883a add r3,r2,r4 - 19a8: 290b883a add r5,r5,r4 - 19ac: 5a801e2e bgeu r11,r10,1a28 - 19b0: 1813883a mov r9,r3 - 19b4: 2811883a mov r8,r5 - 19b8: 500f883a mov r7,r10 - 19bc: 41000017 ldw r4,0(r8) - 19c0: 4a400104 addi r9,r9,4 - 19c4: 39ffff04 addi r7,r7,-4 - 19c8: 493fff15 stw r4,-4(r9) - 19cc: 42000104 addi r8,r8,4 - 19d0: 59fffa36 bltu r11,r7,19bc <__alt_data_end+0xfffe19bc> - 19d4: 513fff04 addi r4,r10,-4 - 19d8: 2008d0ba srli r4,r4,2 - 19dc: 318000cc andi r6,r6,3 - 19e0: 21000044 addi r4,r4,1 - 19e4: 2109883a add r4,r4,r4 +000018d8 : + 18d8: 2005883a mov r2,r4 + 18dc: 29000b2e bgeu r5,r4,190c + 18e0: 298f883a add r7,r5,r6 + 18e4: 21c0092e bgeu r4,r7,190c + 18e8: 2187883a add r3,r4,r6 + 18ec: 198bc83a sub r5,r3,r6 + 18f0: 30004826 beq r6,zero,1a14 + 18f4: 39ffffc4 addi r7,r7,-1 + 18f8: 39000003 ldbu r4,0(r7) + 18fc: 18ffffc4 addi r3,r3,-1 + 1900: 19000005 stb r4,0(r3) + 1904: 28fffb1e bne r5,r3,18f4 <__alt_data_end+0xfffe18f4> + 1908: f800283a ret + 190c: 00c003c4 movi r3,15 + 1910: 1980412e bgeu r3,r6,1a18 + 1914: 2886b03a or r3,r5,r2 + 1918: 18c000cc andi r3,r3,3 + 191c: 1800401e bne r3,zero,1a20 + 1920: 33fffc04 addi r15,r6,-16 + 1924: 781ed13a srli r15,r15,4 + 1928: 28c00104 addi r3,r5,4 + 192c: 13400104 addi r13,r2,4 + 1930: 781c913a slli r14,r15,4 + 1934: 2b000204 addi r12,r5,8 + 1938: 12c00204 addi r11,r2,8 + 193c: 73800504 addi r14,r14,20 + 1940: 2a800304 addi r10,r5,12 + 1944: 12400304 addi r9,r2,12 + 1948: 2b9d883a add r14,r5,r14 + 194c: 2811883a mov r8,r5 + 1950: 100f883a mov r7,r2 + 1954: 41000017 ldw r4,0(r8) + 1958: 39c00404 addi r7,r7,16 + 195c: 18c00404 addi r3,r3,16 + 1960: 393ffc15 stw r4,-16(r7) + 1964: 193ffc17 ldw r4,-16(r3) + 1968: 6b400404 addi r13,r13,16 + 196c: 5ac00404 addi r11,r11,16 + 1970: 693ffc15 stw r4,-16(r13) + 1974: 61000017 ldw r4,0(r12) + 1978: 4a400404 addi r9,r9,16 + 197c: 42000404 addi r8,r8,16 + 1980: 593ffc15 stw r4,-16(r11) + 1984: 51000017 ldw r4,0(r10) + 1988: 63000404 addi r12,r12,16 + 198c: 52800404 addi r10,r10,16 + 1990: 493ffc15 stw r4,-16(r9) + 1994: 1bbfef1e bne r3,r14,1954 <__alt_data_end+0xfffe1954> + 1998: 79000044 addi r4,r15,1 + 199c: 2008913a slli r4,r4,4 + 19a0: 328003cc andi r10,r6,15 + 19a4: 02c000c4 movi r11,3 + 19a8: 1107883a add r3,r2,r4 + 19ac: 290b883a add r5,r5,r4 + 19b0: 5a801e2e bgeu r11,r10,1a2c + 19b4: 1813883a mov r9,r3 + 19b8: 2811883a mov r8,r5 + 19bc: 500f883a mov r7,r10 + 19c0: 41000017 ldw r4,0(r8) + 19c4: 4a400104 addi r9,r9,4 + 19c8: 39ffff04 addi r7,r7,-4 + 19cc: 493fff15 stw r4,-4(r9) + 19d0: 42000104 addi r8,r8,4 + 19d4: 59fffa36 bltu r11,r7,19c0 <__alt_data_end+0xfffe19c0> + 19d8: 513fff04 addi r4,r10,-4 + 19dc: 2008d0ba srli r4,r4,2 + 19e0: 318000cc andi r6,r6,3 + 19e4: 21000044 addi r4,r4,1 19e8: 2109883a add r4,r4,r4 - 19ec: 1907883a add r3,r3,r4 - 19f0: 290b883a add r5,r5,r4 - 19f4: 30000b26 beq r6,zero,1a24 - 19f8: 198d883a add r6,r3,r6 - 19fc: 29c00003 ldbu r7,0(r5) - 1a00: 18c00044 addi r3,r3,1 - 1a04: 29400044 addi r5,r5,1 - 1a08: 19ffffc5 stb r7,-1(r3) - 1a0c: 19bffb1e bne r3,r6,19fc <__alt_data_end+0xfffe19fc> - 1a10: f800283a ret - 1a14: 1007883a mov r3,r2 - 1a18: 003ff606 br 19f4 <__alt_data_end+0xfffe19f4> - 1a1c: 1007883a mov r3,r2 - 1a20: 003ff506 br 19f8 <__alt_data_end+0xfffe19f8> - 1a24: f800283a ret - 1a28: 500d883a mov r6,r10 - 1a2c: 003ff106 br 19f4 <__alt_data_end+0xfffe19f4> + 19ec: 2109883a add r4,r4,r4 + 19f0: 1907883a add r3,r3,r4 + 19f4: 290b883a add r5,r5,r4 + 19f8: 30000b26 beq r6,zero,1a28 + 19fc: 198d883a add r6,r3,r6 + 1a00: 29c00003 ldbu r7,0(r5) + 1a04: 18c00044 addi r3,r3,1 + 1a08: 29400044 addi r5,r5,1 + 1a0c: 19ffffc5 stb r7,-1(r3) + 1a10: 19bffb1e bne r3,r6,1a00 <__alt_data_end+0xfffe1a00> + 1a14: f800283a ret + 1a18: 1007883a mov r3,r2 + 1a1c: 003ff606 br 19f8 <__alt_data_end+0xfffe19f8> + 1a20: 1007883a mov r3,r2 + 1a24: 003ff506 br 19fc <__alt_data_end+0xfffe19fc> + 1a28: f800283a ret + 1a2c: 500d883a mov r6,r10 + 1a30: 003ff106 br 19f8 <__alt_data_end+0xfffe19f8> -00001a30 : - 1a30: 20c000cc andi r3,r4,3 - 1a34: 2005883a mov r2,r4 - 1a38: 18004426 beq r3,zero,1b4c - 1a3c: 31ffffc4 addi r7,r6,-1 - 1a40: 30004026 beq r6,zero,1b44 - 1a44: 2813883a mov r9,r5 - 1a48: 200d883a mov r6,r4 - 1a4c: 2007883a mov r3,r4 - 1a50: 00000406 br 1a64 - 1a54: 3a3fffc4 addi r8,r7,-1 - 1a58: 31800044 addi r6,r6,1 - 1a5c: 38003926 beq r7,zero,1b44 - 1a60: 400f883a mov r7,r8 - 1a64: 18c00044 addi r3,r3,1 - 1a68: 32400005 stb r9,0(r6) - 1a6c: 1a0000cc andi r8,r3,3 - 1a70: 403ff81e bne r8,zero,1a54 <__alt_data_end+0xfffe1a54> - 1a74: 010000c4 movi r4,3 - 1a78: 21c02d2e bgeu r4,r7,1b30 - 1a7c: 29003fcc andi r4,r5,255 - 1a80: 200c923a slli r6,r4,8 - 1a84: 3108b03a or r4,r6,r4 - 1a88: 200c943a slli r6,r4,16 - 1a8c: 218cb03a or r6,r4,r6 - 1a90: 010003c4 movi r4,15 - 1a94: 21c0182e bgeu r4,r7,1af8 - 1a98: 3b3ffc04 addi r12,r7,-16 - 1a9c: 6018d13a srli r12,r12,4 - 1aa0: 1a000104 addi r8,r3,4 - 1aa4: 1ac00204 addi r11,r3,8 - 1aa8: 6008913a slli r4,r12,4 - 1aac: 1a800304 addi r10,r3,12 - 1ab0: 1813883a mov r9,r3 - 1ab4: 21000504 addi r4,r4,20 - 1ab8: 1909883a add r4,r3,r4 - 1abc: 49800015 stw r6,0(r9) - 1ac0: 41800015 stw r6,0(r8) - 1ac4: 59800015 stw r6,0(r11) - 1ac8: 51800015 stw r6,0(r10) - 1acc: 42000404 addi r8,r8,16 - 1ad0: 4a400404 addi r9,r9,16 - 1ad4: 5ac00404 addi r11,r11,16 - 1ad8: 52800404 addi r10,r10,16 - 1adc: 413ff71e bne r8,r4,1abc <__alt_data_end+0xfffe1abc> - 1ae0: 63000044 addi r12,r12,1 - 1ae4: 6018913a slli r12,r12,4 - 1ae8: 39c003cc andi r7,r7,15 - 1aec: 010000c4 movi r4,3 - 1af0: 1b07883a add r3,r3,r12 - 1af4: 21c00e2e bgeu r4,r7,1b30 - 1af8: 1813883a mov r9,r3 - 1afc: 3811883a mov r8,r7 - 1b00: 010000c4 movi r4,3 - 1b04: 49800015 stw r6,0(r9) - 1b08: 423fff04 addi r8,r8,-4 - 1b0c: 4a400104 addi r9,r9,4 - 1b10: 223ffc36 bltu r4,r8,1b04 <__alt_data_end+0xfffe1b04> - 1b14: 393fff04 addi r4,r7,-4 - 1b18: 2008d0ba srli r4,r4,2 - 1b1c: 39c000cc andi r7,r7,3 - 1b20: 21000044 addi r4,r4,1 - 1b24: 2109883a add r4,r4,r4 +00001a34 : + 1a34: 20c000cc andi r3,r4,3 + 1a38: 2005883a mov r2,r4 + 1a3c: 18004426 beq r3,zero,1b50 + 1a40: 31ffffc4 addi r7,r6,-1 + 1a44: 30004026 beq r6,zero,1b48 + 1a48: 2813883a mov r9,r5 + 1a4c: 200d883a mov r6,r4 + 1a50: 2007883a mov r3,r4 + 1a54: 00000406 br 1a68 + 1a58: 3a3fffc4 addi r8,r7,-1 + 1a5c: 31800044 addi r6,r6,1 + 1a60: 38003926 beq r7,zero,1b48 + 1a64: 400f883a mov r7,r8 + 1a68: 18c00044 addi r3,r3,1 + 1a6c: 32400005 stb r9,0(r6) + 1a70: 1a0000cc andi r8,r3,3 + 1a74: 403ff81e bne r8,zero,1a58 <__alt_data_end+0xfffe1a58> + 1a78: 010000c4 movi r4,3 + 1a7c: 21c02d2e bgeu r4,r7,1b34 + 1a80: 29003fcc andi r4,r5,255 + 1a84: 200c923a slli r6,r4,8 + 1a88: 3108b03a or r4,r6,r4 + 1a8c: 200c943a slli r6,r4,16 + 1a90: 218cb03a or r6,r4,r6 + 1a94: 010003c4 movi r4,15 + 1a98: 21c0182e bgeu r4,r7,1afc + 1a9c: 3b3ffc04 addi r12,r7,-16 + 1aa0: 6018d13a srli r12,r12,4 + 1aa4: 1a000104 addi r8,r3,4 + 1aa8: 1ac00204 addi r11,r3,8 + 1aac: 6008913a slli r4,r12,4 + 1ab0: 1a800304 addi r10,r3,12 + 1ab4: 1813883a mov r9,r3 + 1ab8: 21000504 addi r4,r4,20 + 1abc: 1909883a add r4,r3,r4 + 1ac0: 49800015 stw r6,0(r9) + 1ac4: 41800015 stw r6,0(r8) + 1ac8: 59800015 stw r6,0(r11) + 1acc: 51800015 stw r6,0(r10) + 1ad0: 42000404 addi r8,r8,16 + 1ad4: 4a400404 addi r9,r9,16 + 1ad8: 5ac00404 addi r11,r11,16 + 1adc: 52800404 addi r10,r10,16 + 1ae0: 413ff71e bne r8,r4,1ac0 <__alt_data_end+0xfffe1ac0> + 1ae4: 63000044 addi r12,r12,1 + 1ae8: 6018913a slli r12,r12,4 + 1aec: 39c003cc andi r7,r7,15 + 1af0: 010000c4 movi r4,3 + 1af4: 1b07883a add r3,r3,r12 + 1af8: 21c00e2e bgeu r4,r7,1b34 + 1afc: 1813883a mov r9,r3 + 1b00: 3811883a mov r8,r7 + 1b04: 010000c4 movi r4,3 + 1b08: 49800015 stw r6,0(r9) + 1b0c: 423fff04 addi r8,r8,-4 + 1b10: 4a400104 addi r9,r9,4 + 1b14: 223ffc36 bltu r4,r8,1b08 <__alt_data_end+0xfffe1b08> + 1b18: 393fff04 addi r4,r7,-4 + 1b1c: 2008d0ba srli r4,r4,2 + 1b20: 39c000cc andi r7,r7,3 + 1b24: 21000044 addi r4,r4,1 1b28: 2109883a add r4,r4,r4 - 1b2c: 1907883a add r3,r3,r4 - 1b30: 38000526 beq r7,zero,1b48 - 1b34: 19cf883a add r7,r3,r7 - 1b38: 19400005 stb r5,0(r3) - 1b3c: 18c00044 addi r3,r3,1 - 1b40: 38fffd1e bne r7,r3,1b38 <__alt_data_end+0xfffe1b38> - 1b44: f800283a ret + 1b2c: 2109883a add r4,r4,r4 + 1b30: 1907883a add r3,r3,r4 + 1b34: 38000526 beq r7,zero,1b4c + 1b38: 19cf883a add r7,r3,r7 + 1b3c: 19400005 stb r5,0(r3) + 1b40: 18c00044 addi r3,r3,1 + 1b44: 38fffd1e bne r7,r3,1b3c <__alt_data_end+0xfffe1b3c> 1b48: f800283a ret - 1b4c: 2007883a mov r3,r4 - 1b50: 300f883a mov r7,r6 - 1b54: 003fc706 br 1a74 <__alt_data_end+0xfffe1a74> + 1b4c: f800283a ret + 1b50: 2007883a mov r3,r4 + 1b54: 300f883a mov r7,r6 + 1b58: 003fc706 br 1a78 <__alt_data_end+0xfffe1a78> -00001b58 <_realloc_r>: - 1b58: defff604 addi sp,sp,-40 - 1b5c: dc800215 stw r18,8(sp) - 1b60: dfc00915 stw ra,36(sp) - 1b64: df000815 stw fp,32(sp) - 1b68: ddc00715 stw r23,28(sp) - 1b6c: dd800615 stw r22,24(sp) - 1b70: dd400515 stw r21,20(sp) - 1b74: dd000415 stw r20,16(sp) - 1b78: dcc00315 stw r19,12(sp) - 1b7c: dc400115 stw r17,4(sp) - 1b80: dc000015 stw r16,0(sp) - 1b84: 3025883a mov r18,r6 - 1b88: 2800b726 beq r5,zero,1e68 <_realloc_r+0x310> - 1b8c: 282b883a mov r21,r5 - 1b90: 2029883a mov r20,r4 - 1b94: 00036140 call 3614 <__malloc_lock> - 1b98: a8bfff17 ldw r2,-4(r21) - 1b9c: 043fff04 movi r16,-4 - 1ba0: 90c002c4 addi r3,r18,11 - 1ba4: 01000584 movi r4,22 - 1ba8: acfffe04 addi r19,r21,-8 - 1bac: 1420703a and r16,r2,r16 - 1bb0: 20c0332e bgeu r4,r3,1c80 <_realloc_r+0x128> - 1bb4: 047ffe04 movi r17,-8 - 1bb8: 1c62703a and r17,r3,r17 - 1bbc: 8807883a mov r3,r17 - 1bc0: 88005816 blt r17,zero,1d24 <_realloc_r+0x1cc> - 1bc4: 8c805736 bltu r17,r18,1d24 <_realloc_r+0x1cc> - 1bc8: 80c0300e bge r16,r3,1c8c <_realloc_r+0x134> - 1bcc: 07000034 movhi fp,0 - 1bd0: e7171204 addi fp,fp,23624 - 1bd4: e1c00217 ldw r7,8(fp) - 1bd8: 9c09883a add r4,r19,r16 - 1bdc: 22000117 ldw r8,4(r4) - 1be0: 21c06326 beq r4,r7,1d70 <_realloc_r+0x218> - 1be4: 017fff84 movi r5,-2 - 1be8: 414a703a and r5,r8,r5 - 1bec: 214b883a add r5,r4,r5 - 1bf0: 29800117 ldw r6,4(r5) - 1bf4: 3180004c andi r6,r6,1 - 1bf8: 30003f26 beq r6,zero,1cf8 <_realloc_r+0x1a0> - 1bfc: 1080004c andi r2,r2,1 - 1c00: 10008326 beq r2,zero,1e10 <_realloc_r+0x2b8> - 1c04: 900b883a mov r5,r18 - 1c08: a009883a mov r4,r20 - 1c0c: 0000e9c0 call e9c <_malloc_r> - 1c10: 1025883a mov r18,r2 - 1c14: 10011e26 beq r2,zero,2090 <_realloc_r+0x538> - 1c18: a93fff17 ldw r4,-4(r21) - 1c1c: 10fffe04 addi r3,r2,-8 - 1c20: 00bfff84 movi r2,-2 - 1c24: 2084703a and r2,r4,r2 - 1c28: 9885883a add r2,r19,r2 - 1c2c: 1880ee26 beq r3,r2,1fe8 <_realloc_r+0x490> - 1c30: 81bfff04 addi r6,r16,-4 - 1c34: 00800904 movi r2,36 - 1c38: 1180b836 bltu r2,r6,1f1c <_realloc_r+0x3c4> - 1c3c: 00c004c4 movi r3,19 - 1c40: 19809636 bltu r3,r6,1e9c <_realloc_r+0x344> - 1c44: 9005883a mov r2,r18 - 1c48: a807883a mov r3,r21 - 1c4c: 19000017 ldw r4,0(r3) - 1c50: 11000015 stw r4,0(r2) - 1c54: 19000117 ldw r4,4(r3) - 1c58: 11000115 stw r4,4(r2) - 1c5c: 18c00217 ldw r3,8(r3) - 1c60: 10c00215 stw r3,8(r2) - 1c64: a80b883a mov r5,r21 - 1c68: a009883a mov r4,r20 - 1c6c: 00029240 call 2924 <_free_r> - 1c70: a009883a mov r4,r20 - 1c74: 00036380 call 3638 <__malloc_unlock> - 1c78: 9005883a mov r2,r18 - 1c7c: 00001206 br 1cc8 <_realloc_r+0x170> - 1c80: 00c00404 movi r3,16 - 1c84: 1823883a mov r17,r3 - 1c88: 003fce06 br 1bc4 <__alt_data_end+0xfffe1bc4> - 1c8c: a825883a mov r18,r21 - 1c90: 8445c83a sub r2,r16,r17 - 1c94: 00c003c4 movi r3,15 - 1c98: 18802636 bltu r3,r2,1d34 <_realloc_r+0x1dc> - 1c9c: 99800117 ldw r6,4(r19) - 1ca0: 9c07883a add r3,r19,r16 - 1ca4: 3180004c andi r6,r6,1 - 1ca8: 3420b03a or r16,r6,r16 - 1cac: 9c000115 stw r16,4(r19) - 1cb0: 18800117 ldw r2,4(r3) - 1cb4: 10800054 ori r2,r2,1 - 1cb8: 18800115 stw r2,4(r3) - 1cbc: a009883a mov r4,r20 - 1cc0: 00036380 call 3638 <__malloc_unlock> - 1cc4: 9005883a mov r2,r18 - 1cc8: dfc00917 ldw ra,36(sp) - 1ccc: df000817 ldw fp,32(sp) - 1cd0: ddc00717 ldw r23,28(sp) - 1cd4: dd800617 ldw r22,24(sp) - 1cd8: dd400517 ldw r21,20(sp) - 1cdc: dd000417 ldw r20,16(sp) - 1ce0: dcc00317 ldw r19,12(sp) - 1ce4: dc800217 ldw r18,8(sp) - 1ce8: dc400117 ldw r17,4(sp) - 1cec: dc000017 ldw r16,0(sp) - 1cf0: dec00a04 addi sp,sp,40 - 1cf4: f800283a ret - 1cf8: 017fff04 movi r5,-4 - 1cfc: 414a703a and r5,r8,r5 - 1d00: 814d883a add r6,r16,r5 - 1d04: 30c01f16 blt r6,r3,1d84 <_realloc_r+0x22c> - 1d08: 20800317 ldw r2,12(r4) - 1d0c: 20c00217 ldw r3,8(r4) - 1d10: a825883a mov r18,r21 - 1d14: 3021883a mov r16,r6 - 1d18: 18800315 stw r2,12(r3) - 1d1c: 10c00215 stw r3,8(r2) - 1d20: 003fdb06 br 1c90 <__alt_data_end+0xfffe1c90> - 1d24: 00800304 movi r2,12 - 1d28: a0800015 stw r2,0(r20) - 1d2c: 0005883a mov r2,zero - 1d30: 003fe506 br 1cc8 <__alt_data_end+0xfffe1cc8> - 1d34: 98c00117 ldw r3,4(r19) - 1d38: 9c4b883a add r5,r19,r17 - 1d3c: 11000054 ori r4,r2,1 - 1d40: 18c0004c andi r3,r3,1 - 1d44: 1c62b03a or r17,r3,r17 - 1d48: 9c400115 stw r17,4(r19) - 1d4c: 29000115 stw r4,4(r5) - 1d50: 2885883a add r2,r5,r2 - 1d54: 10c00117 ldw r3,4(r2) - 1d58: 29400204 addi r5,r5,8 - 1d5c: a009883a mov r4,r20 - 1d60: 18c00054 ori r3,r3,1 - 1d64: 10c00115 stw r3,4(r2) - 1d68: 00029240 call 2924 <_free_r> - 1d6c: 003fd306 br 1cbc <__alt_data_end+0xfffe1cbc> - 1d70: 017fff04 movi r5,-4 - 1d74: 414a703a and r5,r8,r5 - 1d78: 89800404 addi r6,r17,16 - 1d7c: 8151883a add r8,r16,r5 - 1d80: 4180590e bge r8,r6,1ee8 <_realloc_r+0x390> - 1d84: 1080004c andi r2,r2,1 - 1d88: 103f9e1e bne r2,zero,1c04 <__alt_data_end+0xfffe1c04> - 1d8c: adbffe17 ldw r22,-8(r21) - 1d90: 00bfff04 movi r2,-4 - 1d94: 9dadc83a sub r22,r19,r22 - 1d98: b1800117 ldw r6,4(r22) - 1d9c: 3084703a and r2,r6,r2 - 1da0: 20002026 beq r4,zero,1e24 <_realloc_r+0x2cc> - 1da4: 80af883a add r23,r16,r2 - 1da8: b96f883a add r23,r23,r5 - 1dac: 21c05f26 beq r4,r7,1f2c <_realloc_r+0x3d4> - 1db0: b8c01c16 blt r23,r3,1e24 <_realloc_r+0x2cc> - 1db4: 20800317 ldw r2,12(r4) - 1db8: 20c00217 ldw r3,8(r4) - 1dbc: 81bfff04 addi r6,r16,-4 - 1dc0: 01000904 movi r4,36 - 1dc4: 18800315 stw r2,12(r3) - 1dc8: 10c00215 stw r3,8(r2) - 1dcc: b0c00217 ldw r3,8(r22) - 1dd0: b0800317 ldw r2,12(r22) - 1dd4: b4800204 addi r18,r22,8 - 1dd8: 18800315 stw r2,12(r3) - 1ddc: 10c00215 stw r3,8(r2) - 1de0: 21801b36 bltu r4,r6,1e50 <_realloc_r+0x2f8> - 1de4: 008004c4 movi r2,19 - 1de8: 1180352e bgeu r2,r6,1ec0 <_realloc_r+0x368> - 1dec: a8800017 ldw r2,0(r21) - 1df0: b0800215 stw r2,8(r22) - 1df4: a8800117 ldw r2,4(r21) - 1df8: b0800315 stw r2,12(r22) - 1dfc: 008006c4 movi r2,27 - 1e00: 11807f36 bltu r2,r6,2000 <_realloc_r+0x4a8> - 1e04: b0800404 addi r2,r22,16 - 1e08: ad400204 addi r21,r21,8 - 1e0c: 00002d06 br 1ec4 <_realloc_r+0x36c> - 1e10: adbffe17 ldw r22,-8(r21) - 1e14: 00bfff04 movi r2,-4 - 1e18: 9dadc83a sub r22,r19,r22 - 1e1c: b1000117 ldw r4,4(r22) - 1e20: 2084703a and r2,r4,r2 - 1e24: b03f7726 beq r22,zero,1c04 <__alt_data_end+0xfffe1c04> - 1e28: 80af883a add r23,r16,r2 - 1e2c: b8ff7516 blt r23,r3,1c04 <__alt_data_end+0xfffe1c04> - 1e30: b0800317 ldw r2,12(r22) - 1e34: b0c00217 ldw r3,8(r22) - 1e38: 81bfff04 addi r6,r16,-4 - 1e3c: 01000904 movi r4,36 - 1e40: 18800315 stw r2,12(r3) - 1e44: 10c00215 stw r3,8(r2) - 1e48: b4800204 addi r18,r22,8 - 1e4c: 21bfe52e bgeu r4,r6,1de4 <__alt_data_end+0xfffe1de4> - 1e50: a80b883a mov r5,r21 - 1e54: 9009883a mov r4,r18 - 1e58: 00018d40 call 18d4 - 1e5c: b821883a mov r16,r23 - 1e60: b027883a mov r19,r22 - 1e64: 003f8a06 br 1c90 <__alt_data_end+0xfffe1c90> - 1e68: 300b883a mov r5,r6 - 1e6c: dfc00917 ldw ra,36(sp) - 1e70: df000817 ldw fp,32(sp) - 1e74: ddc00717 ldw r23,28(sp) - 1e78: dd800617 ldw r22,24(sp) - 1e7c: dd400517 ldw r21,20(sp) - 1e80: dd000417 ldw r20,16(sp) - 1e84: dcc00317 ldw r19,12(sp) - 1e88: dc800217 ldw r18,8(sp) - 1e8c: dc400117 ldw r17,4(sp) - 1e90: dc000017 ldw r16,0(sp) - 1e94: dec00a04 addi sp,sp,40 - 1e98: 0000e9c1 jmpi e9c <_malloc_r> - 1e9c: a8c00017 ldw r3,0(r21) - 1ea0: 90c00015 stw r3,0(r18) - 1ea4: a8c00117 ldw r3,4(r21) - 1ea8: 90c00115 stw r3,4(r18) - 1eac: 00c006c4 movi r3,27 - 1eb0: 19804536 bltu r3,r6,1fc8 <_realloc_r+0x470> - 1eb4: 90800204 addi r2,r18,8 - 1eb8: a8c00204 addi r3,r21,8 - 1ebc: 003f6306 br 1c4c <__alt_data_end+0xfffe1c4c> - 1ec0: 9005883a mov r2,r18 - 1ec4: a8c00017 ldw r3,0(r21) - 1ec8: b821883a mov r16,r23 - 1ecc: b027883a mov r19,r22 - 1ed0: 10c00015 stw r3,0(r2) - 1ed4: a8c00117 ldw r3,4(r21) - 1ed8: 10c00115 stw r3,4(r2) - 1edc: a8c00217 ldw r3,8(r21) - 1ee0: 10c00215 stw r3,8(r2) - 1ee4: 003f6a06 br 1c90 <__alt_data_end+0xfffe1c90> - 1ee8: 9c67883a add r19,r19,r17 - 1eec: 4445c83a sub r2,r8,r17 - 1ef0: e4c00215 stw r19,8(fp) - 1ef4: 10800054 ori r2,r2,1 - 1ef8: 98800115 stw r2,4(r19) - 1efc: a8bfff17 ldw r2,-4(r21) - 1f00: a009883a mov r4,r20 - 1f04: 1080004c andi r2,r2,1 - 1f08: 1462b03a or r17,r2,r17 - 1f0c: ac7fff15 stw r17,-4(r21) - 1f10: 00036380 call 3638 <__malloc_unlock> - 1f14: a805883a mov r2,r21 - 1f18: 003f6b06 br 1cc8 <__alt_data_end+0xfffe1cc8> - 1f1c: a80b883a mov r5,r21 - 1f20: 9009883a mov r4,r18 - 1f24: 00018d40 call 18d4 - 1f28: 003f4e06 br 1c64 <__alt_data_end+0xfffe1c64> - 1f2c: 89000404 addi r4,r17,16 - 1f30: b93fbc16 blt r23,r4,1e24 <__alt_data_end+0xfffe1e24> - 1f34: b0800317 ldw r2,12(r22) - 1f38: b0c00217 ldw r3,8(r22) - 1f3c: 81bfff04 addi r6,r16,-4 - 1f40: 01000904 movi r4,36 - 1f44: 18800315 stw r2,12(r3) - 1f48: 10c00215 stw r3,8(r2) - 1f4c: b4800204 addi r18,r22,8 - 1f50: 21804336 bltu r4,r6,2060 <_realloc_r+0x508> - 1f54: 008004c4 movi r2,19 - 1f58: 11803f2e bgeu r2,r6,2058 <_realloc_r+0x500> - 1f5c: a8800017 ldw r2,0(r21) - 1f60: b0800215 stw r2,8(r22) - 1f64: a8800117 ldw r2,4(r21) - 1f68: b0800315 stw r2,12(r22) - 1f6c: 008006c4 movi r2,27 - 1f70: 11803f36 bltu r2,r6,2070 <_realloc_r+0x518> - 1f74: b0800404 addi r2,r22,16 - 1f78: ad400204 addi r21,r21,8 - 1f7c: a8c00017 ldw r3,0(r21) - 1f80: 10c00015 stw r3,0(r2) - 1f84: a8c00117 ldw r3,4(r21) - 1f88: 10c00115 stw r3,4(r2) - 1f8c: a8c00217 ldw r3,8(r21) - 1f90: 10c00215 stw r3,8(r2) - 1f94: b447883a add r3,r22,r17 - 1f98: bc45c83a sub r2,r23,r17 - 1f9c: e0c00215 stw r3,8(fp) - 1fa0: 10800054 ori r2,r2,1 - 1fa4: 18800115 stw r2,4(r3) - 1fa8: b0800117 ldw r2,4(r22) - 1fac: a009883a mov r4,r20 - 1fb0: 1080004c andi r2,r2,1 - 1fb4: 1462b03a or r17,r2,r17 - 1fb8: b4400115 stw r17,4(r22) - 1fbc: 00036380 call 3638 <__malloc_unlock> - 1fc0: 9005883a mov r2,r18 - 1fc4: 003f4006 br 1cc8 <__alt_data_end+0xfffe1cc8> - 1fc8: a8c00217 ldw r3,8(r21) - 1fcc: 90c00215 stw r3,8(r18) - 1fd0: a8c00317 ldw r3,12(r21) - 1fd4: 90c00315 stw r3,12(r18) - 1fd8: 30801126 beq r6,r2,2020 <_realloc_r+0x4c8> - 1fdc: 90800404 addi r2,r18,16 - 1fe0: a8c00404 addi r3,r21,16 - 1fe4: 003f1906 br 1c4c <__alt_data_end+0xfffe1c4c> - 1fe8: 90ffff17 ldw r3,-4(r18) - 1fec: 00bfff04 movi r2,-4 - 1ff0: a825883a mov r18,r21 - 1ff4: 1884703a and r2,r3,r2 - 1ff8: 80a1883a add r16,r16,r2 - 1ffc: 003f2406 br 1c90 <__alt_data_end+0xfffe1c90> - 2000: a8800217 ldw r2,8(r21) - 2004: b0800415 stw r2,16(r22) - 2008: a8800317 ldw r2,12(r21) - 200c: b0800515 stw r2,20(r22) - 2010: 31000a26 beq r6,r4,203c <_realloc_r+0x4e4> - 2014: b0800604 addi r2,r22,24 - 2018: ad400404 addi r21,r21,16 - 201c: 003fa906 br 1ec4 <__alt_data_end+0xfffe1ec4> - 2020: a9000417 ldw r4,16(r21) - 2024: 90800604 addi r2,r18,24 - 2028: a8c00604 addi r3,r21,24 - 202c: 91000415 stw r4,16(r18) - 2030: a9000517 ldw r4,20(r21) - 2034: 91000515 stw r4,20(r18) - 2038: 003f0406 br 1c4c <__alt_data_end+0xfffe1c4c> - 203c: a8c00417 ldw r3,16(r21) - 2040: ad400604 addi r21,r21,24 - 2044: b0800804 addi r2,r22,32 - 2048: b0c00615 stw r3,24(r22) - 204c: a8ffff17 ldw r3,-4(r21) - 2050: b0c00715 stw r3,28(r22) - 2054: 003f9b06 br 1ec4 <__alt_data_end+0xfffe1ec4> - 2058: 9005883a mov r2,r18 - 205c: 003fc706 br 1f7c <__alt_data_end+0xfffe1f7c> - 2060: a80b883a mov r5,r21 - 2064: 9009883a mov r4,r18 - 2068: 00018d40 call 18d4 - 206c: 003fc906 br 1f94 <__alt_data_end+0xfffe1f94> - 2070: a8800217 ldw r2,8(r21) - 2074: b0800415 stw r2,16(r22) - 2078: a8800317 ldw r2,12(r21) - 207c: b0800515 stw r2,20(r22) - 2080: 31000726 beq r6,r4,20a0 <_realloc_r+0x548> - 2084: b0800604 addi r2,r22,24 - 2088: ad400404 addi r21,r21,16 - 208c: 003fbb06 br 1f7c <__alt_data_end+0xfffe1f7c> - 2090: a009883a mov r4,r20 - 2094: 00036380 call 3638 <__malloc_unlock> - 2098: 0005883a mov r2,zero - 209c: 003f0a06 br 1cc8 <__alt_data_end+0xfffe1cc8> - 20a0: a8c00417 ldw r3,16(r21) - 20a4: ad400604 addi r21,r21,24 - 20a8: b0800804 addi r2,r22,32 - 20ac: b0c00615 stw r3,24(r22) - 20b0: a8ffff17 ldw r3,-4(r21) - 20b4: b0c00715 stw r3,28(r22) - 20b8: 003fb006 br 1f7c <__alt_data_end+0xfffe1f7c> +00001b5c <_realloc_r>: + 1b5c: defff604 addi sp,sp,-40 + 1b60: dc800215 stw r18,8(sp) + 1b64: dfc00915 stw ra,36(sp) + 1b68: df000815 stw fp,32(sp) + 1b6c: ddc00715 stw r23,28(sp) + 1b70: dd800615 stw r22,24(sp) + 1b74: dd400515 stw r21,20(sp) + 1b78: dd000415 stw r20,16(sp) + 1b7c: dcc00315 stw r19,12(sp) + 1b80: dc400115 stw r17,4(sp) + 1b84: dc000015 stw r16,0(sp) + 1b88: 3025883a mov r18,r6 + 1b8c: 2800b726 beq r5,zero,1e6c <_realloc_r+0x310> + 1b90: 282b883a mov r21,r5 + 1b94: 2029883a mov r20,r4 + 1b98: 00036180 call 3618 <__malloc_lock> + 1b9c: a8bfff17 ldw r2,-4(r21) + 1ba0: 043fff04 movi r16,-4 + 1ba4: 90c002c4 addi r3,r18,11 + 1ba8: 01000584 movi r4,22 + 1bac: acfffe04 addi r19,r21,-8 + 1bb0: 1420703a and r16,r2,r16 + 1bb4: 20c0332e bgeu r4,r3,1c84 <_realloc_r+0x128> + 1bb8: 047ffe04 movi r17,-8 + 1bbc: 1c62703a and r17,r3,r17 + 1bc0: 8807883a mov r3,r17 + 1bc4: 88005816 blt r17,zero,1d28 <_realloc_r+0x1cc> + 1bc8: 8c805736 bltu r17,r18,1d28 <_realloc_r+0x1cc> + 1bcc: 80c0300e bge r16,r3,1c90 <_realloc_r+0x134> + 1bd0: 07000034 movhi fp,0 + 1bd4: e7171f04 addi fp,fp,23676 + 1bd8: e1c00217 ldw r7,8(fp) + 1bdc: 9c09883a add r4,r19,r16 + 1be0: 22000117 ldw r8,4(r4) + 1be4: 21c06326 beq r4,r7,1d74 <_realloc_r+0x218> + 1be8: 017fff84 movi r5,-2 + 1bec: 414a703a and r5,r8,r5 + 1bf0: 214b883a add r5,r4,r5 + 1bf4: 29800117 ldw r6,4(r5) + 1bf8: 3180004c andi r6,r6,1 + 1bfc: 30003f26 beq r6,zero,1cfc <_realloc_r+0x1a0> + 1c00: 1080004c andi r2,r2,1 + 1c04: 10008326 beq r2,zero,1e14 <_realloc_r+0x2b8> + 1c08: 900b883a mov r5,r18 + 1c0c: a009883a mov r4,r20 + 1c10: 0000ea00 call ea0 <_malloc_r> + 1c14: 1025883a mov r18,r2 + 1c18: 10011e26 beq r2,zero,2094 <_realloc_r+0x538> + 1c1c: a93fff17 ldw r4,-4(r21) + 1c20: 10fffe04 addi r3,r2,-8 + 1c24: 00bfff84 movi r2,-2 + 1c28: 2084703a and r2,r4,r2 + 1c2c: 9885883a add r2,r19,r2 + 1c30: 1880ee26 beq r3,r2,1fec <_realloc_r+0x490> + 1c34: 81bfff04 addi r6,r16,-4 + 1c38: 00800904 movi r2,36 + 1c3c: 1180b836 bltu r2,r6,1f20 <_realloc_r+0x3c4> + 1c40: 00c004c4 movi r3,19 + 1c44: 19809636 bltu r3,r6,1ea0 <_realloc_r+0x344> + 1c48: 9005883a mov r2,r18 + 1c4c: a807883a mov r3,r21 + 1c50: 19000017 ldw r4,0(r3) + 1c54: 11000015 stw r4,0(r2) + 1c58: 19000117 ldw r4,4(r3) + 1c5c: 11000115 stw r4,4(r2) + 1c60: 18c00217 ldw r3,8(r3) + 1c64: 10c00215 stw r3,8(r2) + 1c68: a80b883a mov r5,r21 + 1c6c: a009883a mov r4,r20 + 1c70: 00029280 call 2928 <_free_r> + 1c74: a009883a mov r4,r20 + 1c78: 000363c0 call 363c <__malloc_unlock> + 1c7c: 9005883a mov r2,r18 + 1c80: 00001206 br 1ccc <_realloc_r+0x170> + 1c84: 00c00404 movi r3,16 + 1c88: 1823883a mov r17,r3 + 1c8c: 003fce06 br 1bc8 <__alt_data_end+0xfffe1bc8> + 1c90: a825883a mov r18,r21 + 1c94: 8445c83a sub r2,r16,r17 + 1c98: 00c003c4 movi r3,15 + 1c9c: 18802636 bltu r3,r2,1d38 <_realloc_r+0x1dc> + 1ca0: 99800117 ldw r6,4(r19) + 1ca4: 9c07883a add r3,r19,r16 + 1ca8: 3180004c andi r6,r6,1 + 1cac: 3420b03a or r16,r6,r16 + 1cb0: 9c000115 stw r16,4(r19) + 1cb4: 18800117 ldw r2,4(r3) + 1cb8: 10800054 ori r2,r2,1 + 1cbc: 18800115 stw r2,4(r3) + 1cc0: a009883a mov r4,r20 + 1cc4: 000363c0 call 363c <__malloc_unlock> + 1cc8: 9005883a mov r2,r18 + 1ccc: dfc00917 ldw ra,36(sp) + 1cd0: df000817 ldw fp,32(sp) + 1cd4: ddc00717 ldw r23,28(sp) + 1cd8: dd800617 ldw r22,24(sp) + 1cdc: dd400517 ldw r21,20(sp) + 1ce0: dd000417 ldw r20,16(sp) + 1ce4: dcc00317 ldw r19,12(sp) + 1ce8: dc800217 ldw r18,8(sp) + 1cec: dc400117 ldw r17,4(sp) + 1cf0: dc000017 ldw r16,0(sp) + 1cf4: dec00a04 addi sp,sp,40 + 1cf8: f800283a ret + 1cfc: 017fff04 movi r5,-4 + 1d00: 414a703a and r5,r8,r5 + 1d04: 814d883a add r6,r16,r5 + 1d08: 30c01f16 blt r6,r3,1d88 <_realloc_r+0x22c> + 1d0c: 20800317 ldw r2,12(r4) + 1d10: 20c00217 ldw r3,8(r4) + 1d14: a825883a mov r18,r21 + 1d18: 3021883a mov r16,r6 + 1d1c: 18800315 stw r2,12(r3) + 1d20: 10c00215 stw r3,8(r2) + 1d24: 003fdb06 br 1c94 <__alt_data_end+0xfffe1c94> + 1d28: 00800304 movi r2,12 + 1d2c: a0800015 stw r2,0(r20) + 1d30: 0005883a mov r2,zero + 1d34: 003fe506 br 1ccc <__alt_data_end+0xfffe1ccc> + 1d38: 98c00117 ldw r3,4(r19) + 1d3c: 9c4b883a add r5,r19,r17 + 1d40: 11000054 ori r4,r2,1 + 1d44: 18c0004c andi r3,r3,1 + 1d48: 1c62b03a or r17,r3,r17 + 1d4c: 9c400115 stw r17,4(r19) + 1d50: 29000115 stw r4,4(r5) + 1d54: 2885883a add r2,r5,r2 + 1d58: 10c00117 ldw r3,4(r2) + 1d5c: 29400204 addi r5,r5,8 + 1d60: a009883a mov r4,r20 + 1d64: 18c00054 ori r3,r3,1 + 1d68: 10c00115 stw r3,4(r2) + 1d6c: 00029280 call 2928 <_free_r> + 1d70: 003fd306 br 1cc0 <__alt_data_end+0xfffe1cc0> + 1d74: 017fff04 movi r5,-4 + 1d78: 414a703a and r5,r8,r5 + 1d7c: 89800404 addi r6,r17,16 + 1d80: 8151883a add r8,r16,r5 + 1d84: 4180590e bge r8,r6,1eec <_realloc_r+0x390> + 1d88: 1080004c andi r2,r2,1 + 1d8c: 103f9e1e bne r2,zero,1c08 <__alt_data_end+0xfffe1c08> + 1d90: adbffe17 ldw r22,-8(r21) + 1d94: 00bfff04 movi r2,-4 + 1d98: 9dadc83a sub r22,r19,r22 + 1d9c: b1800117 ldw r6,4(r22) + 1da0: 3084703a and r2,r6,r2 + 1da4: 20002026 beq r4,zero,1e28 <_realloc_r+0x2cc> + 1da8: 80af883a add r23,r16,r2 + 1dac: b96f883a add r23,r23,r5 + 1db0: 21c05f26 beq r4,r7,1f30 <_realloc_r+0x3d4> + 1db4: b8c01c16 blt r23,r3,1e28 <_realloc_r+0x2cc> + 1db8: 20800317 ldw r2,12(r4) + 1dbc: 20c00217 ldw r3,8(r4) + 1dc0: 81bfff04 addi r6,r16,-4 + 1dc4: 01000904 movi r4,36 + 1dc8: 18800315 stw r2,12(r3) + 1dcc: 10c00215 stw r3,8(r2) + 1dd0: b0c00217 ldw r3,8(r22) + 1dd4: b0800317 ldw r2,12(r22) + 1dd8: b4800204 addi r18,r22,8 + 1ddc: 18800315 stw r2,12(r3) + 1de0: 10c00215 stw r3,8(r2) + 1de4: 21801b36 bltu r4,r6,1e54 <_realloc_r+0x2f8> + 1de8: 008004c4 movi r2,19 + 1dec: 1180352e bgeu r2,r6,1ec4 <_realloc_r+0x368> + 1df0: a8800017 ldw r2,0(r21) + 1df4: b0800215 stw r2,8(r22) + 1df8: a8800117 ldw r2,4(r21) + 1dfc: b0800315 stw r2,12(r22) + 1e00: 008006c4 movi r2,27 + 1e04: 11807f36 bltu r2,r6,2004 <_realloc_r+0x4a8> + 1e08: b0800404 addi r2,r22,16 + 1e0c: ad400204 addi r21,r21,8 + 1e10: 00002d06 br 1ec8 <_realloc_r+0x36c> + 1e14: adbffe17 ldw r22,-8(r21) + 1e18: 00bfff04 movi r2,-4 + 1e1c: 9dadc83a sub r22,r19,r22 + 1e20: b1000117 ldw r4,4(r22) + 1e24: 2084703a and r2,r4,r2 + 1e28: b03f7726 beq r22,zero,1c08 <__alt_data_end+0xfffe1c08> + 1e2c: 80af883a add r23,r16,r2 + 1e30: b8ff7516 blt r23,r3,1c08 <__alt_data_end+0xfffe1c08> + 1e34: b0800317 ldw r2,12(r22) + 1e38: b0c00217 ldw r3,8(r22) + 1e3c: 81bfff04 addi r6,r16,-4 + 1e40: 01000904 movi r4,36 + 1e44: 18800315 stw r2,12(r3) + 1e48: 10c00215 stw r3,8(r2) + 1e4c: b4800204 addi r18,r22,8 + 1e50: 21bfe52e bgeu r4,r6,1de8 <__alt_data_end+0xfffe1de8> + 1e54: a80b883a mov r5,r21 + 1e58: 9009883a mov r4,r18 + 1e5c: 00018d80 call 18d8 + 1e60: b821883a mov r16,r23 + 1e64: b027883a mov r19,r22 + 1e68: 003f8a06 br 1c94 <__alt_data_end+0xfffe1c94> + 1e6c: 300b883a mov r5,r6 + 1e70: dfc00917 ldw ra,36(sp) + 1e74: df000817 ldw fp,32(sp) + 1e78: ddc00717 ldw r23,28(sp) + 1e7c: dd800617 ldw r22,24(sp) + 1e80: dd400517 ldw r21,20(sp) + 1e84: dd000417 ldw r20,16(sp) + 1e88: dcc00317 ldw r19,12(sp) + 1e8c: dc800217 ldw r18,8(sp) + 1e90: dc400117 ldw r17,4(sp) + 1e94: dc000017 ldw r16,0(sp) + 1e98: dec00a04 addi sp,sp,40 + 1e9c: 0000ea01 jmpi ea0 <_malloc_r> + 1ea0: a8c00017 ldw r3,0(r21) + 1ea4: 90c00015 stw r3,0(r18) + 1ea8: a8c00117 ldw r3,4(r21) + 1eac: 90c00115 stw r3,4(r18) + 1eb0: 00c006c4 movi r3,27 + 1eb4: 19804536 bltu r3,r6,1fcc <_realloc_r+0x470> + 1eb8: 90800204 addi r2,r18,8 + 1ebc: a8c00204 addi r3,r21,8 + 1ec0: 003f6306 br 1c50 <__alt_data_end+0xfffe1c50> + 1ec4: 9005883a mov r2,r18 + 1ec8: a8c00017 ldw r3,0(r21) + 1ecc: b821883a mov r16,r23 + 1ed0: b027883a mov r19,r22 + 1ed4: 10c00015 stw r3,0(r2) + 1ed8: a8c00117 ldw r3,4(r21) + 1edc: 10c00115 stw r3,4(r2) + 1ee0: a8c00217 ldw r3,8(r21) + 1ee4: 10c00215 stw r3,8(r2) + 1ee8: 003f6a06 br 1c94 <__alt_data_end+0xfffe1c94> + 1eec: 9c67883a add r19,r19,r17 + 1ef0: 4445c83a sub r2,r8,r17 + 1ef4: e4c00215 stw r19,8(fp) + 1ef8: 10800054 ori r2,r2,1 + 1efc: 98800115 stw r2,4(r19) + 1f00: a8bfff17 ldw r2,-4(r21) + 1f04: a009883a mov r4,r20 + 1f08: 1080004c andi r2,r2,1 + 1f0c: 1462b03a or r17,r2,r17 + 1f10: ac7fff15 stw r17,-4(r21) + 1f14: 000363c0 call 363c <__malloc_unlock> + 1f18: a805883a mov r2,r21 + 1f1c: 003f6b06 br 1ccc <__alt_data_end+0xfffe1ccc> + 1f20: a80b883a mov r5,r21 + 1f24: 9009883a mov r4,r18 + 1f28: 00018d80 call 18d8 + 1f2c: 003f4e06 br 1c68 <__alt_data_end+0xfffe1c68> + 1f30: 89000404 addi r4,r17,16 + 1f34: b93fbc16 blt r23,r4,1e28 <__alt_data_end+0xfffe1e28> + 1f38: b0800317 ldw r2,12(r22) + 1f3c: b0c00217 ldw r3,8(r22) + 1f40: 81bfff04 addi r6,r16,-4 + 1f44: 01000904 movi r4,36 + 1f48: 18800315 stw r2,12(r3) + 1f4c: 10c00215 stw r3,8(r2) + 1f50: b4800204 addi r18,r22,8 + 1f54: 21804336 bltu r4,r6,2064 <_realloc_r+0x508> + 1f58: 008004c4 movi r2,19 + 1f5c: 11803f2e bgeu r2,r6,205c <_realloc_r+0x500> + 1f60: a8800017 ldw r2,0(r21) + 1f64: b0800215 stw r2,8(r22) + 1f68: a8800117 ldw r2,4(r21) + 1f6c: b0800315 stw r2,12(r22) + 1f70: 008006c4 movi r2,27 + 1f74: 11803f36 bltu r2,r6,2074 <_realloc_r+0x518> + 1f78: b0800404 addi r2,r22,16 + 1f7c: ad400204 addi r21,r21,8 + 1f80: a8c00017 ldw r3,0(r21) + 1f84: 10c00015 stw r3,0(r2) + 1f88: a8c00117 ldw r3,4(r21) + 1f8c: 10c00115 stw r3,4(r2) + 1f90: a8c00217 ldw r3,8(r21) + 1f94: 10c00215 stw r3,8(r2) + 1f98: b447883a add r3,r22,r17 + 1f9c: bc45c83a sub r2,r23,r17 + 1fa0: e0c00215 stw r3,8(fp) + 1fa4: 10800054 ori r2,r2,1 + 1fa8: 18800115 stw r2,4(r3) + 1fac: b0800117 ldw r2,4(r22) + 1fb0: a009883a mov r4,r20 + 1fb4: 1080004c andi r2,r2,1 + 1fb8: 1462b03a or r17,r2,r17 + 1fbc: b4400115 stw r17,4(r22) + 1fc0: 000363c0 call 363c <__malloc_unlock> + 1fc4: 9005883a mov r2,r18 + 1fc8: 003f4006 br 1ccc <__alt_data_end+0xfffe1ccc> + 1fcc: a8c00217 ldw r3,8(r21) + 1fd0: 90c00215 stw r3,8(r18) + 1fd4: a8c00317 ldw r3,12(r21) + 1fd8: 90c00315 stw r3,12(r18) + 1fdc: 30801126 beq r6,r2,2024 <_realloc_r+0x4c8> + 1fe0: 90800404 addi r2,r18,16 + 1fe4: a8c00404 addi r3,r21,16 + 1fe8: 003f1906 br 1c50 <__alt_data_end+0xfffe1c50> + 1fec: 90ffff17 ldw r3,-4(r18) + 1ff0: 00bfff04 movi r2,-4 + 1ff4: a825883a mov r18,r21 + 1ff8: 1884703a and r2,r3,r2 + 1ffc: 80a1883a add r16,r16,r2 + 2000: 003f2406 br 1c94 <__alt_data_end+0xfffe1c94> + 2004: a8800217 ldw r2,8(r21) + 2008: b0800415 stw r2,16(r22) + 200c: a8800317 ldw r2,12(r21) + 2010: b0800515 stw r2,20(r22) + 2014: 31000a26 beq r6,r4,2040 <_realloc_r+0x4e4> + 2018: b0800604 addi r2,r22,24 + 201c: ad400404 addi r21,r21,16 + 2020: 003fa906 br 1ec8 <__alt_data_end+0xfffe1ec8> + 2024: a9000417 ldw r4,16(r21) + 2028: 90800604 addi r2,r18,24 + 202c: a8c00604 addi r3,r21,24 + 2030: 91000415 stw r4,16(r18) + 2034: a9000517 ldw r4,20(r21) + 2038: 91000515 stw r4,20(r18) + 203c: 003f0406 br 1c50 <__alt_data_end+0xfffe1c50> + 2040: a8c00417 ldw r3,16(r21) + 2044: ad400604 addi r21,r21,24 + 2048: b0800804 addi r2,r22,32 + 204c: b0c00615 stw r3,24(r22) + 2050: a8ffff17 ldw r3,-4(r21) + 2054: b0c00715 stw r3,28(r22) + 2058: 003f9b06 br 1ec8 <__alt_data_end+0xfffe1ec8> + 205c: 9005883a mov r2,r18 + 2060: 003fc706 br 1f80 <__alt_data_end+0xfffe1f80> + 2064: a80b883a mov r5,r21 + 2068: 9009883a mov r4,r18 + 206c: 00018d80 call 18d8 + 2070: 003fc906 br 1f98 <__alt_data_end+0xfffe1f98> + 2074: a8800217 ldw r2,8(r21) + 2078: b0800415 stw r2,16(r22) + 207c: a8800317 ldw r2,12(r21) + 2080: b0800515 stw r2,20(r22) + 2084: 31000726 beq r6,r4,20a4 <_realloc_r+0x548> + 2088: b0800604 addi r2,r22,24 + 208c: ad400404 addi r21,r21,16 + 2090: 003fbb06 br 1f80 <__alt_data_end+0xfffe1f80> + 2094: a009883a mov r4,r20 + 2098: 000363c0 call 363c <__malloc_unlock> + 209c: 0005883a mov r2,zero + 20a0: 003f0a06 br 1ccc <__alt_data_end+0xfffe1ccc> + 20a4: a8c00417 ldw r3,16(r21) + 20a8: ad400604 addi r21,r21,24 + 20ac: b0800804 addi r2,r22,32 + 20b0: b0c00615 stw r3,24(r22) + 20b4: a8ffff17 ldw r3,-4(r21) + 20b8: b0c00715 stw r3,28(r22) + 20bc: 003fb006 br 1f80 <__alt_data_end+0xfffe1f80> -000020bc <_sbrk_r>: - 20bc: defffd04 addi sp,sp,-12 - 20c0: dc000015 stw r16,0(sp) - 20c4: 04000034 movhi r16,0 - 20c8: dc400115 stw r17,4(sp) - 20cc: 841ca704 addi r16,r16,29340 - 20d0: 2023883a mov r17,r4 - 20d4: 2809883a mov r4,r5 - 20d8: dfc00215 stw ra,8(sp) - 20dc: 80000015 stw zero,0(r16) - 20e0: 00038200 call 3820 - 20e4: 00ffffc4 movi r3,-1 - 20e8: 10c00526 beq r2,r3,2100 <_sbrk_r+0x44> - 20ec: dfc00217 ldw ra,8(sp) - 20f0: dc400117 ldw r17,4(sp) - 20f4: dc000017 ldw r16,0(sp) - 20f8: dec00304 addi sp,sp,12 - 20fc: f800283a ret - 2100: 80c00017 ldw r3,0(r16) - 2104: 183ff926 beq r3,zero,20ec <__alt_data_end+0xfffe20ec> - 2108: 88c00015 stw r3,0(r17) - 210c: 003ff706 br 20ec <__alt_data_end+0xfffe20ec> +000020c0 <_sbrk_r>: + 20c0: defffd04 addi sp,sp,-12 + 20c4: dc000015 stw r16,0(sp) + 20c8: 04000034 movhi r16,0 + 20cc: dc400115 stw r17,4(sp) + 20d0: 841cb404 addi r16,r16,29392 + 20d4: 2023883a mov r17,r4 + 20d8: 2809883a mov r4,r5 + 20dc: dfc00215 stw ra,8(sp) + 20e0: 80000015 stw zero,0(r16) + 20e4: 00038240 call 3824 + 20e8: 00ffffc4 movi r3,-1 + 20ec: 10c00526 beq r2,r3,2104 <_sbrk_r+0x44> + 20f0: dfc00217 ldw ra,8(sp) + 20f4: dc400117 ldw r17,4(sp) + 20f8: dc000017 ldw r16,0(sp) + 20fc: dec00304 addi sp,sp,12 + 2100: f800283a ret + 2104: 80c00017 ldw r3,0(r16) + 2108: 183ff926 beq r3,zero,20f0 <__alt_data_end+0xfffe20f0> + 210c: 88c00015 stw r3,0(r17) + 2110: 003ff706 br 20f0 <__alt_data_end+0xfffe20f0> -00002110 <__sread>: - 2110: defffe04 addi sp,sp,-8 - 2114: dc000015 stw r16,0(sp) - 2118: 2821883a mov r16,r5 - 211c: 2940038f ldh r5,14(r5) - 2120: dfc00115 stw ra,4(sp) - 2124: 0002e500 call 2e50 <_read_r> - 2128: 10000716 blt r2,zero,2148 <__sread+0x38> - 212c: 80c01417 ldw r3,80(r16) - 2130: 1887883a add r3,r3,r2 - 2134: 80c01415 stw r3,80(r16) - 2138: dfc00117 ldw ra,4(sp) - 213c: dc000017 ldw r16,0(sp) - 2140: dec00204 addi sp,sp,8 - 2144: f800283a ret - 2148: 80c0030b ldhu r3,12(r16) - 214c: 18fbffcc andi r3,r3,61439 - 2150: 80c0030d sth r3,12(r16) - 2154: dfc00117 ldw ra,4(sp) - 2158: dc000017 ldw r16,0(sp) - 215c: dec00204 addi sp,sp,8 - 2160: f800283a ret +00002114 <__sread>: + 2114: defffe04 addi sp,sp,-8 + 2118: dc000015 stw r16,0(sp) + 211c: 2821883a mov r16,r5 + 2120: 2940038f ldh r5,14(r5) + 2124: dfc00115 stw ra,4(sp) + 2128: 0002e540 call 2e54 <_read_r> + 212c: 10000716 blt r2,zero,214c <__sread+0x38> + 2130: 80c01417 ldw r3,80(r16) + 2134: 1887883a add r3,r3,r2 + 2138: 80c01415 stw r3,80(r16) + 213c: dfc00117 ldw ra,4(sp) + 2140: dc000017 ldw r16,0(sp) + 2144: dec00204 addi sp,sp,8 + 2148: f800283a ret + 214c: 80c0030b ldhu r3,12(r16) + 2150: 18fbffcc andi r3,r3,61439 + 2154: 80c0030d sth r3,12(r16) + 2158: dfc00117 ldw ra,4(sp) + 215c: dc000017 ldw r16,0(sp) + 2160: dec00204 addi sp,sp,8 + 2164: f800283a ret -00002164 <__seofread>: - 2164: 0005883a mov r2,zero - 2168: f800283a ret +00002168 <__seofread>: + 2168: 0005883a mov r2,zero + 216c: f800283a ret -0000216c <__swrite>: - 216c: 2880030b ldhu r2,12(r5) - 2170: defffb04 addi sp,sp,-20 - 2174: dcc00315 stw r19,12(sp) - 2178: dc800215 stw r18,8(sp) - 217c: dc400115 stw r17,4(sp) - 2180: dc000015 stw r16,0(sp) - 2184: dfc00415 stw ra,16(sp) - 2188: 10c0400c andi r3,r2,256 - 218c: 2821883a mov r16,r5 - 2190: 2023883a mov r17,r4 - 2194: 3025883a mov r18,r6 - 2198: 3827883a mov r19,r7 - 219c: 18000526 beq r3,zero,21b4 <__swrite+0x48> - 21a0: 2940038f ldh r5,14(r5) - 21a4: 01c00084 movi r7,2 - 21a8: 000d883a mov r6,zero - 21ac: 0002c340 call 2c34 <_lseek_r> - 21b0: 8080030b ldhu r2,12(r16) - 21b4: 8140038f ldh r5,14(r16) - 21b8: 10bbffcc andi r2,r2,61439 - 21bc: 980f883a mov r7,r19 - 21c0: 900d883a mov r6,r18 - 21c4: 8809883a mov r4,r17 - 21c8: 8080030d sth r2,12(r16) - 21cc: dfc00417 ldw ra,16(sp) - 21d0: dcc00317 ldw r19,12(sp) - 21d4: dc800217 ldw r18,8(sp) - 21d8: dc400117 ldw r17,4(sp) - 21dc: dc000017 ldw r16,0(sp) - 21e0: dec00504 addi sp,sp,20 - 21e4: 000224c1 jmpi 224c <_write_r> +00002170 <__swrite>: + 2170: 2880030b ldhu r2,12(r5) + 2174: defffb04 addi sp,sp,-20 + 2178: dcc00315 stw r19,12(sp) + 217c: dc800215 stw r18,8(sp) + 2180: dc400115 stw r17,4(sp) + 2184: dc000015 stw r16,0(sp) + 2188: dfc00415 stw ra,16(sp) + 218c: 10c0400c andi r3,r2,256 + 2190: 2821883a mov r16,r5 + 2194: 2023883a mov r17,r4 + 2198: 3025883a mov r18,r6 + 219c: 3827883a mov r19,r7 + 21a0: 18000526 beq r3,zero,21b8 <__swrite+0x48> + 21a4: 2940038f ldh r5,14(r5) + 21a8: 01c00084 movi r7,2 + 21ac: 000d883a mov r6,zero + 21b0: 0002c380 call 2c38 <_lseek_r> + 21b4: 8080030b ldhu r2,12(r16) + 21b8: 8140038f ldh r5,14(r16) + 21bc: 10bbffcc andi r2,r2,61439 + 21c0: 980f883a mov r7,r19 + 21c4: 900d883a mov r6,r18 + 21c8: 8809883a mov r4,r17 + 21cc: 8080030d sth r2,12(r16) + 21d0: dfc00417 ldw ra,16(sp) + 21d4: dcc00317 ldw r19,12(sp) + 21d8: dc800217 ldw r18,8(sp) + 21dc: dc400117 ldw r17,4(sp) + 21e0: dc000017 ldw r16,0(sp) + 21e4: dec00504 addi sp,sp,20 + 21e8: 00022501 jmpi 2250 <_write_r> -000021e8 <__sseek>: - 21e8: defffe04 addi sp,sp,-8 - 21ec: dc000015 stw r16,0(sp) - 21f0: 2821883a mov r16,r5 - 21f4: 2940038f ldh r5,14(r5) - 21f8: dfc00115 stw ra,4(sp) - 21fc: 0002c340 call 2c34 <_lseek_r> - 2200: 00ffffc4 movi r3,-1 - 2204: 10c00826 beq r2,r3,2228 <__sseek+0x40> - 2208: 80c0030b ldhu r3,12(r16) - 220c: 80801415 stw r2,80(r16) - 2210: 18c40014 ori r3,r3,4096 - 2214: 80c0030d sth r3,12(r16) - 2218: dfc00117 ldw ra,4(sp) - 221c: dc000017 ldw r16,0(sp) - 2220: dec00204 addi sp,sp,8 - 2224: f800283a ret - 2228: 80c0030b ldhu r3,12(r16) - 222c: 18fbffcc andi r3,r3,61439 - 2230: 80c0030d sth r3,12(r16) - 2234: dfc00117 ldw ra,4(sp) - 2238: dc000017 ldw r16,0(sp) - 223c: dec00204 addi sp,sp,8 - 2240: f800283a ret +000021ec <__sseek>: + 21ec: defffe04 addi sp,sp,-8 + 21f0: dc000015 stw r16,0(sp) + 21f4: 2821883a mov r16,r5 + 21f8: 2940038f ldh r5,14(r5) + 21fc: dfc00115 stw ra,4(sp) + 2200: 0002c380 call 2c38 <_lseek_r> + 2204: 00ffffc4 movi r3,-1 + 2208: 10c00826 beq r2,r3,222c <__sseek+0x40> + 220c: 80c0030b ldhu r3,12(r16) + 2210: 80801415 stw r2,80(r16) + 2214: 18c40014 ori r3,r3,4096 + 2218: 80c0030d sth r3,12(r16) + 221c: dfc00117 ldw ra,4(sp) + 2220: dc000017 ldw r16,0(sp) + 2224: dec00204 addi sp,sp,8 + 2228: f800283a ret + 222c: 80c0030b ldhu r3,12(r16) + 2230: 18fbffcc andi r3,r3,61439 + 2234: 80c0030d sth r3,12(r16) + 2238: dfc00117 ldw ra,4(sp) + 223c: dc000017 ldw r16,0(sp) + 2240: dec00204 addi sp,sp,8 + 2244: f800283a ret -00002244 <__sclose>: - 2244: 2940038f ldh r5,14(r5) - 2248: 00024001 jmpi 2400 <_close_r> +00002248 <__sclose>: + 2248: 2940038f ldh r5,14(r5) + 224c: 00024041 jmpi 2404 <_close_r> -0000224c <_write_r>: - 224c: defffd04 addi sp,sp,-12 - 2250: 2805883a mov r2,r5 - 2254: dc000015 stw r16,0(sp) - 2258: 04000034 movhi r16,0 - 225c: dc400115 stw r17,4(sp) - 2260: 300b883a mov r5,r6 - 2264: 841ca704 addi r16,r16,29340 - 2268: 2023883a mov r17,r4 - 226c: 380d883a mov r6,r7 - 2270: 1009883a mov r4,r2 - 2274: dfc00215 stw ra,8(sp) - 2278: 80000015 stw zero,0(r16) - 227c: 000390c0 call 390c - 2280: 00ffffc4 movi r3,-1 - 2284: 10c00526 beq r2,r3,229c <_write_r+0x50> - 2288: dfc00217 ldw ra,8(sp) - 228c: dc400117 ldw r17,4(sp) - 2290: dc000017 ldw r16,0(sp) - 2294: dec00304 addi sp,sp,12 - 2298: f800283a ret - 229c: 80c00017 ldw r3,0(r16) - 22a0: 183ff926 beq r3,zero,2288 <__alt_data_end+0xfffe2288> - 22a4: 88c00015 stw r3,0(r17) - 22a8: 003ff706 br 2288 <__alt_data_end+0xfffe2288> +00002250 <_write_r>: + 2250: defffd04 addi sp,sp,-12 + 2254: 2805883a mov r2,r5 + 2258: dc000015 stw r16,0(sp) + 225c: 04000034 movhi r16,0 + 2260: dc400115 stw r17,4(sp) + 2264: 300b883a mov r5,r6 + 2268: 841cb404 addi r16,r16,29392 + 226c: 2023883a mov r17,r4 + 2270: 380d883a mov r6,r7 + 2274: 1009883a mov r4,r2 + 2278: dfc00215 stw ra,8(sp) + 227c: 80000015 stw zero,0(r16) + 2280: 00039100 call 3910 + 2284: 00ffffc4 movi r3,-1 + 2288: 10c00526 beq r2,r3,22a0 <_write_r+0x50> + 228c: dfc00217 ldw ra,8(sp) + 2290: dc400117 ldw r17,4(sp) + 2294: dc000017 ldw r16,0(sp) + 2298: dec00304 addi sp,sp,12 + 229c: f800283a ret + 22a0: 80c00017 ldw r3,0(r16) + 22a4: 183ff926 beq r3,zero,228c <__alt_data_end+0xfffe228c> + 22a8: 88c00015 stw r3,0(r17) + 22ac: 003ff706 br 228c <__alt_data_end+0xfffe228c> -000022ac <__swsetup_r>: - 22ac: 00800034 movhi r2,0 - 22b0: defffd04 addi sp,sp,-12 - 22b4: 109c9704 addi r2,r2,29276 - 22b8: dc400115 stw r17,4(sp) - 22bc: 2023883a mov r17,r4 - 22c0: 11000017 ldw r4,0(r2) - 22c4: dc000015 stw r16,0(sp) - 22c8: dfc00215 stw ra,8(sp) - 22cc: 2821883a mov r16,r5 - 22d0: 20000226 beq r4,zero,22dc <__swsetup_r+0x30> - 22d4: 20800e17 ldw r2,56(r4) - 22d8: 10003126 beq r2,zero,23a0 <__swsetup_r+0xf4> - 22dc: 8080030b ldhu r2,12(r16) - 22e0: 10c0020c andi r3,r2,8 - 22e4: 1009883a mov r4,r2 - 22e8: 18000f26 beq r3,zero,2328 <__swsetup_r+0x7c> - 22ec: 80c00417 ldw r3,16(r16) - 22f0: 18001526 beq r3,zero,2348 <__swsetup_r+0x9c> - 22f4: 1100004c andi r4,r2,1 - 22f8: 20001c1e bne r4,zero,236c <__swsetup_r+0xc0> - 22fc: 1080008c andi r2,r2,2 - 2300: 1000291e bne r2,zero,23a8 <__swsetup_r+0xfc> - 2304: 80800517 ldw r2,20(r16) - 2308: 80800215 stw r2,8(r16) - 230c: 18001c26 beq r3,zero,2380 <__swsetup_r+0xd4> - 2310: 0005883a mov r2,zero - 2314: dfc00217 ldw ra,8(sp) - 2318: dc400117 ldw r17,4(sp) - 231c: dc000017 ldw r16,0(sp) - 2320: dec00304 addi sp,sp,12 - 2324: f800283a ret - 2328: 2080040c andi r2,r4,16 - 232c: 10002e26 beq r2,zero,23e8 <__swsetup_r+0x13c> - 2330: 2080010c andi r2,r4,4 - 2334: 10001e1e bne r2,zero,23b0 <__swsetup_r+0x104> - 2338: 80c00417 ldw r3,16(r16) - 233c: 20800214 ori r2,r4,8 - 2340: 8080030d sth r2,12(r16) - 2344: 183feb1e bne r3,zero,22f4 <__alt_data_end+0xfffe22f4> - 2348: 1100a00c andi r4,r2,640 - 234c: 01408004 movi r5,512 - 2350: 217fe826 beq r4,r5,22f4 <__alt_data_end+0xfffe22f4> - 2354: 800b883a mov r5,r16 - 2358: 8809883a mov r4,r17 - 235c: 0002c940 call 2c94 <__smakebuf_r> - 2360: 8080030b ldhu r2,12(r16) - 2364: 80c00417 ldw r3,16(r16) - 2368: 003fe206 br 22f4 <__alt_data_end+0xfffe22f4> - 236c: 80800517 ldw r2,20(r16) - 2370: 80000215 stw zero,8(r16) - 2374: 0085c83a sub r2,zero,r2 - 2378: 80800615 stw r2,24(r16) - 237c: 183fe41e bne r3,zero,2310 <__alt_data_end+0xfffe2310> - 2380: 80c0030b ldhu r3,12(r16) - 2384: 0005883a mov r2,zero - 2388: 1900200c andi r4,r3,128 - 238c: 203fe126 beq r4,zero,2314 <__alt_data_end+0xfffe2314> - 2390: 18c01014 ori r3,r3,64 - 2394: 80c0030d sth r3,12(r16) - 2398: 00bfffc4 movi r2,-1 - 239c: 003fdd06 br 2314 <__alt_data_end+0xfffe2314> - 23a0: 00007fc0 call 7fc <__sinit> - 23a4: 003fcd06 br 22dc <__alt_data_end+0xfffe22dc> - 23a8: 0005883a mov r2,zero - 23ac: 003fd606 br 2308 <__alt_data_end+0xfffe2308> - 23b0: 81400c17 ldw r5,48(r16) - 23b4: 28000626 beq r5,zero,23d0 <__swsetup_r+0x124> - 23b8: 80801004 addi r2,r16,64 - 23bc: 28800326 beq r5,r2,23cc <__swsetup_r+0x120> - 23c0: 8809883a mov r4,r17 - 23c4: 00029240 call 2924 <_free_r> - 23c8: 8100030b ldhu r4,12(r16) - 23cc: 80000c15 stw zero,48(r16) - 23d0: 80c00417 ldw r3,16(r16) - 23d4: 00bff6c4 movi r2,-37 - 23d8: 1108703a and r4,r2,r4 - 23dc: 80000115 stw zero,4(r16) - 23e0: 80c00015 stw r3,0(r16) - 23e4: 003fd506 br 233c <__alt_data_end+0xfffe233c> - 23e8: 00800244 movi r2,9 - 23ec: 88800015 stw r2,0(r17) - 23f0: 20801014 ori r2,r4,64 - 23f4: 8080030d sth r2,12(r16) - 23f8: 00bfffc4 movi r2,-1 - 23fc: 003fc506 br 2314 <__alt_data_end+0xfffe2314> +000022b0 <__swsetup_r>: + 22b0: 00800034 movhi r2,0 + 22b4: defffd04 addi sp,sp,-12 + 22b8: 109ca404 addi r2,r2,29328 + 22bc: dc400115 stw r17,4(sp) + 22c0: 2023883a mov r17,r4 + 22c4: 11000017 ldw r4,0(r2) + 22c8: dc000015 stw r16,0(sp) + 22cc: dfc00215 stw ra,8(sp) + 22d0: 2821883a mov r16,r5 + 22d4: 20000226 beq r4,zero,22e0 <__swsetup_r+0x30> + 22d8: 20800e17 ldw r2,56(r4) + 22dc: 10003126 beq r2,zero,23a4 <__swsetup_r+0xf4> + 22e0: 8080030b ldhu r2,12(r16) + 22e4: 10c0020c andi r3,r2,8 + 22e8: 1009883a mov r4,r2 + 22ec: 18000f26 beq r3,zero,232c <__swsetup_r+0x7c> + 22f0: 80c00417 ldw r3,16(r16) + 22f4: 18001526 beq r3,zero,234c <__swsetup_r+0x9c> + 22f8: 1100004c andi r4,r2,1 + 22fc: 20001c1e bne r4,zero,2370 <__swsetup_r+0xc0> + 2300: 1080008c andi r2,r2,2 + 2304: 1000291e bne r2,zero,23ac <__swsetup_r+0xfc> + 2308: 80800517 ldw r2,20(r16) + 230c: 80800215 stw r2,8(r16) + 2310: 18001c26 beq r3,zero,2384 <__swsetup_r+0xd4> + 2314: 0005883a mov r2,zero + 2318: dfc00217 ldw ra,8(sp) + 231c: dc400117 ldw r17,4(sp) + 2320: dc000017 ldw r16,0(sp) + 2324: dec00304 addi sp,sp,12 + 2328: f800283a ret + 232c: 2080040c andi r2,r4,16 + 2330: 10002e26 beq r2,zero,23ec <__swsetup_r+0x13c> + 2334: 2080010c andi r2,r4,4 + 2338: 10001e1e bne r2,zero,23b4 <__swsetup_r+0x104> + 233c: 80c00417 ldw r3,16(r16) + 2340: 20800214 ori r2,r4,8 + 2344: 8080030d sth r2,12(r16) + 2348: 183feb1e bne r3,zero,22f8 <__alt_data_end+0xfffe22f8> + 234c: 1100a00c andi r4,r2,640 + 2350: 01408004 movi r5,512 + 2354: 217fe826 beq r4,r5,22f8 <__alt_data_end+0xfffe22f8> + 2358: 800b883a mov r5,r16 + 235c: 8809883a mov r4,r17 + 2360: 0002c980 call 2c98 <__smakebuf_r> + 2364: 8080030b ldhu r2,12(r16) + 2368: 80c00417 ldw r3,16(r16) + 236c: 003fe206 br 22f8 <__alt_data_end+0xfffe22f8> + 2370: 80800517 ldw r2,20(r16) + 2374: 80000215 stw zero,8(r16) + 2378: 0085c83a sub r2,zero,r2 + 237c: 80800615 stw r2,24(r16) + 2380: 183fe41e bne r3,zero,2314 <__alt_data_end+0xfffe2314> + 2384: 80c0030b ldhu r3,12(r16) + 2388: 0005883a mov r2,zero + 238c: 1900200c andi r4,r3,128 + 2390: 203fe126 beq r4,zero,2318 <__alt_data_end+0xfffe2318> + 2394: 18c01014 ori r3,r3,64 + 2398: 80c0030d sth r3,12(r16) + 239c: 00bfffc4 movi r2,-1 + 23a0: 003fdd06 br 2318 <__alt_data_end+0xfffe2318> + 23a4: 00008000 call 800 <__sinit> + 23a8: 003fcd06 br 22e0 <__alt_data_end+0xfffe22e0> + 23ac: 0005883a mov r2,zero + 23b0: 003fd606 br 230c <__alt_data_end+0xfffe230c> + 23b4: 81400c17 ldw r5,48(r16) + 23b8: 28000626 beq r5,zero,23d4 <__swsetup_r+0x124> + 23bc: 80801004 addi r2,r16,64 + 23c0: 28800326 beq r5,r2,23d0 <__swsetup_r+0x120> + 23c4: 8809883a mov r4,r17 + 23c8: 00029280 call 2928 <_free_r> + 23cc: 8100030b ldhu r4,12(r16) + 23d0: 80000c15 stw zero,48(r16) + 23d4: 80c00417 ldw r3,16(r16) + 23d8: 00bff6c4 movi r2,-37 + 23dc: 1108703a and r4,r2,r4 + 23e0: 80000115 stw zero,4(r16) + 23e4: 80c00015 stw r3,0(r16) + 23e8: 003fd506 br 2340 <__alt_data_end+0xfffe2340> + 23ec: 00800244 movi r2,9 + 23f0: 88800015 stw r2,0(r17) + 23f4: 20801014 ori r2,r4,64 + 23f8: 8080030d sth r2,12(r16) + 23fc: 00bfffc4 movi r2,-1 + 2400: 003fc506 br 2318 <__alt_data_end+0xfffe2318> -00002400 <_close_r>: - 2400: defffd04 addi sp,sp,-12 - 2404: dc000015 stw r16,0(sp) - 2408: 04000034 movhi r16,0 - 240c: dc400115 stw r17,4(sp) - 2410: 841ca704 addi r16,r16,29340 - 2414: 2023883a mov r17,r4 - 2418: 2809883a mov r4,r5 - 241c: dfc00215 stw ra,8(sp) - 2420: 80000015 stw zero,0(r16) - 2424: 00031780 call 3178 - 2428: 00ffffc4 movi r3,-1 - 242c: 10c00526 beq r2,r3,2444 <_close_r+0x44> - 2430: dfc00217 ldw ra,8(sp) - 2434: dc400117 ldw r17,4(sp) - 2438: dc000017 ldw r16,0(sp) - 243c: dec00304 addi sp,sp,12 - 2440: f800283a ret - 2444: 80c00017 ldw r3,0(r16) - 2448: 183ff926 beq r3,zero,2430 <__alt_data_end+0xfffe2430> - 244c: 88c00015 stw r3,0(r17) - 2450: 003ff706 br 2430 <__alt_data_end+0xfffe2430> +00002404 <_close_r>: + 2404: defffd04 addi sp,sp,-12 + 2408: dc000015 stw r16,0(sp) + 240c: 04000034 movhi r16,0 + 2410: dc400115 stw r17,4(sp) + 2414: 841cb404 addi r16,r16,29392 + 2418: 2023883a mov r17,r4 + 241c: 2809883a mov r4,r5 + 2420: dfc00215 stw ra,8(sp) + 2424: 80000015 stw zero,0(r16) + 2428: 000317c0 call 317c + 242c: 00ffffc4 movi r3,-1 + 2430: 10c00526 beq r2,r3,2448 <_close_r+0x44> + 2434: dfc00217 ldw ra,8(sp) + 2438: dc400117 ldw r17,4(sp) + 243c: dc000017 ldw r16,0(sp) + 2440: dec00304 addi sp,sp,12 + 2444: f800283a ret + 2448: 80c00017 ldw r3,0(r16) + 244c: 183ff926 beq r3,zero,2434 <__alt_data_end+0xfffe2434> + 2450: 88c00015 stw r3,0(r17) + 2454: 003ff706 br 2434 <__alt_data_end+0xfffe2434> -00002454 <_fclose_r>: - 2454: 28003926 beq r5,zero,253c <_fclose_r+0xe8> - 2458: defffc04 addi sp,sp,-16 - 245c: dc400115 stw r17,4(sp) - 2460: dc000015 stw r16,0(sp) - 2464: dfc00315 stw ra,12(sp) - 2468: dc800215 stw r18,8(sp) - 246c: 2023883a mov r17,r4 - 2470: 2821883a mov r16,r5 - 2474: 20000226 beq r4,zero,2480 <_fclose_r+0x2c> - 2478: 20800e17 ldw r2,56(r4) - 247c: 10002726 beq r2,zero,251c <_fclose_r+0xc8> - 2480: 8080030f ldh r2,12(r16) - 2484: 1000071e bne r2,zero,24a4 <_fclose_r+0x50> - 2488: 0005883a mov r2,zero - 248c: dfc00317 ldw ra,12(sp) - 2490: dc800217 ldw r18,8(sp) - 2494: dc400117 ldw r17,4(sp) - 2498: dc000017 ldw r16,0(sp) - 249c: dec00404 addi sp,sp,16 - 24a0: f800283a ret - 24a4: 800b883a mov r5,r16 - 24a8: 8809883a mov r4,r17 - 24ac: 00025580 call 2558 <__sflush_r> - 24b0: 1025883a mov r18,r2 - 24b4: 80800b17 ldw r2,44(r16) - 24b8: 10000426 beq r2,zero,24cc <_fclose_r+0x78> - 24bc: 81400717 ldw r5,28(r16) - 24c0: 8809883a mov r4,r17 - 24c4: 103ee83a callr r2 - 24c8: 10001616 blt r2,zero,2524 <_fclose_r+0xd0> - 24cc: 8080030b ldhu r2,12(r16) - 24d0: 1080200c andi r2,r2,128 - 24d4: 1000151e bne r2,zero,252c <_fclose_r+0xd8> - 24d8: 81400c17 ldw r5,48(r16) - 24dc: 28000526 beq r5,zero,24f4 <_fclose_r+0xa0> - 24e0: 80801004 addi r2,r16,64 - 24e4: 28800226 beq r5,r2,24f0 <_fclose_r+0x9c> - 24e8: 8809883a mov r4,r17 - 24ec: 00029240 call 2924 <_free_r> - 24f0: 80000c15 stw zero,48(r16) - 24f4: 81401117 ldw r5,68(r16) - 24f8: 28000326 beq r5,zero,2508 <_fclose_r+0xb4> - 24fc: 8809883a mov r4,r17 - 2500: 00029240 call 2924 <_free_r> - 2504: 80001115 stw zero,68(r16) - 2508: 000080c0 call 80c <__sfp_lock_acquire> - 250c: 8000030d sth zero,12(r16) - 2510: 00008100 call 810 <__sfp_lock_release> - 2514: 9005883a mov r2,r18 - 2518: 003fdc06 br 248c <__alt_data_end+0xfffe248c> - 251c: 00007fc0 call 7fc <__sinit> - 2520: 003fd706 br 2480 <__alt_data_end+0xfffe2480> - 2524: 04bfffc4 movi r18,-1 - 2528: 003fe806 br 24cc <__alt_data_end+0xfffe24cc> - 252c: 81400417 ldw r5,16(r16) - 2530: 8809883a mov r4,r17 - 2534: 00029240 call 2924 <_free_r> - 2538: 003fe706 br 24d8 <__alt_data_end+0xfffe24d8> - 253c: 0005883a mov r2,zero - 2540: f800283a ret +00002458 <_fclose_r>: + 2458: 28003926 beq r5,zero,2540 <_fclose_r+0xe8> + 245c: defffc04 addi sp,sp,-16 + 2460: dc400115 stw r17,4(sp) + 2464: dc000015 stw r16,0(sp) + 2468: dfc00315 stw ra,12(sp) + 246c: dc800215 stw r18,8(sp) + 2470: 2023883a mov r17,r4 + 2474: 2821883a mov r16,r5 + 2478: 20000226 beq r4,zero,2484 <_fclose_r+0x2c> + 247c: 20800e17 ldw r2,56(r4) + 2480: 10002726 beq r2,zero,2520 <_fclose_r+0xc8> + 2484: 8080030f ldh r2,12(r16) + 2488: 1000071e bne r2,zero,24a8 <_fclose_r+0x50> + 248c: 0005883a mov r2,zero + 2490: dfc00317 ldw ra,12(sp) + 2494: dc800217 ldw r18,8(sp) + 2498: dc400117 ldw r17,4(sp) + 249c: dc000017 ldw r16,0(sp) + 24a0: dec00404 addi sp,sp,16 + 24a4: f800283a ret + 24a8: 800b883a mov r5,r16 + 24ac: 8809883a mov r4,r17 + 24b0: 000255c0 call 255c <__sflush_r> + 24b4: 1025883a mov r18,r2 + 24b8: 80800b17 ldw r2,44(r16) + 24bc: 10000426 beq r2,zero,24d0 <_fclose_r+0x78> + 24c0: 81400717 ldw r5,28(r16) + 24c4: 8809883a mov r4,r17 + 24c8: 103ee83a callr r2 + 24cc: 10001616 blt r2,zero,2528 <_fclose_r+0xd0> + 24d0: 8080030b ldhu r2,12(r16) + 24d4: 1080200c andi r2,r2,128 + 24d8: 1000151e bne r2,zero,2530 <_fclose_r+0xd8> + 24dc: 81400c17 ldw r5,48(r16) + 24e0: 28000526 beq r5,zero,24f8 <_fclose_r+0xa0> + 24e4: 80801004 addi r2,r16,64 + 24e8: 28800226 beq r5,r2,24f4 <_fclose_r+0x9c> + 24ec: 8809883a mov r4,r17 + 24f0: 00029280 call 2928 <_free_r> + 24f4: 80000c15 stw zero,48(r16) + 24f8: 81401117 ldw r5,68(r16) + 24fc: 28000326 beq r5,zero,250c <_fclose_r+0xb4> + 2500: 8809883a mov r4,r17 + 2504: 00029280 call 2928 <_free_r> + 2508: 80001115 stw zero,68(r16) + 250c: 00008100 call 810 <__sfp_lock_acquire> + 2510: 8000030d sth zero,12(r16) + 2514: 00008140 call 814 <__sfp_lock_release> + 2518: 9005883a mov r2,r18 + 251c: 003fdc06 br 2490 <__alt_data_end+0xfffe2490> + 2520: 00008000 call 800 <__sinit> + 2524: 003fd706 br 2484 <__alt_data_end+0xfffe2484> + 2528: 04bfffc4 movi r18,-1 + 252c: 003fe806 br 24d0 <__alt_data_end+0xfffe24d0> + 2530: 81400417 ldw r5,16(r16) + 2534: 8809883a mov r4,r17 + 2538: 00029280 call 2928 <_free_r> + 253c: 003fe706 br 24dc <__alt_data_end+0xfffe24dc> + 2540: 0005883a mov r2,zero + 2544: f800283a ret -00002544 : - 2544: 00800034 movhi r2,0 - 2548: 109c9704 addi r2,r2,29276 - 254c: 200b883a mov r5,r4 - 2550: 11000017 ldw r4,0(r2) - 2554: 00024541 jmpi 2454 <_fclose_r> +00002548 : + 2548: 00800034 movhi r2,0 + 254c: 109ca404 addi r2,r2,29328 + 2550: 200b883a mov r5,r4 + 2554: 11000017 ldw r4,0(r2) + 2558: 00024581 jmpi 2458 <_fclose_r> -00002558 <__sflush_r>: - 2558: 2880030b ldhu r2,12(r5) - 255c: defffb04 addi sp,sp,-20 - 2560: dcc00315 stw r19,12(sp) - 2564: dc400115 stw r17,4(sp) - 2568: dfc00415 stw ra,16(sp) - 256c: dc800215 stw r18,8(sp) - 2570: dc000015 stw r16,0(sp) - 2574: 10c0020c andi r3,r2,8 - 2578: 2823883a mov r17,r5 - 257c: 2027883a mov r19,r4 - 2580: 1800311e bne r3,zero,2648 <__sflush_r+0xf0> - 2584: 28c00117 ldw r3,4(r5) - 2588: 10820014 ori r2,r2,2048 - 258c: 2880030d sth r2,12(r5) - 2590: 00c04b0e bge zero,r3,26c0 <__sflush_r+0x168> - 2594: 8a000a17 ldw r8,40(r17) - 2598: 40002326 beq r8,zero,2628 <__sflush_r+0xd0> - 259c: 9c000017 ldw r16,0(r19) - 25a0: 10c4000c andi r3,r2,4096 - 25a4: 98000015 stw zero,0(r19) - 25a8: 18004826 beq r3,zero,26cc <__sflush_r+0x174> - 25ac: 89801417 ldw r6,80(r17) - 25b0: 10c0010c andi r3,r2,4 - 25b4: 18000626 beq r3,zero,25d0 <__sflush_r+0x78> - 25b8: 88c00117 ldw r3,4(r17) - 25bc: 88800c17 ldw r2,48(r17) - 25c0: 30cdc83a sub r6,r6,r3 - 25c4: 10000226 beq r2,zero,25d0 <__sflush_r+0x78> - 25c8: 88800f17 ldw r2,60(r17) - 25cc: 308dc83a sub r6,r6,r2 - 25d0: 89400717 ldw r5,28(r17) - 25d4: 000f883a mov r7,zero - 25d8: 9809883a mov r4,r19 - 25dc: 403ee83a callr r8 - 25e0: 00ffffc4 movi r3,-1 - 25e4: 10c04426 beq r2,r3,26f8 <__sflush_r+0x1a0> - 25e8: 88c0030b ldhu r3,12(r17) - 25ec: 89000417 ldw r4,16(r17) - 25f0: 88000115 stw zero,4(r17) - 25f4: 197dffcc andi r5,r3,63487 - 25f8: 8940030d sth r5,12(r17) - 25fc: 89000015 stw r4,0(r17) - 2600: 18c4000c andi r3,r3,4096 - 2604: 18002c1e bne r3,zero,26b8 <__sflush_r+0x160> - 2608: 89400c17 ldw r5,48(r17) - 260c: 9c000015 stw r16,0(r19) - 2610: 28000526 beq r5,zero,2628 <__sflush_r+0xd0> - 2614: 88801004 addi r2,r17,64 - 2618: 28800226 beq r5,r2,2624 <__sflush_r+0xcc> - 261c: 9809883a mov r4,r19 - 2620: 00029240 call 2924 <_free_r> - 2624: 88000c15 stw zero,48(r17) - 2628: 0005883a mov r2,zero - 262c: dfc00417 ldw ra,16(sp) - 2630: dcc00317 ldw r19,12(sp) - 2634: dc800217 ldw r18,8(sp) - 2638: dc400117 ldw r17,4(sp) - 263c: dc000017 ldw r16,0(sp) - 2640: dec00504 addi sp,sp,20 - 2644: f800283a ret - 2648: 2c800417 ldw r18,16(r5) - 264c: 903ff626 beq r18,zero,2628 <__alt_data_end+0xfffe2628> - 2650: 2c000017 ldw r16,0(r5) - 2654: 108000cc andi r2,r2,3 - 2658: 2c800015 stw r18,0(r5) - 265c: 84a1c83a sub r16,r16,r18 - 2660: 1000131e bne r2,zero,26b0 <__sflush_r+0x158> - 2664: 28800517 ldw r2,20(r5) - 2668: 88800215 stw r2,8(r17) - 266c: 04000316 blt zero,r16,267c <__sflush_r+0x124> - 2670: 003fed06 br 2628 <__alt_data_end+0xfffe2628> - 2674: 90a5883a add r18,r18,r2 - 2678: 043feb0e bge zero,r16,2628 <__alt_data_end+0xfffe2628> - 267c: 88800917 ldw r2,36(r17) - 2680: 89400717 ldw r5,28(r17) - 2684: 800f883a mov r7,r16 - 2688: 900d883a mov r6,r18 - 268c: 9809883a mov r4,r19 - 2690: 103ee83a callr r2 - 2694: 80a1c83a sub r16,r16,r2 - 2698: 00bff616 blt zero,r2,2674 <__alt_data_end+0xfffe2674> - 269c: 88c0030b ldhu r3,12(r17) - 26a0: 00bfffc4 movi r2,-1 - 26a4: 18c01014 ori r3,r3,64 - 26a8: 88c0030d sth r3,12(r17) - 26ac: 003fdf06 br 262c <__alt_data_end+0xfffe262c> - 26b0: 0005883a mov r2,zero - 26b4: 003fec06 br 2668 <__alt_data_end+0xfffe2668> - 26b8: 88801415 stw r2,80(r17) - 26bc: 003fd206 br 2608 <__alt_data_end+0xfffe2608> - 26c0: 28c00f17 ldw r3,60(r5) - 26c4: 00ffb316 blt zero,r3,2594 <__alt_data_end+0xfffe2594> - 26c8: 003fd706 br 2628 <__alt_data_end+0xfffe2628> - 26cc: 89400717 ldw r5,28(r17) - 26d0: 000d883a mov r6,zero - 26d4: 01c00044 movi r7,1 - 26d8: 9809883a mov r4,r19 - 26dc: 403ee83a callr r8 - 26e0: 100d883a mov r6,r2 - 26e4: 00bfffc4 movi r2,-1 - 26e8: 30801426 beq r6,r2,273c <__sflush_r+0x1e4> - 26ec: 8880030b ldhu r2,12(r17) - 26f0: 8a000a17 ldw r8,40(r17) - 26f4: 003fae06 br 25b0 <__alt_data_end+0xfffe25b0> - 26f8: 98c00017 ldw r3,0(r19) - 26fc: 183fba26 beq r3,zero,25e8 <__alt_data_end+0xfffe25e8> - 2700: 01000744 movi r4,29 - 2704: 19000626 beq r3,r4,2720 <__sflush_r+0x1c8> - 2708: 01000584 movi r4,22 - 270c: 19000426 beq r3,r4,2720 <__sflush_r+0x1c8> - 2710: 88c0030b ldhu r3,12(r17) - 2714: 18c01014 ori r3,r3,64 - 2718: 88c0030d sth r3,12(r17) - 271c: 003fc306 br 262c <__alt_data_end+0xfffe262c> - 2720: 8880030b ldhu r2,12(r17) - 2724: 88c00417 ldw r3,16(r17) - 2728: 88000115 stw zero,4(r17) - 272c: 10bdffcc andi r2,r2,63487 - 2730: 8880030d sth r2,12(r17) - 2734: 88c00015 stw r3,0(r17) - 2738: 003fb306 br 2608 <__alt_data_end+0xfffe2608> - 273c: 98800017 ldw r2,0(r19) - 2740: 103fea26 beq r2,zero,26ec <__alt_data_end+0xfffe26ec> - 2744: 00c00744 movi r3,29 - 2748: 10c00226 beq r2,r3,2754 <__sflush_r+0x1fc> - 274c: 00c00584 movi r3,22 - 2750: 10c0031e bne r2,r3,2760 <__sflush_r+0x208> - 2754: 9c000015 stw r16,0(r19) - 2758: 0005883a mov r2,zero - 275c: 003fb306 br 262c <__alt_data_end+0xfffe262c> - 2760: 88c0030b ldhu r3,12(r17) - 2764: 3005883a mov r2,r6 - 2768: 18c01014 ori r3,r3,64 - 276c: 88c0030d sth r3,12(r17) - 2770: 003fae06 br 262c <__alt_data_end+0xfffe262c> +0000255c <__sflush_r>: + 255c: 2880030b ldhu r2,12(r5) + 2560: defffb04 addi sp,sp,-20 + 2564: dcc00315 stw r19,12(sp) + 2568: dc400115 stw r17,4(sp) + 256c: dfc00415 stw ra,16(sp) + 2570: dc800215 stw r18,8(sp) + 2574: dc000015 stw r16,0(sp) + 2578: 10c0020c andi r3,r2,8 + 257c: 2823883a mov r17,r5 + 2580: 2027883a mov r19,r4 + 2584: 1800311e bne r3,zero,264c <__sflush_r+0xf0> + 2588: 28c00117 ldw r3,4(r5) + 258c: 10820014 ori r2,r2,2048 + 2590: 2880030d sth r2,12(r5) + 2594: 00c04b0e bge zero,r3,26c4 <__sflush_r+0x168> + 2598: 8a000a17 ldw r8,40(r17) + 259c: 40002326 beq r8,zero,262c <__sflush_r+0xd0> + 25a0: 9c000017 ldw r16,0(r19) + 25a4: 10c4000c andi r3,r2,4096 + 25a8: 98000015 stw zero,0(r19) + 25ac: 18004826 beq r3,zero,26d0 <__sflush_r+0x174> + 25b0: 89801417 ldw r6,80(r17) + 25b4: 10c0010c andi r3,r2,4 + 25b8: 18000626 beq r3,zero,25d4 <__sflush_r+0x78> + 25bc: 88c00117 ldw r3,4(r17) + 25c0: 88800c17 ldw r2,48(r17) + 25c4: 30cdc83a sub r6,r6,r3 + 25c8: 10000226 beq r2,zero,25d4 <__sflush_r+0x78> + 25cc: 88800f17 ldw r2,60(r17) + 25d0: 308dc83a sub r6,r6,r2 + 25d4: 89400717 ldw r5,28(r17) + 25d8: 000f883a mov r7,zero + 25dc: 9809883a mov r4,r19 + 25e0: 403ee83a callr r8 + 25e4: 00ffffc4 movi r3,-1 + 25e8: 10c04426 beq r2,r3,26fc <__sflush_r+0x1a0> + 25ec: 88c0030b ldhu r3,12(r17) + 25f0: 89000417 ldw r4,16(r17) + 25f4: 88000115 stw zero,4(r17) + 25f8: 197dffcc andi r5,r3,63487 + 25fc: 8940030d sth r5,12(r17) + 2600: 89000015 stw r4,0(r17) + 2604: 18c4000c andi r3,r3,4096 + 2608: 18002c1e bne r3,zero,26bc <__sflush_r+0x160> + 260c: 89400c17 ldw r5,48(r17) + 2610: 9c000015 stw r16,0(r19) + 2614: 28000526 beq r5,zero,262c <__sflush_r+0xd0> + 2618: 88801004 addi r2,r17,64 + 261c: 28800226 beq r5,r2,2628 <__sflush_r+0xcc> + 2620: 9809883a mov r4,r19 + 2624: 00029280 call 2928 <_free_r> + 2628: 88000c15 stw zero,48(r17) + 262c: 0005883a mov r2,zero + 2630: dfc00417 ldw ra,16(sp) + 2634: dcc00317 ldw r19,12(sp) + 2638: dc800217 ldw r18,8(sp) + 263c: dc400117 ldw r17,4(sp) + 2640: dc000017 ldw r16,0(sp) + 2644: dec00504 addi sp,sp,20 + 2648: f800283a ret + 264c: 2c800417 ldw r18,16(r5) + 2650: 903ff626 beq r18,zero,262c <__alt_data_end+0xfffe262c> + 2654: 2c000017 ldw r16,0(r5) + 2658: 108000cc andi r2,r2,3 + 265c: 2c800015 stw r18,0(r5) + 2660: 84a1c83a sub r16,r16,r18 + 2664: 1000131e bne r2,zero,26b4 <__sflush_r+0x158> + 2668: 28800517 ldw r2,20(r5) + 266c: 88800215 stw r2,8(r17) + 2670: 04000316 blt zero,r16,2680 <__sflush_r+0x124> + 2674: 003fed06 br 262c <__alt_data_end+0xfffe262c> + 2678: 90a5883a add r18,r18,r2 + 267c: 043feb0e bge zero,r16,262c <__alt_data_end+0xfffe262c> + 2680: 88800917 ldw r2,36(r17) + 2684: 89400717 ldw r5,28(r17) + 2688: 800f883a mov r7,r16 + 268c: 900d883a mov r6,r18 + 2690: 9809883a mov r4,r19 + 2694: 103ee83a callr r2 + 2698: 80a1c83a sub r16,r16,r2 + 269c: 00bff616 blt zero,r2,2678 <__alt_data_end+0xfffe2678> + 26a0: 88c0030b ldhu r3,12(r17) + 26a4: 00bfffc4 movi r2,-1 + 26a8: 18c01014 ori r3,r3,64 + 26ac: 88c0030d sth r3,12(r17) + 26b0: 003fdf06 br 2630 <__alt_data_end+0xfffe2630> + 26b4: 0005883a mov r2,zero + 26b8: 003fec06 br 266c <__alt_data_end+0xfffe266c> + 26bc: 88801415 stw r2,80(r17) + 26c0: 003fd206 br 260c <__alt_data_end+0xfffe260c> + 26c4: 28c00f17 ldw r3,60(r5) + 26c8: 00ffb316 blt zero,r3,2598 <__alt_data_end+0xfffe2598> + 26cc: 003fd706 br 262c <__alt_data_end+0xfffe262c> + 26d0: 89400717 ldw r5,28(r17) + 26d4: 000d883a mov r6,zero + 26d8: 01c00044 movi r7,1 + 26dc: 9809883a mov r4,r19 + 26e0: 403ee83a callr r8 + 26e4: 100d883a mov r6,r2 + 26e8: 00bfffc4 movi r2,-1 + 26ec: 30801426 beq r6,r2,2740 <__sflush_r+0x1e4> + 26f0: 8880030b ldhu r2,12(r17) + 26f4: 8a000a17 ldw r8,40(r17) + 26f8: 003fae06 br 25b4 <__alt_data_end+0xfffe25b4> + 26fc: 98c00017 ldw r3,0(r19) + 2700: 183fba26 beq r3,zero,25ec <__alt_data_end+0xfffe25ec> + 2704: 01000744 movi r4,29 + 2708: 19000626 beq r3,r4,2724 <__sflush_r+0x1c8> + 270c: 01000584 movi r4,22 + 2710: 19000426 beq r3,r4,2724 <__sflush_r+0x1c8> + 2714: 88c0030b ldhu r3,12(r17) + 2718: 18c01014 ori r3,r3,64 + 271c: 88c0030d sth r3,12(r17) + 2720: 003fc306 br 2630 <__alt_data_end+0xfffe2630> + 2724: 8880030b ldhu r2,12(r17) + 2728: 88c00417 ldw r3,16(r17) + 272c: 88000115 stw zero,4(r17) + 2730: 10bdffcc andi r2,r2,63487 + 2734: 8880030d sth r2,12(r17) + 2738: 88c00015 stw r3,0(r17) + 273c: 003fb306 br 260c <__alt_data_end+0xfffe260c> + 2740: 98800017 ldw r2,0(r19) + 2744: 103fea26 beq r2,zero,26f0 <__alt_data_end+0xfffe26f0> + 2748: 00c00744 movi r3,29 + 274c: 10c00226 beq r2,r3,2758 <__sflush_r+0x1fc> + 2750: 00c00584 movi r3,22 + 2754: 10c0031e bne r2,r3,2764 <__sflush_r+0x208> + 2758: 9c000015 stw r16,0(r19) + 275c: 0005883a mov r2,zero + 2760: 003fb306 br 2630 <__alt_data_end+0xfffe2630> + 2764: 88c0030b ldhu r3,12(r17) + 2768: 3005883a mov r2,r6 + 276c: 18c01014 ori r3,r3,64 + 2770: 88c0030d sth r3,12(r17) + 2774: 003fae06 br 2630 <__alt_data_end+0xfffe2630> -00002774 <_fflush_r>: - 2774: defffd04 addi sp,sp,-12 - 2778: dc000115 stw r16,4(sp) - 277c: dfc00215 stw ra,8(sp) - 2780: 2021883a mov r16,r4 - 2784: 20000226 beq r4,zero,2790 <_fflush_r+0x1c> - 2788: 20800e17 ldw r2,56(r4) - 278c: 10000c26 beq r2,zero,27c0 <_fflush_r+0x4c> - 2790: 2880030f ldh r2,12(r5) - 2794: 1000051e bne r2,zero,27ac <_fflush_r+0x38> - 2798: 0005883a mov r2,zero - 279c: dfc00217 ldw ra,8(sp) - 27a0: dc000117 ldw r16,4(sp) - 27a4: dec00304 addi sp,sp,12 - 27a8: f800283a ret - 27ac: 8009883a mov r4,r16 - 27b0: dfc00217 ldw ra,8(sp) - 27b4: dc000117 ldw r16,4(sp) - 27b8: dec00304 addi sp,sp,12 - 27bc: 00025581 jmpi 2558 <__sflush_r> - 27c0: d9400015 stw r5,0(sp) - 27c4: 00007fc0 call 7fc <__sinit> - 27c8: d9400017 ldw r5,0(sp) - 27cc: 003ff006 br 2790 <__alt_data_end+0xfffe2790> +00002778 <_fflush_r>: + 2778: defffd04 addi sp,sp,-12 + 277c: dc000115 stw r16,4(sp) + 2780: dfc00215 stw ra,8(sp) + 2784: 2021883a mov r16,r4 + 2788: 20000226 beq r4,zero,2794 <_fflush_r+0x1c> + 278c: 20800e17 ldw r2,56(r4) + 2790: 10000c26 beq r2,zero,27c4 <_fflush_r+0x4c> + 2794: 2880030f ldh r2,12(r5) + 2798: 1000051e bne r2,zero,27b0 <_fflush_r+0x38> + 279c: 0005883a mov r2,zero + 27a0: dfc00217 ldw ra,8(sp) + 27a4: dc000117 ldw r16,4(sp) + 27a8: dec00304 addi sp,sp,12 + 27ac: f800283a ret + 27b0: 8009883a mov r4,r16 + 27b4: dfc00217 ldw ra,8(sp) + 27b8: dc000117 ldw r16,4(sp) + 27bc: dec00304 addi sp,sp,12 + 27c0: 000255c1 jmpi 255c <__sflush_r> + 27c4: d9400015 stw r5,0(sp) + 27c8: 00008000 call 800 <__sinit> + 27cc: d9400017 ldw r5,0(sp) + 27d0: 003ff006 br 2794 <__alt_data_end+0xfffe2794> -000027d0 : - 27d0: 20000526 beq r4,zero,27e8 - 27d4: 00800034 movhi r2,0 - 27d8: 109c9704 addi r2,r2,29276 - 27dc: 200b883a mov r5,r4 - 27e0: 11000017 ldw r4,0(r2) - 27e4: 00027741 jmpi 2774 <_fflush_r> - 27e8: 00800034 movhi r2,0 - 27ec: 109c9604 addi r2,r2,29272 - 27f0: 11000017 ldw r4,0(r2) - 27f4: 01400034 movhi r5,0 - 27f8: 2949dd04 addi r5,r5,10100 - 27fc: 0000dd81 jmpi dd8 <_fwalk_reent> +000027d4 : + 27d4: 20000526 beq r4,zero,27ec + 27d8: 00800034 movhi r2,0 + 27dc: 109ca404 addi r2,r2,29328 + 27e0: 200b883a mov r5,r4 + 27e4: 11000017 ldw r4,0(r2) + 27e8: 00027781 jmpi 2778 <_fflush_r> + 27ec: 00800034 movhi r2,0 + 27f0: 109ca304 addi r2,r2,29324 + 27f4: 11000017 ldw r4,0(r2) + 27f8: 01400034 movhi r5,0 + 27fc: 2949de04 addi r5,r5,10104 + 2800: 0000ddc1 jmpi ddc <_fwalk_reent> -00002800 <_malloc_trim_r>: - 2800: defffb04 addi sp,sp,-20 - 2804: dcc00315 stw r19,12(sp) - 2808: 04c00034 movhi r19,0 - 280c: dc800215 stw r18,8(sp) - 2810: dc400115 stw r17,4(sp) - 2814: dc000015 stw r16,0(sp) - 2818: dfc00415 stw ra,16(sp) - 281c: 2821883a mov r16,r5 - 2820: 9cd71204 addi r19,r19,23624 - 2824: 2025883a mov r18,r4 - 2828: 00036140 call 3614 <__malloc_lock> - 282c: 98800217 ldw r2,8(r19) - 2830: 14400117 ldw r17,4(r2) - 2834: 00bfff04 movi r2,-4 - 2838: 88a2703a and r17,r17,r2 - 283c: 8c21c83a sub r16,r17,r16 - 2840: 8403fbc4 addi r16,r16,4079 - 2844: 8020d33a srli r16,r16,12 - 2848: 0083ffc4 movi r2,4095 - 284c: 843fffc4 addi r16,r16,-1 - 2850: 8020933a slli r16,r16,12 - 2854: 1400060e bge r2,r16,2870 <_malloc_trim_r+0x70> - 2858: 000b883a mov r5,zero - 285c: 9009883a mov r4,r18 - 2860: 00020bc0 call 20bc <_sbrk_r> - 2864: 98c00217 ldw r3,8(r19) - 2868: 1c47883a add r3,r3,r17 - 286c: 10c00a26 beq r2,r3,2898 <_malloc_trim_r+0x98> - 2870: 9009883a mov r4,r18 - 2874: 00036380 call 3638 <__malloc_unlock> - 2878: 0005883a mov r2,zero - 287c: dfc00417 ldw ra,16(sp) - 2880: dcc00317 ldw r19,12(sp) - 2884: dc800217 ldw r18,8(sp) - 2888: dc400117 ldw r17,4(sp) - 288c: dc000017 ldw r16,0(sp) - 2890: dec00504 addi sp,sp,20 - 2894: f800283a ret - 2898: 040bc83a sub r5,zero,r16 - 289c: 9009883a mov r4,r18 - 28a0: 00020bc0 call 20bc <_sbrk_r> - 28a4: 00ffffc4 movi r3,-1 - 28a8: 10c00d26 beq r2,r3,28e0 <_malloc_trim_r+0xe0> - 28ac: 00c00034 movhi r3,0 - 28b0: 18dcaf04 addi r3,r3,29372 - 28b4: 18800017 ldw r2,0(r3) - 28b8: 99000217 ldw r4,8(r19) - 28bc: 8c23c83a sub r17,r17,r16 - 28c0: 8c400054 ori r17,r17,1 - 28c4: 1421c83a sub r16,r2,r16 - 28c8: 24400115 stw r17,4(r4) - 28cc: 9009883a mov r4,r18 - 28d0: 1c000015 stw r16,0(r3) - 28d4: 00036380 call 3638 <__malloc_unlock> - 28d8: 00800044 movi r2,1 - 28dc: 003fe706 br 287c <__alt_data_end+0xfffe287c> - 28e0: 000b883a mov r5,zero - 28e4: 9009883a mov r4,r18 - 28e8: 00020bc0 call 20bc <_sbrk_r> - 28ec: 99000217 ldw r4,8(r19) - 28f0: 014003c4 movi r5,15 - 28f4: 1107c83a sub r3,r2,r4 - 28f8: 28ffdd0e bge r5,r3,2870 <__alt_data_end+0xfffe2870> - 28fc: 01400034 movhi r5,0 - 2900: 295c9804 addi r5,r5,29280 - 2904: 29400017 ldw r5,0(r5) - 2908: 18c00054 ori r3,r3,1 - 290c: 20c00115 stw r3,4(r4) - 2910: 00c00034 movhi r3,0 - 2914: 1145c83a sub r2,r2,r5 - 2918: 18dcaf04 addi r3,r3,29372 - 291c: 18800015 stw r2,0(r3) - 2920: 003fd306 br 2870 <__alt_data_end+0xfffe2870> +00002804 <_malloc_trim_r>: + 2804: defffb04 addi sp,sp,-20 + 2808: dcc00315 stw r19,12(sp) + 280c: 04c00034 movhi r19,0 + 2810: dc800215 stw r18,8(sp) + 2814: dc400115 stw r17,4(sp) + 2818: dc000015 stw r16,0(sp) + 281c: dfc00415 stw ra,16(sp) + 2820: 2821883a mov r16,r5 + 2824: 9cd71f04 addi r19,r19,23676 + 2828: 2025883a mov r18,r4 + 282c: 00036180 call 3618 <__malloc_lock> + 2830: 98800217 ldw r2,8(r19) + 2834: 14400117 ldw r17,4(r2) + 2838: 00bfff04 movi r2,-4 + 283c: 88a2703a and r17,r17,r2 + 2840: 8c21c83a sub r16,r17,r16 + 2844: 8403fbc4 addi r16,r16,4079 + 2848: 8020d33a srli r16,r16,12 + 284c: 0083ffc4 movi r2,4095 + 2850: 843fffc4 addi r16,r16,-1 + 2854: 8020933a slli r16,r16,12 + 2858: 1400060e bge r2,r16,2874 <_malloc_trim_r+0x70> + 285c: 000b883a mov r5,zero + 2860: 9009883a mov r4,r18 + 2864: 00020c00 call 20c0 <_sbrk_r> + 2868: 98c00217 ldw r3,8(r19) + 286c: 1c47883a add r3,r3,r17 + 2870: 10c00a26 beq r2,r3,289c <_malloc_trim_r+0x98> + 2874: 9009883a mov r4,r18 + 2878: 000363c0 call 363c <__malloc_unlock> + 287c: 0005883a mov r2,zero + 2880: dfc00417 ldw ra,16(sp) + 2884: dcc00317 ldw r19,12(sp) + 2888: dc800217 ldw r18,8(sp) + 288c: dc400117 ldw r17,4(sp) + 2890: dc000017 ldw r16,0(sp) + 2894: dec00504 addi sp,sp,20 + 2898: f800283a ret + 289c: 040bc83a sub r5,zero,r16 + 28a0: 9009883a mov r4,r18 + 28a4: 00020c00 call 20c0 <_sbrk_r> + 28a8: 00ffffc4 movi r3,-1 + 28ac: 10c00d26 beq r2,r3,28e4 <_malloc_trim_r+0xe0> + 28b0: 00c00034 movhi r3,0 + 28b4: 18dcbc04 addi r3,r3,29424 + 28b8: 18800017 ldw r2,0(r3) + 28bc: 99000217 ldw r4,8(r19) + 28c0: 8c23c83a sub r17,r17,r16 + 28c4: 8c400054 ori r17,r17,1 + 28c8: 1421c83a sub r16,r2,r16 + 28cc: 24400115 stw r17,4(r4) + 28d0: 9009883a mov r4,r18 + 28d4: 1c000015 stw r16,0(r3) + 28d8: 000363c0 call 363c <__malloc_unlock> + 28dc: 00800044 movi r2,1 + 28e0: 003fe706 br 2880 <__alt_data_end+0xfffe2880> + 28e4: 000b883a mov r5,zero + 28e8: 9009883a mov r4,r18 + 28ec: 00020c00 call 20c0 <_sbrk_r> + 28f0: 99000217 ldw r4,8(r19) + 28f4: 014003c4 movi r5,15 + 28f8: 1107c83a sub r3,r2,r4 + 28fc: 28ffdd0e bge r5,r3,2874 <__alt_data_end+0xfffe2874> + 2900: 01400034 movhi r5,0 + 2904: 295ca504 addi r5,r5,29332 + 2908: 29400017 ldw r5,0(r5) + 290c: 18c00054 ori r3,r3,1 + 2910: 20c00115 stw r3,4(r4) + 2914: 00c00034 movhi r3,0 + 2918: 1145c83a sub r2,r2,r5 + 291c: 18dcbc04 addi r3,r3,29424 + 2920: 18800015 stw r2,0(r3) + 2924: 003fd306 br 2874 <__alt_data_end+0xfffe2874> -00002924 <_free_r>: - 2924: 28004126 beq r5,zero,2a2c <_free_r+0x108> - 2928: defffd04 addi sp,sp,-12 - 292c: dc400115 stw r17,4(sp) - 2930: dc000015 stw r16,0(sp) - 2934: 2023883a mov r17,r4 - 2938: 2821883a mov r16,r5 - 293c: dfc00215 stw ra,8(sp) - 2940: 00036140 call 3614 <__malloc_lock> - 2944: 81ffff17 ldw r7,-4(r16) - 2948: 00bfff84 movi r2,-2 - 294c: 01000034 movhi r4,0 - 2950: 81bffe04 addi r6,r16,-8 - 2954: 3884703a and r2,r7,r2 - 2958: 21171204 addi r4,r4,23624 - 295c: 308b883a add r5,r6,r2 - 2960: 2a400117 ldw r9,4(r5) - 2964: 22000217 ldw r8,8(r4) - 2968: 00ffff04 movi r3,-4 - 296c: 48c6703a and r3,r9,r3 - 2970: 2a005726 beq r5,r8,2ad0 <_free_r+0x1ac> - 2974: 28c00115 stw r3,4(r5) - 2978: 39c0004c andi r7,r7,1 - 297c: 3800091e bne r7,zero,29a4 <_free_r+0x80> - 2980: 823ffe17 ldw r8,-8(r16) - 2984: 22400204 addi r9,r4,8 - 2988: 320dc83a sub r6,r6,r8 - 298c: 31c00217 ldw r7,8(r6) - 2990: 1205883a add r2,r2,r8 - 2994: 3a406526 beq r7,r9,2b2c <_free_r+0x208> - 2998: 32000317 ldw r8,12(r6) - 299c: 3a000315 stw r8,12(r7) - 29a0: 41c00215 stw r7,8(r8) - 29a4: 28cf883a add r7,r5,r3 - 29a8: 39c00117 ldw r7,4(r7) - 29ac: 39c0004c andi r7,r7,1 - 29b0: 38003a26 beq r7,zero,2a9c <_free_r+0x178> - 29b4: 10c00054 ori r3,r2,1 - 29b8: 30c00115 stw r3,4(r6) - 29bc: 3087883a add r3,r6,r2 - 29c0: 18800015 stw r2,0(r3) - 29c4: 00c07fc4 movi r3,511 - 29c8: 18801936 bltu r3,r2,2a30 <_free_r+0x10c> - 29cc: 1004d0fa srli r2,r2,3 - 29d0: 01c00044 movi r7,1 - 29d4: 21400117 ldw r5,4(r4) - 29d8: 10c00044 addi r3,r2,1 - 29dc: 18c7883a add r3,r3,r3 - 29e0: 1005d0ba srai r2,r2,2 - 29e4: 18c7883a add r3,r3,r3 +00002928 <_free_r>: + 2928: 28004126 beq r5,zero,2a30 <_free_r+0x108> + 292c: defffd04 addi sp,sp,-12 + 2930: dc400115 stw r17,4(sp) + 2934: dc000015 stw r16,0(sp) + 2938: 2023883a mov r17,r4 + 293c: 2821883a mov r16,r5 + 2940: dfc00215 stw ra,8(sp) + 2944: 00036180 call 3618 <__malloc_lock> + 2948: 81ffff17 ldw r7,-4(r16) + 294c: 00bfff84 movi r2,-2 + 2950: 01000034 movhi r4,0 + 2954: 81bffe04 addi r6,r16,-8 + 2958: 3884703a and r2,r7,r2 + 295c: 21171f04 addi r4,r4,23676 + 2960: 308b883a add r5,r6,r2 + 2964: 2a400117 ldw r9,4(r5) + 2968: 22000217 ldw r8,8(r4) + 296c: 00ffff04 movi r3,-4 + 2970: 48c6703a and r3,r9,r3 + 2974: 2a005726 beq r5,r8,2ad4 <_free_r+0x1ac> + 2978: 28c00115 stw r3,4(r5) + 297c: 39c0004c andi r7,r7,1 + 2980: 3800091e bne r7,zero,29a8 <_free_r+0x80> + 2984: 823ffe17 ldw r8,-8(r16) + 2988: 22400204 addi r9,r4,8 + 298c: 320dc83a sub r6,r6,r8 + 2990: 31c00217 ldw r7,8(r6) + 2994: 1205883a add r2,r2,r8 + 2998: 3a406526 beq r7,r9,2b30 <_free_r+0x208> + 299c: 32000317 ldw r8,12(r6) + 29a0: 3a000315 stw r8,12(r7) + 29a4: 41c00215 stw r7,8(r8) + 29a8: 28cf883a add r7,r5,r3 + 29ac: 39c00117 ldw r7,4(r7) + 29b0: 39c0004c andi r7,r7,1 + 29b4: 38003a26 beq r7,zero,2aa0 <_free_r+0x178> + 29b8: 10c00054 ori r3,r2,1 + 29bc: 30c00115 stw r3,4(r6) + 29c0: 3087883a add r3,r6,r2 + 29c4: 18800015 stw r2,0(r3) + 29c8: 00c07fc4 movi r3,511 + 29cc: 18801936 bltu r3,r2,2a34 <_free_r+0x10c> + 29d0: 1004d0fa srli r2,r2,3 + 29d4: 01c00044 movi r7,1 + 29d8: 21400117 ldw r5,4(r4) + 29dc: 10c00044 addi r3,r2,1 + 29e0: 18c7883a add r3,r3,r3 + 29e4: 1005d0ba srai r2,r2,2 29e8: 18c7883a add r3,r3,r3 - 29ec: 1907883a add r3,r3,r4 - 29f0: 3884983a sll r2,r7,r2 - 29f4: 19c00017 ldw r7,0(r3) - 29f8: 1a3ffe04 addi r8,r3,-8 - 29fc: 1144b03a or r2,r2,r5 - 2a00: 32000315 stw r8,12(r6) - 2a04: 31c00215 stw r7,8(r6) - 2a08: 20800115 stw r2,4(r4) - 2a0c: 19800015 stw r6,0(r3) - 2a10: 39800315 stw r6,12(r7) - 2a14: 8809883a mov r4,r17 - 2a18: dfc00217 ldw ra,8(sp) - 2a1c: dc400117 ldw r17,4(sp) - 2a20: dc000017 ldw r16,0(sp) - 2a24: dec00304 addi sp,sp,12 - 2a28: 00036381 jmpi 3638 <__malloc_unlock> - 2a2c: f800283a ret - 2a30: 100ad27a srli r5,r2,9 - 2a34: 00c00104 movi r3,4 - 2a38: 19404a36 bltu r3,r5,2b64 <_free_r+0x240> - 2a3c: 100ad1ba srli r5,r2,6 - 2a40: 28c00e44 addi r3,r5,57 - 2a44: 18c7883a add r3,r3,r3 - 2a48: 29400e04 addi r5,r5,56 - 2a4c: 18c7883a add r3,r3,r3 + 29ec: 18c7883a add r3,r3,r3 + 29f0: 1907883a add r3,r3,r4 + 29f4: 3884983a sll r2,r7,r2 + 29f8: 19c00017 ldw r7,0(r3) + 29fc: 1a3ffe04 addi r8,r3,-8 + 2a00: 1144b03a or r2,r2,r5 + 2a04: 32000315 stw r8,12(r6) + 2a08: 31c00215 stw r7,8(r6) + 2a0c: 20800115 stw r2,4(r4) + 2a10: 19800015 stw r6,0(r3) + 2a14: 39800315 stw r6,12(r7) + 2a18: 8809883a mov r4,r17 + 2a1c: dfc00217 ldw ra,8(sp) + 2a20: dc400117 ldw r17,4(sp) + 2a24: dc000017 ldw r16,0(sp) + 2a28: dec00304 addi sp,sp,12 + 2a2c: 000363c1 jmpi 363c <__malloc_unlock> + 2a30: f800283a ret + 2a34: 100ad27a srli r5,r2,9 + 2a38: 00c00104 movi r3,4 + 2a3c: 19404a36 bltu r3,r5,2b68 <_free_r+0x240> + 2a40: 100ad1ba srli r5,r2,6 + 2a44: 28c00e44 addi r3,r5,57 + 2a48: 18c7883a add r3,r3,r3 + 2a4c: 29400e04 addi r5,r5,56 2a50: 18c7883a add r3,r3,r3 - 2a54: 1909883a add r4,r3,r4 - 2a58: 20c00017 ldw r3,0(r4) - 2a5c: 01c00034 movhi r7,0 - 2a60: 213ffe04 addi r4,r4,-8 - 2a64: 39d71204 addi r7,r7,23624 - 2a68: 20c04426 beq r4,r3,2b7c <_free_r+0x258> - 2a6c: 01ffff04 movi r7,-4 - 2a70: 19400117 ldw r5,4(r3) - 2a74: 29ca703a and r5,r5,r7 - 2a78: 1140022e bgeu r2,r5,2a84 <_free_r+0x160> - 2a7c: 18c00217 ldw r3,8(r3) - 2a80: 20fffb1e bne r4,r3,2a70 <__alt_data_end+0xfffe2a70> - 2a84: 19000317 ldw r4,12(r3) - 2a88: 31000315 stw r4,12(r6) - 2a8c: 30c00215 stw r3,8(r6) - 2a90: 21800215 stw r6,8(r4) - 2a94: 19800315 stw r6,12(r3) - 2a98: 003fde06 br 2a14 <__alt_data_end+0xfffe2a14> - 2a9c: 29c00217 ldw r7,8(r5) - 2aa0: 10c5883a add r2,r2,r3 - 2aa4: 00c00034 movhi r3,0 - 2aa8: 18d71404 addi r3,r3,23632 - 2aac: 38c03b26 beq r7,r3,2b9c <_free_r+0x278> - 2ab0: 2a000317 ldw r8,12(r5) - 2ab4: 11400054 ori r5,r2,1 - 2ab8: 3087883a add r3,r6,r2 - 2abc: 3a000315 stw r8,12(r7) - 2ac0: 41c00215 stw r7,8(r8) - 2ac4: 31400115 stw r5,4(r6) - 2ac8: 18800015 stw r2,0(r3) - 2acc: 003fbd06 br 29c4 <__alt_data_end+0xfffe29c4> - 2ad0: 39c0004c andi r7,r7,1 - 2ad4: 10c5883a add r2,r2,r3 - 2ad8: 3800071e bne r7,zero,2af8 <_free_r+0x1d4> - 2adc: 81fffe17 ldw r7,-8(r16) - 2ae0: 31cdc83a sub r6,r6,r7 - 2ae4: 30c00317 ldw r3,12(r6) - 2ae8: 31400217 ldw r5,8(r6) - 2aec: 11c5883a add r2,r2,r7 - 2af0: 28c00315 stw r3,12(r5) - 2af4: 19400215 stw r5,8(r3) - 2af8: 10c00054 ori r3,r2,1 - 2afc: 30c00115 stw r3,4(r6) - 2b00: 00c00034 movhi r3,0 - 2b04: 18dc9904 addi r3,r3,29284 - 2b08: 18c00017 ldw r3,0(r3) - 2b0c: 21800215 stw r6,8(r4) - 2b10: 10ffc036 bltu r2,r3,2a14 <__alt_data_end+0xfffe2a14> - 2b14: 00800034 movhi r2,0 - 2b18: 109ca604 addi r2,r2,29336 - 2b1c: 11400017 ldw r5,0(r2) - 2b20: 8809883a mov r4,r17 - 2b24: 00028000 call 2800 <_malloc_trim_r> - 2b28: 003fba06 br 2a14 <__alt_data_end+0xfffe2a14> - 2b2c: 28c9883a add r4,r5,r3 - 2b30: 21000117 ldw r4,4(r4) - 2b34: 2100004c andi r4,r4,1 - 2b38: 2000391e bne r4,zero,2c20 <_free_r+0x2fc> - 2b3c: 29c00217 ldw r7,8(r5) - 2b40: 29000317 ldw r4,12(r5) - 2b44: 1885883a add r2,r3,r2 - 2b48: 10c00054 ori r3,r2,1 - 2b4c: 39000315 stw r4,12(r7) - 2b50: 21c00215 stw r7,8(r4) - 2b54: 30c00115 stw r3,4(r6) - 2b58: 308d883a add r6,r6,r2 - 2b5c: 30800015 stw r2,0(r6) - 2b60: 003fac06 br 2a14 <__alt_data_end+0xfffe2a14> - 2b64: 00c00504 movi r3,20 - 2b68: 19401536 bltu r3,r5,2bc0 <_free_r+0x29c> - 2b6c: 28c01704 addi r3,r5,92 - 2b70: 18c7883a add r3,r3,r3 - 2b74: 294016c4 addi r5,r5,91 - 2b78: 003fb406 br 2a4c <__alt_data_end+0xfffe2a4c> - 2b7c: 280bd0ba srai r5,r5,2 - 2b80: 00c00044 movi r3,1 - 2b84: 38800117 ldw r2,4(r7) - 2b88: 194a983a sll r5,r3,r5 - 2b8c: 2007883a mov r3,r4 - 2b90: 2884b03a or r2,r5,r2 - 2b94: 38800115 stw r2,4(r7) - 2b98: 003fbb06 br 2a88 <__alt_data_end+0xfffe2a88> - 2b9c: 21800515 stw r6,20(r4) - 2ba0: 21800415 stw r6,16(r4) - 2ba4: 10c00054 ori r3,r2,1 - 2ba8: 31c00315 stw r7,12(r6) - 2bac: 31c00215 stw r7,8(r6) - 2bb0: 30c00115 stw r3,4(r6) - 2bb4: 308d883a add r6,r6,r2 - 2bb8: 30800015 stw r2,0(r6) - 2bbc: 003f9506 br 2a14 <__alt_data_end+0xfffe2a14> - 2bc0: 00c01504 movi r3,84 - 2bc4: 19400536 bltu r3,r5,2bdc <_free_r+0x2b8> - 2bc8: 100ad33a srli r5,r2,12 - 2bcc: 28c01bc4 addi r3,r5,111 - 2bd0: 18c7883a add r3,r3,r3 - 2bd4: 29401b84 addi r5,r5,110 - 2bd8: 003f9c06 br 2a4c <__alt_data_end+0xfffe2a4c> - 2bdc: 00c05504 movi r3,340 - 2be0: 19400536 bltu r3,r5,2bf8 <_free_r+0x2d4> - 2be4: 100ad3fa srli r5,r2,15 - 2be8: 28c01e04 addi r3,r5,120 - 2bec: 18c7883a add r3,r3,r3 - 2bf0: 29401dc4 addi r5,r5,119 - 2bf4: 003f9506 br 2a4c <__alt_data_end+0xfffe2a4c> - 2bf8: 00c15504 movi r3,1364 - 2bfc: 19400536 bltu r3,r5,2c14 <_free_r+0x2f0> - 2c00: 100ad4ba srli r5,r2,18 - 2c04: 28c01f44 addi r3,r5,125 - 2c08: 18c7883a add r3,r3,r3 - 2c0c: 29401f04 addi r5,r5,124 - 2c10: 003f8e06 br 2a4c <__alt_data_end+0xfffe2a4c> - 2c14: 00c03f84 movi r3,254 - 2c18: 01401f84 movi r5,126 - 2c1c: 003f8b06 br 2a4c <__alt_data_end+0xfffe2a4c> - 2c20: 10c00054 ori r3,r2,1 - 2c24: 30c00115 stw r3,4(r6) - 2c28: 308d883a add r6,r6,r2 - 2c2c: 30800015 stw r2,0(r6) - 2c30: 003f7806 br 2a14 <__alt_data_end+0xfffe2a14> + 2a54: 18c7883a add r3,r3,r3 + 2a58: 1909883a add r4,r3,r4 + 2a5c: 20c00017 ldw r3,0(r4) + 2a60: 01c00034 movhi r7,0 + 2a64: 213ffe04 addi r4,r4,-8 + 2a68: 39d71f04 addi r7,r7,23676 + 2a6c: 20c04426 beq r4,r3,2b80 <_free_r+0x258> + 2a70: 01ffff04 movi r7,-4 + 2a74: 19400117 ldw r5,4(r3) + 2a78: 29ca703a and r5,r5,r7 + 2a7c: 1140022e bgeu r2,r5,2a88 <_free_r+0x160> + 2a80: 18c00217 ldw r3,8(r3) + 2a84: 20fffb1e bne r4,r3,2a74 <__alt_data_end+0xfffe2a74> + 2a88: 19000317 ldw r4,12(r3) + 2a8c: 31000315 stw r4,12(r6) + 2a90: 30c00215 stw r3,8(r6) + 2a94: 21800215 stw r6,8(r4) + 2a98: 19800315 stw r6,12(r3) + 2a9c: 003fde06 br 2a18 <__alt_data_end+0xfffe2a18> + 2aa0: 29c00217 ldw r7,8(r5) + 2aa4: 10c5883a add r2,r2,r3 + 2aa8: 00c00034 movhi r3,0 + 2aac: 18d72104 addi r3,r3,23684 + 2ab0: 38c03b26 beq r7,r3,2ba0 <_free_r+0x278> + 2ab4: 2a000317 ldw r8,12(r5) + 2ab8: 11400054 ori r5,r2,1 + 2abc: 3087883a add r3,r6,r2 + 2ac0: 3a000315 stw r8,12(r7) + 2ac4: 41c00215 stw r7,8(r8) + 2ac8: 31400115 stw r5,4(r6) + 2acc: 18800015 stw r2,0(r3) + 2ad0: 003fbd06 br 29c8 <__alt_data_end+0xfffe29c8> + 2ad4: 39c0004c andi r7,r7,1 + 2ad8: 10c5883a add r2,r2,r3 + 2adc: 3800071e bne r7,zero,2afc <_free_r+0x1d4> + 2ae0: 81fffe17 ldw r7,-8(r16) + 2ae4: 31cdc83a sub r6,r6,r7 + 2ae8: 30c00317 ldw r3,12(r6) + 2aec: 31400217 ldw r5,8(r6) + 2af0: 11c5883a add r2,r2,r7 + 2af4: 28c00315 stw r3,12(r5) + 2af8: 19400215 stw r5,8(r3) + 2afc: 10c00054 ori r3,r2,1 + 2b00: 30c00115 stw r3,4(r6) + 2b04: 00c00034 movhi r3,0 + 2b08: 18dca604 addi r3,r3,29336 + 2b0c: 18c00017 ldw r3,0(r3) + 2b10: 21800215 stw r6,8(r4) + 2b14: 10ffc036 bltu r2,r3,2a18 <__alt_data_end+0xfffe2a18> + 2b18: 00800034 movhi r2,0 + 2b1c: 109cb304 addi r2,r2,29388 + 2b20: 11400017 ldw r5,0(r2) + 2b24: 8809883a mov r4,r17 + 2b28: 00028040 call 2804 <_malloc_trim_r> + 2b2c: 003fba06 br 2a18 <__alt_data_end+0xfffe2a18> + 2b30: 28c9883a add r4,r5,r3 + 2b34: 21000117 ldw r4,4(r4) + 2b38: 2100004c andi r4,r4,1 + 2b3c: 2000391e bne r4,zero,2c24 <_free_r+0x2fc> + 2b40: 29c00217 ldw r7,8(r5) + 2b44: 29000317 ldw r4,12(r5) + 2b48: 1885883a add r2,r3,r2 + 2b4c: 10c00054 ori r3,r2,1 + 2b50: 39000315 stw r4,12(r7) + 2b54: 21c00215 stw r7,8(r4) + 2b58: 30c00115 stw r3,4(r6) + 2b5c: 308d883a add r6,r6,r2 + 2b60: 30800015 stw r2,0(r6) + 2b64: 003fac06 br 2a18 <__alt_data_end+0xfffe2a18> + 2b68: 00c00504 movi r3,20 + 2b6c: 19401536 bltu r3,r5,2bc4 <_free_r+0x29c> + 2b70: 28c01704 addi r3,r5,92 + 2b74: 18c7883a add r3,r3,r3 + 2b78: 294016c4 addi r5,r5,91 + 2b7c: 003fb406 br 2a50 <__alt_data_end+0xfffe2a50> + 2b80: 280bd0ba srai r5,r5,2 + 2b84: 00c00044 movi r3,1 + 2b88: 38800117 ldw r2,4(r7) + 2b8c: 194a983a sll r5,r3,r5 + 2b90: 2007883a mov r3,r4 + 2b94: 2884b03a or r2,r5,r2 + 2b98: 38800115 stw r2,4(r7) + 2b9c: 003fbb06 br 2a8c <__alt_data_end+0xfffe2a8c> + 2ba0: 21800515 stw r6,20(r4) + 2ba4: 21800415 stw r6,16(r4) + 2ba8: 10c00054 ori r3,r2,1 + 2bac: 31c00315 stw r7,12(r6) + 2bb0: 31c00215 stw r7,8(r6) + 2bb4: 30c00115 stw r3,4(r6) + 2bb8: 308d883a add r6,r6,r2 + 2bbc: 30800015 stw r2,0(r6) + 2bc0: 003f9506 br 2a18 <__alt_data_end+0xfffe2a18> + 2bc4: 00c01504 movi r3,84 + 2bc8: 19400536 bltu r3,r5,2be0 <_free_r+0x2b8> + 2bcc: 100ad33a srli r5,r2,12 + 2bd0: 28c01bc4 addi r3,r5,111 + 2bd4: 18c7883a add r3,r3,r3 + 2bd8: 29401b84 addi r5,r5,110 + 2bdc: 003f9c06 br 2a50 <__alt_data_end+0xfffe2a50> + 2be0: 00c05504 movi r3,340 + 2be4: 19400536 bltu r3,r5,2bfc <_free_r+0x2d4> + 2be8: 100ad3fa srli r5,r2,15 + 2bec: 28c01e04 addi r3,r5,120 + 2bf0: 18c7883a add r3,r3,r3 + 2bf4: 29401dc4 addi r5,r5,119 + 2bf8: 003f9506 br 2a50 <__alt_data_end+0xfffe2a50> + 2bfc: 00c15504 movi r3,1364 + 2c00: 19400536 bltu r3,r5,2c18 <_free_r+0x2f0> + 2c04: 100ad4ba srli r5,r2,18 + 2c08: 28c01f44 addi r3,r5,125 + 2c0c: 18c7883a add r3,r3,r3 + 2c10: 29401f04 addi r5,r5,124 + 2c14: 003f8e06 br 2a50 <__alt_data_end+0xfffe2a50> + 2c18: 00c03f84 movi r3,254 + 2c1c: 01401f84 movi r5,126 + 2c20: 003f8b06 br 2a50 <__alt_data_end+0xfffe2a50> + 2c24: 10c00054 ori r3,r2,1 + 2c28: 30c00115 stw r3,4(r6) + 2c2c: 308d883a add r6,r6,r2 + 2c30: 30800015 stw r2,0(r6) + 2c34: 003f7806 br 2a18 <__alt_data_end+0xfffe2a18> -00002c34 <_lseek_r>: - 2c34: defffd04 addi sp,sp,-12 - 2c38: 2805883a mov r2,r5 - 2c3c: dc000015 stw r16,0(sp) - 2c40: 04000034 movhi r16,0 - 2c44: dc400115 stw r17,4(sp) - 2c48: 300b883a mov r5,r6 - 2c4c: 841ca704 addi r16,r16,29340 - 2c50: 2023883a mov r17,r4 - 2c54: 380d883a mov r6,r7 - 2c58: 1009883a mov r4,r2 - 2c5c: dfc00215 stw ra,8(sp) - 2c60: 80000015 stw zero,0(r16) - 2c64: 00034bc0 call 34bc - 2c68: 00ffffc4 movi r3,-1 - 2c6c: 10c00526 beq r2,r3,2c84 <_lseek_r+0x50> - 2c70: dfc00217 ldw ra,8(sp) - 2c74: dc400117 ldw r17,4(sp) - 2c78: dc000017 ldw r16,0(sp) - 2c7c: dec00304 addi sp,sp,12 - 2c80: f800283a ret - 2c84: 80c00017 ldw r3,0(r16) - 2c88: 183ff926 beq r3,zero,2c70 <__alt_data_end+0xfffe2c70> - 2c8c: 88c00015 stw r3,0(r17) - 2c90: 003ff706 br 2c70 <__alt_data_end+0xfffe2c70> +00002c38 <_lseek_r>: + 2c38: defffd04 addi sp,sp,-12 + 2c3c: 2805883a mov r2,r5 + 2c40: dc000015 stw r16,0(sp) + 2c44: 04000034 movhi r16,0 + 2c48: dc400115 stw r17,4(sp) + 2c4c: 300b883a mov r5,r6 + 2c50: 841cb404 addi r16,r16,29392 + 2c54: 2023883a mov r17,r4 + 2c58: 380d883a mov r6,r7 + 2c5c: 1009883a mov r4,r2 + 2c60: dfc00215 stw ra,8(sp) + 2c64: 80000015 stw zero,0(r16) + 2c68: 00034c00 call 34c0 + 2c6c: 00ffffc4 movi r3,-1 + 2c70: 10c00526 beq r2,r3,2c88 <_lseek_r+0x50> + 2c74: dfc00217 ldw ra,8(sp) + 2c78: dc400117 ldw r17,4(sp) + 2c7c: dc000017 ldw r16,0(sp) + 2c80: dec00304 addi sp,sp,12 + 2c84: f800283a ret + 2c88: 80c00017 ldw r3,0(r16) + 2c8c: 183ff926 beq r3,zero,2c74 <__alt_data_end+0xfffe2c74> + 2c90: 88c00015 stw r3,0(r17) + 2c94: 003ff706 br 2c74 <__alt_data_end+0xfffe2c74> -00002c94 <__smakebuf_r>: - 2c94: 2880030b ldhu r2,12(r5) - 2c98: 10c0008c andi r3,r2,2 - 2c9c: 1800411e bne r3,zero,2da4 <__smakebuf_r+0x110> - 2ca0: deffec04 addi sp,sp,-80 - 2ca4: dc000f15 stw r16,60(sp) - 2ca8: 2821883a mov r16,r5 - 2cac: 2940038f ldh r5,14(r5) - 2cb0: dc401015 stw r17,64(sp) - 2cb4: dfc01315 stw ra,76(sp) - 2cb8: dcc01215 stw r19,72(sp) - 2cbc: dc801115 stw r18,68(sp) - 2cc0: 2023883a mov r17,r4 - 2cc4: 28001c16 blt r5,zero,2d38 <__smakebuf_r+0xa4> - 2cc8: d80d883a mov r6,sp - 2ccc: 0002eb00 call 2eb0 <_fstat_r> - 2cd0: 10001816 blt r2,zero,2d34 <__smakebuf_r+0xa0> - 2cd4: d8800117 ldw r2,4(sp) - 2cd8: 00e00014 movui r3,32768 - 2cdc: 10bc000c andi r2,r2,61440 - 2ce0: 14c80020 cmpeqi r19,r2,8192 - 2ce4: 10c03726 beq r2,r3,2dc4 <__smakebuf_r+0x130> - 2ce8: 80c0030b ldhu r3,12(r16) - 2cec: 18c20014 ori r3,r3,2048 - 2cf0: 80c0030d sth r3,12(r16) - 2cf4: 00c80004 movi r3,8192 - 2cf8: 10c0521e bne r2,r3,2e44 <__smakebuf_r+0x1b0> - 2cfc: 8140038f ldh r5,14(r16) - 2d00: 8809883a mov r4,r17 - 2d04: 0002f0c0 call 2f0c <_isatty_r> - 2d08: 10004c26 beq r2,zero,2e3c <__smakebuf_r+0x1a8> - 2d0c: 8080030b ldhu r2,12(r16) - 2d10: 80c010c4 addi r3,r16,67 - 2d14: 80c00015 stw r3,0(r16) - 2d18: 10800054 ori r2,r2,1 - 2d1c: 8080030d sth r2,12(r16) - 2d20: 00800044 movi r2,1 - 2d24: 80c00415 stw r3,16(r16) - 2d28: 80800515 stw r2,20(r16) - 2d2c: 04810004 movi r18,1024 - 2d30: 00000706 br 2d50 <__smakebuf_r+0xbc> - 2d34: 8080030b ldhu r2,12(r16) - 2d38: 10c0200c andi r3,r2,128 - 2d3c: 18001f1e bne r3,zero,2dbc <__smakebuf_r+0x128> - 2d40: 04810004 movi r18,1024 - 2d44: 10820014 ori r2,r2,2048 - 2d48: 8080030d sth r2,12(r16) - 2d4c: 0027883a mov r19,zero - 2d50: 900b883a mov r5,r18 - 2d54: 8809883a mov r4,r17 - 2d58: 0000e9c0 call e9c <_malloc_r> - 2d5c: 10002c26 beq r2,zero,2e10 <__smakebuf_r+0x17c> - 2d60: 80c0030b ldhu r3,12(r16) - 2d64: 01000034 movhi r4,0 - 2d68: 21012904 addi r4,r4,1188 - 2d6c: 89000f15 stw r4,60(r17) - 2d70: 18c02014 ori r3,r3,128 - 2d74: 80c0030d sth r3,12(r16) - 2d78: 80800015 stw r2,0(r16) - 2d7c: 80800415 stw r2,16(r16) - 2d80: 84800515 stw r18,20(r16) - 2d84: 98001a1e bne r19,zero,2df0 <__smakebuf_r+0x15c> - 2d88: dfc01317 ldw ra,76(sp) - 2d8c: dcc01217 ldw r19,72(sp) - 2d90: dc801117 ldw r18,68(sp) - 2d94: dc401017 ldw r17,64(sp) - 2d98: dc000f17 ldw r16,60(sp) - 2d9c: dec01404 addi sp,sp,80 - 2da0: f800283a ret - 2da4: 288010c4 addi r2,r5,67 - 2da8: 28800015 stw r2,0(r5) - 2dac: 28800415 stw r2,16(r5) - 2db0: 00800044 movi r2,1 - 2db4: 28800515 stw r2,20(r5) - 2db8: f800283a ret - 2dbc: 04801004 movi r18,64 - 2dc0: 003fe006 br 2d44 <__alt_data_end+0xfffe2d44> - 2dc4: 81000a17 ldw r4,40(r16) - 2dc8: 00c00034 movhi r3,0 - 2dcc: 18c87a04 addi r3,r3,8680 - 2dd0: 20ffc51e bne r4,r3,2ce8 <__alt_data_end+0xfffe2ce8> - 2dd4: 8080030b ldhu r2,12(r16) - 2dd8: 04810004 movi r18,1024 - 2ddc: 84801315 stw r18,76(r16) - 2de0: 1484b03a or r2,r2,r18 - 2de4: 8080030d sth r2,12(r16) - 2de8: 0027883a mov r19,zero - 2dec: 003fd806 br 2d50 <__alt_data_end+0xfffe2d50> - 2df0: 8140038f ldh r5,14(r16) - 2df4: 8809883a mov r4,r17 - 2df8: 0002f0c0 call 2f0c <_isatty_r> - 2dfc: 103fe226 beq r2,zero,2d88 <__alt_data_end+0xfffe2d88> - 2e00: 8080030b ldhu r2,12(r16) - 2e04: 10800054 ori r2,r2,1 - 2e08: 8080030d sth r2,12(r16) - 2e0c: 003fde06 br 2d88 <__alt_data_end+0xfffe2d88> - 2e10: 8080030b ldhu r2,12(r16) - 2e14: 10c0800c andi r3,r2,512 - 2e18: 183fdb1e bne r3,zero,2d88 <__alt_data_end+0xfffe2d88> - 2e1c: 10800094 ori r2,r2,2 - 2e20: 80c010c4 addi r3,r16,67 - 2e24: 8080030d sth r2,12(r16) - 2e28: 00800044 movi r2,1 - 2e2c: 80c00015 stw r3,0(r16) - 2e30: 80c00415 stw r3,16(r16) - 2e34: 80800515 stw r2,20(r16) - 2e38: 003fd306 br 2d88 <__alt_data_end+0xfffe2d88> - 2e3c: 04810004 movi r18,1024 - 2e40: 003fc306 br 2d50 <__alt_data_end+0xfffe2d50> - 2e44: 0027883a mov r19,zero - 2e48: 04810004 movi r18,1024 - 2e4c: 003fc006 br 2d50 <__alt_data_end+0xfffe2d50> +00002c98 <__smakebuf_r>: + 2c98: 2880030b ldhu r2,12(r5) + 2c9c: 10c0008c andi r3,r2,2 + 2ca0: 1800411e bne r3,zero,2da8 <__smakebuf_r+0x110> + 2ca4: deffec04 addi sp,sp,-80 + 2ca8: dc000f15 stw r16,60(sp) + 2cac: 2821883a mov r16,r5 + 2cb0: 2940038f ldh r5,14(r5) + 2cb4: dc401015 stw r17,64(sp) + 2cb8: dfc01315 stw ra,76(sp) + 2cbc: dcc01215 stw r19,72(sp) + 2cc0: dc801115 stw r18,68(sp) + 2cc4: 2023883a mov r17,r4 + 2cc8: 28001c16 blt r5,zero,2d3c <__smakebuf_r+0xa4> + 2ccc: d80d883a mov r6,sp + 2cd0: 0002eb40 call 2eb4 <_fstat_r> + 2cd4: 10001816 blt r2,zero,2d38 <__smakebuf_r+0xa0> + 2cd8: d8800117 ldw r2,4(sp) + 2cdc: 00e00014 movui r3,32768 + 2ce0: 10bc000c andi r2,r2,61440 + 2ce4: 14c80020 cmpeqi r19,r2,8192 + 2ce8: 10c03726 beq r2,r3,2dc8 <__smakebuf_r+0x130> + 2cec: 80c0030b ldhu r3,12(r16) + 2cf0: 18c20014 ori r3,r3,2048 + 2cf4: 80c0030d sth r3,12(r16) + 2cf8: 00c80004 movi r3,8192 + 2cfc: 10c0521e bne r2,r3,2e48 <__smakebuf_r+0x1b0> + 2d00: 8140038f ldh r5,14(r16) + 2d04: 8809883a mov r4,r17 + 2d08: 0002f100 call 2f10 <_isatty_r> + 2d0c: 10004c26 beq r2,zero,2e40 <__smakebuf_r+0x1a8> + 2d10: 8080030b ldhu r2,12(r16) + 2d14: 80c010c4 addi r3,r16,67 + 2d18: 80c00015 stw r3,0(r16) + 2d1c: 10800054 ori r2,r2,1 + 2d20: 8080030d sth r2,12(r16) + 2d24: 00800044 movi r2,1 + 2d28: 80c00415 stw r3,16(r16) + 2d2c: 80800515 stw r2,20(r16) + 2d30: 04810004 movi r18,1024 + 2d34: 00000706 br 2d54 <__smakebuf_r+0xbc> + 2d38: 8080030b ldhu r2,12(r16) + 2d3c: 10c0200c andi r3,r2,128 + 2d40: 18001f1e bne r3,zero,2dc0 <__smakebuf_r+0x128> + 2d44: 04810004 movi r18,1024 + 2d48: 10820014 ori r2,r2,2048 + 2d4c: 8080030d sth r2,12(r16) + 2d50: 0027883a mov r19,zero + 2d54: 900b883a mov r5,r18 + 2d58: 8809883a mov r4,r17 + 2d5c: 0000ea00 call ea0 <_malloc_r> + 2d60: 10002c26 beq r2,zero,2e14 <__smakebuf_r+0x17c> + 2d64: 80c0030b ldhu r3,12(r16) + 2d68: 01000034 movhi r4,0 + 2d6c: 21012a04 addi r4,r4,1192 + 2d70: 89000f15 stw r4,60(r17) + 2d74: 18c02014 ori r3,r3,128 + 2d78: 80c0030d sth r3,12(r16) + 2d7c: 80800015 stw r2,0(r16) + 2d80: 80800415 stw r2,16(r16) + 2d84: 84800515 stw r18,20(r16) + 2d88: 98001a1e bne r19,zero,2df4 <__smakebuf_r+0x15c> + 2d8c: dfc01317 ldw ra,76(sp) + 2d90: dcc01217 ldw r19,72(sp) + 2d94: dc801117 ldw r18,68(sp) + 2d98: dc401017 ldw r17,64(sp) + 2d9c: dc000f17 ldw r16,60(sp) + 2da0: dec01404 addi sp,sp,80 + 2da4: f800283a ret + 2da8: 288010c4 addi r2,r5,67 + 2dac: 28800015 stw r2,0(r5) + 2db0: 28800415 stw r2,16(r5) + 2db4: 00800044 movi r2,1 + 2db8: 28800515 stw r2,20(r5) + 2dbc: f800283a ret + 2dc0: 04801004 movi r18,64 + 2dc4: 003fe006 br 2d48 <__alt_data_end+0xfffe2d48> + 2dc8: 81000a17 ldw r4,40(r16) + 2dcc: 00c00034 movhi r3,0 + 2dd0: 18c87b04 addi r3,r3,8684 + 2dd4: 20ffc51e bne r4,r3,2cec <__alt_data_end+0xfffe2cec> + 2dd8: 8080030b ldhu r2,12(r16) + 2ddc: 04810004 movi r18,1024 + 2de0: 84801315 stw r18,76(r16) + 2de4: 1484b03a or r2,r2,r18 + 2de8: 8080030d sth r2,12(r16) + 2dec: 0027883a mov r19,zero + 2df0: 003fd806 br 2d54 <__alt_data_end+0xfffe2d54> + 2df4: 8140038f ldh r5,14(r16) + 2df8: 8809883a mov r4,r17 + 2dfc: 0002f100 call 2f10 <_isatty_r> + 2e00: 103fe226 beq r2,zero,2d8c <__alt_data_end+0xfffe2d8c> + 2e04: 8080030b ldhu r2,12(r16) + 2e08: 10800054 ori r2,r2,1 + 2e0c: 8080030d sth r2,12(r16) + 2e10: 003fde06 br 2d8c <__alt_data_end+0xfffe2d8c> + 2e14: 8080030b ldhu r2,12(r16) + 2e18: 10c0800c andi r3,r2,512 + 2e1c: 183fdb1e bne r3,zero,2d8c <__alt_data_end+0xfffe2d8c> + 2e20: 10800094 ori r2,r2,2 + 2e24: 80c010c4 addi r3,r16,67 + 2e28: 8080030d sth r2,12(r16) + 2e2c: 00800044 movi r2,1 + 2e30: 80c00015 stw r3,0(r16) + 2e34: 80c00415 stw r3,16(r16) + 2e38: 80800515 stw r2,20(r16) + 2e3c: 003fd306 br 2d8c <__alt_data_end+0xfffe2d8c> + 2e40: 04810004 movi r18,1024 + 2e44: 003fc306 br 2d54 <__alt_data_end+0xfffe2d54> + 2e48: 0027883a mov r19,zero + 2e4c: 04810004 movi r18,1024 + 2e50: 003fc006 br 2d54 <__alt_data_end+0xfffe2d54> -00002e50 <_read_r>: - 2e50: defffd04 addi sp,sp,-12 - 2e54: 2805883a mov r2,r5 - 2e58: dc000015 stw r16,0(sp) - 2e5c: 04000034 movhi r16,0 - 2e60: dc400115 stw r17,4(sp) - 2e64: 300b883a mov r5,r6 - 2e68: 841ca704 addi r16,r16,29340 - 2e6c: 2023883a mov r17,r4 - 2e70: 380d883a mov r6,r7 - 2e74: 1009883a mov r4,r2 - 2e78: dfc00215 stw ra,8(sp) - 2e7c: 80000015 stw zero,0(r16) - 2e80: 00036980 call 3698 - 2e84: 00ffffc4 movi r3,-1 - 2e88: 10c00526 beq r2,r3,2ea0 <_read_r+0x50> - 2e8c: dfc00217 ldw ra,8(sp) - 2e90: dc400117 ldw r17,4(sp) - 2e94: dc000017 ldw r16,0(sp) - 2e98: dec00304 addi sp,sp,12 - 2e9c: f800283a ret - 2ea0: 80c00017 ldw r3,0(r16) - 2ea4: 183ff926 beq r3,zero,2e8c <__alt_data_end+0xfffe2e8c> - 2ea8: 88c00015 stw r3,0(r17) - 2eac: 003ff706 br 2e8c <__alt_data_end+0xfffe2e8c> +00002e54 <_read_r>: + 2e54: defffd04 addi sp,sp,-12 + 2e58: 2805883a mov r2,r5 + 2e5c: dc000015 stw r16,0(sp) + 2e60: 04000034 movhi r16,0 + 2e64: dc400115 stw r17,4(sp) + 2e68: 300b883a mov r5,r6 + 2e6c: 841cb404 addi r16,r16,29392 + 2e70: 2023883a mov r17,r4 + 2e74: 380d883a mov r6,r7 + 2e78: 1009883a mov r4,r2 + 2e7c: dfc00215 stw ra,8(sp) + 2e80: 80000015 stw zero,0(r16) + 2e84: 000369c0 call 369c + 2e88: 00ffffc4 movi r3,-1 + 2e8c: 10c00526 beq r2,r3,2ea4 <_read_r+0x50> + 2e90: dfc00217 ldw ra,8(sp) + 2e94: dc400117 ldw r17,4(sp) + 2e98: dc000017 ldw r16,0(sp) + 2e9c: dec00304 addi sp,sp,12 + 2ea0: f800283a ret + 2ea4: 80c00017 ldw r3,0(r16) + 2ea8: 183ff926 beq r3,zero,2e90 <__alt_data_end+0xfffe2e90> + 2eac: 88c00015 stw r3,0(r17) + 2eb0: 003ff706 br 2e90 <__alt_data_end+0xfffe2e90> -00002eb0 <_fstat_r>: - 2eb0: defffd04 addi sp,sp,-12 - 2eb4: 2805883a mov r2,r5 - 2eb8: dc000015 stw r16,0(sp) - 2ebc: 04000034 movhi r16,0 - 2ec0: dc400115 stw r17,4(sp) - 2ec4: 841ca704 addi r16,r16,29340 - 2ec8: 2023883a mov r17,r4 - 2ecc: 300b883a mov r5,r6 - 2ed0: 1009883a mov r4,r2 - 2ed4: dfc00215 stw ra,8(sp) - 2ed8: 80000015 stw zero,0(r16) - 2edc: 00032e00 call 32e0 - 2ee0: 00ffffc4 movi r3,-1 - 2ee4: 10c00526 beq r2,r3,2efc <_fstat_r+0x4c> - 2ee8: dfc00217 ldw ra,8(sp) - 2eec: dc400117 ldw r17,4(sp) - 2ef0: dc000017 ldw r16,0(sp) - 2ef4: dec00304 addi sp,sp,12 - 2ef8: f800283a ret - 2efc: 80c00017 ldw r3,0(r16) - 2f00: 183ff926 beq r3,zero,2ee8 <__alt_data_end+0xfffe2ee8> - 2f04: 88c00015 stw r3,0(r17) - 2f08: 003ff706 br 2ee8 <__alt_data_end+0xfffe2ee8> +00002eb4 <_fstat_r>: + 2eb4: defffd04 addi sp,sp,-12 + 2eb8: 2805883a mov r2,r5 + 2ebc: dc000015 stw r16,0(sp) + 2ec0: 04000034 movhi r16,0 + 2ec4: dc400115 stw r17,4(sp) + 2ec8: 841cb404 addi r16,r16,29392 + 2ecc: 2023883a mov r17,r4 + 2ed0: 300b883a mov r5,r6 + 2ed4: 1009883a mov r4,r2 + 2ed8: dfc00215 stw ra,8(sp) + 2edc: 80000015 stw zero,0(r16) + 2ee0: 00032e40 call 32e4 + 2ee4: 00ffffc4 movi r3,-1 + 2ee8: 10c00526 beq r2,r3,2f00 <_fstat_r+0x4c> + 2eec: dfc00217 ldw ra,8(sp) + 2ef0: dc400117 ldw r17,4(sp) + 2ef4: dc000017 ldw r16,0(sp) + 2ef8: dec00304 addi sp,sp,12 + 2efc: f800283a ret + 2f00: 80c00017 ldw r3,0(r16) + 2f04: 183ff926 beq r3,zero,2eec <__alt_data_end+0xfffe2eec> + 2f08: 88c00015 stw r3,0(r17) + 2f0c: 003ff706 br 2eec <__alt_data_end+0xfffe2eec> -00002f0c <_isatty_r>: - 2f0c: defffd04 addi sp,sp,-12 - 2f10: dc000015 stw r16,0(sp) - 2f14: 04000034 movhi r16,0 - 2f18: dc400115 stw r17,4(sp) - 2f1c: 841ca704 addi r16,r16,29340 - 2f20: 2023883a mov r17,r4 - 2f24: 2809883a mov r4,r5 - 2f28: dfc00215 stw ra,8(sp) - 2f2c: 80000015 stw zero,0(r16) - 2f30: 00033d40 call 33d4 - 2f34: 00ffffc4 movi r3,-1 - 2f38: 10c00526 beq r2,r3,2f50 <_isatty_r+0x44> - 2f3c: dfc00217 ldw ra,8(sp) - 2f40: dc400117 ldw r17,4(sp) - 2f44: dc000017 ldw r16,0(sp) - 2f48: dec00304 addi sp,sp,12 - 2f4c: f800283a ret - 2f50: 80c00017 ldw r3,0(r16) - 2f54: 183ff926 beq r3,zero,2f3c <__alt_data_end+0xfffe2f3c> - 2f58: 88c00015 stw r3,0(r17) - 2f5c: 003ff706 br 2f3c <__alt_data_end+0xfffe2f3c> +00002f10 <_isatty_r>: + 2f10: defffd04 addi sp,sp,-12 + 2f14: dc000015 stw r16,0(sp) + 2f18: 04000034 movhi r16,0 + 2f1c: dc400115 stw r17,4(sp) + 2f20: 841cb404 addi r16,r16,29392 + 2f24: 2023883a mov r17,r4 + 2f28: 2809883a mov r4,r5 + 2f2c: dfc00215 stw ra,8(sp) + 2f30: 80000015 stw zero,0(r16) + 2f34: 00033d80 call 33d8 + 2f38: 00ffffc4 movi r3,-1 + 2f3c: 10c00526 beq r2,r3,2f54 <_isatty_r+0x44> + 2f40: dfc00217 ldw ra,8(sp) + 2f44: dc400117 ldw r17,4(sp) + 2f48: dc000017 ldw r16,0(sp) + 2f4c: dec00304 addi sp,sp,12 + 2f50: f800283a ret + 2f54: 80c00017 ldw r3,0(r16) + 2f58: 183ff926 beq r3,zero,2f40 <__alt_data_end+0xfffe2f40> + 2f5c: 88c00015 stw r3,0(r17) + 2f60: 003ff706 br 2f40 <__alt_data_end+0xfffe2f40> -00002f60 <__divsi3>: - 2f60: 20001b16 blt r4,zero,2fd0 <__divsi3+0x70> - 2f64: 000f883a mov r7,zero - 2f68: 28001616 blt r5,zero,2fc4 <__divsi3+0x64> - 2f6c: 200d883a mov r6,r4 - 2f70: 29001a2e bgeu r5,r4,2fdc <__divsi3+0x7c> - 2f74: 00800804 movi r2,32 - 2f78: 00c00044 movi r3,1 - 2f7c: 00000106 br 2f84 <__divsi3+0x24> - 2f80: 10000d26 beq r2,zero,2fb8 <__divsi3+0x58> - 2f84: 294b883a add r5,r5,r5 - 2f88: 10bfffc4 addi r2,r2,-1 - 2f8c: 18c7883a add r3,r3,r3 - 2f90: 293ffb36 bltu r5,r4,2f80 <__alt_data_end+0xfffe2f80> - 2f94: 0005883a mov r2,zero - 2f98: 18000726 beq r3,zero,2fb8 <__divsi3+0x58> - 2f9c: 0005883a mov r2,zero - 2fa0: 31400236 bltu r6,r5,2fac <__divsi3+0x4c> - 2fa4: 314dc83a sub r6,r6,r5 - 2fa8: 10c4b03a or r2,r2,r3 - 2fac: 1806d07a srli r3,r3,1 - 2fb0: 280ad07a srli r5,r5,1 - 2fb4: 183ffa1e bne r3,zero,2fa0 <__alt_data_end+0xfffe2fa0> - 2fb8: 38000126 beq r7,zero,2fc0 <__divsi3+0x60> - 2fbc: 0085c83a sub r2,zero,r2 - 2fc0: f800283a ret - 2fc4: 014bc83a sub r5,zero,r5 - 2fc8: 39c0005c xori r7,r7,1 - 2fcc: 003fe706 br 2f6c <__alt_data_end+0xfffe2f6c> - 2fd0: 0109c83a sub r4,zero,r4 - 2fd4: 01c00044 movi r7,1 - 2fd8: 003fe306 br 2f68 <__alt_data_end+0xfffe2f68> - 2fdc: 00c00044 movi r3,1 - 2fe0: 003fee06 br 2f9c <__alt_data_end+0xfffe2f9c> +00002f64 <__divsi3>: + 2f64: 20001b16 blt r4,zero,2fd4 <__divsi3+0x70> + 2f68: 000f883a mov r7,zero + 2f6c: 28001616 blt r5,zero,2fc8 <__divsi3+0x64> + 2f70: 200d883a mov r6,r4 + 2f74: 29001a2e bgeu r5,r4,2fe0 <__divsi3+0x7c> + 2f78: 00800804 movi r2,32 + 2f7c: 00c00044 movi r3,1 + 2f80: 00000106 br 2f88 <__divsi3+0x24> + 2f84: 10000d26 beq r2,zero,2fbc <__divsi3+0x58> + 2f88: 294b883a add r5,r5,r5 + 2f8c: 10bfffc4 addi r2,r2,-1 + 2f90: 18c7883a add r3,r3,r3 + 2f94: 293ffb36 bltu r5,r4,2f84 <__alt_data_end+0xfffe2f84> + 2f98: 0005883a mov r2,zero + 2f9c: 18000726 beq r3,zero,2fbc <__divsi3+0x58> + 2fa0: 0005883a mov r2,zero + 2fa4: 31400236 bltu r6,r5,2fb0 <__divsi3+0x4c> + 2fa8: 314dc83a sub r6,r6,r5 + 2fac: 10c4b03a or r2,r2,r3 + 2fb0: 1806d07a srli r3,r3,1 + 2fb4: 280ad07a srli r5,r5,1 + 2fb8: 183ffa1e bne r3,zero,2fa4 <__alt_data_end+0xfffe2fa4> + 2fbc: 38000126 beq r7,zero,2fc4 <__divsi3+0x60> + 2fc0: 0085c83a sub r2,zero,r2 + 2fc4: f800283a ret + 2fc8: 014bc83a sub r5,zero,r5 + 2fcc: 39c0005c xori r7,r7,1 + 2fd0: 003fe706 br 2f70 <__alt_data_end+0xfffe2f70> + 2fd4: 0109c83a sub r4,zero,r4 + 2fd8: 01c00044 movi r7,1 + 2fdc: 003fe306 br 2f6c <__alt_data_end+0xfffe2f6c> + 2fe0: 00c00044 movi r3,1 + 2fe4: 003fee06 br 2fa0 <__alt_data_end+0xfffe2fa0> -00002fe4 <__modsi3>: - 2fe4: 20001716 blt r4,zero,3044 <__modsi3+0x60> - 2fe8: 000f883a mov r7,zero - 2fec: 2005883a mov r2,r4 - 2ff0: 28001216 blt r5,zero,303c <__modsi3+0x58> - 2ff4: 2900162e bgeu r5,r4,3050 <__modsi3+0x6c> - 2ff8: 01800804 movi r6,32 - 2ffc: 00c00044 movi r3,1 - 3000: 00000106 br 3008 <__modsi3+0x24> - 3004: 30000a26 beq r6,zero,3030 <__modsi3+0x4c> - 3008: 294b883a add r5,r5,r5 - 300c: 31bfffc4 addi r6,r6,-1 - 3010: 18c7883a add r3,r3,r3 - 3014: 293ffb36 bltu r5,r4,3004 <__alt_data_end+0xfffe3004> - 3018: 18000526 beq r3,zero,3030 <__modsi3+0x4c> - 301c: 1806d07a srli r3,r3,1 - 3020: 11400136 bltu r2,r5,3028 <__modsi3+0x44> - 3024: 1145c83a sub r2,r2,r5 - 3028: 280ad07a srli r5,r5,1 - 302c: 183ffb1e bne r3,zero,301c <__alt_data_end+0xfffe301c> - 3030: 38000126 beq r7,zero,3038 <__modsi3+0x54> - 3034: 0085c83a sub r2,zero,r2 - 3038: f800283a ret - 303c: 014bc83a sub r5,zero,r5 - 3040: 003fec06 br 2ff4 <__alt_data_end+0xfffe2ff4> - 3044: 0109c83a sub r4,zero,r4 - 3048: 01c00044 movi r7,1 - 304c: 003fe706 br 2fec <__alt_data_end+0xfffe2fec> - 3050: 00c00044 movi r3,1 - 3054: 003ff106 br 301c <__alt_data_end+0xfffe301c> +00002fe8 <__modsi3>: + 2fe8: 20001716 blt r4,zero,3048 <__modsi3+0x60> + 2fec: 000f883a mov r7,zero + 2ff0: 2005883a mov r2,r4 + 2ff4: 28001216 blt r5,zero,3040 <__modsi3+0x58> + 2ff8: 2900162e bgeu r5,r4,3054 <__modsi3+0x6c> + 2ffc: 01800804 movi r6,32 + 3000: 00c00044 movi r3,1 + 3004: 00000106 br 300c <__modsi3+0x24> + 3008: 30000a26 beq r6,zero,3034 <__modsi3+0x4c> + 300c: 294b883a add r5,r5,r5 + 3010: 31bfffc4 addi r6,r6,-1 + 3014: 18c7883a add r3,r3,r3 + 3018: 293ffb36 bltu r5,r4,3008 <__alt_data_end+0xfffe3008> + 301c: 18000526 beq r3,zero,3034 <__modsi3+0x4c> + 3020: 1806d07a srli r3,r3,1 + 3024: 11400136 bltu r2,r5,302c <__modsi3+0x44> + 3028: 1145c83a sub r2,r2,r5 + 302c: 280ad07a srli r5,r5,1 + 3030: 183ffb1e bne r3,zero,3020 <__alt_data_end+0xfffe3020> + 3034: 38000126 beq r7,zero,303c <__modsi3+0x54> + 3038: 0085c83a sub r2,zero,r2 + 303c: f800283a ret + 3040: 014bc83a sub r5,zero,r5 + 3044: 003fec06 br 2ff8 <__alt_data_end+0xfffe2ff8> + 3048: 0109c83a sub r4,zero,r4 + 304c: 01c00044 movi r7,1 + 3050: 003fe706 br 2ff0 <__alt_data_end+0xfffe2ff0> + 3054: 00c00044 movi r3,1 + 3058: 003ff106 br 3020 <__alt_data_end+0xfffe3020> -00003058 <__udivsi3>: - 3058: 200d883a mov r6,r4 - 305c: 2900152e bgeu r5,r4,30b4 <__udivsi3+0x5c> - 3060: 28001416 blt r5,zero,30b4 <__udivsi3+0x5c> - 3064: 00800804 movi r2,32 - 3068: 00c00044 movi r3,1 - 306c: 00000206 br 3078 <__udivsi3+0x20> - 3070: 10000e26 beq r2,zero,30ac <__udivsi3+0x54> - 3074: 28000516 blt r5,zero,308c <__udivsi3+0x34> - 3078: 294b883a add r5,r5,r5 - 307c: 10bfffc4 addi r2,r2,-1 - 3080: 18c7883a add r3,r3,r3 - 3084: 293ffa36 bltu r5,r4,3070 <__alt_data_end+0xfffe3070> - 3088: 18000826 beq r3,zero,30ac <__udivsi3+0x54> - 308c: 0005883a mov r2,zero - 3090: 31400236 bltu r6,r5,309c <__udivsi3+0x44> - 3094: 314dc83a sub r6,r6,r5 - 3098: 10c4b03a or r2,r2,r3 - 309c: 1806d07a srli r3,r3,1 - 30a0: 280ad07a srli r5,r5,1 - 30a4: 183ffa1e bne r3,zero,3090 <__alt_data_end+0xfffe3090> - 30a8: f800283a ret - 30ac: 0005883a mov r2,zero - 30b0: f800283a ret - 30b4: 00c00044 movi r3,1 - 30b8: 003ff406 br 308c <__alt_data_end+0xfffe308c> +0000305c <__udivsi3>: + 305c: 200d883a mov r6,r4 + 3060: 2900152e bgeu r5,r4,30b8 <__udivsi3+0x5c> + 3064: 28001416 blt r5,zero,30b8 <__udivsi3+0x5c> + 3068: 00800804 movi r2,32 + 306c: 00c00044 movi r3,1 + 3070: 00000206 br 307c <__udivsi3+0x20> + 3074: 10000e26 beq r2,zero,30b0 <__udivsi3+0x54> + 3078: 28000516 blt r5,zero,3090 <__udivsi3+0x34> + 307c: 294b883a add r5,r5,r5 + 3080: 10bfffc4 addi r2,r2,-1 + 3084: 18c7883a add r3,r3,r3 + 3088: 293ffa36 bltu r5,r4,3074 <__alt_data_end+0xfffe3074> + 308c: 18000826 beq r3,zero,30b0 <__udivsi3+0x54> + 3090: 0005883a mov r2,zero + 3094: 31400236 bltu r6,r5,30a0 <__udivsi3+0x44> + 3098: 314dc83a sub r6,r6,r5 + 309c: 10c4b03a or r2,r2,r3 + 30a0: 1806d07a srli r3,r3,1 + 30a4: 280ad07a srli r5,r5,1 + 30a8: 183ffa1e bne r3,zero,3094 <__alt_data_end+0xfffe3094> + 30ac: f800283a ret + 30b0: 0005883a mov r2,zero + 30b4: f800283a ret + 30b8: 00c00044 movi r3,1 + 30bc: 003ff406 br 3090 <__alt_data_end+0xfffe3090> -000030bc <__umodsi3>: - 30bc: 2005883a mov r2,r4 - 30c0: 2900122e bgeu r5,r4,310c <__umodsi3+0x50> - 30c4: 28001116 blt r5,zero,310c <__umodsi3+0x50> - 30c8: 01800804 movi r6,32 - 30cc: 00c00044 movi r3,1 - 30d0: 00000206 br 30dc <__umodsi3+0x20> - 30d4: 30000c26 beq r6,zero,3108 <__umodsi3+0x4c> - 30d8: 28000516 blt r5,zero,30f0 <__umodsi3+0x34> - 30dc: 294b883a add r5,r5,r5 - 30e0: 31bfffc4 addi r6,r6,-1 - 30e4: 18c7883a add r3,r3,r3 - 30e8: 293ffa36 bltu r5,r4,30d4 <__alt_data_end+0xfffe30d4> - 30ec: 18000626 beq r3,zero,3108 <__umodsi3+0x4c> - 30f0: 1806d07a srli r3,r3,1 - 30f4: 11400136 bltu r2,r5,30fc <__umodsi3+0x40> - 30f8: 1145c83a sub r2,r2,r5 - 30fc: 280ad07a srli r5,r5,1 - 3100: 183ffb1e bne r3,zero,30f0 <__alt_data_end+0xfffe30f0> - 3104: f800283a ret +000030c0 <__umodsi3>: + 30c0: 2005883a mov r2,r4 + 30c4: 2900122e bgeu r5,r4,3110 <__umodsi3+0x50> + 30c8: 28001116 blt r5,zero,3110 <__umodsi3+0x50> + 30cc: 01800804 movi r6,32 + 30d0: 00c00044 movi r3,1 + 30d4: 00000206 br 30e0 <__umodsi3+0x20> + 30d8: 30000c26 beq r6,zero,310c <__umodsi3+0x4c> + 30dc: 28000516 blt r5,zero,30f4 <__umodsi3+0x34> + 30e0: 294b883a add r5,r5,r5 + 30e4: 31bfffc4 addi r6,r6,-1 + 30e8: 18c7883a add r3,r3,r3 + 30ec: 293ffa36 bltu r5,r4,30d8 <__alt_data_end+0xfffe30d8> + 30f0: 18000626 beq r3,zero,310c <__umodsi3+0x4c> + 30f4: 1806d07a srli r3,r3,1 + 30f8: 11400136 bltu r2,r5,3100 <__umodsi3+0x40> + 30fc: 1145c83a sub r2,r2,r5 + 3100: 280ad07a srli r5,r5,1 + 3104: 183ffb1e bne r3,zero,30f4 <__alt_data_end+0xfffe30f4> 3108: f800283a ret - 310c: 00c00044 movi r3,1 - 3110: 003ff706 br 30f0 <__alt_data_end+0xfffe30f0> + 310c: f800283a ret + 3110: 00c00044 movi r3,1 + 3114: 003ff706 br 30f4 <__alt_data_end+0xfffe30f4> -00003114 <__mulsi3>: - 3114: 0005883a mov r2,zero - 3118: 20000726 beq r4,zero,3138 <__mulsi3+0x24> - 311c: 20c0004c andi r3,r4,1 - 3120: 2008d07a srli r4,r4,1 - 3124: 18000126 beq r3,zero,312c <__mulsi3+0x18> - 3128: 1145883a add r2,r2,r5 - 312c: 294b883a add r5,r5,r5 - 3130: 203ffa1e bne r4,zero,311c <__alt_data_end+0xfffe311c> - 3134: f800283a ret +00003118 <__mulsi3>: + 3118: 0005883a mov r2,zero + 311c: 20000726 beq r4,zero,313c <__mulsi3+0x24> + 3120: 20c0004c andi r3,r4,1 + 3124: 2008d07a srli r4,r4,1 + 3128: 18000126 beq r3,zero,3130 <__mulsi3+0x18> + 312c: 1145883a add r2,r2,r5 + 3130: 294b883a add r5,r5,r5 + 3134: 203ffa1e bne r4,zero,3120 <__alt_data_end+0xfffe3120> 3138: f800283a ret + 313c: f800283a ret -0000313c : +00003140 : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 313c: defffe04 addi sp,sp,-8 - 3140: dfc00115 stw ra,4(sp) - 3144: df000015 stw fp,0(sp) - 3148: d839883a mov fp,sp + 3140: defffe04 addi sp,sp,-8 + 3144: dfc00115 stw ra,4(sp) + 3148: df000015 stw fp,0(sp) + 314c: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 314c: d0a00917 ldw r2,-32732(gp) - 3150: 10000326 beq r2,zero,3160 - 3154: d0a00917 ldw r2,-32732(gp) - 3158: 103ee83a callr r2 - 315c: 00000106 br 3164 - 3160: d0a01104 addi r2,gp,-32700 + 3150: d0a00917 ldw r2,-32732(gp) + 3154: 10000326 beq r2,zero,3164 + 3158: d0a00917 ldw r2,-32732(gp) + 315c: 103ee83a callr r2 + 3160: 00000106 br 3168 + 3164: d0a01104 addi r2,gp,-32700 } - 3164: e037883a mov sp,fp - 3168: dfc00117 ldw ra,4(sp) - 316c: df000017 ldw fp,0(sp) - 3170: dec00204 addi sp,sp,8 - 3174: f800283a ret + 3168: e037883a mov sp,fp + 316c: dfc00117 ldw ra,4(sp) + 3170: df000017 ldw fp,0(sp) + 3174: dec00204 addi sp,sp,8 + 3178: f800283a ret -00003178 : +0000317c : * * ALT_CLOSE is mapped onto the close() system call in alt_syscall.h */ int ALT_CLOSE (int fildes) { - 3178: defffb04 addi sp,sp,-20 - 317c: dfc00415 stw ra,16(sp) - 3180: df000315 stw fp,12(sp) - 3184: df000304 addi fp,sp,12 - 3188: e13fff15 stw r4,-4(fp) + 317c: defffb04 addi sp,sp,-20 + 3180: dfc00415 stw ra,16(sp) + 3184: df000315 stw fp,12(sp) + 3188: df000304 addi fp,sp,12 + 318c: e13fff15 stw r4,-4(fp) * A common error case is that when the file descriptor was created, the call * to open() failed resulting in a negative file descriptor. This is trapped * below so that we don't try and process an invalid file descriptor. */ fd = (fildes < 0) ? NULL : &alt_fd_list[fildes]; - 318c: e0bfff17 ldw r2,-4(fp) - 3190: 10000816 blt r2,zero,31b4 - 3194: 01400304 movi r5,12 - 3198: e13fff17 ldw r4,-4(fp) - 319c: 00031140 call 3114 <__mulsi3> - 31a0: 1007883a mov r3,r2 - 31a4: 00800034 movhi r2,0 - 31a8: 10981e04 addi r2,r2,24696 - 31ac: 1885883a add r2,r3,r2 - 31b0: 00000106 br 31b8 - 31b4: 0005883a mov r2,zero - 31b8: e0bffd15 stw r2,-12(fp) + 3190: e0bfff17 ldw r2,-4(fp) + 3194: 10000816 blt r2,zero,31b8 + 3198: 01400304 movi r5,12 + 319c: e13fff17 ldw r4,-4(fp) + 31a0: 00031180 call 3118 <__mulsi3> + 31a4: 1007883a mov r3,r2 + 31a8: 00800034 movhi r2,0 + 31ac: 10982b04 addi r2,r2,24748 + 31b0: 1885883a add r2,r3,r2 + 31b4: 00000106 br 31bc + 31b8: 0005883a mov r2,zero + 31bc: e0bffd15 stw r2,-12(fp) if (fd) - 31bc: e0bffd17 ldw r2,-12(fp) - 31c0: 10001926 beq r2,zero,3228 + 31c0: e0bffd17 ldw r2,-12(fp) + 31c4: 10001926 beq r2,zero,322c /* * If the associated file system/device has a close function, call it so * that any necessary cleanup code can run. */ rval = (fd->dev->close) ? fd->dev->close(fd) : 0; - 31c4: e0bffd17 ldw r2,-12(fp) - 31c8: 10800017 ldw r2,0(r2) - 31cc: 10800417 ldw r2,16(r2) - 31d0: 10000626 beq r2,zero,31ec - 31d4: e0bffd17 ldw r2,-12(fp) - 31d8: 10800017 ldw r2,0(r2) - 31dc: 10800417 ldw r2,16(r2) - 31e0: e13ffd17 ldw r4,-12(fp) - 31e4: 103ee83a callr r2 - 31e8: 00000106 br 31f0 - 31ec: 0005883a mov r2,zero - 31f0: e0bffe15 stw r2,-8(fp) + 31c8: e0bffd17 ldw r2,-12(fp) + 31cc: 10800017 ldw r2,0(r2) + 31d0: 10800417 ldw r2,16(r2) + 31d4: 10000626 beq r2,zero,31f0 + 31d8: e0bffd17 ldw r2,-12(fp) + 31dc: 10800017 ldw r2,0(r2) + 31e0: 10800417 ldw r2,16(r2) + 31e4: e13ffd17 ldw r4,-12(fp) + 31e8: 103ee83a callr r2 + 31ec: 00000106 br 31f4 + 31f0: 0005883a mov r2,zero + 31f4: e0bffe15 stw r2,-8(fp) /* Free the file descriptor structure and return. */ alt_release_fd (fildes); - 31f4: e13fff17 ldw r4,-4(fp) - 31f8: 000379c0 call 379c + 31f8: e13fff17 ldw r4,-4(fp) + 31fc: 00037a00 call 37a0 if (rval < 0) - 31fc: e0bffe17 ldw r2,-8(fp) - 3200: 1000070e bge r2,zero,3220 + 3200: e0bffe17 ldw r2,-8(fp) + 3204: 1000070e bge r2,zero,3224 { ALT_ERRNO = -rval; - 3204: 000313c0 call 313c - 3208: 1007883a mov r3,r2 - 320c: e0bffe17 ldw r2,-8(fp) - 3210: 0085c83a sub r2,zero,r2 - 3214: 18800015 stw r2,0(r3) + 3208: 00031400 call 3140 + 320c: 1007883a mov r3,r2 + 3210: e0bffe17 ldw r2,-8(fp) + 3214: 0085c83a sub r2,zero,r2 + 3218: 18800015 stw r2,0(r3) return -1; - 3218: 00bfffc4 movi r2,-1 - 321c: 00000706 br 323c + 321c: 00bfffc4 movi r2,-1 + 3220: 00000706 br 3240 } return 0; - 3220: 0005883a mov r2,zero - 3224: 00000506 br 323c + 3224: 0005883a mov r2,zero + 3228: 00000506 br 3240 } else { ALT_ERRNO = EBADFD; - 3228: 000313c0 call 313c - 322c: 1007883a mov r3,r2 - 3230: 00801444 movi r2,81 - 3234: 18800015 stw r2,0(r3) + 322c: 00031400 call 3140 + 3230: 1007883a mov r3,r2 + 3234: 00801444 movi r2,81 + 3238: 18800015 stw r2,0(r3) return -1; - 3238: 00bfffc4 movi r2,-1 + 323c: 00bfffc4 movi r2,-1 } } - 323c: e037883a mov sp,fp - 3240: dfc00117 ldw ra,4(sp) - 3244: df000017 ldw fp,0(sp) - 3248: dec00204 addi sp,sp,8 - 324c: f800283a ret + 3240: e037883a mov sp,fp + 3244: dfc00117 ldw ra,4(sp) + 3248: df000017 ldw fp,0(sp) + 324c: dec00204 addi sp,sp,8 + 3250: f800283a ret -00003250 : +00003254 : * * Any dirty lines in the data cache are written back to memory. */ void alt_dcache_flush (void* start, alt_u32 len) { - 3250: defffd04 addi sp,sp,-12 - 3254: df000215 stw fp,8(sp) - 3258: df000204 addi fp,sp,8 - 325c: e13ffe15 stw r4,-8(fp) - 3260: e17fff15 stw r5,-4(fp) + 3254: defffd04 addi sp,sp,-12 + 3258: df000215 stw fp,8(sp) + 325c: df000204 addi fp,sp,8 + 3260: e13ffe15 stw r4,-8(fp) + 3264: e17fff15 stw r5,-4(fp) { ALT_FLUSH_DATA(i); } #endif /* NIOS2_DCACHE_SIZE > 0 */ } - 3264: 0001883a nop - 3268: e037883a mov sp,fp - 326c: df000017 ldw fp,0(sp) - 3270: dec00104 addi sp,sp,4 - 3274: f800283a ret + 3268: 0001883a nop + 326c: e037883a mov sp,fp + 3270: df000017 ldw fp,0(sp) + 3274: dec00104 addi sp,sp,4 + 3278: f800283a ret -00003278 : +0000327c : * by the alt_dev_null device. It simple discards all data passed to it, and * indicates that the data has been successfully transmitted. */ static int alt_dev_null_write (alt_fd* fd, const char* ptr, int len) { - 3278: defffc04 addi sp,sp,-16 - 327c: df000315 stw fp,12(sp) - 3280: df000304 addi fp,sp,12 - 3284: e13ffd15 stw r4,-12(fp) - 3288: e17ffe15 stw r5,-8(fp) - 328c: e1bfff15 stw r6,-4(fp) + 327c: defffc04 addi sp,sp,-16 + 3280: df000315 stw fp,12(sp) + 3284: df000304 addi fp,sp,12 + 3288: e13ffd15 stw r4,-12(fp) + 328c: e17ffe15 stw r5,-8(fp) + 3290: e1bfff15 stw r6,-4(fp) return len; - 3290: e0bfff17 ldw r2,-4(fp) + 3294: e0bfff17 ldw r2,-4(fp) } - 3294: e037883a mov sp,fp - 3298: df000017 ldw fp,0(sp) - 329c: dec00104 addi sp,sp,4 - 32a0: f800283a ret + 3298: e037883a mov sp,fp + 329c: df000017 ldw fp,0(sp) + 32a0: dec00104 addi sp,sp,4 + 32a4: f800283a ret -000032a4 : +000032a8 : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 32a4: defffe04 addi sp,sp,-8 - 32a8: dfc00115 stw ra,4(sp) - 32ac: df000015 stw fp,0(sp) - 32b0: d839883a mov fp,sp + 32a8: defffe04 addi sp,sp,-8 + 32ac: dfc00115 stw ra,4(sp) + 32b0: df000015 stw fp,0(sp) + 32b4: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 32b4: d0a00917 ldw r2,-32732(gp) - 32b8: 10000326 beq r2,zero,32c8 - 32bc: d0a00917 ldw r2,-32732(gp) - 32c0: 103ee83a callr r2 - 32c4: 00000106 br 32cc - 32c8: d0a01104 addi r2,gp,-32700 + 32b8: d0a00917 ldw r2,-32732(gp) + 32bc: 10000326 beq r2,zero,32cc + 32c0: d0a00917 ldw r2,-32732(gp) + 32c4: 103ee83a callr r2 + 32c8: 00000106 br 32d0 + 32cc: d0a01104 addi r2,gp,-32700 } - 32cc: e037883a mov sp,fp - 32d0: dfc00117 ldw ra,4(sp) - 32d4: df000017 ldw fp,0(sp) - 32d8: dec00204 addi sp,sp,8 - 32dc: f800283a ret + 32d0: e037883a mov sp,fp + 32d4: dfc00117 ldw ra,4(sp) + 32d8: df000017 ldw fp,0(sp) + 32dc: dec00204 addi sp,sp,8 + 32e0: f800283a ret -000032e0 : +000032e4 : } #else /* !ALT_USE_DIRECT_DRIVERS */ int ALT_FSTAT (int file, struct stat *st) { - 32e0: defffb04 addi sp,sp,-20 - 32e4: dfc00415 stw ra,16(sp) - 32e8: df000315 stw fp,12(sp) - 32ec: df000304 addi fp,sp,12 - 32f0: e13ffe15 stw r4,-8(fp) - 32f4: e17fff15 stw r5,-4(fp) + 32e4: defffb04 addi sp,sp,-20 + 32e8: dfc00415 stw ra,16(sp) + 32ec: df000315 stw fp,12(sp) + 32f0: df000304 addi fp,sp,12 + 32f4: e13ffe15 stw r4,-8(fp) + 32f8: e17fff15 stw r5,-4(fp) * A common error case is that when the file descriptor was created, the call * to open() failed resulting in a negative file descriptor. This is trapped * below so that we don't try and process an invalid file descriptor. */ fd = (file < 0) ? NULL : &alt_fd_list[file]; - 32f8: e0bffe17 ldw r2,-8(fp) - 32fc: 10000816 blt r2,zero,3320 - 3300: 01400304 movi r5,12 - 3304: e13ffe17 ldw r4,-8(fp) - 3308: 00031140 call 3114 <__mulsi3> - 330c: 1007883a mov r3,r2 - 3310: 00800034 movhi r2,0 - 3314: 10981e04 addi r2,r2,24696 - 3318: 1885883a add r2,r3,r2 - 331c: 00000106 br 3324 - 3320: 0005883a mov r2,zero - 3324: e0bffd15 stw r2,-12(fp) + 32fc: e0bffe17 ldw r2,-8(fp) + 3300: 10000816 blt r2,zero,3324 + 3304: 01400304 movi r5,12 + 3308: e13ffe17 ldw r4,-8(fp) + 330c: 00031180 call 3118 <__mulsi3> + 3310: 1007883a mov r3,r2 + 3314: 00800034 movhi r2,0 + 3318: 10982b04 addi r2,r2,24748 + 331c: 1885883a add r2,r3,r2 + 3320: 00000106 br 3328 + 3324: 0005883a mov r2,zero + 3328: e0bffd15 stw r2,-12(fp) if (fd) - 3328: e0bffd17 ldw r2,-12(fp) - 332c: 10001026 beq r2,zero,3370 + 332c: e0bffd17 ldw r2,-12(fp) + 3330: 10001026 beq r2,zero,3374 { /* Call the drivers fstat() function to fill out the "st" structure. */ if (fd->dev->fstat) - 3330: e0bffd17 ldw r2,-12(fp) - 3334: 10800017 ldw r2,0(r2) - 3338: 10800817 ldw r2,32(r2) - 333c: 10000726 beq r2,zero,335c + 3334: e0bffd17 ldw r2,-12(fp) + 3338: 10800017 ldw r2,0(r2) + 333c: 10800817 ldw r2,32(r2) + 3340: 10000726 beq r2,zero,3360 { return fd->dev->fstat(fd, st); - 3340: e0bffd17 ldw r2,-12(fp) - 3344: 10800017 ldw r2,0(r2) - 3348: 10800817 ldw r2,32(r2) - 334c: e17fff17 ldw r5,-4(fp) - 3350: e13ffd17 ldw r4,-12(fp) - 3354: 103ee83a callr r2 - 3358: 00000a06 br 3384 + 3344: e0bffd17 ldw r2,-12(fp) + 3348: 10800017 ldw r2,0(r2) + 334c: 10800817 ldw r2,32(r2) + 3350: e17fff17 ldw r5,-4(fp) + 3354: e13ffd17 ldw r4,-12(fp) + 3358: 103ee83a callr r2 + 335c: 00000a06 br 3388 * device. */ else { st->st_mode = _IFCHR; - 335c: e0bfff17 ldw r2,-4(fp) - 3360: 00c80004 movi r3,8192 - 3364: 10c00115 stw r3,4(r2) + 3360: e0bfff17 ldw r2,-4(fp) + 3364: 00c80004 movi r3,8192 + 3368: 10c00115 stw r3,4(r2) return 0; - 3368: 0005883a mov r2,zero - 336c: 00000506 br 3384 + 336c: 0005883a mov r2,zero + 3370: 00000506 br 3388 } } else { ALT_ERRNO = EBADFD; - 3370: 00032a40 call 32a4 - 3374: 1007883a mov r3,r2 - 3378: 00801444 movi r2,81 - 337c: 18800015 stw r2,0(r3) + 3374: 00032a80 call 32a8 + 3378: 1007883a mov r3,r2 + 337c: 00801444 movi r2,81 + 3380: 18800015 stw r2,0(r3) return -1; - 3380: 00bfffc4 movi r2,-1 + 3384: 00bfffc4 movi r2,-1 } } - 3384: e037883a mov sp,fp - 3388: dfc00117 ldw ra,4(sp) - 338c: df000017 ldw fp,0(sp) - 3390: dec00204 addi sp,sp,8 - 3394: f800283a ret + 3388: e037883a mov sp,fp + 338c: dfc00117 ldw ra,4(sp) + 3390: df000017 ldw fp,0(sp) + 3394: dec00204 addi sp,sp,8 + 3398: f800283a ret -00003398 : +0000339c : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 3398: defffe04 addi sp,sp,-8 - 339c: dfc00115 stw ra,4(sp) - 33a0: df000015 stw fp,0(sp) - 33a4: d839883a mov fp,sp + 339c: defffe04 addi sp,sp,-8 + 33a0: dfc00115 stw ra,4(sp) + 33a4: df000015 stw fp,0(sp) + 33a8: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 33a8: d0a00917 ldw r2,-32732(gp) - 33ac: 10000326 beq r2,zero,33bc - 33b0: d0a00917 ldw r2,-32732(gp) - 33b4: 103ee83a callr r2 - 33b8: 00000106 br 33c0 - 33bc: d0a01104 addi r2,gp,-32700 + 33ac: d0a00917 ldw r2,-32732(gp) + 33b0: 10000326 beq r2,zero,33c0 + 33b4: d0a00917 ldw r2,-32732(gp) + 33b8: 103ee83a callr r2 + 33bc: 00000106 br 33c4 + 33c0: d0a01104 addi r2,gp,-32700 } - 33c0: e037883a mov sp,fp - 33c4: dfc00117 ldw ra,4(sp) - 33c8: df000017 ldw fp,0(sp) - 33cc: dec00204 addi sp,sp,8 - 33d0: f800283a ret + 33c4: e037883a mov sp,fp + 33c8: dfc00117 ldw ra,4(sp) + 33cc: df000017 ldw fp,0(sp) + 33d0: dec00204 addi sp,sp,8 + 33d4: f800283a ret -000033d4 : +000033d8 : * * ALT_ISATTY is mapped onto the isatty() system call in alt_syscall.h */ int ALT_ISATTY (int file) { - 33d4: deffed04 addi sp,sp,-76 - 33d8: dfc01215 stw ra,72(sp) - 33dc: df001115 stw fp,68(sp) - 33e0: df001104 addi fp,sp,68 - 33e4: e13fff15 stw r4,-4(fp) + 33d8: deffed04 addi sp,sp,-76 + 33dc: dfc01215 stw ra,72(sp) + 33e0: df001115 stw fp,68(sp) + 33e4: df001104 addi fp,sp,68 + 33e8: e13fff15 stw r4,-4(fp) * A common error case is that when the file descriptor was created, the call * to open() failed resulting in a negative file descriptor. This is trapped * below so that we don't try and process an invalid file descriptor. */ fd = (file < 0) ? NULL : &alt_fd_list[file]; - 33e8: e0bfff17 ldw r2,-4(fp) - 33ec: 10000816 blt r2,zero,3410 - 33f0: 01400304 movi r5,12 - 33f4: e13fff17 ldw r4,-4(fp) - 33f8: 00031140 call 3114 <__mulsi3> - 33fc: 1007883a mov r3,r2 - 3400: 00800034 movhi r2,0 - 3404: 10981e04 addi r2,r2,24696 - 3408: 1885883a add r2,r3,r2 - 340c: 00000106 br 3414 - 3410: 0005883a mov r2,zero - 3414: e0bfef15 stw r2,-68(fp) + 33ec: e0bfff17 ldw r2,-4(fp) + 33f0: 10000816 blt r2,zero,3414 + 33f4: 01400304 movi r5,12 + 33f8: e13fff17 ldw r4,-4(fp) + 33fc: 00031180 call 3118 <__mulsi3> + 3400: 1007883a mov r3,r2 + 3404: 00800034 movhi r2,0 + 3408: 10982b04 addi r2,r2,24748 + 340c: 1885883a add r2,r3,r2 + 3410: 00000106 br 3418 + 3414: 0005883a mov r2,zero + 3418: e0bfef15 stw r2,-68(fp) if (fd) - 3418: e0bfef17 ldw r2,-68(fp) - 341c: 10000e26 beq r2,zero,3458 + 341c: e0bfef17 ldw r2,-68(fp) + 3420: 10000e26 beq r2,zero,345c /* * If a device driver does not provide an fstat() function, then it is * treated as a terminal device by default. */ if (!fd->dev->fstat) - 3420: e0bfef17 ldw r2,-68(fp) - 3424: 10800017 ldw r2,0(r2) - 3428: 10800817 ldw r2,32(r2) - 342c: 1000021e bne r2,zero,3438 + 3424: e0bfef17 ldw r2,-68(fp) + 3428: 10800017 ldw r2,0(r2) + 342c: 10800817 ldw r2,32(r2) + 3430: 1000021e bne r2,zero,343c { return 1; - 3430: 00800044 movi r2,1 - 3434: 00000d06 br 346c + 3434: 00800044 movi r2,1 + 3438: 00000d06 br 3470 * this is called so that the device can identify itself. */ else { fstat (file, &stat); - 3438: e0bff004 addi r2,fp,-64 - 343c: 100b883a mov r5,r2 - 3440: e13fff17 ldw r4,-4(fp) - 3444: 00032e00 call 32e0 + 343c: e0bff004 addi r2,fp,-64 + 3440: 100b883a mov r5,r2 + 3444: e13fff17 ldw r4,-4(fp) + 3448: 00032e40 call 32e4 return (stat.st_mode == _IFCHR) ? 1 : 0; - 3448: e0bff117 ldw r2,-60(fp) - 344c: 10880020 cmpeqi r2,r2,8192 - 3450: 10803fcc andi r2,r2,255 - 3454: 00000506 br 346c + 344c: e0bff117 ldw r2,-60(fp) + 3450: 10880020 cmpeqi r2,r2,8192 + 3454: 10803fcc andi r2,r2,255 + 3458: 00000506 br 3470 } } else { ALT_ERRNO = EBADFD; - 3458: 00033980 call 3398 - 345c: 1007883a mov r3,r2 - 3460: 00801444 movi r2,81 - 3464: 18800015 stw r2,0(r3) + 345c: 000339c0 call 339c + 3460: 1007883a mov r3,r2 + 3464: 00801444 movi r2,81 + 3468: 18800015 stw r2,0(r3) return 0; - 3468: 0005883a mov r2,zero + 346c: 0005883a mov r2,zero } } - 346c: e037883a mov sp,fp - 3470: dfc00117 ldw ra,4(sp) - 3474: df000017 ldw fp,0(sp) - 3478: dec00204 addi sp,sp,8 - 347c: f800283a ret + 3470: e037883a mov sp,fp + 3474: dfc00117 ldw ra,4(sp) + 3478: df000017 ldw fp,0(sp) + 347c: dec00204 addi sp,sp,8 + 3480: f800283a ret -00003480 : +00003484 : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 3480: defffe04 addi sp,sp,-8 - 3484: dfc00115 stw ra,4(sp) - 3488: df000015 stw fp,0(sp) - 348c: d839883a mov fp,sp + 3484: defffe04 addi sp,sp,-8 + 3488: dfc00115 stw ra,4(sp) + 348c: df000015 stw fp,0(sp) + 3490: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 3490: d0a00917 ldw r2,-32732(gp) - 3494: 10000326 beq r2,zero,34a4 - 3498: d0a00917 ldw r2,-32732(gp) - 349c: 103ee83a callr r2 - 34a0: 00000106 br 34a8 - 34a4: d0a01104 addi r2,gp,-32700 + 3494: d0a00917 ldw r2,-32732(gp) + 3498: 10000326 beq r2,zero,34a8 + 349c: d0a00917 ldw r2,-32732(gp) + 34a0: 103ee83a callr r2 + 34a4: 00000106 br 34ac + 34a8: d0a01104 addi r2,gp,-32700 } - 34a8: e037883a mov sp,fp - 34ac: dfc00117 ldw ra,4(sp) - 34b0: df000017 ldw fp,0(sp) - 34b4: dec00204 addi sp,sp,8 - 34b8: f800283a ret + 34ac: e037883a mov sp,fp + 34b0: dfc00117 ldw ra,4(sp) + 34b4: df000017 ldw fp,0(sp) + 34b8: dec00204 addi sp,sp,8 + 34bc: f800283a ret -000034bc : +000034c0 : * ALT_LSEEK is mapped onto the lseek() system call in alt_syscall.h * */ off_t ALT_LSEEK (int file, off_t ptr, int dir) { - 34bc: defff904 addi sp,sp,-28 - 34c0: dfc00615 stw ra,24(sp) - 34c4: df000515 stw fp,20(sp) - 34c8: df000504 addi fp,sp,20 - 34cc: e13ffd15 stw r4,-12(fp) - 34d0: e17ffe15 stw r5,-8(fp) - 34d4: e1bfff15 stw r6,-4(fp) + 34c0: defff904 addi sp,sp,-28 + 34c4: dfc00615 stw ra,24(sp) + 34c8: df000515 stw fp,20(sp) + 34cc: df000504 addi fp,sp,20 + 34d0: e13ffd15 stw r4,-12(fp) + 34d4: e17ffe15 stw r5,-8(fp) + 34d8: e1bfff15 stw r6,-4(fp) alt_fd* fd; off_t rc = 0; - 34d8: e03ffb15 stw zero,-20(fp) + 34dc: e03ffb15 stw zero,-20(fp) * A common error case is that when the file descriptor was created, the call * to open() failed resulting in a negative file descriptor. This is trapped * below so that we don't try and process an invalid file descriptor. */ fd = (file < 0) ? NULL : &alt_fd_list[file]; - 34dc: e0bffd17 ldw r2,-12(fp) - 34e0: 10000816 blt r2,zero,3504 - 34e4: 01400304 movi r5,12 - 34e8: e13ffd17 ldw r4,-12(fp) - 34ec: 00031140 call 3114 <__mulsi3> - 34f0: 1007883a mov r3,r2 - 34f4: 00800034 movhi r2,0 - 34f8: 10981e04 addi r2,r2,24696 - 34fc: 1885883a add r2,r3,r2 - 3500: 00000106 br 3508 - 3504: 0005883a mov r2,zero - 3508: e0bffc15 stw r2,-16(fp) + 34e0: e0bffd17 ldw r2,-12(fp) + 34e4: 10000816 blt r2,zero,3508 + 34e8: 01400304 movi r5,12 + 34ec: e13ffd17 ldw r4,-12(fp) + 34f0: 00031180 call 3118 <__mulsi3> + 34f4: 1007883a mov r3,r2 + 34f8: 00800034 movhi r2,0 + 34fc: 10982b04 addi r2,r2,24748 + 3500: 1885883a add r2,r3,r2 + 3504: 00000106 br 350c + 3508: 0005883a mov r2,zero + 350c: e0bffc15 stw r2,-16(fp) if (fd) - 350c: e0bffc17 ldw r2,-16(fp) - 3510: 10001026 beq r2,zero,3554 + 3510: e0bffc17 ldw r2,-16(fp) + 3514: 10001026 beq r2,zero,3558 /* * If the device driver provides an implementation of the lseek() function, * then call that to process the request. */ if (fd->dev->lseek) - 3514: e0bffc17 ldw r2,-16(fp) - 3518: 10800017 ldw r2,0(r2) - 351c: 10800717 ldw r2,28(r2) - 3520: 10000926 beq r2,zero,3548 + 3518: e0bffc17 ldw r2,-16(fp) + 351c: 10800017 ldw r2,0(r2) + 3520: 10800717 ldw r2,28(r2) + 3524: 10000926 beq r2,zero,354c { rc = fd->dev->lseek(fd, ptr, dir); - 3524: e0bffc17 ldw r2,-16(fp) - 3528: 10800017 ldw r2,0(r2) - 352c: 10800717 ldw r2,28(r2) - 3530: e1bfff17 ldw r6,-4(fp) - 3534: e17ffe17 ldw r5,-8(fp) - 3538: e13ffc17 ldw r4,-16(fp) - 353c: 103ee83a callr r2 - 3540: e0bffb15 stw r2,-20(fp) - 3544: 00000506 br 355c + 3528: e0bffc17 ldw r2,-16(fp) + 352c: 10800017 ldw r2,0(r2) + 3530: 10800717 ldw r2,28(r2) + 3534: e1bfff17 ldw r6,-4(fp) + 3538: e17ffe17 ldw r5,-8(fp) + 353c: e13ffc17 ldw r4,-16(fp) + 3540: 103ee83a callr r2 + 3544: e0bffb15 stw r2,-20(fp) + 3548: 00000506 br 3560 * Otherwise return an error. */ else { rc = -ENOTSUP; - 3548: 00bfde84 movi r2,-134 - 354c: e0bffb15 stw r2,-20(fp) - 3550: 00000206 br 355c + 354c: 00bfde84 movi r2,-134 + 3550: e0bffb15 stw r2,-20(fp) + 3554: 00000206 br 3560 } } else { rc = -EBADFD; - 3554: 00bfebc4 movi r2,-81 - 3558: e0bffb15 stw r2,-20(fp) + 3558: 00bfebc4 movi r2,-81 + 355c: e0bffb15 stw r2,-20(fp) } if (rc < 0) - 355c: e0bffb17 ldw r2,-20(fp) - 3560: 1000070e bge r2,zero,3580 + 3560: e0bffb17 ldw r2,-20(fp) + 3564: 1000070e bge r2,zero,3584 { ALT_ERRNO = -rc; - 3564: 00034800 call 3480 - 3568: 1007883a mov r3,r2 - 356c: e0bffb17 ldw r2,-20(fp) - 3570: 0085c83a sub r2,zero,r2 - 3574: 18800015 stw r2,0(r3) + 3568: 00034840 call 3484 + 356c: 1007883a mov r3,r2 + 3570: e0bffb17 ldw r2,-20(fp) + 3574: 0085c83a sub r2,zero,r2 + 3578: 18800015 stw r2,0(r3) rc = -1; - 3578: 00bfffc4 movi r2,-1 - 357c: e0bffb15 stw r2,-20(fp) + 357c: 00bfffc4 movi r2,-1 + 3580: e0bffb15 stw r2,-20(fp) } return rc; - 3580: e0bffb17 ldw r2,-20(fp) + 3584: e0bffb17 ldw r2,-20(fp) } - 3584: e037883a mov sp,fp - 3588: dfc00117 ldw ra,4(sp) - 358c: df000017 ldw fp,0(sp) - 3590: dec00204 addi sp,sp,8 - 3594: f800283a ret + 3588: e037883a mov sp,fp + 358c: dfc00117 ldw ra,4(sp) + 3590: df000017 ldw fp,0(sp) + 3594: dec00204 addi sp,sp,8 + 3598: f800283a ret -00003598 : +0000359c : * devices/filesystems/components in the system; and call the entry point for * the users application, i.e. main(). */ void alt_main (void) { - 3598: defffd04 addi sp,sp,-12 - 359c: dfc00215 stw ra,8(sp) - 35a0: df000115 stw fp,4(sp) - 35a4: df000104 addi fp,sp,4 + 359c: defffd04 addi sp,sp,-12 + 35a0: dfc00215 stw ra,8(sp) + 35a4: df000115 stw fp,4(sp) + 35a8: df000104 addi fp,sp,4 #endif /* ALT LOG - please see HAL/sys/alt_log_printf.h for details */ ALT_LOG_PRINT_BOOT("[alt_main.c] Entering alt_main, calling alt_irq_init.\r\n"); /* Initialize the interrupt controller. */ alt_irq_init (NULL); - 35a8: 0009883a mov r4,zero - 35ac: 0003a400 call 3a40 + 35ac: 0009883a mov r4,zero + 35b0: 0003a440 call 3a44 /* Initialize the operating system */ ALT_LOG_PRINT_BOOT("[alt_main.c] Done alt_irq_init, calling alt_os_init.\r\n"); ALT_OS_INIT(); - 35b0: 0001883a nop + 35b4: 0001883a nop ALT_LOG_PRINT_BOOT("[alt_main.c] Done OS Init, calling alt_sem_create.\r\n"); ALT_SEM_CREATE (&alt_fd_list_lock, 1); /* Initialize the device drivers/software components. */ ALT_LOG_PRINT_BOOT("[alt_main.c] Calling alt_sys_init.\r\n"); alt_sys_init(); - 35b4: 0003a780 call 3a78 + 35b8: 0003a7c0 call 3a7c * devices be present (not equal to /dev/null) and if direct drivers * aren't being used. */ ALT_LOG_PRINT_BOOT("[alt_main.c] Redirecting IO.\r\n"); alt_io_redirect(ALT_STDOUT, ALT_STDIN, ALT_STDERR); - 35b8: 01800034 movhi r6,0 - 35bc: 31960104 addi r6,r6,22532 - 35c0: 01400034 movhi r5,0 - 35c4: 29560104 addi r5,r5,22532 - 35c8: 01000034 movhi r4,0 - 35cc: 21160104 addi r4,r4,22532 - 35d0: 0004c840 call 4c84 + 35bc: 01800034 movhi r6,0 + 35c0: 31960e04 addi r6,r6,22584 + 35c4: 01400034 movhi r5,0 + 35c8: 29560e04 addi r5,r5,22584 + 35cc: 01000034 movhi r4,0 + 35d0: 21160e04 addi r4,r4,22584 + 35d4: 0004c880 call 4c88 /* * Call the C++ constructors */ ALT_LOG_PRINT_BOOT("[alt_main.c] Calling C++ constructors.\r\n"); _do_ctors (); - 35d4: 00048400 call 4840 <_do_ctors> + 35d8: 00048440 call 4844 <_do_ctors> * redefined as _exit()). This is in the interest of reducing code footprint, * in that the atexit() overhead is removed when it's not needed. */ ALT_LOG_PRINT_BOOT("[alt_main.c] Calling atexit.\r\n"); atexit (_do_dtors); - 35d8: 01000034 movhi r4,0 - 35dc: 21122804 addi r4,r4,18592 - 35e0: 00054440 call 5444 + 35dc: 01000034 movhi r4,0 + 35e0: 21122904 addi r4,r4,18596 + 35e4: 00054480 call 5448 ALT_LOG_PRINT_BOOT("[alt_main.c] Calling main.\r\n"); #ifdef ALT_NO_EXIT main (alt_argc, alt_argv, alt_envp); #else result = main (alt_argc, alt_argv, alt_envp); - 35e4: d0a01217 ldw r2,-32696(gp) - 35e8: d0e01317 ldw r3,-32692(gp) - 35ec: d1201417 ldw r4,-32688(gp) - 35f0: 200d883a mov r6,r4 - 35f4: 180b883a mov r5,r3 - 35f8: 1009883a mov r4,r2 - 35fc: 00002480 call 248
- 3600: e0bfff15 stw r2,-4(fp) + 35e8: d0a01217 ldw r2,-32696(gp) + 35ec: d0e01317 ldw r3,-32692(gp) + 35f0: d1201417 ldw r4,-32688(gp) + 35f4: 200d883a mov r6,r4 + 35f8: 180b883a mov r5,r3 + 35fc: 1009883a mov r4,r2 + 3600: 00002480 call 248
+ 3604: e0bfff15 stw r2,-4(fp) close(STDOUT_FILENO); - 3604: 01000044 movi r4,1 - 3608: 00031780 call 3178 + 3608: 01000044 movi r4,1 + 360c: 000317c0 call 317c exit (result); - 360c: e13fff17 ldw r4,-4(fp) - 3610: 00054580 call 5458 + 3610: e13fff17 ldw r4,-4(fp) + 3614: 000545c0 call 545c -00003614 <__malloc_lock>: +00003618 <__malloc_lock>: * configuration is single threaded, so there is nothing to do here. Note that * this requires that malloc is never called by an interrupt service routine. */ void __malloc_lock ( struct _reent *_r ) { - 3614: defffe04 addi sp,sp,-8 - 3618: df000115 stw fp,4(sp) - 361c: df000104 addi fp,sp,4 - 3620: e13fff15 stw r4,-4(fp) + 3618: defffe04 addi sp,sp,-8 + 361c: df000115 stw fp,4(sp) + 3620: df000104 addi fp,sp,4 + 3624: e13fff15 stw r4,-4(fp) } - 3624: 0001883a nop - 3628: e037883a mov sp,fp - 362c: df000017 ldw fp,0(sp) - 3630: dec00104 addi sp,sp,4 - 3634: f800283a ret + 3628: 0001883a nop + 362c: e037883a mov sp,fp + 3630: df000017 ldw fp,0(sp) + 3634: dec00104 addi sp,sp,4 + 3638: f800283a ret -00003638 <__malloc_unlock>: +0000363c <__malloc_unlock>: /* * */ void __malloc_unlock ( struct _reent *_r ) { - 3638: defffe04 addi sp,sp,-8 - 363c: df000115 stw fp,4(sp) - 3640: df000104 addi fp,sp,4 - 3644: e13fff15 stw r4,-4(fp) + 363c: defffe04 addi sp,sp,-8 + 3640: df000115 stw fp,4(sp) + 3644: df000104 addi fp,sp,4 + 3648: e13fff15 stw r4,-4(fp) } - 3648: 0001883a nop - 364c: e037883a mov sp,fp - 3650: df000017 ldw fp,0(sp) - 3654: dec00104 addi sp,sp,4 - 3658: f800283a ret + 364c: 0001883a nop + 3650: e037883a mov sp,fp + 3654: df000017 ldw fp,0(sp) + 3658: dec00104 addi sp,sp,4 + 365c: f800283a ret -0000365c : +00003660 : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 365c: defffe04 addi sp,sp,-8 - 3660: dfc00115 stw ra,4(sp) - 3664: df000015 stw fp,0(sp) - 3668: d839883a mov fp,sp + 3660: defffe04 addi sp,sp,-8 + 3664: dfc00115 stw ra,4(sp) + 3668: df000015 stw fp,0(sp) + 366c: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 366c: d0a00917 ldw r2,-32732(gp) - 3670: 10000326 beq r2,zero,3680 - 3674: d0a00917 ldw r2,-32732(gp) - 3678: 103ee83a callr r2 - 367c: 00000106 br 3684 - 3680: d0a01104 addi r2,gp,-32700 + 3670: d0a00917 ldw r2,-32732(gp) + 3674: 10000326 beq r2,zero,3684 + 3678: d0a00917 ldw r2,-32732(gp) + 367c: 103ee83a callr r2 + 3680: 00000106 br 3688 + 3684: d0a01104 addi r2,gp,-32700 } - 3684: e037883a mov sp,fp - 3688: dfc00117 ldw ra,4(sp) - 368c: df000017 ldw fp,0(sp) - 3690: dec00204 addi sp,sp,8 - 3694: f800283a ret + 3688: e037883a mov sp,fp + 368c: dfc00117 ldw ra,4(sp) + 3690: df000017 ldw fp,0(sp) + 3694: dec00204 addi sp,sp,8 + 3698: f800283a ret -00003698 : +0000369c : } #else /* !ALT_USE_DIRECT_DRIVERS */ int ALT_READ (int file, void *ptr, size_t len) { - 3698: defff904 addi sp,sp,-28 - 369c: dfc00615 stw ra,24(sp) - 36a0: df000515 stw fp,20(sp) - 36a4: df000504 addi fp,sp,20 - 36a8: e13ffd15 stw r4,-12(fp) - 36ac: e17ffe15 stw r5,-8(fp) - 36b0: e1bfff15 stw r6,-4(fp) + 369c: defff904 addi sp,sp,-28 + 36a0: dfc00615 stw ra,24(sp) + 36a4: df000515 stw fp,20(sp) + 36a8: df000504 addi fp,sp,20 + 36ac: e13ffd15 stw r4,-12(fp) + 36b0: e17ffe15 stw r5,-8(fp) + 36b4: e1bfff15 stw r6,-4(fp) * A common error case is that when the file descriptor was created, the call * to open() failed resulting in a negative file descriptor. This is trapped * below so that we don't try and process an invalid file descriptor. */ fd = (file < 0) ? NULL : &alt_fd_list[file]; - 36b4: e0bffd17 ldw r2,-12(fp) - 36b8: 10000816 blt r2,zero,36dc - 36bc: 01400304 movi r5,12 - 36c0: e13ffd17 ldw r4,-12(fp) - 36c4: 00031140 call 3114 <__mulsi3> - 36c8: 1007883a mov r3,r2 - 36cc: 00800034 movhi r2,0 - 36d0: 10981e04 addi r2,r2,24696 - 36d4: 1885883a add r2,r3,r2 - 36d8: 00000106 br 36e0 - 36dc: 0005883a mov r2,zero - 36e0: e0bffb15 stw r2,-20(fp) + 36b8: e0bffd17 ldw r2,-12(fp) + 36bc: 10000816 blt r2,zero,36e0 + 36c0: 01400304 movi r5,12 + 36c4: e13ffd17 ldw r4,-12(fp) + 36c8: 00031180 call 3118 <__mulsi3> + 36cc: 1007883a mov r3,r2 + 36d0: 00800034 movhi r2,0 + 36d4: 10982b04 addi r2,r2,24748 + 36d8: 1885883a add r2,r3,r2 + 36dc: 00000106 br 36e4 + 36e0: 0005883a mov r2,zero + 36e4: e0bffb15 stw r2,-20(fp) if (fd) - 36e4: e0bffb17 ldw r2,-20(fp) - 36e8: 10002226 beq r2,zero,3774 + 36e8: e0bffb17 ldw r2,-20(fp) + 36ec: 10002226 beq r2,zero,3778 * If the file has not been opened with read access, or if the driver does * not provide an implementation of read(), generate an error. Otherwise * call the drivers read() function to process the request. */ if (((fd->fd_flags & O_ACCMODE) != O_WRONLY) && - 36ec: e0bffb17 ldw r2,-20(fp) - 36f0: 10800217 ldw r2,8(r2) - 36f4: 108000cc andi r2,r2,3 - 36f8: 10800060 cmpeqi r2,r2,1 - 36fc: 1000181e bne r2,zero,3760 + 36f0: e0bffb17 ldw r2,-20(fp) + 36f4: 10800217 ldw r2,8(r2) + 36f8: 108000cc andi r2,r2,3 + 36fc: 10800060 cmpeqi r2,r2,1 + 3700: 1000181e bne r2,zero,3764 (fd->dev->read)) - 3700: e0bffb17 ldw r2,-20(fp) - 3704: 10800017 ldw r2,0(r2) - 3708: 10800517 ldw r2,20(r2) + 3704: e0bffb17 ldw r2,-20(fp) + 3708: 10800017 ldw r2,0(r2) + 370c: 10800517 ldw r2,20(r2) * If the file has not been opened with read access, or if the driver does * not provide an implementation of read(), generate an error. Otherwise * call the drivers read() function to process the request. */ if (((fd->fd_flags & O_ACCMODE) != O_WRONLY) && - 370c: 10001426 beq r2,zero,3760 + 3710: 10001426 beq r2,zero,3764 (fd->dev->read)) { if ((rval = fd->dev->read(fd, ptr, len)) < 0) - 3710: e0bffb17 ldw r2,-20(fp) - 3714: 10800017 ldw r2,0(r2) - 3718: 10800517 ldw r2,20(r2) - 371c: e0ffff17 ldw r3,-4(fp) - 3720: 180d883a mov r6,r3 - 3724: e17ffe17 ldw r5,-8(fp) - 3728: e13ffb17 ldw r4,-20(fp) - 372c: 103ee83a callr r2 - 3730: e0bffc15 stw r2,-16(fp) - 3734: e0bffc17 ldw r2,-16(fp) - 3738: 1000070e bge r2,zero,3758 + 3714: e0bffb17 ldw r2,-20(fp) + 3718: 10800017 ldw r2,0(r2) + 371c: 10800517 ldw r2,20(r2) + 3720: e0ffff17 ldw r3,-4(fp) + 3724: 180d883a mov r6,r3 + 3728: e17ffe17 ldw r5,-8(fp) + 372c: e13ffb17 ldw r4,-20(fp) + 3730: 103ee83a callr r2 + 3734: e0bffc15 stw r2,-16(fp) + 3738: e0bffc17 ldw r2,-16(fp) + 373c: 1000070e bge r2,zero,375c { ALT_ERRNO = -rval; - 373c: 000365c0 call 365c - 3740: 1007883a mov r3,r2 - 3744: e0bffc17 ldw r2,-16(fp) - 3748: 0085c83a sub r2,zero,r2 - 374c: 18800015 stw r2,0(r3) + 3740: 00036600 call 3660 + 3744: 1007883a mov r3,r2 + 3748: e0bffc17 ldw r2,-16(fp) + 374c: 0085c83a sub r2,zero,r2 + 3750: 18800015 stw r2,0(r3) return -1; - 3750: 00bfffc4 movi r2,-1 - 3754: 00000c06 br 3788 + 3754: 00bfffc4 movi r2,-1 + 3758: 00000c06 br 378c } return rval; - 3758: e0bffc17 ldw r2,-16(fp) - 375c: 00000a06 br 3788 + 375c: e0bffc17 ldw r2,-16(fp) + 3760: 00000a06 br 378c } else { ALT_ERRNO = EACCES; - 3760: 000365c0 call 365c - 3764: 1007883a mov r3,r2 - 3768: 00800344 movi r2,13 - 376c: 18800015 stw r2,0(r3) - 3770: 00000406 br 3784 + 3764: 00036600 call 3660 + 3768: 1007883a mov r3,r2 + 376c: 00800344 movi r2,13 + 3770: 18800015 stw r2,0(r3) + 3774: 00000406 br 3788 } } else { ALT_ERRNO = EBADFD; - 3774: 000365c0 call 365c - 3778: 1007883a mov r3,r2 - 377c: 00801444 movi r2,81 - 3780: 18800015 stw r2,0(r3) + 3778: 00036600 call 3660 + 377c: 1007883a mov r3,r2 + 3780: 00801444 movi r2,81 + 3784: 18800015 stw r2,0(r3) } return -1; - 3784: 00bfffc4 movi r2,-1 + 3788: 00bfffc4 movi r2,-1 } - 3788: e037883a mov sp,fp - 378c: dfc00117 ldw ra,4(sp) - 3790: df000017 ldw fp,0(sp) - 3794: dec00204 addi sp,sp,8 - 3798: f800283a ret + 378c: e037883a mov sp,fp + 3790: dfc00117 ldw ra,4(sp) + 3794: df000017 ldw fp,0(sp) + 3798: dec00204 addi sp,sp,8 + 379c: f800283a ret -0000379c : +000037a0 : * File descriptors correcponding to standard in, standard out and standard * error cannont be released backed to the pool. They are always reserved. */ void alt_release_fd (int fd) { - 379c: defffc04 addi sp,sp,-16 - 37a0: dfc00315 stw ra,12(sp) - 37a4: df000215 stw fp,8(sp) - 37a8: dc000115 stw r16,4(sp) - 37ac: df000204 addi fp,sp,8 - 37b0: e13ffe15 stw r4,-8(fp) + 37a0: defffc04 addi sp,sp,-16 + 37a4: dfc00315 stw ra,12(sp) + 37a8: df000215 stw fp,8(sp) + 37ac: dc000115 stw r16,4(sp) + 37b0: df000204 addi fp,sp,8 + 37b4: e13ffe15 stw r4,-8(fp) if (fd > 2) - 37b4: e0bffe17 ldw r2,-8(fp) - 37b8: 108000d0 cmplti r2,r2,3 - 37bc: 1000111e bne r2,zero,3804 + 37b8: e0bffe17 ldw r2,-8(fp) + 37bc: 108000d0 cmplti r2,r2,3 + 37c0: 1000111e bne r2,zero,3808 { alt_fd_list[fd].fd_flags = 0; - 37c0: 04000034 movhi r16,0 - 37c4: 84181e04 addi r16,r16,24696 - 37c8: e0bffe17 ldw r2,-8(fp) - 37cc: 01400304 movi r5,12 - 37d0: 1009883a mov r4,r2 - 37d4: 00031140 call 3114 <__mulsi3> - 37d8: 8085883a add r2,r16,r2 - 37dc: 10800204 addi r2,r2,8 - 37e0: 10000015 stw zero,0(r2) + 37c4: 04000034 movhi r16,0 + 37c8: 84182b04 addi r16,r16,24748 + 37cc: e0bffe17 ldw r2,-8(fp) + 37d0: 01400304 movi r5,12 + 37d4: 1009883a mov r4,r2 + 37d8: 00031180 call 3118 <__mulsi3> + 37dc: 8085883a add r2,r16,r2 + 37e0: 10800204 addi r2,r2,8 + 37e4: 10000015 stw zero,0(r2) alt_fd_list[fd].dev = 0; - 37e4: 04000034 movhi r16,0 - 37e8: 84181e04 addi r16,r16,24696 - 37ec: e0bffe17 ldw r2,-8(fp) - 37f0: 01400304 movi r5,12 - 37f4: 1009883a mov r4,r2 - 37f8: 00031140 call 3114 <__mulsi3> - 37fc: 8085883a add r2,r16,r2 - 3800: 10000015 stw zero,0(r2) + 37e8: 04000034 movhi r16,0 + 37ec: 84182b04 addi r16,r16,24748 + 37f0: e0bffe17 ldw r2,-8(fp) + 37f4: 01400304 movi r5,12 + 37f8: 1009883a mov r4,r2 + 37fc: 00031180 call 3118 <__mulsi3> + 3800: 8085883a add r2,r16,r2 + 3804: 10000015 stw zero,0(r2) } } - 3804: 0001883a nop - 3808: e6ffff04 addi sp,fp,-4 - 380c: dfc00217 ldw ra,8(sp) - 3810: df000117 ldw fp,4(sp) - 3814: dc000017 ldw r16,0(sp) - 3818: dec00304 addi sp,sp,12 - 381c: f800283a ret + 3808: 0001883a nop + 380c: e6ffff04 addi sp,fp,-4 + 3810: dfc00217 ldw ra,8(sp) + 3814: df000117 ldw fp,4(sp) + 3818: dc000017 ldw r16,0(sp) + 381c: dec00304 addi sp,sp,12 + 3820: f800283a ret -00003820 : +00003824 : #endif caddr_t ALT_SBRK (int incr) __attribute__ ((no_instrument_function )); caddr_t ALT_SBRK (int incr) { - 3820: defff904 addi sp,sp,-28 - 3824: df000615 stw fp,24(sp) - 3828: df000604 addi fp,sp,24 - 382c: e13fff15 stw r4,-4(fp) + 3824: defff904 addi sp,sp,-28 + 3828: df000615 stw fp,24(sp) + 382c: df000604 addi fp,sp,24 + 3830: e13fff15 stw r4,-4(fp) static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 3830: 0005303a rdctl r2,status - 3834: e0bffe15 stw r2,-8(fp) + 3834: 0005303a rdctl r2,status + 3838: e0bffe15 stw r2,-8(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 3838: e0fffe17 ldw r3,-8(fp) - 383c: 00bfff84 movi r2,-2 - 3840: 1884703a and r2,r3,r2 - 3844: 1001703a wrctl status,r2 + 383c: e0fffe17 ldw r3,-8(fp) + 3840: 00bfff84 movi r2,-2 + 3844: 1884703a and r2,r3,r2 + 3848: 1001703a wrctl status,r2 return context; - 3848: e0bffe17 ldw r2,-8(fp) + 384c: e0bffe17 ldw r2,-8(fp) alt_irq_context context; char *prev_heap_end; context = alt_irq_disable_all(); - 384c: e0bffb15 stw r2,-20(fp) + 3850: e0bffb15 stw r2,-20(fp) /* Always return data aligned on a word boundary */ heap_end = (char *)(((unsigned int)heap_end + 3) & ~3); - 3850: d0a00a17 ldw r2,-32728(gp) - 3854: 10c000c4 addi r3,r2,3 - 3858: 00bfff04 movi r2,-4 - 385c: 1884703a and r2,r3,r2 - 3860: d0a00a15 stw r2,-32728(gp) + 3854: d0a00a17 ldw r2,-32728(gp) + 3858: 10c000c4 addi r3,r2,3 + 385c: 00bfff04 movi r2,-4 + 3860: 1884703a and r2,r3,r2 + 3864: d0a00a15 stw r2,-32728(gp) if (((heap_end + incr) - __alt_heap_start) > ALT_MAX_HEAP_BYTES) { alt_irq_enable_all(context); return (caddr_t)-1; } #else if ((heap_end + incr) > __alt_heap_limit) { - 3864: d0e00a17 ldw r3,-32728(gp) - 3868: e0bfff17 ldw r2,-4(fp) - 386c: 1887883a add r3,r3,r2 - 3870: 008000b4 movhi r2,2 - 3874: 10800004 addi r2,r2,0 - 3878: 10c0062e bgeu r2,r3,3894 - 387c: e0bffb17 ldw r2,-20(fp) - 3880: e0bffa15 stw r2,-24(fp) + 3868: d0e00a17 ldw r3,-32728(gp) + 386c: e0bfff17 ldw r2,-4(fp) + 3870: 1887883a add r3,r3,r2 + 3874: 008000b4 movhi r2,2 + 3878: 10800004 addi r2,r2,0 + 387c: 10c0062e bgeu r2,r3,3898 + 3880: e0bffb17 ldw r2,-20(fp) + 3884: e0bffa15 stw r2,-24(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 3884: e0bffa17 ldw r2,-24(fp) - 3888: 1001703a wrctl status,r2 + 3888: e0bffa17 ldw r2,-24(fp) + 388c: 1001703a wrctl status,r2 alt_irq_enable_all(context); return (caddr_t)-1; - 388c: 00bfffc4 movi r2,-1 - 3890: 00000b06 br 38c0 + 3890: 00bfffc4 movi r2,-1 + 3894: 00000b06 br 38c4 } #endif prev_heap_end = heap_end; - 3894: d0a00a17 ldw r2,-32728(gp) - 3898: e0bffd15 stw r2,-12(fp) + 3898: d0a00a17 ldw r2,-32728(gp) + 389c: e0bffd15 stw r2,-12(fp) heap_end += incr; - 389c: d0e00a17 ldw r3,-32728(gp) - 38a0: e0bfff17 ldw r2,-4(fp) - 38a4: 1885883a add r2,r3,r2 - 38a8: d0a00a15 stw r2,-32728(gp) - 38ac: e0bffb17 ldw r2,-20(fp) - 38b0: e0bffc15 stw r2,-16(fp) - 38b4: e0bffc17 ldw r2,-16(fp) - 38b8: 1001703a wrctl status,r2 + 38a0: d0e00a17 ldw r3,-32728(gp) + 38a4: e0bfff17 ldw r2,-4(fp) + 38a8: 1885883a add r2,r3,r2 + 38ac: d0a00a15 stw r2,-32728(gp) + 38b0: e0bffb17 ldw r2,-20(fp) + 38b4: e0bffc15 stw r2,-16(fp) + 38b8: e0bffc17 ldw r2,-16(fp) + 38bc: 1001703a wrctl status,r2 #endif alt_irq_enable_all(context); return (caddr_t) prev_heap_end; - 38bc: e0bffd17 ldw r2,-12(fp) + 38c0: e0bffd17 ldw r2,-12(fp) } - 38c0: e037883a mov sp,fp - 38c4: df000017 ldw fp,0(sp) - 38c8: dec00104 addi sp,sp,4 - 38cc: f800283a ret + 38c4: e037883a mov sp,fp + 38c8: df000017 ldw fp,0(sp) + 38cc: dec00104 addi sp,sp,4 + 38d0: f800283a ret -000038d0 : +000038d4 : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 38d0: defffe04 addi sp,sp,-8 - 38d4: dfc00115 stw ra,4(sp) - 38d8: df000015 stw fp,0(sp) - 38dc: d839883a mov fp,sp + 38d4: defffe04 addi sp,sp,-8 + 38d8: dfc00115 stw ra,4(sp) + 38dc: df000015 stw fp,0(sp) + 38e0: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 38e0: d0a00917 ldw r2,-32732(gp) - 38e4: 10000326 beq r2,zero,38f4 - 38e8: d0a00917 ldw r2,-32732(gp) - 38ec: 103ee83a callr r2 - 38f0: 00000106 br 38f8 - 38f4: d0a01104 addi r2,gp,-32700 + 38e4: d0a00917 ldw r2,-32732(gp) + 38e8: 10000326 beq r2,zero,38f8 + 38ec: d0a00917 ldw r2,-32732(gp) + 38f0: 103ee83a callr r2 + 38f4: 00000106 br 38fc + 38f8: d0a01104 addi r2,gp,-32700 } - 38f8: e037883a mov sp,fp - 38fc: dfc00117 ldw ra,4(sp) - 3900: df000017 ldw fp,0(sp) - 3904: dec00204 addi sp,sp,8 - 3908: f800283a ret + 38fc: e037883a mov sp,fp + 3900: dfc00117 ldw ra,4(sp) + 3904: df000017 ldw fp,0(sp) + 3908: dec00204 addi sp,sp,8 + 390c: f800283a ret -0000390c : +00003910 : } #else /* !ALT_USE_DIRECT_DRIVERS */ int ALT_WRITE (int file, const void *ptr, size_t len) { - 390c: defff904 addi sp,sp,-28 - 3910: dfc00615 stw ra,24(sp) - 3914: df000515 stw fp,20(sp) - 3918: df000504 addi fp,sp,20 - 391c: e13ffd15 stw r4,-12(fp) - 3920: e17ffe15 stw r5,-8(fp) - 3924: e1bfff15 stw r6,-4(fp) + 3910: defff904 addi sp,sp,-28 + 3914: dfc00615 stw ra,24(sp) + 3918: df000515 stw fp,20(sp) + 391c: df000504 addi fp,sp,20 + 3920: e13ffd15 stw r4,-12(fp) + 3924: e17ffe15 stw r5,-8(fp) + 3928: e1bfff15 stw r6,-4(fp) * A common error case is that when the file descriptor was created, the call * to open() failed resulting in a negative file descriptor. This is trapped * below so that we don't try and process an invalid file descriptor. */ fd = (file < 0) ? NULL : &alt_fd_list[file]; - 3928: e0bffd17 ldw r2,-12(fp) - 392c: 10000816 blt r2,zero,3950 - 3930: 01400304 movi r5,12 - 3934: e13ffd17 ldw r4,-12(fp) - 3938: 00031140 call 3114 <__mulsi3> - 393c: 1007883a mov r3,r2 - 3940: 00800034 movhi r2,0 - 3944: 10981e04 addi r2,r2,24696 - 3948: 1885883a add r2,r3,r2 - 394c: 00000106 br 3954 - 3950: 0005883a mov r2,zero - 3954: e0bffb15 stw r2,-20(fp) + 392c: e0bffd17 ldw r2,-12(fp) + 3930: 10000816 blt r2,zero,3954 + 3934: 01400304 movi r5,12 + 3938: e13ffd17 ldw r4,-12(fp) + 393c: 00031180 call 3118 <__mulsi3> + 3940: 1007883a mov r3,r2 + 3944: 00800034 movhi r2,0 + 3948: 10982b04 addi r2,r2,24748 + 394c: 1885883a add r2,r3,r2 + 3950: 00000106 br 3958 + 3954: 0005883a mov r2,zero + 3958: e0bffb15 stw r2,-20(fp) if (fd) - 3958: e0bffb17 ldw r2,-20(fp) - 395c: 10002126 beq r2,zero,39e4 + 395c: e0bffb17 ldw r2,-20(fp) + 3960: 10002126 beq r2,zero,39e8 * If the file has not been opened with write access, or if the driver does * not provide an implementation of write(), generate an error. Otherwise * call the drivers write() function to process the request. */ if (((fd->fd_flags & O_ACCMODE) != O_RDONLY) && fd->dev->write) - 3960: e0bffb17 ldw r2,-20(fp) - 3964: 10800217 ldw r2,8(r2) - 3968: 108000cc andi r2,r2,3 - 396c: 10001826 beq r2,zero,39d0 - 3970: e0bffb17 ldw r2,-20(fp) - 3974: 10800017 ldw r2,0(r2) - 3978: 10800617 ldw r2,24(r2) - 397c: 10001426 beq r2,zero,39d0 + 3964: e0bffb17 ldw r2,-20(fp) + 3968: 10800217 ldw r2,8(r2) + 396c: 108000cc andi r2,r2,3 + 3970: 10001826 beq r2,zero,39d4 + 3974: e0bffb17 ldw r2,-20(fp) + 3978: 10800017 ldw r2,0(r2) + 397c: 10800617 ldw r2,24(r2) + 3980: 10001426 beq r2,zero,39d4 { /* ALT_LOG - see altera_hal/HAL/inc/sys/alt_log_printf.h */ ALT_LOG_WRITE_FUNCTION(ptr,len); if ((rval = fd->dev->write(fd, ptr, len)) < 0) - 3980: e0bffb17 ldw r2,-20(fp) - 3984: 10800017 ldw r2,0(r2) - 3988: 10800617 ldw r2,24(r2) - 398c: e0ffff17 ldw r3,-4(fp) - 3990: 180d883a mov r6,r3 - 3994: e17ffe17 ldw r5,-8(fp) - 3998: e13ffb17 ldw r4,-20(fp) - 399c: 103ee83a callr r2 - 39a0: e0bffc15 stw r2,-16(fp) - 39a4: e0bffc17 ldw r2,-16(fp) - 39a8: 1000070e bge r2,zero,39c8 + 3984: e0bffb17 ldw r2,-20(fp) + 3988: 10800017 ldw r2,0(r2) + 398c: 10800617 ldw r2,24(r2) + 3990: e0ffff17 ldw r3,-4(fp) + 3994: 180d883a mov r6,r3 + 3998: e17ffe17 ldw r5,-8(fp) + 399c: e13ffb17 ldw r4,-20(fp) + 39a0: 103ee83a callr r2 + 39a4: e0bffc15 stw r2,-16(fp) + 39a8: e0bffc17 ldw r2,-16(fp) + 39ac: 1000070e bge r2,zero,39cc { ALT_ERRNO = -rval; - 39ac: 00038d00 call 38d0 - 39b0: 1007883a mov r3,r2 - 39b4: e0bffc17 ldw r2,-16(fp) - 39b8: 0085c83a sub r2,zero,r2 - 39bc: 18800015 stw r2,0(r3) + 39b0: 00038d40 call 38d4 + 39b4: 1007883a mov r3,r2 + 39b8: e0bffc17 ldw r2,-16(fp) + 39bc: 0085c83a sub r2,zero,r2 + 39c0: 18800015 stw r2,0(r3) return -1; - 39c0: 00bfffc4 movi r2,-1 - 39c4: 00000c06 br 39f8 + 39c4: 00bfffc4 movi r2,-1 + 39c8: 00000c06 br 39fc } return rval; - 39c8: e0bffc17 ldw r2,-16(fp) - 39cc: 00000a06 br 39f8 + 39cc: e0bffc17 ldw r2,-16(fp) + 39d0: 00000a06 br 39fc } else { ALT_ERRNO = EACCES; - 39d0: 00038d00 call 38d0 - 39d4: 1007883a mov r3,r2 - 39d8: 00800344 movi r2,13 - 39dc: 18800015 stw r2,0(r3) - 39e0: 00000406 br 39f4 + 39d4: 00038d40 call 38d4 + 39d8: 1007883a mov r3,r2 + 39dc: 00800344 movi r2,13 + 39e0: 18800015 stw r2,0(r3) + 39e4: 00000406 br 39f8 } } else { ALT_ERRNO = EBADFD; - 39e4: 00038d00 call 38d0 - 39e8: 1007883a mov r3,r2 - 39ec: 00801444 movi r2,81 - 39f0: 18800015 stw r2,0(r3) + 39e8: 00038d40 call 38d4 + 39ec: 1007883a mov r3,r2 + 39f0: 00801444 movi r2,81 + 39f4: 18800015 stw r2,0(r3) } return -1; - 39f4: 00bfffc4 movi r2,-1 + 39f8: 00bfffc4 movi r2,-1 } - 39f8: e037883a mov sp,fp - 39fc: dfc00117 ldw ra,4(sp) - 3a00: df000017 ldw fp,0(sp) - 3a04: dec00204 addi sp,sp,8 - 3a08: f800283a ret + 39fc: e037883a mov sp,fp + 3a00: dfc00117 ldw ra,4(sp) + 3a04: df000017 ldw fp,0(sp) + 3a08: dec00204 addi sp,sp,8 + 3a0c: f800283a ret -00003a0c : +00003a10 : */ extern int alt_fs_reg (alt_dev* dev); static ALT_INLINE int alt_dev_reg (alt_dev* dev) { - 3a0c: defffd04 addi sp,sp,-12 - 3a10: dfc00215 stw ra,8(sp) - 3a14: df000115 stw fp,4(sp) - 3a18: df000104 addi fp,sp,4 - 3a1c: e13fff15 stw r4,-4(fp) + 3a10: defffd04 addi sp,sp,-12 + 3a14: dfc00215 stw ra,8(sp) + 3a18: df000115 stw fp,4(sp) + 3a1c: df000104 addi fp,sp,4 + 3a20: e13fff15 stw r4,-4(fp) extern alt_llist alt_dev_list; return alt_dev_llist_insert ((alt_dev_llist*) dev, &alt_dev_list); - 3a20: d1600604 addi r5,gp,-32744 - 3a24: e13fff17 ldw r4,-4(fp) - 3a28: 000479c0 call 479c + 3a24: d1600604 addi r5,gp,-32744 + 3a28: e13fff17 ldw r4,-4(fp) + 3a2c: 00047a00 call 47a0 } - 3a2c: e037883a mov sp,fp - 3a30: dfc00117 ldw ra,4(sp) - 3a34: df000017 ldw fp,0(sp) - 3a38: dec00204 addi sp,sp,8 - 3a3c: f800283a ret + 3a30: e037883a mov sp,fp + 3a34: dfc00117 ldw ra,4(sp) + 3a38: df000017 ldw fp,0(sp) + 3a3c: dec00204 addi sp,sp,8 + 3a40: f800283a ret -00003a40 : +00003a44 : * The "base" parameter is ignored and only * present for backwards-compatibility. */ void alt_irq_init ( const void* base ) { - 3a40: defffd04 addi sp,sp,-12 - 3a44: dfc00215 stw ra,8(sp) - 3a48: df000115 stw fp,4(sp) - 3a4c: df000104 addi fp,sp,4 - 3a50: e13fff15 stw r4,-4(fp) + 3a44: defffd04 addi sp,sp,-12 + 3a48: dfc00215 stw ra,8(sp) + 3a4c: df000115 stw fp,4(sp) + 3a50: df000104 addi fp,sp,4 + 3a54: e13fff15 stw r4,-4(fp) ALTERA_NIOS2_GEN2_IRQ_INIT ( CPU, cpu); - 3a54: 00051280 call 5128 + 3a58: 000512c0 call 512c * alt_irq_cpu_enable_interrupts() enables the CPU to start taking interrupts. */ static ALT_INLINE void ALT_ALWAYS_INLINE alt_irq_cpu_enable_interrupts (void) { NIOS2_WRITE_STATUS(NIOS2_STATUS_PIE_MSK - 3a58: 00800044 movi r2,1 - 3a5c: 1001703a wrctl status,r2 + 3a5c: 00800044 movi r2,1 + 3a60: 1001703a wrctl status,r2 alt_irq_cpu_enable_interrupts(); } - 3a60: 0001883a nop - 3a64: e037883a mov sp,fp - 3a68: dfc00117 ldw ra,4(sp) - 3a6c: df000017 ldw fp,0(sp) - 3a70: dec00204 addi sp,sp,8 - 3a74: f800283a ret + 3a64: 0001883a nop + 3a68: e037883a mov sp,fp + 3a6c: dfc00117 ldw ra,4(sp) + 3a70: df000017 ldw fp,0(sp) + 3a74: dec00204 addi sp,sp,8 + 3a78: f800283a ret -00003a78 : +00003a7c : * Initialize the non-interrupt controller devices. * Called after alt_irq_init(). */ void alt_sys_init( void ) { - 3a78: defffe04 addi sp,sp,-8 - 3a7c: dfc00115 stw ra,4(sp) - 3a80: df000015 stw fp,0(sp) - 3a84: d839883a mov fp,sp + 3a7c: defffe04 addi sp,sp,-8 + 3a80: dfc00115 stw ra,4(sp) + 3a84: df000015 stw fp,0(sp) + 3a88: d839883a mov fp,sp ALTERA_AVALON_TIMER_INIT ( SYS_CLK_TIMER, sys_clk_timer); - 3a88: 01c0fa04 movi r7,1000 - 3a8c: 000d883a mov r6,zero - 3a90: 000b883a mov r5,zero - 3a94: 010000b4 movhi r4,2 - 3a98: 21040004 addi r4,r4,4096 - 3a9c: 00045b80 call 45b8 + 3a8c: 01c0fa04 movi r7,1000 + 3a90: 000d883a mov r6,zero + 3a94: 000b883a mov r5,zero + 3a98: 010000b4 movhi r4,2 + 3a9c: 21040004 addi r4,r4,4096 + 3aa0: 00045bc0 call 45bc ALTERA_AVALON_JTAG_UART_INIT ( JTAG_UART, jtag_uart); - 3aa0: 01800044 movi r6,1 - 3aa4: 000b883a mov r5,zero - 3aa8: 01000034 movhi r4,0 - 3aac: 21188804 addi r4,r4,25120 - 3ab0: 0003c3c0 call 3c3c - 3ab4: 01000034 movhi r4,0 - 3ab8: 21187e04 addi r4,r4,25080 - 3abc: 0003a0c0 call 3a0c + 3aa4: 01800044 movi r6,1 + 3aa8: 000b883a mov r5,zero + 3aac: 01000034 movhi r4,0 + 3ab0: 21189504 addi r4,r4,25172 + 3ab4: 0003c400 call 3c40 + 3ab8: 01000034 movhi r4,0 + 3abc: 21188b04 addi r4,r4,25132 + 3ac0: 0003a100 call 3a10 } - 3ac0: 0001883a nop - 3ac4: e037883a mov sp,fp - 3ac8: dfc00117 ldw ra,4(sp) - 3acc: df000017 ldw fp,0(sp) - 3ad0: dec00204 addi sp,sp,8 - 3ad4: f800283a ret + 3ac4: 0001883a nop + 3ac8: e037883a mov sp,fp + 3acc: dfc00117 ldw ra,4(sp) + 3ad0: df000017 ldw fp,0(sp) + 3ad4: dec00204 addi sp,sp,8 + 3ad8: f800283a ret -00003ad8 : +00003adc : * */ int altera_avalon_jtag_uart_read_fd(alt_fd* fd, char* buffer, int space) { - 3ad8: defffa04 addi sp,sp,-24 - 3adc: dfc00515 stw ra,20(sp) - 3ae0: df000415 stw fp,16(sp) - 3ae4: df000404 addi fp,sp,16 - 3ae8: e13ffd15 stw r4,-12(fp) - 3aec: e17ffe15 stw r5,-8(fp) - 3af0: e1bfff15 stw r6,-4(fp) + 3adc: defffa04 addi sp,sp,-24 + 3ae0: dfc00515 stw ra,20(sp) + 3ae4: df000415 stw fp,16(sp) + 3ae8: df000404 addi fp,sp,16 + 3aec: e13ffd15 stw r4,-12(fp) + 3af0: e17ffe15 stw r5,-8(fp) + 3af4: e1bfff15 stw r6,-4(fp) altera_avalon_jtag_uart_dev* dev = (altera_avalon_jtag_uart_dev*) fd->dev; - 3af4: e0bffd17 ldw r2,-12(fp) - 3af8: 10800017 ldw r2,0(r2) - 3afc: e0bffc15 stw r2,-16(fp) + 3af8: e0bffd17 ldw r2,-12(fp) + 3afc: 10800017 ldw r2,0(r2) + 3b00: e0bffc15 stw r2,-16(fp) return altera_avalon_jtag_uart_read(&dev->state, buffer, space, - 3b00: e0bffc17 ldw r2,-16(fp) - 3b04: 10c00a04 addi r3,r2,40 - 3b08: e0bffd17 ldw r2,-12(fp) - 3b0c: 10800217 ldw r2,8(r2) - 3b10: 100f883a mov r7,r2 - 3b14: e1bfff17 ldw r6,-4(fp) - 3b18: e17ffe17 ldw r5,-8(fp) - 3b1c: 1809883a mov r4,r3 - 3b20: 00041000 call 4100 + 3b04: e0bffc17 ldw r2,-16(fp) + 3b08: 10c00a04 addi r3,r2,40 + 3b0c: e0bffd17 ldw r2,-12(fp) + 3b10: 10800217 ldw r2,8(r2) + 3b14: 100f883a mov r7,r2 + 3b18: e1bfff17 ldw r6,-4(fp) + 3b1c: e17ffe17 ldw r5,-8(fp) + 3b20: 1809883a mov r4,r3 + 3b24: 00041040 call 4104 fd->fd_flags); } - 3b24: e037883a mov sp,fp - 3b28: dfc00117 ldw ra,4(sp) - 3b2c: df000017 ldw fp,0(sp) - 3b30: dec00204 addi sp,sp,8 - 3b34: f800283a ret + 3b28: e037883a mov sp,fp + 3b2c: dfc00117 ldw ra,4(sp) + 3b30: df000017 ldw fp,0(sp) + 3b34: dec00204 addi sp,sp,8 + 3b38: f800283a ret -00003b38 : +00003b3c : int altera_avalon_jtag_uart_write_fd(alt_fd* fd, const char* buffer, int space) { - 3b38: defffa04 addi sp,sp,-24 - 3b3c: dfc00515 stw ra,20(sp) - 3b40: df000415 stw fp,16(sp) - 3b44: df000404 addi fp,sp,16 - 3b48: e13ffd15 stw r4,-12(fp) - 3b4c: e17ffe15 stw r5,-8(fp) - 3b50: e1bfff15 stw r6,-4(fp) + 3b3c: defffa04 addi sp,sp,-24 + 3b40: dfc00515 stw ra,20(sp) + 3b44: df000415 stw fp,16(sp) + 3b48: df000404 addi fp,sp,16 + 3b4c: e13ffd15 stw r4,-12(fp) + 3b50: e17ffe15 stw r5,-8(fp) + 3b54: e1bfff15 stw r6,-4(fp) altera_avalon_jtag_uart_dev* dev = (altera_avalon_jtag_uart_dev*) fd->dev; - 3b54: e0bffd17 ldw r2,-12(fp) - 3b58: 10800017 ldw r2,0(r2) - 3b5c: e0bffc15 stw r2,-16(fp) + 3b58: e0bffd17 ldw r2,-12(fp) + 3b5c: 10800017 ldw r2,0(r2) + 3b60: e0bffc15 stw r2,-16(fp) return altera_avalon_jtag_uart_write(&dev->state, buffer, space, - 3b60: e0bffc17 ldw r2,-16(fp) - 3b64: 10c00a04 addi r3,r2,40 - 3b68: e0bffd17 ldw r2,-12(fp) - 3b6c: 10800217 ldw r2,8(r2) - 3b70: 100f883a mov r7,r2 - 3b74: e1bfff17 ldw r6,-4(fp) - 3b78: e17ffe17 ldw r5,-8(fp) - 3b7c: 1809883a mov r4,r3 - 3b80: 000431c0 call 431c + 3b64: e0bffc17 ldw r2,-16(fp) + 3b68: 10c00a04 addi r3,r2,40 + 3b6c: e0bffd17 ldw r2,-12(fp) + 3b70: 10800217 ldw r2,8(r2) + 3b74: 100f883a mov r7,r2 + 3b78: e1bfff17 ldw r6,-4(fp) + 3b7c: e17ffe17 ldw r5,-8(fp) + 3b80: 1809883a mov r4,r3 + 3b84: 00043200 call 4320 fd->fd_flags); } - 3b84: e037883a mov sp,fp - 3b88: dfc00117 ldw ra,4(sp) - 3b8c: df000017 ldw fp,0(sp) - 3b90: dec00204 addi sp,sp,8 - 3b94: f800283a ret + 3b88: e037883a mov sp,fp + 3b8c: dfc00117 ldw ra,4(sp) + 3b90: df000017 ldw fp,0(sp) + 3b94: dec00204 addi sp,sp,8 + 3b98: f800283a ret -00003b98 : +00003b9c : #ifndef ALTERA_AVALON_JTAG_UART_SMALL int altera_avalon_jtag_uart_close_fd(alt_fd* fd) { - 3b98: defffc04 addi sp,sp,-16 - 3b9c: dfc00315 stw ra,12(sp) - 3ba0: df000215 stw fp,8(sp) - 3ba4: df000204 addi fp,sp,8 - 3ba8: e13fff15 stw r4,-4(fp) + 3b9c: defffc04 addi sp,sp,-16 + 3ba0: dfc00315 stw ra,12(sp) + 3ba4: df000215 stw fp,8(sp) + 3ba8: df000204 addi fp,sp,8 + 3bac: e13fff15 stw r4,-4(fp) altera_avalon_jtag_uart_dev* dev = (altera_avalon_jtag_uart_dev*) fd->dev; - 3bac: e0bfff17 ldw r2,-4(fp) - 3bb0: 10800017 ldw r2,0(r2) - 3bb4: e0bffe15 stw r2,-8(fp) + 3bb0: e0bfff17 ldw r2,-4(fp) + 3bb4: 10800017 ldw r2,0(r2) + 3bb8: e0bffe15 stw r2,-8(fp) return altera_avalon_jtag_uart_close(&dev->state, fd->fd_flags); - 3bb8: e0bffe17 ldw r2,-8(fp) - 3bbc: 10c00a04 addi r3,r2,40 - 3bc0: e0bfff17 ldw r2,-4(fp) - 3bc4: 10800217 ldw r2,8(r2) - 3bc8: 100b883a mov r5,r2 - 3bcc: 1809883a mov r4,r3 - 3bd0: 0003fa80 call 3fa8 + 3bbc: e0bffe17 ldw r2,-8(fp) + 3bc0: 10c00a04 addi r3,r2,40 + 3bc4: e0bfff17 ldw r2,-4(fp) + 3bc8: 10800217 ldw r2,8(r2) + 3bcc: 100b883a mov r5,r2 + 3bd0: 1809883a mov r4,r3 + 3bd4: 0003fac0 call 3fac } - 3bd4: e037883a mov sp,fp - 3bd8: dfc00117 ldw ra,4(sp) - 3bdc: df000017 ldw fp,0(sp) - 3be0: dec00204 addi sp,sp,8 - 3be4: f800283a ret + 3bd8: e037883a mov sp,fp + 3bdc: dfc00117 ldw ra,4(sp) + 3be0: df000017 ldw fp,0(sp) + 3be4: dec00204 addi sp,sp,8 + 3be8: f800283a ret -00003be8 : +00003bec : int altera_avalon_jtag_uart_ioctl_fd(alt_fd* fd, int req, void* arg) { - 3be8: defffa04 addi sp,sp,-24 - 3bec: dfc00515 stw ra,20(sp) - 3bf0: df000415 stw fp,16(sp) - 3bf4: df000404 addi fp,sp,16 - 3bf8: e13ffd15 stw r4,-12(fp) - 3bfc: e17ffe15 stw r5,-8(fp) - 3c00: e1bfff15 stw r6,-4(fp) + 3bec: defffa04 addi sp,sp,-24 + 3bf0: dfc00515 stw ra,20(sp) + 3bf4: df000415 stw fp,16(sp) + 3bf8: df000404 addi fp,sp,16 + 3bfc: e13ffd15 stw r4,-12(fp) + 3c00: e17ffe15 stw r5,-8(fp) + 3c04: e1bfff15 stw r6,-4(fp) altera_avalon_jtag_uart_dev* dev = (altera_avalon_jtag_uart_dev*) fd->dev; - 3c04: e0bffd17 ldw r2,-12(fp) - 3c08: 10800017 ldw r2,0(r2) - 3c0c: e0bffc15 stw r2,-16(fp) + 3c08: e0bffd17 ldw r2,-12(fp) + 3c0c: 10800017 ldw r2,0(r2) + 3c10: e0bffc15 stw r2,-16(fp) return altera_avalon_jtag_uart_ioctl(&dev->state, req, arg); - 3c10: e0bffc17 ldw r2,-16(fp) - 3c14: 10800a04 addi r2,r2,40 - 3c18: e1bfff17 ldw r6,-4(fp) - 3c1c: e17ffe17 ldw r5,-8(fp) - 3c20: 1009883a mov r4,r2 - 3c24: 00040100 call 4010 + 3c14: e0bffc17 ldw r2,-16(fp) + 3c18: 10800a04 addi r2,r2,40 + 3c1c: e1bfff17 ldw r6,-4(fp) + 3c20: e17ffe17 ldw r5,-8(fp) + 3c24: 1009883a mov r4,r2 + 3c28: 00040140 call 4014 } - 3c28: e037883a mov sp,fp - 3c2c: dfc00117 ldw ra,4(sp) - 3c30: df000017 ldw fp,0(sp) - 3c34: dec00204 addi sp,sp,8 - 3c38: f800283a ret + 3c2c: e037883a mov sp,fp + 3c30: dfc00117 ldw ra,4(sp) + 3c34: df000017 ldw fp,0(sp) + 3c38: dec00204 addi sp,sp,8 + 3c3c: f800283a ret -00003c3c : +00003c40 : * Return 1 on sucessful IRQ register and 0 on failure. */ void altera_avalon_jtag_uart_init(altera_avalon_jtag_uart_state* sp, int irq_controller_id, int irq) { - 3c3c: defffa04 addi sp,sp,-24 - 3c40: dfc00515 stw ra,20(sp) - 3c44: df000415 stw fp,16(sp) - 3c48: df000404 addi fp,sp,16 - 3c4c: e13ffd15 stw r4,-12(fp) - 3c50: e17ffe15 stw r5,-8(fp) - 3c54: e1bfff15 stw r6,-4(fp) + 3c40: defffa04 addi sp,sp,-24 + 3c44: dfc00515 stw ra,20(sp) + 3c48: df000415 stw fp,16(sp) + 3c4c: df000404 addi fp,sp,16 + 3c50: e13ffd15 stw r4,-12(fp) + 3c54: e17ffe15 stw r5,-8(fp) + 3c58: e1bfff15 stw r6,-4(fp) ALT_FLAG_CREATE(&sp->events, 0); ALT_SEM_CREATE(&sp->read_lock, 1); ALT_SEM_CREATE(&sp->write_lock, 1); /* enable read interrupts at the device */ sp->irq_enable = ALTERA_AVALON_JTAG_UART_CONTROL_RE_MSK; - 3c58: e0bffd17 ldw r2,-12(fp) - 3c5c: 00c00044 movi r3,1 - 3c60: 10c00815 stw r3,32(r2) + 3c5c: e0bffd17 ldw r2,-12(fp) + 3c60: 00c00044 movi r3,1 + 3c64: 10c00815 stw r3,32(r2) IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base, sp->irq_enable); - 3c64: e0bffd17 ldw r2,-12(fp) - 3c68: 10800017 ldw r2,0(r2) - 3c6c: 10800104 addi r2,r2,4 - 3c70: 1007883a mov r3,r2 - 3c74: e0bffd17 ldw r2,-12(fp) - 3c78: 10800817 ldw r2,32(r2) - 3c7c: 18800035 stwio r2,0(r3) + 3c68: e0bffd17 ldw r2,-12(fp) + 3c6c: 10800017 ldw r2,0(r2) + 3c70: 10800104 addi r2,r2,4 + 3c74: 1007883a mov r3,r2 + 3c78: e0bffd17 ldw r2,-12(fp) + 3c7c: 10800817 ldw r2,32(r2) + 3c80: 18800035 stwio r2,0(r3) /* register the interrupt handler */ #ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT alt_ic_isr_register(irq_controller_id, irq, altera_avalon_jtag_uart_irq, - 3c80: e0bffe17 ldw r2,-8(fp) - 3c84: e0ffff17 ldw r3,-4(fp) - 3c88: d8000015 stw zero,0(sp) - 3c8c: e1fffd17 ldw r7,-12(fp) - 3c90: 01800034 movhi r6,0 - 3c94: 318f3f04 addi r6,r6,15612 - 3c98: 180b883a mov r5,r3 - 3c9c: 1009883a mov r4,r2 - 3ca0: 00049000 call 4900 + 3c84: e0bffe17 ldw r2,-8(fp) + 3c88: e0ffff17 ldw r3,-4(fp) + 3c8c: d8000015 stw zero,0(sp) + 3c90: e1fffd17 ldw r7,-12(fp) + 3c94: 01800034 movhi r6,0 + 3c98: 318f4004 addi r6,r6,15616 + 3c9c: 180b883a mov r5,r3 + 3ca0: 1009883a mov r4,r2 + 3ca4: 00049040 call 4904 #else alt_irq_register(irq, sp, altera_avalon_jtag_uart_irq); #endif /* Register an alarm to go off every second to check for presence of host */ sp->host_inactive = 0; - 3ca4: e0bffd17 ldw r2,-12(fp) - 3ca8: 10000915 stw zero,36(r2) + 3ca8: e0bffd17 ldw r2,-12(fp) + 3cac: 10000915 stw zero,36(r2) if (alt_alarm_start(&sp->alarm, alt_ticks_per_second(), - 3cac: e0bffd17 ldw r2,-12(fp) - 3cb0: 10800204 addi r2,r2,8 + 3cb0: e0bffd17 ldw r2,-12(fp) + 3cb4: 10800204 addi r2,r2,8 * Obtain the system clock rate in ticks/s. */ static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_ticks_per_second (void) { return _alt_tick_rate; - 3cb4: d0e01617 ldw r3,-32680(gp) - 3cb8: e1fffd17 ldw r7,-12(fp) - 3cbc: 01800034 movhi r6,0 - 3cc0: 318fc204 addi r6,r6,16136 - 3cc4: 180b883a mov r5,r3 - 3cc8: 1009883a mov r4,r2 - 3ccc: 00046340 call 4634 - 3cd0: 1000040e bge r2,zero,3ce4 + 3cb8: d0e01617 ldw r3,-32680(gp) + 3cbc: e1fffd17 ldw r7,-12(fp) + 3cc0: 01800034 movhi r6,0 + 3cc4: 318fc304 addi r6,r6,16140 + 3cc8: 180b883a mov r5,r3 + 3ccc: 1009883a mov r4,r2 + 3cd0: 00046380 call 4638 + 3cd4: 1000040e bge r2,zero,3ce8 &altera_avalon_jtag_uart_timeout, sp) < 0) { /* If we can't set the alarm then record "don't know if host present" * and behave as though the host is present. */ sp->timeout = INT_MAX; - 3cd4: e0fffd17 ldw r3,-12(fp) - 3cd8: 00a00034 movhi r2,32768 - 3cdc: 10bfffc4 addi r2,r2,-1 - 3ce0: 18800115 stw r2,4(r3) + 3cd8: e0fffd17 ldw r3,-12(fp) + 3cdc: 00a00034 movhi r2,32768 + 3ce0: 10bfffc4 addi r2,r2,-1 + 3ce4: 18800115 stw r2,4(r3) } /* ALT_LOG - see altera_hal/HAL/inc/sys/alt_log_printf.h */ ALT_LOG_JTAG_UART_ALARM_REGISTER(sp, sp->base); } - 3ce4: 0001883a nop - 3ce8: e037883a mov sp,fp - 3cec: dfc00117 ldw ra,4(sp) - 3cf0: df000017 ldw fp,0(sp) - 3cf4: dec00204 addi sp,sp,8 - 3cf8: f800283a ret + 3ce8: 0001883a nop + 3cec: e037883a mov sp,fp + 3cf0: dfc00117 ldw ra,4(sp) + 3cf4: df000017 ldw fp,0(sp) + 3cf8: dec00204 addi sp,sp,8 + 3cfc: f800283a ret -00003cfc : +00003d00 : #ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT static void altera_avalon_jtag_uart_irq(void* context) #else static void altera_avalon_jtag_uart_irq(void* context, alt_u32 id) #endif { - 3cfc: defff804 addi sp,sp,-32 - 3d00: df000715 stw fp,28(sp) - 3d04: df000704 addi fp,sp,28 - 3d08: e13fff15 stw r4,-4(fp) + 3d00: defff804 addi sp,sp,-32 + 3d04: df000715 stw fp,28(sp) + 3d08: df000704 addi fp,sp,28 + 3d0c: e13fff15 stw r4,-4(fp) altera_avalon_jtag_uart_state* sp = (altera_avalon_jtag_uart_state*) context; - 3d0c: e0bfff17 ldw r2,-4(fp) - 3d10: e0bffb15 stw r2,-20(fp) + 3d10: e0bfff17 ldw r2,-4(fp) + 3d14: e0bffb15 stw r2,-20(fp) unsigned int base = sp->base; - 3d14: e0bffb17 ldw r2,-20(fp) - 3d18: 10800017 ldw r2,0(r2) - 3d1c: e0bffc15 stw r2,-16(fp) + 3d18: e0bffb17 ldw r2,-20(fp) + 3d1c: 10800017 ldw r2,0(r2) + 3d20: e0bffc15 stw r2,-16(fp) /* ALT_LOG - see altera_hal/HAL/inc/sys/alt_log_printf.h */ ALT_LOG_JTAG_UART_ISR_FUNCTION(base, sp); for ( ; ; ) { unsigned int control = IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base); - 3d20: e0bffc17 ldw r2,-16(fp) - 3d24: 10800104 addi r2,r2,4 - 3d28: 10800037 ldwio r2,0(r2) - 3d2c: e0bffd15 stw r2,-12(fp) + 3d24: e0bffc17 ldw r2,-16(fp) + 3d28: 10800104 addi r2,r2,4 + 3d2c: 10800037 ldwio r2,0(r2) + 3d30: e0bffd15 stw r2,-12(fp) /* Return once nothing more to do */ if ((control & (ALTERA_AVALON_JTAG_UART_CONTROL_RI_MSK | ALTERA_AVALON_JTAG_UART_CONTROL_WI_MSK)) == 0) - 3d30: e0bffd17 ldw r2,-12(fp) - 3d34: 1080c00c andi r2,r2,768 - 3d38: 10006d26 beq r2,zero,3ef0 + 3d34: e0bffd17 ldw r2,-12(fp) + 3d38: 1080c00c andi r2,r2,768 + 3d3c: 10006d26 beq r2,zero,3ef4 break; if (control & ALTERA_AVALON_JTAG_UART_CONTROL_RI_MSK) - 3d3c: e0bffd17 ldw r2,-12(fp) - 3d40: 1080400c andi r2,r2,256 - 3d44: 10003526 beq r2,zero,3e1c + 3d40: e0bffd17 ldw r2,-12(fp) + 3d44: 1080400c andi r2,r2,256 + 3d48: 10003526 beq r2,zero,3e20 { /* process a read irq. Start by assuming that there is data in the * receive FIFO (otherwise why would we have been interrupted?) */ unsigned int data = 1 << ALTERA_AVALON_JTAG_UART_DATA_RAVAIL_OFST; - 3d48: 00800074 movhi r2,1 - 3d4c: e0bff915 stw r2,-28(fp) + 3d4c: 00800074 movhi r2,1 + 3d50: e0bff915 stw r2,-28(fp) for ( ; ; ) { /* Check whether there is space in the buffer. If not then we must not * read any characters from the buffer as they will be lost. */ unsigned int next = (sp->rx_in + 1) % ALTERA_AVALON_JTAG_UART_BUF_LEN; - 3d50: e0bffb17 ldw r2,-20(fp) - 3d54: 10800a17 ldw r2,40(r2) - 3d58: 10800044 addi r2,r2,1 - 3d5c: 1081ffcc andi r2,r2,2047 - 3d60: e0bffe15 stw r2,-8(fp) + 3d54: e0bffb17 ldw r2,-20(fp) + 3d58: 10800a17 ldw r2,40(r2) + 3d5c: 10800044 addi r2,r2,1 + 3d60: 1081ffcc andi r2,r2,2047 + 3d64: e0bffe15 stw r2,-8(fp) if (next == sp->rx_out) - 3d64: e0bffb17 ldw r2,-20(fp) - 3d68: 10c00b17 ldw r3,44(r2) - 3d6c: e0bffe17 ldw r2,-8(fp) - 3d70: 18801526 beq r3,r2,3dc8 + 3d68: e0bffb17 ldw r2,-20(fp) + 3d6c: 10c00b17 ldw r3,44(r2) + 3d70: e0bffe17 ldw r2,-8(fp) + 3d74: 18801526 beq r3,r2,3dcc break; /* Try to remove a character from the FIFO and find out whether there * are any more characters remaining. */ data = IORD_ALTERA_AVALON_JTAG_UART_DATA(base); - 3d74: e0bffc17 ldw r2,-16(fp) - 3d78: 10800037 ldwio r2,0(r2) - 3d7c: e0bff915 stw r2,-28(fp) + 3d78: e0bffc17 ldw r2,-16(fp) + 3d7c: 10800037 ldwio r2,0(r2) + 3d80: e0bff915 stw r2,-28(fp) if ((data & ALTERA_AVALON_JTAG_UART_DATA_RVALID_MSK) == 0) - 3d80: e0bff917 ldw r2,-28(fp) - 3d84: 10a0000c andi r2,r2,32768 - 3d88: 10001126 beq r2,zero,3dd0 + 3d84: e0bff917 ldw r2,-28(fp) + 3d88: 10a0000c andi r2,r2,32768 + 3d8c: 10001126 beq r2,zero,3dd4 break; sp->rx_buf[sp->rx_in] = (data & ALTERA_AVALON_JTAG_UART_DATA_DATA_MSK) >> ALTERA_AVALON_JTAG_UART_DATA_DATA_OFST; - 3d8c: e0bffb17 ldw r2,-20(fp) - 3d90: 10800a17 ldw r2,40(r2) - 3d94: e0fff917 ldw r3,-28(fp) - 3d98: 1809883a mov r4,r3 - 3d9c: e0fffb17 ldw r3,-20(fp) - 3da0: 1885883a add r2,r3,r2 - 3da4: 10800e04 addi r2,r2,56 - 3da8: 11000005 stb r4,0(r2) + 3d90: e0bffb17 ldw r2,-20(fp) + 3d94: 10800a17 ldw r2,40(r2) + 3d98: e0fff917 ldw r3,-28(fp) + 3d9c: 1809883a mov r4,r3 + 3da0: e0fffb17 ldw r3,-20(fp) + 3da4: 1885883a add r2,r3,r2 + 3da8: 10800e04 addi r2,r2,56 + 3dac: 11000005 stb r4,0(r2) sp->rx_in = (sp->rx_in + 1) % ALTERA_AVALON_JTAG_UART_BUF_LEN; - 3dac: e0bffb17 ldw r2,-20(fp) - 3db0: 10800a17 ldw r2,40(r2) - 3db4: 10800044 addi r2,r2,1 - 3db8: 10c1ffcc andi r3,r2,2047 - 3dbc: e0bffb17 ldw r2,-20(fp) - 3dc0: 10c00a15 stw r3,40(r2) + 3db0: e0bffb17 ldw r2,-20(fp) + 3db4: 10800a17 ldw r2,40(r2) + 3db8: 10800044 addi r2,r2,1 + 3dbc: 10c1ffcc andi r3,r2,2047 + 3dc0: e0bffb17 ldw r2,-20(fp) + 3dc4: 10c00a15 stw r3,40(r2) /* Post an event to notify jtag_uart_read that a character has been read */ ALT_FLAG_POST (sp->events, ALT_JTAG_UART_READ_RDY, OS_FLAG_SET); } - 3dc4: 003fe206 br 3d50 <__alt_data_end+0xfffe3d50> + 3dc8: 003fe206 br 3d54 <__alt_data_end+0xfffe3d54> /* Check whether there is space in the buffer. If not then we must not * read any characters from the buffer as they will be lost. */ unsigned int next = (sp->rx_in + 1) % ALTERA_AVALON_JTAG_UART_BUF_LEN; if (next == sp->rx_out) break; - 3dc8: 0001883a nop - 3dcc: 00000106 br 3dd4 + 3dcc: 0001883a nop + 3dd0: 00000106 br 3dd8 * are any more characters remaining. */ data = IORD_ALTERA_AVALON_JTAG_UART_DATA(base); if ((data & ALTERA_AVALON_JTAG_UART_DATA_RVALID_MSK) == 0) break; - 3dd0: 0001883a nop + 3dd4: 0001883a nop /* Post an event to notify jtag_uart_read that a character has been read */ ALT_FLAG_POST (sp->events, ALT_JTAG_UART_READ_RDY, OS_FLAG_SET); } if (data & ALTERA_AVALON_JTAG_UART_DATA_RAVAIL_MSK) - 3dd4: e0bff917 ldw r2,-28(fp) - 3dd8: 10bfffec andhi r2,r2,65535 - 3ddc: 10000f26 beq r2,zero,3e1c + 3dd8: e0bff917 ldw r2,-28(fp) + 3ddc: 10bfffec andhi r2,r2,65535 + 3de0: 10000f26 beq r2,zero,3e20 { /* If there is still data available here then the buffer is full * so turn off receive interrupts until some space becomes available. */ sp->irq_enable &= ~ALTERA_AVALON_JTAG_UART_CONTROL_RE_MSK; - 3de0: e0bffb17 ldw r2,-20(fp) - 3de4: 10c00817 ldw r3,32(r2) - 3de8: 00bfff84 movi r2,-2 - 3dec: 1886703a and r3,r3,r2 - 3df0: e0bffb17 ldw r2,-20(fp) - 3df4: 10c00815 stw r3,32(r2) + 3de4: e0bffb17 ldw r2,-20(fp) + 3de8: 10c00817 ldw r3,32(r2) + 3dec: 00bfff84 movi r2,-2 + 3df0: 1886703a and r3,r3,r2 + 3df4: e0bffb17 ldw r2,-20(fp) + 3df8: 10c00815 stw r3,32(r2) IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(base, sp->irq_enable); - 3df8: e0bffc17 ldw r2,-16(fp) - 3dfc: 10800104 addi r2,r2,4 - 3e00: 1007883a mov r3,r2 - 3e04: e0bffb17 ldw r2,-20(fp) - 3e08: 10800817 ldw r2,32(r2) - 3e0c: 18800035 stwio r2,0(r3) + 3dfc: e0bffc17 ldw r2,-16(fp) + 3e00: 10800104 addi r2,r2,4 + 3e04: 1007883a mov r3,r2 + 3e08: e0bffb17 ldw r2,-20(fp) + 3e0c: 10800817 ldw r2,32(r2) + 3e10: 18800035 stwio r2,0(r3) /* Dummy read to ensure IRQ is cleared prior to ISR completion */ IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base); - 3e10: e0bffc17 ldw r2,-16(fp) - 3e14: 10800104 addi r2,r2,4 - 3e18: 10800037 ldwio r2,0(r2) + 3e14: e0bffc17 ldw r2,-16(fp) + 3e18: 10800104 addi r2,r2,4 + 3e1c: 10800037 ldwio r2,0(r2) } } if (control & ALTERA_AVALON_JTAG_UART_CONTROL_WI_MSK) - 3e1c: e0bffd17 ldw r2,-12(fp) - 3e20: 1080800c andi r2,r2,512 - 3e24: 103fbe26 beq r2,zero,3d20 <__alt_data_end+0xfffe3d20> + 3e20: e0bffd17 ldw r2,-12(fp) + 3e24: 1080800c andi r2,r2,512 + 3e28: 103fbe26 beq r2,zero,3d24 <__alt_data_end+0xfffe3d24> { /* process a write irq */ unsigned int space = (control & ALTERA_AVALON_JTAG_UART_CONTROL_WSPACE_MSK) >> ALTERA_AVALON_JTAG_UART_CONTROL_WSPACE_OFST; - 3e28: e0bffd17 ldw r2,-12(fp) - 3e2c: 1004d43a srli r2,r2,16 - 3e30: e0bffa15 stw r2,-24(fp) + 3e2c: e0bffd17 ldw r2,-12(fp) + 3e30: 1004d43a srli r2,r2,16 + 3e34: e0bffa15 stw r2,-24(fp) while (space > 0 && sp->tx_out != sp->tx_in) - 3e34: 00001406 br 3e88 + 3e38: 00001406 br 3e8c { IOWR_ALTERA_AVALON_JTAG_UART_DATA(base, sp->tx_buf[sp->tx_out]); - 3e38: e0bffc17 ldw r2,-16(fp) - 3e3c: e0fffb17 ldw r3,-20(fp) - 3e40: 18c00d17 ldw r3,52(r3) - 3e44: e13ffb17 ldw r4,-20(fp) - 3e48: 20c7883a add r3,r4,r3 - 3e4c: 18c20e04 addi r3,r3,2104 - 3e50: 18c00003 ldbu r3,0(r3) - 3e54: 18c03fcc andi r3,r3,255 - 3e58: 18c0201c xori r3,r3,128 - 3e5c: 18ffe004 addi r3,r3,-128 - 3e60: 10c00035 stwio r3,0(r2) + 3e3c: e0bffc17 ldw r2,-16(fp) + 3e40: e0fffb17 ldw r3,-20(fp) + 3e44: 18c00d17 ldw r3,52(r3) + 3e48: e13ffb17 ldw r4,-20(fp) + 3e4c: 20c7883a add r3,r4,r3 + 3e50: 18c20e04 addi r3,r3,2104 + 3e54: 18c00003 ldbu r3,0(r3) + 3e58: 18c03fcc andi r3,r3,255 + 3e5c: 18c0201c xori r3,r3,128 + 3e60: 18ffe004 addi r3,r3,-128 + 3e64: 10c00035 stwio r3,0(r2) sp->tx_out = (sp->tx_out + 1) % ALTERA_AVALON_JTAG_UART_BUF_LEN; - 3e64: e0bffb17 ldw r2,-20(fp) - 3e68: 10800d17 ldw r2,52(r2) - 3e6c: 10800044 addi r2,r2,1 - 3e70: 10c1ffcc andi r3,r2,2047 - 3e74: e0bffb17 ldw r2,-20(fp) - 3e78: 10c00d15 stw r3,52(r2) + 3e68: e0bffb17 ldw r2,-20(fp) + 3e6c: 10800d17 ldw r2,52(r2) + 3e70: 10800044 addi r2,r2,1 + 3e74: 10c1ffcc andi r3,r2,2047 + 3e78: e0bffb17 ldw r2,-20(fp) + 3e7c: 10c00d15 stw r3,52(r2) /* Post an event to notify jtag_uart_write that a character has been written */ ALT_FLAG_POST (sp->events, ALT_JTAG_UART_WRITE_RDY, OS_FLAG_SET); space--; - 3e7c: e0bffa17 ldw r2,-24(fp) - 3e80: 10bfffc4 addi r2,r2,-1 - 3e84: e0bffa15 stw r2,-24(fp) + 3e80: e0bffa17 ldw r2,-24(fp) + 3e84: 10bfffc4 addi r2,r2,-1 + 3e88: e0bffa15 stw r2,-24(fp) if (control & ALTERA_AVALON_JTAG_UART_CONTROL_WI_MSK) { /* process a write irq */ unsigned int space = (control & ALTERA_AVALON_JTAG_UART_CONTROL_WSPACE_MSK) >> ALTERA_AVALON_JTAG_UART_CONTROL_WSPACE_OFST; while (space > 0 && sp->tx_out != sp->tx_in) - 3e88: e0bffa17 ldw r2,-24(fp) - 3e8c: 10000526 beq r2,zero,3ea4 - 3e90: e0bffb17 ldw r2,-20(fp) - 3e94: 10c00d17 ldw r3,52(r2) - 3e98: e0bffb17 ldw r2,-20(fp) - 3e9c: 10800c17 ldw r2,48(r2) - 3ea0: 18bfe51e bne r3,r2,3e38 <__alt_data_end+0xfffe3e38> + 3e8c: e0bffa17 ldw r2,-24(fp) + 3e90: 10000526 beq r2,zero,3ea8 + 3e94: e0bffb17 ldw r2,-20(fp) + 3e98: 10c00d17 ldw r3,52(r2) + 3e9c: e0bffb17 ldw r2,-20(fp) + 3ea0: 10800c17 ldw r2,48(r2) + 3ea4: 18bfe51e bne r3,r2,3e3c <__alt_data_end+0xfffe3e3c> ALT_FLAG_POST (sp->events, ALT_JTAG_UART_WRITE_RDY, OS_FLAG_SET); space--; } if (space > 0) - 3ea4: e0bffa17 ldw r2,-24(fp) - 3ea8: 103f9d26 beq r2,zero,3d20 <__alt_data_end+0xfffe3d20> + 3ea8: e0bffa17 ldw r2,-24(fp) + 3eac: 103f9d26 beq r2,zero,3d24 <__alt_data_end+0xfffe3d24> { /* If we don't have any more data available then turn off the TX interrupt */ sp->irq_enable &= ~ALTERA_AVALON_JTAG_UART_CONTROL_WE_MSK; - 3eac: e0bffb17 ldw r2,-20(fp) - 3eb0: 10c00817 ldw r3,32(r2) - 3eb4: 00bfff44 movi r2,-3 - 3eb8: 1886703a and r3,r3,r2 - 3ebc: e0bffb17 ldw r2,-20(fp) - 3ec0: 10c00815 stw r3,32(r2) + 3eb0: e0bffb17 ldw r2,-20(fp) + 3eb4: 10c00817 ldw r3,32(r2) + 3eb8: 00bfff44 movi r2,-3 + 3ebc: 1886703a and r3,r3,r2 + 3ec0: e0bffb17 ldw r2,-20(fp) + 3ec4: 10c00815 stw r3,32(r2) IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base, sp->irq_enable); - 3ec4: e0bffb17 ldw r2,-20(fp) - 3ec8: 10800017 ldw r2,0(r2) - 3ecc: 10800104 addi r2,r2,4 - 3ed0: 1007883a mov r3,r2 - 3ed4: e0bffb17 ldw r2,-20(fp) - 3ed8: 10800817 ldw r2,32(r2) - 3edc: 18800035 stwio r2,0(r3) + 3ec8: e0bffb17 ldw r2,-20(fp) + 3ecc: 10800017 ldw r2,0(r2) + 3ed0: 10800104 addi r2,r2,4 + 3ed4: 1007883a mov r3,r2 + 3ed8: e0bffb17 ldw r2,-20(fp) + 3edc: 10800817 ldw r2,32(r2) + 3ee0: 18800035 stwio r2,0(r3) /* Dummy read to ensure IRQ is cleared prior to ISR completion */ IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base); - 3ee0: e0bffc17 ldw r2,-16(fp) - 3ee4: 10800104 addi r2,r2,4 - 3ee8: 10800037 ldwio r2,0(r2) + 3ee4: e0bffc17 ldw r2,-16(fp) + 3ee8: 10800104 addi r2,r2,4 + 3eec: 10800037 ldwio r2,0(r2) } } } - 3eec: 003f8c06 br 3d20 <__alt_data_end+0xfffe3d20> + 3ef0: 003f8c06 br 3d24 <__alt_data_end+0xfffe3d24> { unsigned int control = IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base); /* Return once nothing more to do */ if ((control & (ALTERA_AVALON_JTAG_UART_CONTROL_RI_MSK | ALTERA_AVALON_JTAG_UART_CONTROL_WI_MSK)) == 0) break; - 3ef0: 0001883a nop + 3ef4: 0001883a nop /* Dummy read to ensure IRQ is cleared prior to ISR completion */ IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base); } } } } - 3ef4: 0001883a nop - 3ef8: e037883a mov sp,fp - 3efc: df000017 ldw fp,0(sp) - 3f00: dec00104 addi sp,sp,4 - 3f04: f800283a ret + 3ef8: 0001883a nop + 3efc: e037883a mov sp,fp + 3f00: df000017 ldw fp,0(sp) + 3f04: dec00104 addi sp,sp,4 + 3f08: f800283a ret -00003f08 : +00003f0c : * Timeout routine is called every second */ static alt_u32 altera_avalon_jtag_uart_timeout(void* context) { - 3f08: defff804 addi sp,sp,-32 - 3f0c: df000715 stw fp,28(sp) - 3f10: df000704 addi fp,sp,28 - 3f14: e13ffb15 stw r4,-20(fp) + 3f0c: defff804 addi sp,sp,-32 + 3f10: df000715 stw fp,28(sp) + 3f14: df000704 addi fp,sp,28 + 3f18: e13ffb15 stw r4,-20(fp) altera_avalon_jtag_uart_state* sp = (altera_avalon_jtag_uart_state *) context; - 3f18: e0bffb17 ldw r2,-20(fp) - 3f1c: e0bff915 stw r2,-28(fp) + 3f1c: e0bffb17 ldw r2,-20(fp) + 3f20: e0bff915 stw r2,-28(fp) unsigned int control = IORD_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base); - 3f20: e0bff917 ldw r2,-28(fp) - 3f24: 10800017 ldw r2,0(r2) - 3f28: 10800104 addi r2,r2,4 - 3f2c: 10800037 ldwio r2,0(r2) - 3f30: e0bffa15 stw r2,-24(fp) + 3f24: e0bff917 ldw r2,-28(fp) + 3f28: 10800017 ldw r2,0(r2) + 3f2c: 10800104 addi r2,r2,4 + 3f30: 10800037 ldwio r2,0(r2) + 3f34: e0bffa15 stw r2,-24(fp) if (control & ALTERA_AVALON_JTAG_UART_CONTROL_AC_MSK) - 3f34: e0bffa17 ldw r2,-24(fp) - 3f38: 1081000c andi r2,r2,1024 - 3f3c: 10000b26 beq r2,zero,3f6c + 3f38: e0bffa17 ldw r2,-24(fp) + 3f3c: 1081000c andi r2,r2,1024 + 3f40: 10000b26 beq r2,zero,3f70 { IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base, sp->irq_enable | ALTERA_AVALON_JTAG_UART_CONTROL_AC_MSK); - 3f40: e0bff917 ldw r2,-28(fp) - 3f44: 10800017 ldw r2,0(r2) - 3f48: 10800104 addi r2,r2,4 - 3f4c: 1007883a mov r3,r2 - 3f50: e0bff917 ldw r2,-28(fp) - 3f54: 10800817 ldw r2,32(r2) - 3f58: 10810014 ori r2,r2,1024 - 3f5c: 18800035 stwio r2,0(r3) + 3f44: e0bff917 ldw r2,-28(fp) + 3f48: 10800017 ldw r2,0(r2) + 3f4c: 10800104 addi r2,r2,4 + 3f50: 1007883a mov r3,r2 + 3f54: e0bff917 ldw r2,-28(fp) + 3f58: 10800817 ldw r2,32(r2) + 3f5c: 10810014 ori r2,r2,1024 + 3f60: 18800035 stwio r2,0(r3) sp->host_inactive = 0; - 3f60: e0bff917 ldw r2,-28(fp) - 3f64: 10000915 stw zero,36(r2) - 3f68: 00000a06 br 3f94 + 3f64: e0bff917 ldw r2,-28(fp) + 3f68: 10000915 stw zero,36(r2) + 3f6c: 00000a06 br 3f98 } else if (sp->host_inactive < INT_MAX - 2) { - 3f6c: e0bff917 ldw r2,-28(fp) - 3f70: 10c00917 ldw r3,36(r2) - 3f74: 00a00034 movhi r2,32768 - 3f78: 10bfff04 addi r2,r2,-4 - 3f7c: 10c00536 bltu r2,r3,3f94 + 3f70: e0bff917 ldw r2,-28(fp) + 3f74: 10c00917 ldw r3,36(r2) + 3f78: 00a00034 movhi r2,32768 + 3f7c: 10bfff04 addi r2,r2,-4 + 3f80: 10c00536 bltu r2,r3,3f98 sp->host_inactive++; - 3f80: e0bff917 ldw r2,-28(fp) - 3f84: 10800917 ldw r2,36(r2) - 3f88: 10c00044 addi r3,r2,1 - 3f8c: e0bff917 ldw r2,-28(fp) - 3f90: 10c00915 stw r3,36(r2) - 3f94: d0a01617 ldw r2,-32680(gp) + 3f84: e0bff917 ldw r2,-28(fp) + 3f88: 10800917 ldw r2,36(r2) + 3f8c: 10c00044 addi r3,r2,1 + 3f90: e0bff917 ldw r2,-28(fp) + 3f94: 10c00915 stw r3,36(r2) + 3f98: d0a01617 ldw r2,-32680(gp) ALT_FLAG_POST (sp->events, ALT_JTAG_UART_TIMEOUT, OS_FLAG_SET); } } return alt_ticks_per_second(); } - 3f98: e037883a mov sp,fp - 3f9c: df000017 ldw fp,0(sp) - 3fa0: dec00104 addi sp,sp,4 - 3fa4: f800283a ret + 3f9c: e037883a mov sp,fp + 3fa0: df000017 ldw fp,0(sp) + 3fa4: dec00104 addi sp,sp,4 + 3fa8: f800283a ret -00003fa8 : +00003fac : * The close routine is not implemented for the small driver; instead it will * map to null. This is because the small driver simply waits while characters * are transmitted; there is no interrupt-serviced buffer to empty */ int altera_avalon_jtag_uart_close(altera_avalon_jtag_uart_state* sp, int flags) { - 3fa8: defffd04 addi sp,sp,-12 - 3fac: df000215 stw fp,8(sp) - 3fb0: df000204 addi fp,sp,8 - 3fb4: e13ffe15 stw r4,-8(fp) - 3fb8: e17fff15 stw r5,-4(fp) + 3fac: defffd04 addi sp,sp,-12 + 3fb0: df000215 stw fp,8(sp) + 3fb4: df000204 addi fp,sp,8 + 3fb8: e13ffe15 stw r4,-8(fp) + 3fbc: e17fff15 stw r5,-4(fp) /* * Wait for all transmit data to be emptied by the JTAG UART ISR, or * for a host-inactivity timeout, in which case transmit data will be lost */ while ( (sp->tx_out != sp->tx_in) && (sp->host_inactive < sp->timeout) ) { - 3fbc: 00000506 br 3fd4 + 3fc0: 00000506 br 3fd8 if (flags & O_NONBLOCK) { - 3fc0: e0bfff17 ldw r2,-4(fp) - 3fc4: 1090000c andi r2,r2,16384 - 3fc8: 10000226 beq r2,zero,3fd4 + 3fc4: e0bfff17 ldw r2,-4(fp) + 3fc8: 1090000c andi r2,r2,16384 + 3fcc: 10000226 beq r2,zero,3fd8 return -EWOULDBLOCK; - 3fcc: 00bffd44 movi r2,-11 - 3fd0: 00000b06 br 4000 + 3fd0: 00bffd44 movi r2,-11 + 3fd4: 00000b06 br 4004 { /* * Wait for all transmit data to be emptied by the JTAG UART ISR, or * for a host-inactivity timeout, in which case transmit data will be lost */ while ( (sp->tx_out != sp->tx_in) && (sp->host_inactive < sp->timeout) ) { - 3fd4: e0bffe17 ldw r2,-8(fp) - 3fd8: 10c00d17 ldw r3,52(r2) - 3fdc: e0bffe17 ldw r2,-8(fp) - 3fe0: 10800c17 ldw r2,48(r2) - 3fe4: 18800526 beq r3,r2,3ffc - 3fe8: e0bffe17 ldw r2,-8(fp) - 3fec: 10c00917 ldw r3,36(r2) - 3ff0: e0bffe17 ldw r2,-8(fp) - 3ff4: 10800117 ldw r2,4(r2) - 3ff8: 18bff136 bltu r3,r2,3fc0 <__alt_data_end+0xfffe3fc0> + 3fd8: e0bffe17 ldw r2,-8(fp) + 3fdc: 10c00d17 ldw r3,52(r2) + 3fe0: e0bffe17 ldw r2,-8(fp) + 3fe4: 10800c17 ldw r2,48(r2) + 3fe8: 18800526 beq r3,r2,4000 + 3fec: e0bffe17 ldw r2,-8(fp) + 3ff0: 10c00917 ldw r3,36(r2) + 3ff4: e0bffe17 ldw r2,-8(fp) + 3ff8: 10800117 ldw r2,4(r2) + 3ffc: 18bff136 bltu r3,r2,3fc4 <__alt_data_end+0xfffe3fc4> if (flags & O_NONBLOCK) { return -EWOULDBLOCK; } } return 0; - 3ffc: 0005883a mov r2,zero + 4000: 0005883a mov r2,zero } - 4000: e037883a mov sp,fp - 4004: df000017 ldw fp,0(sp) - 4008: dec00104 addi sp,sp,4 - 400c: f800283a ret + 4004: e037883a mov sp,fp + 4008: df000017 ldw fp,0(sp) + 400c: dec00104 addi sp,sp,4 + 4010: f800283a ret -00004010 : +00004014 : /* ----------------------------------------------------------- */ int altera_avalon_jtag_uart_ioctl(altera_avalon_jtag_uart_state* sp, int req, void* arg) { - 4010: defffa04 addi sp,sp,-24 - 4014: df000515 stw fp,20(sp) - 4018: df000504 addi fp,sp,20 - 401c: e13ffd15 stw r4,-12(fp) - 4020: e17ffe15 stw r5,-8(fp) - 4024: e1bfff15 stw r6,-4(fp) + 4014: defffa04 addi sp,sp,-24 + 4018: df000515 stw fp,20(sp) + 401c: df000504 addi fp,sp,20 + 4020: e13ffd15 stw r4,-12(fp) + 4024: e17ffe15 stw r5,-8(fp) + 4028: e1bfff15 stw r6,-4(fp) int rc = -ENOTTY; - 4028: 00bff9c4 movi r2,-25 - 402c: e0bffb15 stw r2,-20(fp) + 402c: 00bff9c4 movi r2,-25 + 4030: e0bffb15 stw r2,-20(fp) switch (req) - 4030: e0bffe17 ldw r2,-8(fp) - 4034: 10da8060 cmpeqi r3,r2,27137 - 4038: 1800031e bne r3,zero,4048 - 403c: 109a80a0 cmpeqi r2,r2,27138 - 4040: 1000181e bne r2,zero,40a4 + 4034: e0bffe17 ldw r2,-8(fp) + 4038: 10da8060 cmpeqi r3,r2,27137 + 403c: 1800031e bne r3,zero,404c + 4040: 109a80a0 cmpeqi r2,r2,27138 + 4044: 1000181e bne r2,zero,40a8 rc = 0; } break; default: break; - 4044: 00002906 br 40ec + 4048: 00002906 br 40f0 switch (req) { case TIOCSTIMEOUT: /* Set the time to wait until assuming host is not connected */ if (sp->timeout != INT_MAX) - 4048: e0bffd17 ldw r2,-12(fp) - 404c: 10c00117 ldw r3,4(r2) - 4050: 00a00034 movhi r2,32768 - 4054: 10bfffc4 addi r2,r2,-1 - 4058: 18802126 beq r3,r2,40e0 + 404c: e0bffd17 ldw r2,-12(fp) + 4050: 10c00117 ldw r3,4(r2) + 4054: 00a00034 movhi r2,32768 + 4058: 10bfffc4 addi r2,r2,-1 + 405c: 18802126 beq r3,r2,40e4 { int timeout = *((int *)arg); - 405c: e0bfff17 ldw r2,-4(fp) - 4060: 10800017 ldw r2,0(r2) - 4064: e0bffc15 stw r2,-16(fp) + 4060: e0bfff17 ldw r2,-4(fp) + 4064: 10800017 ldw r2,0(r2) + 4068: e0bffc15 stw r2,-16(fp) sp->timeout = (timeout >= 2 && timeout < INT_MAX) ? timeout : INT_MAX - 1; - 4068: e0bffc17 ldw r2,-16(fp) - 406c: 10800090 cmplti r2,r2,2 - 4070: 1000061e bne r2,zero,408c - 4074: e0fffc17 ldw r3,-16(fp) - 4078: 00a00034 movhi r2,32768 - 407c: 10bfffc4 addi r2,r2,-1 - 4080: 18800226 beq r3,r2,408c - 4084: e0bffc17 ldw r2,-16(fp) - 4088: 00000206 br 4094 - 408c: 00a00034 movhi r2,32768 - 4090: 10bfff84 addi r2,r2,-2 - 4094: e0fffd17 ldw r3,-12(fp) - 4098: 18800115 stw r2,4(r3) + 406c: e0bffc17 ldw r2,-16(fp) + 4070: 10800090 cmplti r2,r2,2 + 4074: 1000061e bne r2,zero,4090 + 4078: e0fffc17 ldw r3,-16(fp) + 407c: 00a00034 movhi r2,32768 + 4080: 10bfffc4 addi r2,r2,-1 + 4084: 18800226 beq r3,r2,4090 + 4088: e0bffc17 ldw r2,-16(fp) + 408c: 00000206 br 4098 + 4090: 00a00034 movhi r2,32768 + 4094: 10bfff84 addi r2,r2,-2 + 4098: e0fffd17 ldw r3,-12(fp) + 409c: 18800115 stw r2,4(r3) rc = 0; - 409c: e03ffb15 stw zero,-20(fp) + 40a0: e03ffb15 stw zero,-20(fp) } break; - 40a0: 00000f06 br 40e0 + 40a4: 00000f06 br 40e4 case TIOCGCONNECTED: /* Find out whether host is connected */ if (sp->timeout != INT_MAX) - 40a4: e0bffd17 ldw r2,-12(fp) - 40a8: 10c00117 ldw r3,4(r2) - 40ac: 00a00034 movhi r2,32768 - 40b0: 10bfffc4 addi r2,r2,-1 - 40b4: 18800c26 beq r3,r2,40e8 + 40a8: e0bffd17 ldw r2,-12(fp) + 40ac: 10c00117 ldw r3,4(r2) + 40b0: 00a00034 movhi r2,32768 + 40b4: 10bfffc4 addi r2,r2,-1 + 40b8: 18800c26 beq r3,r2,40ec { *((int *)arg) = (sp->host_inactive < sp->timeout) ? 1 : 0; - 40b8: e0bffd17 ldw r2,-12(fp) - 40bc: 10c00917 ldw r3,36(r2) - 40c0: e0bffd17 ldw r2,-12(fp) - 40c4: 10800117 ldw r2,4(r2) - 40c8: 1885803a cmpltu r2,r3,r2 - 40cc: 10c03fcc andi r3,r2,255 - 40d0: e0bfff17 ldw r2,-4(fp) - 40d4: 10c00015 stw r3,0(r2) + 40bc: e0bffd17 ldw r2,-12(fp) + 40c0: 10c00917 ldw r3,36(r2) + 40c4: e0bffd17 ldw r2,-12(fp) + 40c8: 10800117 ldw r2,4(r2) + 40cc: 1885803a cmpltu r2,r3,r2 + 40d0: 10c03fcc andi r3,r2,255 + 40d4: e0bfff17 ldw r2,-4(fp) + 40d8: 10c00015 stw r3,0(r2) rc = 0; - 40d8: e03ffb15 stw zero,-20(fp) + 40dc: e03ffb15 stw zero,-20(fp) } break; - 40dc: 00000206 br 40e8 + 40e0: 00000206 br 40ec { int timeout = *((int *)arg); sp->timeout = (timeout >= 2 && timeout < INT_MAX) ? timeout : INT_MAX - 1; rc = 0; } break; - 40e0: 0001883a nop - 40e4: 00000106 br 40ec + 40e4: 0001883a nop + 40e8: 00000106 br 40f0 if (sp->timeout != INT_MAX) { *((int *)arg) = (sp->host_inactive < sp->timeout) ? 1 : 0; rc = 0; } break; - 40e8: 0001883a nop + 40ec: 0001883a nop default: break; } return rc; - 40ec: e0bffb17 ldw r2,-20(fp) + 40f0: e0bffb17 ldw r2,-20(fp) } - 40f0: e037883a mov sp,fp - 40f4: df000017 ldw fp,0(sp) - 40f8: dec00104 addi sp,sp,4 - 40fc: f800283a ret + 40f4: e037883a mov sp,fp + 40f8: df000017 ldw fp,0(sp) + 40fc: dec00104 addi sp,sp,4 + 4100: f800283a ret -00004100 : +00004104 : /* ----------------------------------------------------------- */ int altera_avalon_jtag_uart_read(altera_avalon_jtag_uart_state* sp, char * buffer, int space, int flags) { - 4100: defff304 addi sp,sp,-52 - 4104: dfc00c15 stw ra,48(sp) - 4108: df000b15 stw fp,44(sp) - 410c: df000b04 addi fp,sp,44 - 4110: e13ffc15 stw r4,-16(fp) - 4114: e17ffd15 stw r5,-12(fp) - 4118: e1bffe15 stw r6,-8(fp) - 411c: e1ffff15 stw r7,-4(fp) + 4104: defff304 addi sp,sp,-52 + 4108: dfc00c15 stw ra,48(sp) + 410c: df000b15 stw fp,44(sp) + 4110: df000b04 addi fp,sp,44 + 4114: e13ffc15 stw r4,-16(fp) + 4118: e17ffd15 stw r5,-12(fp) + 411c: e1bffe15 stw r6,-8(fp) + 4120: e1ffff15 stw r7,-4(fp) char * ptr = buffer; - 4120: e0bffd17 ldw r2,-12(fp) - 4124: e0bff515 stw r2,-44(fp) + 4124: e0bffd17 ldw r2,-12(fp) + 4128: e0bff515 stw r2,-44(fp) * When running in a multi threaded environment, obtain the "read_lock" * semaphore. This ensures that reading from the device is thread-safe. */ ALT_SEM_PEND (sp->read_lock, 0); while (space > 0) - 4128: 00004706 br 4248 + 412c: 00004706 br 424c unsigned int in, out; /* Read as much data as possible */ do { in = sp->rx_in; - 412c: e0bffc17 ldw r2,-16(fp) - 4130: 10800a17 ldw r2,40(r2) - 4134: e0bff715 stw r2,-36(fp) + 4130: e0bffc17 ldw r2,-16(fp) + 4134: 10800a17 ldw r2,40(r2) + 4138: e0bff715 stw r2,-36(fp) out = sp->rx_out; - 4138: e0bffc17 ldw r2,-16(fp) - 413c: 10800b17 ldw r2,44(r2) - 4140: e0bff815 stw r2,-32(fp) + 413c: e0bffc17 ldw r2,-16(fp) + 4140: 10800b17 ldw r2,44(r2) + 4144: e0bff815 stw r2,-32(fp) if (in >= out) - 4144: e0fff717 ldw r3,-36(fp) - 4148: e0bff817 ldw r2,-32(fp) - 414c: 18800536 bltu r3,r2,4164 + 4148: e0fff717 ldw r3,-36(fp) + 414c: e0bff817 ldw r2,-32(fp) + 4150: 18800536 bltu r3,r2,4168 n = in - out; - 4150: e0fff717 ldw r3,-36(fp) - 4154: e0bff817 ldw r2,-32(fp) - 4158: 1885c83a sub r2,r3,r2 - 415c: e0bff615 stw r2,-40(fp) - 4160: 00000406 br 4174 + 4154: e0fff717 ldw r3,-36(fp) + 4158: e0bff817 ldw r2,-32(fp) + 415c: 1885c83a sub r2,r3,r2 + 4160: e0bff615 stw r2,-40(fp) + 4164: 00000406 br 4178 else n = ALTERA_AVALON_JTAG_UART_BUF_LEN - out; - 4164: 00c20004 movi r3,2048 - 4168: e0bff817 ldw r2,-32(fp) - 416c: 1885c83a sub r2,r3,r2 - 4170: e0bff615 stw r2,-40(fp) + 4168: 00c20004 movi r3,2048 + 416c: e0bff817 ldw r2,-32(fp) + 4170: 1885c83a sub r2,r3,r2 + 4174: e0bff615 stw r2,-40(fp) if (n == 0) - 4174: e0bff617 ldw r2,-40(fp) - 4178: 10001e26 beq r2,zero,41f4 + 4178: e0bff617 ldw r2,-40(fp) + 417c: 10001e26 beq r2,zero,41f8 break; /* No more data available */ if (n > space) - 417c: e0fffe17 ldw r3,-8(fp) - 4180: e0bff617 ldw r2,-40(fp) - 4184: 1880022e bgeu r3,r2,4190 + 4180: e0fffe17 ldw r3,-8(fp) + 4184: e0bff617 ldw r2,-40(fp) + 4188: 1880022e bgeu r3,r2,4194 n = space; - 4188: e0bffe17 ldw r2,-8(fp) - 418c: e0bff615 stw r2,-40(fp) + 418c: e0bffe17 ldw r2,-8(fp) + 4190: e0bff615 stw r2,-40(fp) memcpy(ptr, sp->rx_buf + out, n); - 4190: e0bffc17 ldw r2,-16(fp) - 4194: 10c00e04 addi r3,r2,56 - 4198: e0bff817 ldw r2,-32(fp) - 419c: 1885883a add r2,r3,r2 - 41a0: e1bff617 ldw r6,-40(fp) - 41a4: 100b883a mov r5,r2 - 41a8: e13ff517 ldw r4,-44(fp) - 41ac: 000178c0 call 178c + 4194: e0bffc17 ldw r2,-16(fp) + 4198: 10c00e04 addi r3,r2,56 + 419c: e0bff817 ldw r2,-32(fp) + 41a0: 1885883a add r2,r3,r2 + 41a4: e1bff617 ldw r6,-40(fp) + 41a8: 100b883a mov r5,r2 + 41ac: e13ff517 ldw r4,-44(fp) + 41b0: 00017900 call 1790 ptr += n; - 41b0: e0fff517 ldw r3,-44(fp) - 41b4: e0bff617 ldw r2,-40(fp) - 41b8: 1885883a add r2,r3,r2 - 41bc: e0bff515 stw r2,-44(fp) + 41b4: e0fff517 ldw r3,-44(fp) + 41b8: e0bff617 ldw r2,-40(fp) + 41bc: 1885883a add r2,r3,r2 + 41c0: e0bff515 stw r2,-44(fp) space -= n; - 41c0: e0fffe17 ldw r3,-8(fp) - 41c4: e0bff617 ldw r2,-40(fp) - 41c8: 1885c83a sub r2,r3,r2 - 41cc: e0bffe15 stw r2,-8(fp) + 41c4: e0fffe17 ldw r3,-8(fp) + 41c8: e0bff617 ldw r2,-40(fp) + 41cc: 1885c83a sub r2,r3,r2 + 41d0: e0bffe15 stw r2,-8(fp) sp->rx_out = (out + n) % ALTERA_AVALON_JTAG_UART_BUF_LEN; - 41d0: e0fff817 ldw r3,-32(fp) - 41d4: e0bff617 ldw r2,-40(fp) - 41d8: 1885883a add r2,r3,r2 - 41dc: 10c1ffcc andi r3,r2,2047 - 41e0: e0bffc17 ldw r2,-16(fp) - 41e4: 10c00b15 stw r3,44(r2) + 41d4: e0fff817 ldw r3,-32(fp) + 41d8: e0bff617 ldw r2,-40(fp) + 41dc: 1885883a add r2,r3,r2 + 41e0: 10c1ffcc andi r3,r2,2047 + 41e4: e0bffc17 ldw r2,-16(fp) + 41e8: 10c00b15 stw r3,44(r2) } while (space > 0); - 41e8: e0bffe17 ldw r2,-8(fp) - 41ec: 00bfcf16 blt zero,r2,412c <__alt_data_end+0xfffe412c> - 41f0: 00000106 br 41f8 + 41ec: e0bffe17 ldw r2,-8(fp) + 41f0: 00bfcf16 blt zero,r2,4130 <__alt_data_end+0xfffe4130> + 41f4: 00000106 br 41fc n = in - out; else n = ALTERA_AVALON_JTAG_UART_BUF_LEN - out; if (n == 0) break; /* No more data available */ - 41f4: 0001883a nop + 41f8: 0001883a nop sp->rx_out = (out + n) % ALTERA_AVALON_JTAG_UART_BUF_LEN; } while (space > 0); /* If we read any data then return it */ if (ptr != buffer) - 41f8: e0fff517 ldw r3,-44(fp) - 41fc: e0bffd17 ldw r2,-12(fp) - 4200: 1880141e bne r3,r2,4254 + 41fc: e0fff517 ldw r3,-44(fp) + 4200: e0bffd17 ldw r2,-12(fp) + 4204: 1880141e bne r3,r2,4258 break; /* If in non-blocking mode then return error */ if (flags & O_NONBLOCK) - 4204: e0bfff17 ldw r2,-4(fp) - 4208: 1090000c andi r2,r2,16384 - 420c: 1000131e bne r2,zero,425c + 4208: e0bfff17 ldw r2,-4(fp) + 420c: 1090000c andi r2,r2,16384 + 4210: 1000131e bne r2,zero,4260 while (in == sp->rx_in && sp->host_inactive < sp->timeout) ; } #else /* No OS: Always spin */ while (in == sp->rx_in && sp->host_inactive < sp->timeout) - 4210: 0001883a nop - 4214: e0bffc17 ldw r2,-16(fp) - 4218: 10c00a17 ldw r3,40(r2) - 421c: e0bff717 ldw r2,-36(fp) - 4220: 1880051e bne r3,r2,4238 - 4224: e0bffc17 ldw r2,-16(fp) - 4228: 10c00917 ldw r3,36(r2) - 422c: e0bffc17 ldw r2,-16(fp) - 4230: 10800117 ldw r2,4(r2) - 4234: 18bff736 bltu r3,r2,4214 <__alt_data_end+0xfffe4214> + 4214: 0001883a nop + 4218: e0bffc17 ldw r2,-16(fp) + 421c: 10c00a17 ldw r3,40(r2) + 4220: e0bff717 ldw r2,-36(fp) + 4224: 1880051e bne r3,r2,423c + 4228: e0bffc17 ldw r2,-16(fp) + 422c: 10c00917 ldw r3,36(r2) + 4230: e0bffc17 ldw r2,-16(fp) + 4234: 10800117 ldw r2,4(r2) + 4238: 18bff736 bltu r3,r2,4218 <__alt_data_end+0xfffe4218> ; #endif /* __ucosii__ */ if (in == sp->rx_in) - 4238: e0bffc17 ldw r2,-16(fp) - 423c: 10c00a17 ldw r3,40(r2) - 4240: e0bff717 ldw r2,-36(fp) - 4244: 18800726 beq r3,r2,4264 + 423c: e0bffc17 ldw r2,-16(fp) + 4240: 10c00a17 ldw r3,40(r2) + 4244: e0bff717 ldw r2,-36(fp) + 4248: 18800726 beq r3,r2,4268 * When running in a multi threaded environment, obtain the "read_lock" * semaphore. This ensures that reading from the device is thread-safe. */ ALT_SEM_PEND (sp->read_lock, 0); while (space > 0) - 4248: e0bffe17 ldw r2,-8(fp) - 424c: 00bfb716 blt zero,r2,412c <__alt_data_end+0xfffe412c> - 4250: 00000506 br 4268 + 424c: e0bffe17 ldw r2,-8(fp) + 4250: 00bfb716 blt zero,r2,4130 <__alt_data_end+0xfffe4130> + 4254: 00000506 br 426c } while (space > 0); /* If we read any data then return it */ if (ptr != buffer) break; - 4254: 0001883a nop - 4258: 00000306 br 4268 + 4258: 0001883a nop + 425c: 00000306 br 426c /* If in non-blocking mode then return error */ if (flags & O_NONBLOCK) break; - 425c: 0001883a nop - 4260: 00000106 br 4268 + 4260: 0001883a nop + 4264: 00000106 br 426c while (in == sp->rx_in && sp->host_inactive < sp->timeout) ; #endif /* __ucosii__ */ if (in == sp->rx_in) break; - 4264: 0001883a nop + 4268: 0001883a nop * semaphore so that other threads can access the buffer. */ ALT_SEM_POST (sp->read_lock); if (ptr != buffer) - 4268: e0fff517 ldw r3,-44(fp) - 426c: e0bffd17 ldw r2,-12(fp) - 4270: 18801826 beq r3,r2,42d4 + 426c: e0fff517 ldw r3,-44(fp) + 4270: e0bffd17 ldw r2,-12(fp) + 4274: 18801826 beq r3,r2,42d8 static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 4274: 0005303a rdctl r2,status - 4278: e0bffb15 stw r2,-20(fp) + 4278: 0005303a rdctl r2,status + 427c: e0bffb15 stw r2,-20(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 427c: e0fffb17 ldw r3,-20(fp) - 4280: 00bfff84 movi r2,-2 - 4284: 1884703a and r2,r3,r2 - 4288: 1001703a wrctl status,r2 + 4280: e0fffb17 ldw r3,-20(fp) + 4284: 00bfff84 movi r2,-2 + 4288: 1884703a and r2,r3,r2 + 428c: 1001703a wrctl status,r2 return context; - 428c: e0bffb17 ldw r2,-20(fp) + 4290: e0bffb17 ldw r2,-20(fp) { /* If we read any data then there is space in the buffer so enable interrupts */ context = alt_irq_disable_all(); - 4290: e0bffa15 stw r2,-24(fp) + 4294: e0bffa15 stw r2,-24(fp) sp->irq_enable |= ALTERA_AVALON_JTAG_UART_CONTROL_RE_MSK; - 4294: e0bffc17 ldw r2,-16(fp) - 4298: 10800817 ldw r2,32(r2) - 429c: 10c00054 ori r3,r2,1 - 42a0: e0bffc17 ldw r2,-16(fp) - 42a4: 10c00815 stw r3,32(r2) + 4298: e0bffc17 ldw r2,-16(fp) + 429c: 10800817 ldw r2,32(r2) + 42a0: 10c00054 ori r3,r2,1 + 42a4: e0bffc17 ldw r2,-16(fp) + 42a8: 10c00815 stw r3,32(r2) IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base, sp->irq_enable); - 42a8: e0bffc17 ldw r2,-16(fp) - 42ac: 10800017 ldw r2,0(r2) - 42b0: 10800104 addi r2,r2,4 - 42b4: 1007883a mov r3,r2 - 42b8: e0bffc17 ldw r2,-16(fp) - 42bc: 10800817 ldw r2,32(r2) - 42c0: 18800035 stwio r2,0(r3) - 42c4: e0bffa17 ldw r2,-24(fp) - 42c8: e0bff915 stw r2,-28(fp) + 42ac: e0bffc17 ldw r2,-16(fp) + 42b0: 10800017 ldw r2,0(r2) + 42b4: 10800104 addi r2,r2,4 + 42b8: 1007883a mov r3,r2 + 42bc: e0bffc17 ldw r2,-16(fp) + 42c0: 10800817 ldw r2,32(r2) + 42c4: 18800035 stwio r2,0(r3) + 42c8: e0bffa17 ldw r2,-24(fp) + 42cc: e0bff915 stw r2,-28(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 42cc: e0bff917 ldw r2,-28(fp) - 42d0: 1001703a wrctl status,r2 + 42d0: e0bff917 ldw r2,-28(fp) + 42d4: 1001703a wrctl status,r2 alt_irq_enable_all(context); } if (ptr != buffer) - 42d4: e0fff517 ldw r3,-44(fp) - 42d8: e0bffd17 ldw r2,-12(fp) - 42dc: 18800426 beq r3,r2,42f0 + 42d8: e0fff517 ldw r3,-44(fp) + 42dc: e0bffd17 ldw r2,-12(fp) + 42e0: 18800426 beq r3,r2,42f4 return ptr - buffer; - 42e0: e0fff517 ldw r3,-44(fp) - 42e4: e0bffd17 ldw r2,-12(fp) - 42e8: 1885c83a sub r2,r3,r2 - 42ec: 00000606 br 4308 + 42e4: e0fff517 ldw r3,-44(fp) + 42e8: e0bffd17 ldw r2,-12(fp) + 42ec: 1885c83a sub r2,r3,r2 + 42f0: 00000606 br 430c else if (flags & O_NONBLOCK) - 42f0: e0bfff17 ldw r2,-4(fp) - 42f4: 1090000c andi r2,r2,16384 - 42f8: 10000226 beq r2,zero,4304 + 42f4: e0bfff17 ldw r2,-4(fp) + 42f8: 1090000c andi r2,r2,16384 + 42fc: 10000226 beq r2,zero,4308 return -EWOULDBLOCK; - 42fc: 00bffd44 movi r2,-11 - 4300: 00000106 br 4308 + 4300: 00bffd44 movi r2,-11 + 4304: 00000106 br 430c else return -EIO; - 4304: 00bffec4 movi r2,-5 + 4308: 00bffec4 movi r2,-5 } - 4308: e037883a mov sp,fp - 430c: dfc00117 ldw ra,4(sp) - 4310: df000017 ldw fp,0(sp) - 4314: dec00204 addi sp,sp,8 - 4318: f800283a ret + 430c: e037883a mov sp,fp + 4310: dfc00117 ldw ra,4(sp) + 4314: df000017 ldw fp,0(sp) + 4318: dec00204 addi sp,sp,8 + 431c: f800283a ret -0000431c : +00004320 : /* ----------------------------------------------------------- */ int altera_avalon_jtag_uart_write(altera_avalon_jtag_uart_state* sp, const char * ptr, int count, int flags) { - 431c: defff304 addi sp,sp,-52 - 4320: dfc00c15 stw ra,48(sp) - 4324: df000b15 stw fp,44(sp) - 4328: df000b04 addi fp,sp,44 - 432c: e13ffc15 stw r4,-16(fp) - 4330: e17ffd15 stw r5,-12(fp) - 4334: e1bffe15 stw r6,-8(fp) - 4338: e1ffff15 stw r7,-4(fp) + 4320: defff304 addi sp,sp,-52 + 4324: dfc00c15 stw ra,48(sp) + 4328: df000b15 stw fp,44(sp) + 432c: df000b04 addi fp,sp,44 + 4330: e13ffc15 stw r4,-16(fp) + 4334: e17ffd15 stw r5,-12(fp) + 4338: e1bffe15 stw r6,-8(fp) + 433c: e1ffff15 stw r7,-4(fp) /* Remove warning at optimisation level 03 by seting out to 0 */ unsigned int in, out=0; - 433c: e03ff515 stw zero,-44(fp) + 4340: e03ff515 stw zero,-44(fp) unsigned int n; alt_irq_context context; const char * start = ptr; - 4340: e0bffd17 ldw r2,-12(fp) - 4344: e0bff715 stw r2,-36(fp) + 4344: e0bffd17 ldw r2,-12(fp) + 4348: e0bff715 stw r2,-36(fp) ALT_SEM_PEND (sp->write_lock, 0); do { /* Copy as much as we can into the transmit buffer */ while (count > 0) - 4348: 00003706 br 4428 + 434c: 00003706 br 442c { /* We need a stable value of the out pointer to calculate the space available */ in = sp->tx_in; - 434c: e0bffc17 ldw r2,-16(fp) - 4350: 10800c17 ldw r2,48(r2) - 4354: e0bff915 stw r2,-28(fp) + 4350: e0bffc17 ldw r2,-16(fp) + 4354: 10800c17 ldw r2,48(r2) + 4358: e0bff915 stw r2,-28(fp) out = sp->tx_out; - 4358: e0bffc17 ldw r2,-16(fp) - 435c: 10800d17 ldw r2,52(r2) - 4360: e0bff515 stw r2,-44(fp) + 435c: e0bffc17 ldw r2,-16(fp) + 4360: 10800d17 ldw r2,52(r2) + 4364: e0bff515 stw r2,-44(fp) if (in < out) - 4364: e0fff917 ldw r3,-28(fp) - 4368: e0bff517 ldw r2,-44(fp) - 436c: 1880062e bgeu r3,r2,4388 + 4368: e0fff917 ldw r3,-28(fp) + 436c: e0bff517 ldw r2,-44(fp) + 4370: 1880062e bgeu r3,r2,438c n = out - 1 - in; - 4370: e0fff517 ldw r3,-44(fp) - 4374: e0bff917 ldw r2,-28(fp) - 4378: 1885c83a sub r2,r3,r2 - 437c: 10bfffc4 addi r2,r2,-1 - 4380: e0bff615 stw r2,-40(fp) - 4384: 00000b06 br 43b4 + 4374: e0fff517 ldw r3,-44(fp) + 4378: e0bff917 ldw r2,-28(fp) + 437c: 1885c83a sub r2,r3,r2 + 4380: 10bfffc4 addi r2,r2,-1 + 4384: e0bff615 stw r2,-40(fp) + 4388: 00000b06 br 43b8 else if (out > 0) - 4388: e0bff517 ldw r2,-44(fp) - 438c: 10000526 beq r2,zero,43a4 + 438c: e0bff517 ldw r2,-44(fp) + 4390: 10000526 beq r2,zero,43a8 n = ALTERA_AVALON_JTAG_UART_BUF_LEN - in; - 4390: 00c20004 movi r3,2048 - 4394: e0bff917 ldw r2,-28(fp) - 4398: 1885c83a sub r2,r3,r2 - 439c: e0bff615 stw r2,-40(fp) - 43a0: 00000406 br 43b4 + 4394: 00c20004 movi r3,2048 + 4398: e0bff917 ldw r2,-28(fp) + 439c: 1885c83a sub r2,r3,r2 + 43a0: e0bff615 stw r2,-40(fp) + 43a4: 00000406 br 43b8 else n = ALTERA_AVALON_JTAG_UART_BUF_LEN - 1 - in; - 43a4: 00c1ffc4 movi r3,2047 - 43a8: e0bff917 ldw r2,-28(fp) - 43ac: 1885c83a sub r2,r3,r2 - 43b0: e0bff615 stw r2,-40(fp) + 43a8: 00c1ffc4 movi r3,2047 + 43ac: e0bff917 ldw r2,-28(fp) + 43b0: 1885c83a sub r2,r3,r2 + 43b4: e0bff615 stw r2,-40(fp) if (n == 0) - 43b4: e0bff617 ldw r2,-40(fp) - 43b8: 10001e26 beq r2,zero,4434 + 43b8: e0bff617 ldw r2,-40(fp) + 43bc: 10001e26 beq r2,zero,4438 break; if (n > count) - 43bc: e0fffe17 ldw r3,-8(fp) - 43c0: e0bff617 ldw r2,-40(fp) - 43c4: 1880022e bgeu r3,r2,43d0 + 43c0: e0fffe17 ldw r3,-8(fp) + 43c4: e0bff617 ldw r2,-40(fp) + 43c8: 1880022e bgeu r3,r2,43d4 n = count; - 43c8: e0bffe17 ldw r2,-8(fp) - 43cc: e0bff615 stw r2,-40(fp) + 43cc: e0bffe17 ldw r2,-8(fp) + 43d0: e0bff615 stw r2,-40(fp) memcpy(sp->tx_buf + in, ptr, n); - 43d0: e0bffc17 ldw r2,-16(fp) - 43d4: 10c20e04 addi r3,r2,2104 - 43d8: e0bff917 ldw r2,-28(fp) - 43dc: 1885883a add r2,r3,r2 - 43e0: e1bff617 ldw r6,-40(fp) - 43e4: e17ffd17 ldw r5,-12(fp) - 43e8: 1009883a mov r4,r2 - 43ec: 000178c0 call 178c + 43d4: e0bffc17 ldw r2,-16(fp) + 43d8: 10c20e04 addi r3,r2,2104 + 43dc: e0bff917 ldw r2,-28(fp) + 43e0: 1885883a add r2,r3,r2 + 43e4: e1bff617 ldw r6,-40(fp) + 43e8: e17ffd17 ldw r5,-12(fp) + 43ec: 1009883a mov r4,r2 + 43f0: 00017900 call 1790 ptr += n; - 43f0: e0fffd17 ldw r3,-12(fp) - 43f4: e0bff617 ldw r2,-40(fp) - 43f8: 1885883a add r2,r3,r2 - 43fc: e0bffd15 stw r2,-12(fp) + 43f4: e0fffd17 ldw r3,-12(fp) + 43f8: e0bff617 ldw r2,-40(fp) + 43fc: 1885883a add r2,r3,r2 + 4400: e0bffd15 stw r2,-12(fp) count -= n; - 4400: e0fffe17 ldw r3,-8(fp) - 4404: e0bff617 ldw r2,-40(fp) - 4408: 1885c83a sub r2,r3,r2 - 440c: e0bffe15 stw r2,-8(fp) + 4404: e0fffe17 ldw r3,-8(fp) + 4408: e0bff617 ldw r2,-40(fp) + 440c: 1885c83a sub r2,r3,r2 + 4410: e0bffe15 stw r2,-8(fp) sp->tx_in = (in + n) % ALTERA_AVALON_JTAG_UART_BUF_LEN; - 4410: e0fff917 ldw r3,-28(fp) - 4414: e0bff617 ldw r2,-40(fp) - 4418: 1885883a add r2,r3,r2 - 441c: 10c1ffcc andi r3,r2,2047 - 4420: e0bffc17 ldw r2,-16(fp) - 4424: 10c00c15 stw r3,48(r2) + 4414: e0fff917 ldw r3,-28(fp) + 4418: e0bff617 ldw r2,-40(fp) + 441c: 1885883a add r2,r3,r2 + 4420: 10c1ffcc andi r3,r2,2047 + 4424: e0bffc17 ldw r2,-16(fp) + 4428: 10c00c15 stw r3,48(r2) ALT_SEM_PEND (sp->write_lock, 0); do { /* Copy as much as we can into the transmit buffer */ while (count > 0) - 4428: e0bffe17 ldw r2,-8(fp) - 442c: 00bfc716 blt zero,r2,434c <__alt_data_end+0xfffe434c> - 4430: 00000106 br 4438 + 442c: e0bffe17 ldw r2,-8(fp) + 4430: 00bfc716 blt zero,r2,4350 <__alt_data_end+0xfffe4350> + 4434: 00000106 br 443c n = ALTERA_AVALON_JTAG_UART_BUF_LEN - in; else n = ALTERA_AVALON_JTAG_UART_BUF_LEN - 1 - in; if (n == 0) break; - 4434: 0001883a nop + 4438: 0001883a nop static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 4438: 0005303a rdctl r2,status - 443c: e0bffb15 stw r2,-20(fp) + 443c: 0005303a rdctl r2,status + 4440: e0bffb15 stw r2,-20(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 4440: e0fffb17 ldw r3,-20(fp) - 4444: 00bfff84 movi r2,-2 - 4448: 1884703a and r2,r3,r2 - 444c: 1001703a wrctl status,r2 + 4444: e0fffb17 ldw r3,-20(fp) + 4448: 00bfff84 movi r2,-2 + 444c: 1884703a and r2,r3,r2 + 4450: 1001703a wrctl status,r2 return context; - 4450: e0bffb17 ldw r2,-20(fp) + 4454: e0bffb17 ldw r2,-20(fp) * to enable interrupts if there is no space left in the FIFO * * For now kick the interrupt routine every time to make it transmit * the data */ context = alt_irq_disable_all(); - 4454: e0bffa15 stw r2,-24(fp) + 4458: e0bffa15 stw r2,-24(fp) sp->irq_enable |= ALTERA_AVALON_JTAG_UART_CONTROL_WE_MSK; - 4458: e0bffc17 ldw r2,-16(fp) - 445c: 10800817 ldw r2,32(r2) - 4460: 10c00094 ori r3,r2,2 - 4464: e0bffc17 ldw r2,-16(fp) - 4468: 10c00815 stw r3,32(r2) + 445c: e0bffc17 ldw r2,-16(fp) + 4460: 10800817 ldw r2,32(r2) + 4464: 10c00094 ori r3,r2,2 + 4468: e0bffc17 ldw r2,-16(fp) + 446c: 10c00815 stw r3,32(r2) IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base, sp->irq_enable); - 446c: e0bffc17 ldw r2,-16(fp) - 4470: 10800017 ldw r2,0(r2) - 4474: 10800104 addi r2,r2,4 - 4478: 1007883a mov r3,r2 - 447c: e0bffc17 ldw r2,-16(fp) - 4480: 10800817 ldw r2,32(r2) - 4484: 18800035 stwio r2,0(r3) - 4488: e0bffa17 ldw r2,-24(fp) - 448c: e0bff815 stw r2,-32(fp) + 4470: e0bffc17 ldw r2,-16(fp) + 4474: 10800017 ldw r2,0(r2) + 4478: 10800104 addi r2,r2,4 + 447c: 1007883a mov r3,r2 + 4480: e0bffc17 ldw r2,-16(fp) + 4484: 10800817 ldw r2,32(r2) + 4488: 18800035 stwio r2,0(r3) + 448c: e0bffa17 ldw r2,-24(fp) + 4490: e0bff815 stw r2,-32(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 4490: e0bff817 ldw r2,-32(fp) - 4494: 1001703a wrctl status,r2 + 4494: e0bff817 ldw r2,-32(fp) + 4498: 1001703a wrctl status,r2 /* * If there is any data left then either return now or block until * some has been sent */ /* consider: test whether there is anything there while doing this and delay for at most 2s. */ if (count > 0) - 4498: e0bffe17 ldw r2,-8(fp) - 449c: 0080100e bge zero,r2,44e0 + 449c: e0bffe17 ldw r2,-8(fp) + 44a0: 0080100e bge zero,r2,44e4 { if (flags & O_NONBLOCK) - 44a0: e0bfff17 ldw r2,-4(fp) - 44a4: 1090000c andi r2,r2,16384 - 44a8: 1000101e bne r2,zero,44ec + 44a4: e0bfff17 ldw r2,-4(fp) + 44a8: 1090000c andi r2,r2,16384 + 44ac: 1000101e bne r2,zero,44f0 /* * No OS present: Always wait for data to be removed from buffer. Once * the interrupt routine has removed some data then we will be able to * insert some more. */ while (out == sp->tx_out && sp->host_inactive < sp->timeout) - 44ac: 0001883a nop - 44b0: e0bffc17 ldw r2,-16(fp) - 44b4: 10c00d17 ldw r3,52(r2) - 44b8: e0bff517 ldw r2,-44(fp) - 44bc: 1880051e bne r3,r2,44d4 - 44c0: e0bffc17 ldw r2,-16(fp) - 44c4: 10c00917 ldw r3,36(r2) - 44c8: e0bffc17 ldw r2,-16(fp) - 44cc: 10800117 ldw r2,4(r2) - 44d0: 18bff736 bltu r3,r2,44b0 <__alt_data_end+0xfffe44b0> + 44b0: 0001883a nop + 44b4: e0bffc17 ldw r2,-16(fp) + 44b8: 10c00d17 ldw r3,52(r2) + 44bc: e0bff517 ldw r2,-44(fp) + 44c0: 1880051e bne r3,r2,44d8 + 44c4: e0bffc17 ldw r2,-16(fp) + 44c8: 10c00917 ldw r3,36(r2) + 44cc: e0bffc17 ldw r2,-16(fp) + 44d0: 10800117 ldw r2,4(r2) + 44d4: 18bff736 bltu r3,r2,44b4 <__alt_data_end+0xfffe44b4> ; #endif /* __ucosii__ */ if (sp->host_inactive) - 44d4: e0bffc17 ldw r2,-16(fp) - 44d8: 10800917 ldw r2,36(r2) - 44dc: 1000051e bne r2,zero,44f4 + 44d8: e0bffc17 ldw r2,-16(fp) + 44dc: 10800917 ldw r2,36(r2) + 44e0: 1000051e bne r2,zero,44f8 break; } } while (count > 0); - 44e0: e0bffe17 ldw r2,-8(fp) - 44e4: 00bfd016 blt zero,r2,4428 <__alt_data_end+0xfffe4428> - 44e8: 00000306 br 44f8 + 44e4: e0bffe17 ldw r2,-8(fp) + 44e8: 00bfd016 blt zero,r2,442c <__alt_data_end+0xfffe442c> + 44ec: 00000306 br 44fc */ /* consider: test whether there is anything there while doing this and delay for at most 2s. */ if (count > 0) { if (flags & O_NONBLOCK) break; - 44ec: 0001883a nop - 44f0: 00000106 br 44f8 + 44f0: 0001883a nop + 44f4: 00000106 br 44fc while (out == sp->tx_out && sp->host_inactive < sp->timeout) ; #endif /* __ucosii__ */ if (sp->host_inactive) break; - 44f4: 0001883a nop + 44f8: 0001883a nop * Now that access to the circular buffer is complete, release the write * semaphore so that other threads can access the buffer. */ ALT_SEM_POST (sp->write_lock); if (ptr != start) - 44f8: e0fffd17 ldw r3,-12(fp) - 44fc: e0bff717 ldw r2,-36(fp) - 4500: 18800426 beq r3,r2,4514 + 44fc: e0fffd17 ldw r3,-12(fp) + 4500: e0bff717 ldw r2,-36(fp) + 4504: 18800426 beq r3,r2,4518 return ptr - start; - 4504: e0fffd17 ldw r3,-12(fp) - 4508: e0bff717 ldw r2,-36(fp) - 450c: 1885c83a sub r2,r3,r2 - 4510: 00000606 br 452c + 4508: e0fffd17 ldw r3,-12(fp) + 450c: e0bff717 ldw r2,-36(fp) + 4510: 1885c83a sub r2,r3,r2 + 4514: 00000606 br 4530 else if (flags & O_NONBLOCK) - 4514: e0bfff17 ldw r2,-4(fp) - 4518: 1090000c andi r2,r2,16384 - 451c: 10000226 beq r2,zero,4528 + 4518: e0bfff17 ldw r2,-4(fp) + 451c: 1090000c andi r2,r2,16384 + 4520: 10000226 beq r2,zero,452c return -EWOULDBLOCK; - 4520: 00bffd44 movi r2,-11 - 4524: 00000106 br 452c + 4524: 00bffd44 movi r2,-11 + 4528: 00000106 br 4530 sp->tx_out = sp->tx_in = 0; return ptr - start + count; } #endif else return -EIO; /* Host not connected */ - 4528: 00bffec4 movi r2,-5 + 452c: 00bffec4 movi r2,-5 } - 452c: e037883a mov sp,fp - 4530: dfc00117 ldw ra,4(sp) - 4534: df000017 ldw fp,0(sp) - 4538: dec00204 addi sp,sp,8 - 453c: f800283a ret + 4530: e037883a mov sp,fp + 4534: dfc00117 ldw ra,4(sp) + 4538: df000017 ldw fp,0(sp) + 453c: dec00204 addi sp,sp,8 + 4540: f800283a ret -00004540 : +00004544 : #ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT static void alt_avalon_timer_sc_irq (void* base) #else static void alt_avalon_timer_sc_irq (void* base, alt_u32 id) #endif { - 4540: defffa04 addi sp,sp,-24 - 4544: dfc00515 stw ra,20(sp) - 4548: df000415 stw fp,16(sp) - 454c: df000404 addi fp,sp,16 - 4550: e13fff15 stw r4,-4(fp) + 4544: defffa04 addi sp,sp,-24 + 4548: dfc00515 stw ra,20(sp) + 454c: df000415 stw fp,16(sp) + 4550: df000404 addi fp,sp,16 + 4554: e13fff15 stw r4,-4(fp) alt_irq_context cpu_sr; /* clear the interrupt */ IOWR_ALTERA_AVALON_TIMER_STATUS (base, 0); - 4554: 0007883a mov r3,zero - 4558: e0bfff17 ldw r2,-4(fp) - 455c: 10c00035 stwio r3,0(r2) + 4558: 0007883a mov r3,zero + 455c: e0bfff17 ldw r2,-4(fp) + 4560: 10c00035 stwio r3,0(r2) /* * Dummy read to ensure IRQ is negated before the ISR returns. * The control register is read because reading the status * register has side-effects per the register map documentation. */ IORD_ALTERA_AVALON_TIMER_CONTROL (base); - 4560: e0bfff17 ldw r2,-4(fp) - 4564: 10800104 addi r2,r2,4 - 4568: 10800037 ldwio r2,0(r2) + 4564: e0bfff17 ldw r2,-4(fp) + 4568: 10800104 addi r2,r2,4 + 456c: 10800037 ldwio r2,0(r2) static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 456c: 0005303a rdctl r2,status - 4570: e0bffd15 stw r2,-12(fp) + 4570: 0005303a rdctl r2,status + 4574: e0bffd15 stw r2,-12(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 4574: e0fffd17 ldw r3,-12(fp) - 4578: 00bfff84 movi r2,-2 - 457c: 1884703a and r2,r3,r2 - 4580: 1001703a wrctl status,r2 + 4578: e0fffd17 ldw r3,-12(fp) + 457c: 00bfff84 movi r2,-2 + 4580: 1884703a and r2,r3,r2 + 4584: 1001703a wrctl status,r2 return context; - 4584: e0bffd17 ldw r2,-12(fp) + 4588: e0bffd17 ldw r2,-12(fp) /* * Notify the system of a clock tick. disable interrupts * during this time to safely support ISR preemption */ cpu_sr = alt_irq_disable_all(); - 4588: e0bffc15 stw r2,-16(fp) + 458c: e0bffc15 stw r2,-16(fp) alt_tick (); - 458c: 00050200 call 5020 - 4590: e0bffc17 ldw r2,-16(fp) - 4594: e0bffe15 stw r2,-8(fp) + 4590: 00050240 call 5024 + 4594: e0bffc17 ldw r2,-16(fp) + 4598: e0bffe15 stw r2,-8(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 4598: e0bffe17 ldw r2,-8(fp) - 459c: 1001703a wrctl status,r2 + 459c: e0bffe17 ldw r2,-8(fp) + 45a0: 1001703a wrctl status,r2 alt_irq_enable_all(cpu_sr); } - 45a0: 0001883a nop - 45a4: e037883a mov sp,fp - 45a8: dfc00117 ldw ra,4(sp) - 45ac: df000017 ldw fp,0(sp) - 45b0: dec00204 addi sp,sp,8 - 45b4: f800283a ret + 45a4: 0001883a nop + 45a8: e037883a mov sp,fp + 45ac: dfc00117 ldw ra,4(sp) + 45b0: df000017 ldw fp,0(sp) + 45b4: dec00204 addi sp,sp,8 + 45b8: f800283a ret -000045b8 : +000045bc : * auto-generated alt_sys_init() function. */ void alt_avalon_timer_sc_init (void* base, alt_u32 irq_controller_id, alt_u32 irq, alt_u32 freq) { - 45b8: defff804 addi sp,sp,-32 - 45bc: dfc00715 stw ra,28(sp) - 45c0: df000615 stw fp,24(sp) - 45c4: df000604 addi fp,sp,24 - 45c8: e13ffc15 stw r4,-16(fp) - 45cc: e17ffd15 stw r5,-12(fp) - 45d0: e1bffe15 stw r6,-8(fp) - 45d4: e1ffff15 stw r7,-4(fp) - 45d8: e0bfff17 ldw r2,-4(fp) - 45dc: e0bffb15 stw r2,-20(fp) + 45bc: defff804 addi sp,sp,-32 + 45c0: dfc00715 stw ra,28(sp) + 45c4: df000615 stw fp,24(sp) + 45c8: df000604 addi fp,sp,24 + 45cc: e13ffc15 stw r4,-16(fp) + 45d0: e17ffd15 stw r5,-12(fp) + 45d4: e1bffe15 stw r6,-8(fp) + 45d8: e1ffff15 stw r7,-4(fp) + 45dc: e0bfff17 ldw r2,-4(fp) + 45e0: e0bffb15 stw r2,-20(fp) * in order to initialise the value of the clock frequency. */ static ALT_INLINE int ALT_ALWAYS_INLINE alt_sysclk_init (alt_u32 nticks) { if (! _alt_tick_rate) - 45e0: d0a01617 ldw r2,-32680(gp) - 45e4: 1000021e bne r2,zero,45f0 + 45e4: d0a01617 ldw r2,-32680(gp) + 45e8: 1000021e bne r2,zero,45f4 { _alt_tick_rate = nticks; - 45e8: e0bffb17 ldw r2,-20(fp) - 45ec: d0a01615 stw r2,-32680(gp) + 45ec: e0bffb17 ldw r2,-20(fp) + 45f0: d0a01615 stw r2,-32680(gp) alt_sysclk_init (freq); /* set to free running mode */ IOWR_ALTERA_AVALON_TIMER_CONTROL (base, - 45f0: e0bffc17 ldw r2,-16(fp) - 45f4: 10800104 addi r2,r2,4 - 45f8: 00c001c4 movi r3,7 - 45fc: 10c00035 stwio r3,0(r2) + 45f4: e0bffc17 ldw r2,-16(fp) + 45f8: 10800104 addi r2,r2,4 + 45fc: 00c001c4 movi r3,7 + 4600: 10c00035 stwio r3,0(r2) ALTERA_AVALON_TIMER_CONTROL_CONT_MSK | ALTERA_AVALON_TIMER_CONTROL_START_MSK); /* register the interrupt handler, and enable the interrupt */ #ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT alt_ic_isr_register(irq_controller_id, irq, alt_avalon_timer_sc_irq, - 4600: d8000015 stw zero,0(sp) - 4604: e1fffc17 ldw r7,-16(fp) - 4608: 01800034 movhi r6,0 - 460c: 31915004 addi r6,r6,17728 - 4610: e17ffe17 ldw r5,-8(fp) - 4614: e13ffd17 ldw r4,-12(fp) - 4618: 00049000 call 4900 + 4604: d8000015 stw zero,0(sp) + 4608: e1fffc17 ldw r7,-16(fp) + 460c: 01800034 movhi r6,0 + 4610: 31915104 addi r6,r6,17732 + 4614: e17ffe17 ldw r5,-8(fp) + 4618: e13ffd17 ldw r4,-12(fp) + 461c: 00049040 call 4904 base, NULL); #else alt_irq_register (irq, base, alt_avalon_timer_sc_irq); #endif } - 461c: 0001883a nop - 4620: e037883a mov sp,fp - 4624: dfc00117 ldw ra,4(sp) - 4628: df000017 ldw fp,0(sp) - 462c: dec00204 addi sp,sp,8 - 4630: f800283a ret + 4620: 0001883a nop + 4624: e037883a mov sp,fp + 4628: dfc00117 ldw ra,4(sp) + 462c: df000017 ldw fp,0(sp) + 4630: dec00204 addi sp,sp,8 + 4634: f800283a ret -00004634 : +00004638 : */ int alt_alarm_start (alt_alarm* alarm, alt_u32 nticks, alt_u32 (*callback) (void* context), void* context) { - 4634: defff504 addi sp,sp,-44 - 4638: df000a15 stw fp,40(sp) - 463c: df000a04 addi fp,sp,40 - 4640: e13ffc15 stw r4,-16(fp) - 4644: e17ffd15 stw r5,-12(fp) - 4648: e1bffe15 stw r6,-8(fp) - 464c: e1ffff15 stw r7,-4(fp) + 4638: defff504 addi sp,sp,-44 + 463c: df000a15 stw fp,40(sp) + 4640: df000a04 addi fp,sp,40 + 4644: e13ffc15 stw r4,-16(fp) + 4648: e17ffd15 stw r5,-12(fp) + 464c: e1bffe15 stw r6,-8(fp) + 4650: e1ffff15 stw r7,-4(fp) alt_irq_context irq_context; alt_u32 current_nticks = 0; - 4650: e03ff615 stw zero,-40(fp) + 4654: e03ff615 stw zero,-40(fp) * Obtain the system clock rate in ticks/s. */ static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_ticks_per_second (void) { return _alt_tick_rate; - 4654: d0a01617 ldw r2,-32680(gp) + 4658: d0a01617 ldw r2,-32680(gp) if (alt_ticks_per_second ()) - 4658: 10003c26 beq r2,zero,474c + 465c: 10003c26 beq r2,zero,4750 { if (alarm) - 465c: e0bffc17 ldw r2,-16(fp) - 4660: 10003826 beq r2,zero,4744 + 4660: e0bffc17 ldw r2,-16(fp) + 4664: 10003826 beq r2,zero,4748 { alarm->callback = callback; - 4664: e0bffc17 ldw r2,-16(fp) - 4668: e0fffe17 ldw r3,-8(fp) - 466c: 10c00315 stw r3,12(r2) + 4668: e0bffc17 ldw r2,-16(fp) + 466c: e0fffe17 ldw r3,-8(fp) + 4670: 10c00315 stw r3,12(r2) alarm->context = context; - 4670: e0bffc17 ldw r2,-16(fp) - 4674: e0ffff17 ldw r3,-4(fp) - 4678: 10c00515 stw r3,20(r2) + 4674: e0bffc17 ldw r2,-16(fp) + 4678: e0ffff17 ldw r3,-4(fp) + 467c: 10c00515 stw r3,20(r2) static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 467c: 0005303a rdctl r2,status - 4680: e0bff915 stw r2,-28(fp) + 4680: 0005303a rdctl r2,status + 4684: e0bff915 stw r2,-28(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 4684: e0fff917 ldw r3,-28(fp) - 4688: 00bfff84 movi r2,-2 - 468c: 1884703a and r2,r3,r2 - 4690: 1001703a wrctl status,r2 + 4688: e0fff917 ldw r3,-28(fp) + 468c: 00bfff84 movi r2,-2 + 4690: 1884703a and r2,r3,r2 + 4694: 1001703a wrctl status,r2 return context; - 4694: e0bff917 ldw r2,-28(fp) + 4698: e0bff917 ldw r2,-28(fp) irq_context = alt_irq_disable_all (); - 4698: e0bff815 stw r2,-32(fp) + 469c: e0bff815 stw r2,-32(fp) * alt_nticks() returns the elapsed number of system clock ticks since reset. */ static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_nticks (void) { return _alt_nticks; - 469c: d0a01717 ldw r2,-32676(gp) + 46a0: d0a01717 ldw r2,-32676(gp) current_nticks = alt_nticks(); - 46a0: e0bff615 stw r2,-40(fp) + 46a4: e0bff615 stw r2,-40(fp) alarm->time = nticks + current_nticks + 1; - 46a4: e0fffd17 ldw r3,-12(fp) - 46a8: e0bff617 ldw r2,-40(fp) - 46ac: 1885883a add r2,r3,r2 - 46b0: 10c00044 addi r3,r2,1 - 46b4: e0bffc17 ldw r2,-16(fp) - 46b8: 10c00215 stw r3,8(r2) + 46a8: e0fffd17 ldw r3,-12(fp) + 46ac: e0bff617 ldw r2,-40(fp) + 46b0: 1885883a add r2,r3,r2 + 46b4: 10c00044 addi r3,r2,1 + 46b8: e0bffc17 ldw r2,-16(fp) + 46bc: 10c00215 stw r3,8(r2) /* * If the desired alarm time causes a roll-over, set the rollover * flag. This will prevent the subsequent tick event from causing * an alarm too early. */ if(alarm->time < current_nticks) - 46bc: e0bffc17 ldw r2,-16(fp) - 46c0: 10c00217 ldw r3,8(r2) - 46c4: e0bff617 ldw r2,-40(fp) - 46c8: 1880042e bgeu r3,r2,46dc + 46c0: e0bffc17 ldw r2,-16(fp) + 46c4: 10c00217 ldw r3,8(r2) + 46c8: e0bff617 ldw r2,-40(fp) + 46cc: 1880042e bgeu r3,r2,46e0 { alarm->rollover = 1; - 46cc: e0bffc17 ldw r2,-16(fp) - 46d0: 00c00044 movi r3,1 - 46d4: 10c00405 stb r3,16(r2) - 46d8: 00000206 br 46e4 + 46d0: e0bffc17 ldw r2,-16(fp) + 46d4: 00c00044 movi r3,1 + 46d8: 10c00405 stb r3,16(r2) + 46dc: 00000206 br 46e8 } else { alarm->rollover = 0; - 46dc: e0bffc17 ldw r2,-16(fp) - 46e0: 10000405 stb zero,16(r2) + 46e0: e0bffc17 ldw r2,-16(fp) + 46e4: 10000405 stb zero,16(r2) } alt_llist_insert (&alt_alarm_list, &alarm->llist); - 46e4: e0bffc17 ldw r2,-16(fp) - 46e8: d0e00c04 addi r3,gp,-32720 - 46ec: e0fffa15 stw r3,-24(fp) - 46f0: e0bffb15 stw r2,-20(fp) + 46e8: e0bffc17 ldw r2,-16(fp) + 46ec: d0e00c04 addi r3,gp,-32720 + 46f0: e0fffa15 stw r3,-24(fp) + 46f4: e0bffb15 stw r2,-20(fp) */ static ALT_INLINE void ALT_ALWAYS_INLINE alt_llist_insert(alt_llist* list, alt_llist* entry) { entry->previous = list; - 46f4: e0bffb17 ldw r2,-20(fp) - 46f8: e0fffa17 ldw r3,-24(fp) - 46fc: 10c00115 stw r3,4(r2) + 46f8: e0bffb17 ldw r2,-20(fp) + 46fc: e0fffa17 ldw r3,-24(fp) + 4700: 10c00115 stw r3,4(r2) entry->next = list->next; - 4700: e0bffa17 ldw r2,-24(fp) - 4704: 10c00017 ldw r3,0(r2) - 4708: e0bffb17 ldw r2,-20(fp) - 470c: 10c00015 stw r3,0(r2) + 4704: e0bffa17 ldw r2,-24(fp) + 4708: 10c00017 ldw r3,0(r2) + 470c: e0bffb17 ldw r2,-20(fp) + 4710: 10c00015 stw r3,0(r2) list->next->previous = entry; - 4710: e0bffa17 ldw r2,-24(fp) - 4714: 10800017 ldw r2,0(r2) - 4718: e0fffb17 ldw r3,-20(fp) - 471c: 10c00115 stw r3,4(r2) + 4714: e0bffa17 ldw r2,-24(fp) + 4718: 10800017 ldw r2,0(r2) + 471c: e0fffb17 ldw r3,-20(fp) + 4720: 10c00115 stw r3,4(r2) list->next = entry; - 4720: e0bffa17 ldw r2,-24(fp) - 4724: e0fffb17 ldw r3,-20(fp) - 4728: 10c00015 stw r3,0(r2) - 472c: e0bff817 ldw r2,-32(fp) - 4730: e0bff715 stw r2,-36(fp) + 4724: e0bffa17 ldw r2,-24(fp) + 4728: e0fffb17 ldw r3,-20(fp) + 472c: 10c00015 stw r3,0(r2) + 4730: e0bff817 ldw r2,-32(fp) + 4734: e0bff715 stw r2,-36(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 4734: e0bff717 ldw r2,-36(fp) - 4738: 1001703a wrctl status,r2 + 4738: e0bff717 ldw r2,-36(fp) + 473c: 1001703a wrctl status,r2 alt_irq_enable_all (irq_context); return 0; - 473c: 0005883a mov r2,zero - 4740: 00000306 br 4750 + 4740: 0005883a mov r2,zero + 4744: 00000306 br 4754 } else { return -EINVAL; - 4744: 00bffa84 movi r2,-22 - 4748: 00000106 br 4750 + 4748: 00bffa84 movi r2,-22 + 474c: 00000106 br 4754 } } else { return -ENOTSUP; - 474c: 00bfde84 movi r2,-134 + 4750: 00bfde84 movi r2,-134 } } - 4750: e037883a mov sp,fp - 4754: df000017 ldw fp,0(sp) - 4758: dec00104 addi sp,sp,4 - 475c: f800283a ret + 4754: e037883a mov sp,fp + 4758: df000017 ldw fp,0(sp) + 475c: dec00104 addi sp,sp,4 + 4760: f800283a ret -00004760 : +00004764 : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 4760: defffe04 addi sp,sp,-8 - 4764: dfc00115 stw ra,4(sp) - 4768: df000015 stw fp,0(sp) - 476c: d839883a mov fp,sp + 4764: defffe04 addi sp,sp,-8 + 4768: dfc00115 stw ra,4(sp) + 476c: df000015 stw fp,0(sp) + 4770: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 4770: d0a00917 ldw r2,-32732(gp) - 4774: 10000326 beq r2,zero,4784 - 4778: d0a00917 ldw r2,-32732(gp) - 477c: 103ee83a callr r2 - 4780: 00000106 br 4788 - 4784: d0a01104 addi r2,gp,-32700 + 4774: d0a00917 ldw r2,-32732(gp) + 4778: 10000326 beq r2,zero,4788 + 477c: d0a00917 ldw r2,-32732(gp) + 4780: 103ee83a callr r2 + 4784: 00000106 br 478c + 4788: d0a01104 addi r2,gp,-32700 } - 4788: e037883a mov sp,fp - 478c: dfc00117 ldw ra,4(sp) - 4790: df000017 ldw fp,0(sp) - 4794: dec00204 addi sp,sp,8 - 4798: f800283a ret + 478c: e037883a mov sp,fp + 4790: dfc00117 ldw ra,4(sp) + 4794: df000017 ldw fp,0(sp) + 4798: dec00204 addi sp,sp,8 + 479c: f800283a ret -0000479c : +000047a0 : /* * */ int alt_dev_llist_insert (alt_dev_llist* dev, alt_llist* list) { - 479c: defffa04 addi sp,sp,-24 - 47a0: dfc00515 stw ra,20(sp) - 47a4: df000415 stw fp,16(sp) - 47a8: df000404 addi fp,sp,16 - 47ac: e13ffe15 stw r4,-8(fp) - 47b0: e17fff15 stw r5,-4(fp) + 47a0: defffa04 addi sp,sp,-24 + 47a4: dfc00515 stw ra,20(sp) + 47a8: df000415 stw fp,16(sp) + 47ac: df000404 addi fp,sp,16 + 47b0: e13ffe15 stw r4,-8(fp) + 47b4: e17fff15 stw r5,-4(fp) /* * check that the device exists, and that it has a valid name. */ if (!dev || !dev->name) - 47b4: e0bffe17 ldw r2,-8(fp) - 47b8: 10000326 beq r2,zero,47c8 - 47bc: e0bffe17 ldw r2,-8(fp) - 47c0: 10800217 ldw r2,8(r2) - 47c4: 1000061e bne r2,zero,47e0 + 47b8: e0bffe17 ldw r2,-8(fp) + 47bc: 10000326 beq r2,zero,47cc + 47c0: e0bffe17 ldw r2,-8(fp) + 47c4: 10800217 ldw r2,8(r2) + 47c8: 1000061e bne r2,zero,47e4 { ALT_ERRNO = EINVAL; - 47c8: 00047600 call 4760 - 47cc: 1007883a mov r3,r2 - 47d0: 00800584 movi r2,22 - 47d4: 18800015 stw r2,0(r3) + 47cc: 00047640 call 4764 + 47d0: 1007883a mov r3,r2 + 47d4: 00800584 movi r2,22 + 47d8: 18800015 stw r2,0(r3) return -EINVAL; - 47d8: 00bffa84 movi r2,-22 - 47dc: 00001306 br 482c + 47dc: 00bffa84 movi r2,-22 + 47e0: 00001306 br 4830 /* * register the device. */ alt_llist_insert(list, &dev->llist); - 47e0: e0bffe17 ldw r2,-8(fp) - 47e4: e0ffff17 ldw r3,-4(fp) - 47e8: e0fffc15 stw r3,-16(fp) - 47ec: e0bffd15 stw r2,-12(fp) + 47e4: e0bffe17 ldw r2,-8(fp) + 47e8: e0ffff17 ldw r3,-4(fp) + 47ec: e0fffc15 stw r3,-16(fp) + 47f0: e0bffd15 stw r2,-12(fp) */ static ALT_INLINE void ALT_ALWAYS_INLINE alt_llist_insert(alt_llist* list, alt_llist* entry) { entry->previous = list; - 47f0: e0bffd17 ldw r2,-12(fp) - 47f4: e0fffc17 ldw r3,-16(fp) - 47f8: 10c00115 stw r3,4(r2) + 47f4: e0bffd17 ldw r2,-12(fp) + 47f8: e0fffc17 ldw r3,-16(fp) + 47fc: 10c00115 stw r3,4(r2) entry->next = list->next; - 47fc: e0bffc17 ldw r2,-16(fp) - 4800: 10c00017 ldw r3,0(r2) - 4804: e0bffd17 ldw r2,-12(fp) - 4808: 10c00015 stw r3,0(r2) + 4800: e0bffc17 ldw r2,-16(fp) + 4804: 10c00017 ldw r3,0(r2) + 4808: e0bffd17 ldw r2,-12(fp) + 480c: 10c00015 stw r3,0(r2) list->next->previous = entry; - 480c: e0bffc17 ldw r2,-16(fp) - 4810: 10800017 ldw r2,0(r2) - 4814: e0fffd17 ldw r3,-12(fp) - 4818: 10c00115 stw r3,4(r2) + 4810: e0bffc17 ldw r2,-16(fp) + 4814: 10800017 ldw r2,0(r2) + 4818: e0fffd17 ldw r3,-12(fp) + 481c: 10c00115 stw r3,4(r2) list->next = entry; - 481c: e0bffc17 ldw r2,-16(fp) - 4820: e0fffd17 ldw r3,-12(fp) - 4824: 10c00015 stw r3,0(r2) + 4820: e0bffc17 ldw r2,-16(fp) + 4824: e0fffd17 ldw r3,-12(fp) + 4828: 10c00015 stw r3,0(r2) return 0; - 4828: 0005883a mov r2,zero + 482c: 0005883a mov r2,zero } - 482c: e037883a mov sp,fp - 4830: dfc00117 ldw ra,4(sp) - 4834: df000017 ldw fp,0(sp) - 4838: dec00204 addi sp,sp,8 - 483c: f800283a ret + 4830: e037883a mov sp,fp + 4834: dfc00117 ldw ra,4(sp) + 4838: df000017 ldw fp,0(sp) + 483c: dec00204 addi sp,sp,8 + 4840: f800283a ret -00004840 <_do_ctors>: +00004844 <_do_ctors>: /* * Run the C++ static constructors. */ void _do_ctors(void) { - 4840: defffd04 addi sp,sp,-12 - 4844: dfc00215 stw ra,8(sp) - 4848: df000115 stw fp,4(sp) - 484c: df000104 addi fp,sp,4 + 4844: defffd04 addi sp,sp,-12 + 4848: dfc00215 stw ra,8(sp) + 484c: df000115 stw fp,4(sp) + 4850: df000104 addi fp,sp,4 constructor* ctor; for (ctor = &__CTOR_END__[-1]; ctor >= __CTOR_LIST__; ctor--) - 4850: 00800034 movhi r2,0 - 4854: 1095f504 addi r2,r2,22484 - 4858: e0bfff15 stw r2,-4(fp) - 485c: 00000606 br 4878 <_do_ctors+0x38> + 4854: 00800034 movhi r2,0 + 4858: 1095f604 addi r2,r2,22488 + 485c: e0bfff15 stw r2,-4(fp) + 4860: 00000606 br 487c <_do_ctors+0x38> (*ctor) (); - 4860: e0bfff17 ldw r2,-4(fp) - 4864: 10800017 ldw r2,0(r2) - 4868: 103ee83a callr r2 + 4864: e0bfff17 ldw r2,-4(fp) + 4868: 10800017 ldw r2,0(r2) + 486c: 103ee83a callr r2 void _do_ctors(void) { constructor* ctor; for (ctor = &__CTOR_END__[-1]; ctor >= __CTOR_LIST__; ctor--) - 486c: e0bfff17 ldw r2,-4(fp) - 4870: 10bfff04 addi r2,r2,-4 - 4874: e0bfff15 stw r2,-4(fp) - 4878: e0ffff17 ldw r3,-4(fp) - 487c: 00800034 movhi r2,0 - 4880: 1095f604 addi r2,r2,22488 - 4884: 18bff62e bgeu r3,r2,4860 <__alt_data_end+0xfffe4860> + 4870: e0bfff17 ldw r2,-4(fp) + 4874: 10bfff04 addi r2,r2,-4 + 4878: e0bfff15 stw r2,-4(fp) + 487c: e0ffff17 ldw r3,-4(fp) + 4880: 00800034 movhi r2,0 + 4884: 1095f704 addi r2,r2,22492 + 4888: 18bff62e bgeu r3,r2,4864 <__alt_data_end+0xfffe4864> (*ctor) (); } - 4888: 0001883a nop - 488c: e037883a mov sp,fp - 4890: dfc00117 ldw ra,4(sp) - 4894: df000017 ldw fp,0(sp) - 4898: dec00204 addi sp,sp,8 - 489c: f800283a ret + 488c: 0001883a nop + 4890: e037883a mov sp,fp + 4894: dfc00117 ldw ra,4(sp) + 4898: df000017 ldw fp,0(sp) + 489c: dec00204 addi sp,sp,8 + 48a0: f800283a ret -000048a0 <_do_dtors>: +000048a4 <_do_dtors>: /* * Run the C++ static destructors. */ void _do_dtors(void) { - 48a0: defffd04 addi sp,sp,-12 - 48a4: dfc00215 stw ra,8(sp) - 48a8: df000115 stw fp,4(sp) - 48ac: df000104 addi fp,sp,4 + 48a4: defffd04 addi sp,sp,-12 + 48a8: dfc00215 stw ra,8(sp) + 48ac: df000115 stw fp,4(sp) + 48b0: df000104 addi fp,sp,4 destructor* dtor; for (dtor = &__DTOR_END__[-1]; dtor >= __DTOR_LIST__; dtor--) - 48b0: 00800034 movhi r2,0 - 48b4: 1095f504 addi r2,r2,22484 - 48b8: e0bfff15 stw r2,-4(fp) - 48bc: 00000606 br 48d8 <_do_dtors+0x38> + 48b4: 00800034 movhi r2,0 + 48b8: 1095f604 addi r2,r2,22488 + 48bc: e0bfff15 stw r2,-4(fp) + 48c0: 00000606 br 48dc <_do_dtors+0x38> (*dtor) (); - 48c0: e0bfff17 ldw r2,-4(fp) - 48c4: 10800017 ldw r2,0(r2) - 48c8: 103ee83a callr r2 + 48c4: e0bfff17 ldw r2,-4(fp) + 48c8: 10800017 ldw r2,0(r2) + 48cc: 103ee83a callr r2 void _do_dtors(void) { destructor* dtor; for (dtor = &__DTOR_END__[-1]; dtor >= __DTOR_LIST__; dtor--) - 48cc: e0bfff17 ldw r2,-4(fp) - 48d0: 10bfff04 addi r2,r2,-4 - 48d4: e0bfff15 stw r2,-4(fp) - 48d8: e0ffff17 ldw r3,-4(fp) - 48dc: 00800034 movhi r2,0 - 48e0: 1095f604 addi r2,r2,22488 - 48e4: 18bff62e bgeu r3,r2,48c0 <__alt_data_end+0xfffe48c0> + 48d0: e0bfff17 ldw r2,-4(fp) + 48d4: 10bfff04 addi r2,r2,-4 + 48d8: e0bfff15 stw r2,-4(fp) + 48dc: e0ffff17 ldw r3,-4(fp) + 48e0: 00800034 movhi r2,0 + 48e4: 1095f704 addi r2,r2,22492 + 48e8: 18bff62e bgeu r3,r2,48c4 <__alt_data_end+0xfffe48c4> (*dtor) (); } - 48e8: 0001883a nop - 48ec: e037883a mov sp,fp - 48f0: dfc00117 ldw ra,4(sp) - 48f4: df000017 ldw fp,0(sp) - 48f8: dec00204 addi sp,sp,8 - 48fc: f800283a ret + 48ec: 0001883a nop + 48f0: e037883a mov sp,fp + 48f4: dfc00117 ldw ra,4(sp) + 48f8: df000017 ldw fp,0(sp) + 48fc: dec00204 addi sp,sp,8 + 4900: f800283a ret -00004900 : +00004904 : * @param irq IRQ number * @return 0 if successful, else error (-1) */ int alt_ic_isr_register(alt_u32 ic_id, alt_u32 irq, alt_isr_func isr, void *isr_context, void *flags) { - 4900: defff904 addi sp,sp,-28 - 4904: dfc00615 stw ra,24(sp) - 4908: df000515 stw fp,20(sp) - 490c: df000504 addi fp,sp,20 - 4910: e13ffc15 stw r4,-16(fp) - 4914: e17ffd15 stw r5,-12(fp) - 4918: e1bffe15 stw r6,-8(fp) - 491c: e1ffff15 stw r7,-4(fp) + 4904: defff904 addi sp,sp,-28 + 4908: dfc00615 stw ra,24(sp) + 490c: df000515 stw fp,20(sp) + 4910: df000504 addi fp,sp,20 + 4914: e13ffc15 stw r4,-16(fp) + 4918: e17ffd15 stw r5,-12(fp) + 491c: e1bffe15 stw r6,-8(fp) + 4920: e1ffff15 stw r7,-4(fp) return alt_iic_isr_register(ic_id, irq, isr, isr_context, flags); - 4920: e0800217 ldw r2,8(fp) - 4924: d8800015 stw r2,0(sp) - 4928: e1ffff17 ldw r7,-4(fp) - 492c: e1bffe17 ldw r6,-8(fp) - 4930: e17ffd17 ldw r5,-12(fp) - 4934: e13ffc17 ldw r4,-16(fp) - 4938: 0004ab00 call 4ab0 + 4924: e0800217 ldw r2,8(fp) + 4928: d8800015 stw r2,0(sp) + 492c: e1ffff17 ldw r7,-4(fp) + 4930: e1bffe17 ldw r6,-8(fp) + 4934: e17ffd17 ldw r5,-12(fp) + 4938: e13ffc17 ldw r4,-16(fp) + 493c: 0004ab40 call 4ab4 } - 493c: e037883a mov sp,fp - 4940: dfc00117 ldw ra,4(sp) - 4944: df000017 ldw fp,0(sp) - 4948: dec00204 addi sp,sp,8 - 494c: f800283a ret + 4940: e037883a mov sp,fp + 4944: dfc00117 ldw ra,4(sp) + 4948: df000017 ldw fp,0(sp) + 494c: dec00204 addi sp,sp,8 + 4950: f800283a ret -00004950 : +00004954 : * @param ic_id Ignored. * @param irq IRQ number * @return 0 if successful, else error (-1) */ int alt_ic_irq_enable (alt_u32 ic_id, alt_u32 irq) { - 4950: defff904 addi sp,sp,-28 - 4954: df000615 stw fp,24(sp) - 4958: df000604 addi fp,sp,24 - 495c: e13ffe15 stw r4,-8(fp) - 4960: e17fff15 stw r5,-4(fp) - 4964: e0bfff17 ldw r2,-4(fp) - 4968: e0bffa15 stw r2,-24(fp) + 4954: defff904 addi sp,sp,-28 + 4958: df000615 stw fp,24(sp) + 495c: df000604 addi fp,sp,24 + 4960: e13ffe15 stw r4,-8(fp) + 4964: e17fff15 stw r5,-4(fp) + 4968: e0bfff17 ldw r2,-4(fp) + 496c: e0bffa15 stw r2,-24(fp) static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 496c: 0005303a rdctl r2,status - 4970: e0bffb15 stw r2,-20(fp) + 4970: 0005303a rdctl r2,status + 4974: e0bffb15 stw r2,-20(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 4974: e0fffb17 ldw r3,-20(fp) - 4978: 00bfff84 movi r2,-2 - 497c: 1884703a and r2,r3,r2 - 4980: 1001703a wrctl status,r2 + 4978: e0fffb17 ldw r3,-20(fp) + 497c: 00bfff84 movi r2,-2 + 4980: 1884703a and r2,r3,r2 + 4984: 1001703a wrctl status,r2 return context; - 4984: e0bffb17 ldw r2,-20(fp) + 4988: e0bffb17 ldw r2,-20(fp) static ALT_INLINE int ALT_ALWAYS_INLINE alt_irq_enable (alt_u32 id) { alt_irq_context status; extern volatile alt_u32 alt_irq_active; status = alt_irq_disable_all (); - 4988: e0bffc15 stw r2,-16(fp) + 498c: e0bffc15 stw r2,-16(fp) alt_irq_active |= (1 << id); - 498c: 00c00044 movi r3,1 - 4990: e0bffa17 ldw r2,-24(fp) - 4994: 1884983a sll r2,r3,r2 - 4998: 1007883a mov r3,r2 - 499c: d0a01517 ldw r2,-32684(gp) - 49a0: 1884b03a or r2,r3,r2 - 49a4: d0a01515 stw r2,-32684(gp) + 4990: 00c00044 movi r3,1 + 4994: e0bffa17 ldw r2,-24(fp) + 4998: 1884983a sll r2,r3,r2 + 499c: 1007883a mov r3,r2 + 49a0: d0a01517 ldw r2,-32684(gp) + 49a4: 1884b03a or r2,r3,r2 + 49a8: d0a01515 stw r2,-32684(gp) NIOS2_WRITE_IENABLE (alt_irq_active); - 49a8: d0a01517 ldw r2,-32684(gp) - 49ac: 100170fa wrctl ienable,r2 - 49b0: e0bffc17 ldw r2,-16(fp) - 49b4: e0bffd15 stw r2,-12(fp) + 49ac: d0a01517 ldw r2,-32684(gp) + 49b0: 100170fa wrctl ienable,r2 + 49b4: e0bffc17 ldw r2,-16(fp) + 49b8: e0bffd15 stw r2,-12(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 49b8: e0bffd17 ldw r2,-12(fp) - 49bc: 1001703a wrctl status,r2 + 49bc: e0bffd17 ldw r2,-12(fp) + 49c0: 1001703a wrctl status,r2 alt_irq_enable_all(status); return 0; - 49c0: 0005883a mov r2,zero + 49c4: 0005883a mov r2,zero return alt_irq_enable(irq); - 49c4: 0001883a nop + 49c8: 0001883a nop } - 49c8: e037883a mov sp,fp - 49cc: df000017 ldw fp,0(sp) - 49d0: dec00104 addi sp,sp,4 - 49d4: f800283a ret + 49cc: e037883a mov sp,fp + 49d0: df000017 ldw fp,0(sp) + 49d4: dec00104 addi sp,sp,4 + 49d8: f800283a ret -000049d8 : +000049dc : * @param ic_id Ignored. * @param irq IRQ number * @return 0 if successful, else error (-1) */ int alt_ic_irq_disable(alt_u32 ic_id, alt_u32 irq) { - 49d8: defff904 addi sp,sp,-28 - 49dc: df000615 stw fp,24(sp) - 49e0: df000604 addi fp,sp,24 - 49e4: e13ffe15 stw r4,-8(fp) - 49e8: e17fff15 stw r5,-4(fp) - 49ec: e0bfff17 ldw r2,-4(fp) - 49f0: e0bffa15 stw r2,-24(fp) + 49dc: defff904 addi sp,sp,-28 + 49e0: df000615 stw fp,24(sp) + 49e4: df000604 addi fp,sp,24 + 49e8: e13ffe15 stw r4,-8(fp) + 49ec: e17fff15 stw r5,-4(fp) + 49f0: e0bfff17 ldw r2,-4(fp) + 49f4: e0bffa15 stw r2,-24(fp) static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 49f4: 0005303a rdctl r2,status - 49f8: e0bffb15 stw r2,-20(fp) + 49f8: 0005303a rdctl r2,status + 49fc: e0bffb15 stw r2,-20(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 49fc: e0fffb17 ldw r3,-20(fp) - 4a00: 00bfff84 movi r2,-2 - 4a04: 1884703a and r2,r3,r2 - 4a08: 1001703a wrctl status,r2 + 4a00: e0fffb17 ldw r3,-20(fp) + 4a04: 00bfff84 movi r2,-2 + 4a08: 1884703a and r2,r3,r2 + 4a0c: 1001703a wrctl status,r2 return context; - 4a0c: e0bffb17 ldw r2,-20(fp) + 4a10: e0bffb17 ldw r2,-20(fp) static ALT_INLINE int ALT_ALWAYS_INLINE alt_irq_disable (alt_u32 id) { alt_irq_context status; extern volatile alt_u32 alt_irq_active; status = alt_irq_disable_all (); - 4a10: e0bffc15 stw r2,-16(fp) + 4a14: e0bffc15 stw r2,-16(fp) alt_irq_active &= ~(1 << id); - 4a14: 00c00044 movi r3,1 - 4a18: e0bffa17 ldw r2,-24(fp) - 4a1c: 1884983a sll r2,r3,r2 - 4a20: 0084303a nor r2,zero,r2 - 4a24: 1007883a mov r3,r2 - 4a28: d0a01517 ldw r2,-32684(gp) - 4a2c: 1884703a and r2,r3,r2 - 4a30: d0a01515 stw r2,-32684(gp) + 4a18: 00c00044 movi r3,1 + 4a1c: e0bffa17 ldw r2,-24(fp) + 4a20: 1884983a sll r2,r3,r2 + 4a24: 0084303a nor r2,zero,r2 + 4a28: 1007883a mov r3,r2 + 4a2c: d0a01517 ldw r2,-32684(gp) + 4a30: 1884703a and r2,r3,r2 + 4a34: d0a01515 stw r2,-32684(gp) NIOS2_WRITE_IENABLE (alt_irq_active); - 4a34: d0a01517 ldw r2,-32684(gp) - 4a38: 100170fa wrctl ienable,r2 - 4a3c: e0bffc17 ldw r2,-16(fp) - 4a40: e0bffd15 stw r2,-12(fp) + 4a38: d0a01517 ldw r2,-32684(gp) + 4a3c: 100170fa wrctl ienable,r2 + 4a40: e0bffc17 ldw r2,-16(fp) + 4a44: e0bffd15 stw r2,-12(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 4a44: e0bffd17 ldw r2,-12(fp) - 4a48: 1001703a wrctl status,r2 + 4a48: e0bffd17 ldw r2,-12(fp) + 4a4c: 1001703a wrctl status,r2 alt_irq_enable_all(status); return 0; - 4a4c: 0005883a mov r2,zero + 4a50: 0005883a mov r2,zero return alt_irq_disable(irq); - 4a50: 0001883a nop + 4a54: 0001883a nop } - 4a54: e037883a mov sp,fp - 4a58: df000017 ldw fp,0(sp) - 4a5c: dec00104 addi sp,sp,4 - 4a60: f800283a ret + 4a58: e037883a mov sp,fp + 4a5c: df000017 ldw fp,0(sp) + 4a60: dec00104 addi sp,sp,4 + 4a64: f800283a ret -00004a64 : +00004a68 : * @param irq IRQ number * @return Zero if corresponding interrupt is disabled and * non-zero otherwise. */ alt_u32 alt_ic_irq_enabled(alt_u32 ic_id, alt_u32 irq) { - 4a64: defffc04 addi sp,sp,-16 - 4a68: df000315 stw fp,12(sp) - 4a6c: df000304 addi fp,sp,12 - 4a70: e13ffe15 stw r4,-8(fp) - 4a74: e17fff15 stw r5,-4(fp) + 4a68: defffc04 addi sp,sp,-16 + 4a6c: df000315 stw fp,12(sp) + 4a70: df000304 addi fp,sp,12 + 4a74: e13ffe15 stw r4,-8(fp) + 4a78: e17fff15 stw r5,-4(fp) alt_u32 irq_enabled; NIOS2_READ_IENABLE(irq_enabled); - 4a78: 000530fa rdctl r2,ienable - 4a7c: e0bffd15 stw r2,-12(fp) + 4a7c: 000530fa rdctl r2,ienable + 4a80: e0bffd15 stw r2,-12(fp) return (irq_enabled & (1 << irq)) ? 1: 0; - 4a80: 00c00044 movi r3,1 - 4a84: e0bfff17 ldw r2,-4(fp) - 4a88: 1884983a sll r2,r3,r2 - 4a8c: 1007883a mov r3,r2 - 4a90: e0bffd17 ldw r2,-12(fp) - 4a94: 1884703a and r2,r3,r2 - 4a98: 1004c03a cmpne r2,r2,zero - 4a9c: 10803fcc andi r2,r2,255 + 4a84: 00c00044 movi r3,1 + 4a88: e0bfff17 ldw r2,-4(fp) + 4a8c: 1884983a sll r2,r3,r2 + 4a90: 1007883a mov r3,r2 + 4a94: e0bffd17 ldw r2,-12(fp) + 4a98: 1884703a and r2,r3,r2 + 4a9c: 1004c03a cmpne r2,r2,zero + 4aa0: 10803fcc andi r2,r2,255 } - 4aa0: e037883a mov sp,fp - 4aa4: df000017 ldw fp,0(sp) - 4aa8: dec00104 addi sp,sp,4 - 4aac: f800283a ret + 4aa4: e037883a mov sp,fp + 4aa8: df000017 ldw fp,0(sp) + 4aac: dec00104 addi sp,sp,4 + 4ab0: f800283a ret -00004ab0 : +00004ab4 : * @param flags * @return 0 if successful, else error (-1) */ int alt_iic_isr_register(alt_u32 ic_id, alt_u32 irq, alt_isr_func isr, void *isr_context, void *flags) { - 4ab0: defff504 addi sp,sp,-44 - 4ab4: dfc00a15 stw ra,40(sp) - 4ab8: df000915 stw fp,36(sp) - 4abc: df000904 addi fp,sp,36 - 4ac0: e13ffc15 stw r4,-16(fp) - 4ac4: e17ffd15 stw r5,-12(fp) - 4ac8: e1bffe15 stw r6,-8(fp) - 4acc: e1ffff15 stw r7,-4(fp) + 4ab4: defff504 addi sp,sp,-44 + 4ab8: dfc00a15 stw ra,40(sp) + 4abc: df000915 stw fp,36(sp) + 4ac0: df000904 addi fp,sp,36 + 4ac4: e13ffc15 stw r4,-16(fp) + 4ac8: e17ffd15 stw r5,-12(fp) + 4acc: e1bffe15 stw r6,-8(fp) + 4ad0: e1ffff15 stw r7,-4(fp) int rc = -EINVAL; - 4ad0: 00bffa84 movi r2,-22 - 4ad4: e0bff715 stw r2,-36(fp) + 4ad4: 00bffa84 movi r2,-22 + 4ad8: e0bff715 stw r2,-36(fp) int id = irq; /* IRQ interpreted as the interrupt ID. */ - 4ad8: e0bffd17 ldw r2,-12(fp) - 4adc: e0bff815 stw r2,-32(fp) + 4adc: e0bffd17 ldw r2,-12(fp) + 4ae0: e0bff815 stw r2,-32(fp) alt_irq_context status; if (id < ALT_NIRQ) - 4ae0: e0bff817 ldw r2,-32(fp) - 4ae4: 10800808 cmpgei r2,r2,32 - 4ae8: 1000271e bne r2,zero,4b88 + 4ae4: e0bff817 ldw r2,-32(fp) + 4ae8: 10800808 cmpgei r2,r2,32 + 4aec: 1000271e bne r2,zero,4b8c static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 4aec: 0005303a rdctl r2,status - 4af0: e0bffb15 stw r2,-20(fp) + 4af0: 0005303a rdctl r2,status + 4af4: e0bffb15 stw r2,-20(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 4af4: e0fffb17 ldw r3,-20(fp) - 4af8: 00bfff84 movi r2,-2 - 4afc: 1884703a and r2,r3,r2 - 4b00: 1001703a wrctl status,r2 + 4af8: e0fffb17 ldw r3,-20(fp) + 4afc: 00bfff84 movi r2,-2 + 4b00: 1884703a and r2,r3,r2 + 4b04: 1001703a wrctl status,r2 return context; - 4b04: e0bffb17 ldw r2,-20(fp) + 4b08: e0bffb17 ldw r2,-20(fp) * interrupts are disabled while the handler tables are updated to ensure * that an interrupt doesn't occur while the tables are in an inconsistant * state. */ status = alt_irq_disable_all(); - 4b08: e0bffa15 stw r2,-24(fp) + 4b0c: e0bffa15 stw r2,-24(fp) alt_irq[id].handler = isr; - 4b0c: 00800034 movhi r2,0 - 4b10: 109cb904 addi r2,r2,29412 - 4b14: e0fff817 ldw r3,-32(fp) - 4b18: 180690fa slli r3,r3,3 - 4b1c: 10c5883a add r2,r2,r3 - 4b20: e0fffe17 ldw r3,-8(fp) - 4b24: 10c00015 stw r3,0(r2) + 4b10: 00800034 movhi r2,0 + 4b14: 109cc604 addi r2,r2,29464 + 4b18: e0fff817 ldw r3,-32(fp) + 4b1c: 180690fa slli r3,r3,3 + 4b20: 10c5883a add r2,r2,r3 + 4b24: e0fffe17 ldw r3,-8(fp) + 4b28: 10c00015 stw r3,0(r2) alt_irq[id].context = isr_context; - 4b28: 00800034 movhi r2,0 - 4b2c: 109cb904 addi r2,r2,29412 - 4b30: e0fff817 ldw r3,-32(fp) - 4b34: 180690fa slli r3,r3,3 - 4b38: 10c5883a add r2,r2,r3 - 4b3c: 10800104 addi r2,r2,4 - 4b40: e0ffff17 ldw r3,-4(fp) - 4b44: 10c00015 stw r3,0(r2) + 4b2c: 00800034 movhi r2,0 + 4b30: 109cc604 addi r2,r2,29464 + 4b34: e0fff817 ldw r3,-32(fp) + 4b38: 180690fa slli r3,r3,3 + 4b3c: 10c5883a add r2,r2,r3 + 4b40: 10800104 addi r2,r2,4 + 4b44: e0ffff17 ldw r3,-4(fp) + 4b48: 10c00015 stw r3,0(r2) rc = (isr) ? alt_ic_irq_enable(ic_id, id) : alt_ic_irq_disable(ic_id, id); - 4b48: e0bffe17 ldw r2,-8(fp) - 4b4c: 10000526 beq r2,zero,4b64 - 4b50: e0bff817 ldw r2,-32(fp) - 4b54: 100b883a mov r5,r2 - 4b58: e13ffc17 ldw r4,-16(fp) - 4b5c: 00049500 call 4950 - 4b60: 00000406 br 4b74 - 4b64: e0bff817 ldw r2,-32(fp) - 4b68: 100b883a mov r5,r2 - 4b6c: e13ffc17 ldw r4,-16(fp) - 4b70: 00049d80 call 49d8 - 4b74: e0bff715 stw r2,-36(fp) - 4b78: e0bffa17 ldw r2,-24(fp) - 4b7c: e0bff915 stw r2,-28(fp) + 4b4c: e0bffe17 ldw r2,-8(fp) + 4b50: 10000526 beq r2,zero,4b68 + 4b54: e0bff817 ldw r2,-32(fp) + 4b58: 100b883a mov r5,r2 + 4b5c: e13ffc17 ldw r4,-16(fp) + 4b60: 00049540 call 4954 + 4b64: 00000406 br 4b78 + 4b68: e0bff817 ldw r2,-32(fp) + 4b6c: 100b883a mov r5,r2 + 4b70: e13ffc17 ldw r4,-16(fp) + 4b74: 00049dc0 call 49dc + 4b78: e0bff715 stw r2,-36(fp) + 4b7c: e0bffa17 ldw r2,-24(fp) + 4b80: e0bff915 stw r2,-28(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 4b80: e0bff917 ldw r2,-28(fp) - 4b84: 1001703a wrctl status,r2 + 4b84: e0bff917 ldw r2,-28(fp) + 4b88: 1001703a wrctl status,r2 alt_irq_enable_all(status); } return rc; - 4b88: e0bff717 ldw r2,-36(fp) + 4b8c: e0bff717 ldw r2,-36(fp) } - 4b8c: e037883a mov sp,fp - 4b90: dfc00117 ldw ra,4(sp) - 4b94: df000017 ldw fp,0(sp) - 4b98: dec00204 addi sp,sp,8 - 4b9c: f800283a ret + 4b90: e037883a mov sp,fp + 4b94: dfc00117 ldw ra,4(sp) + 4b98: df000017 ldw fp,0(sp) + 4b9c: dec00204 addi sp,sp,8 + 4ba0: f800283a ret -00004ba0 : +00004ba4 : * If the device can not be succesfully opened, then the input file descriptor * remains unchanged. */ static void alt_open_fd(alt_fd* fd, const char* name, int flags, int mode) { - 4ba0: defff804 addi sp,sp,-32 - 4ba4: dfc00715 stw ra,28(sp) - 4ba8: df000615 stw fp,24(sp) - 4bac: dc000515 stw r16,20(sp) - 4bb0: df000604 addi fp,sp,24 - 4bb4: e13ffb15 stw r4,-20(fp) - 4bb8: e17ffc15 stw r5,-16(fp) - 4bbc: e1bffd15 stw r6,-12(fp) - 4bc0: e1fffe15 stw r7,-8(fp) + 4ba4: defff804 addi sp,sp,-32 + 4ba8: dfc00715 stw ra,28(sp) + 4bac: df000615 stw fp,24(sp) + 4bb0: dc000515 stw r16,20(sp) + 4bb4: df000604 addi fp,sp,24 + 4bb8: e13ffb15 stw r4,-20(fp) + 4bbc: e17ffc15 stw r5,-16(fp) + 4bc0: e1bffd15 stw r6,-12(fp) + 4bc4: e1fffe15 stw r7,-8(fp) int old; old = open (name, flags, mode); - 4bc4: e1bffe17 ldw r6,-8(fp) - 4bc8: e17ffd17 ldw r5,-12(fp) - 4bcc: e13ffc17 ldw r4,-16(fp) - 4bd0: 0004e280 call 4e28 - 4bd4: e0bffa15 stw r2,-24(fp) + 4bc8: e1bffe17 ldw r6,-8(fp) + 4bcc: e17ffd17 ldw r5,-12(fp) + 4bd0: e13ffc17 ldw r4,-16(fp) + 4bd4: 0004e2c0 call 4e2c + 4bd8: e0bffa15 stw r2,-24(fp) if (old >= 0) - 4bd8: e0bffa17 ldw r2,-24(fp) - 4bdc: 10002216 blt r2,zero,4c68 + 4bdc: e0bffa17 ldw r2,-24(fp) + 4be0: 10002216 blt r2,zero,4c6c { fd->dev = alt_fd_list[old].dev; - 4be0: 04000034 movhi r16,0 - 4be4: 84181e04 addi r16,r16,24696 - 4be8: e0bffa17 ldw r2,-24(fp) - 4bec: 01400304 movi r5,12 - 4bf0: 1009883a mov r4,r2 - 4bf4: 00031140 call 3114 <__mulsi3> - 4bf8: 8085883a add r2,r16,r2 - 4bfc: 10c00017 ldw r3,0(r2) - 4c00: e0bffb17 ldw r2,-20(fp) - 4c04: 10c00015 stw r3,0(r2) + 4be4: 04000034 movhi r16,0 + 4be8: 84182b04 addi r16,r16,24748 + 4bec: e0bffa17 ldw r2,-24(fp) + 4bf0: 01400304 movi r5,12 + 4bf4: 1009883a mov r4,r2 + 4bf8: 00031180 call 3118 <__mulsi3> + 4bfc: 8085883a add r2,r16,r2 + 4c00: 10c00017 ldw r3,0(r2) + 4c04: e0bffb17 ldw r2,-20(fp) + 4c08: 10c00015 stw r3,0(r2) fd->priv = alt_fd_list[old].priv; - 4c08: 04000034 movhi r16,0 - 4c0c: 84181e04 addi r16,r16,24696 - 4c10: e0bffa17 ldw r2,-24(fp) - 4c14: 01400304 movi r5,12 - 4c18: 1009883a mov r4,r2 - 4c1c: 00031140 call 3114 <__mulsi3> - 4c20: 8085883a add r2,r16,r2 - 4c24: 10800104 addi r2,r2,4 - 4c28: 10c00017 ldw r3,0(r2) - 4c2c: e0bffb17 ldw r2,-20(fp) - 4c30: 10c00115 stw r3,4(r2) + 4c0c: 04000034 movhi r16,0 + 4c10: 84182b04 addi r16,r16,24748 + 4c14: e0bffa17 ldw r2,-24(fp) + 4c18: 01400304 movi r5,12 + 4c1c: 1009883a mov r4,r2 + 4c20: 00031180 call 3118 <__mulsi3> + 4c24: 8085883a add r2,r16,r2 + 4c28: 10800104 addi r2,r2,4 + 4c2c: 10c00017 ldw r3,0(r2) + 4c30: e0bffb17 ldw r2,-20(fp) + 4c34: 10c00115 stw r3,4(r2) fd->fd_flags = alt_fd_list[old].fd_flags; - 4c34: 04000034 movhi r16,0 - 4c38: 84181e04 addi r16,r16,24696 - 4c3c: e0bffa17 ldw r2,-24(fp) - 4c40: 01400304 movi r5,12 - 4c44: 1009883a mov r4,r2 - 4c48: 00031140 call 3114 <__mulsi3> - 4c4c: 8085883a add r2,r16,r2 - 4c50: 10800204 addi r2,r2,8 - 4c54: 10c00017 ldw r3,0(r2) - 4c58: e0bffb17 ldw r2,-20(fp) - 4c5c: 10c00215 stw r3,8(r2) + 4c38: 04000034 movhi r16,0 + 4c3c: 84182b04 addi r16,r16,24748 + 4c40: e0bffa17 ldw r2,-24(fp) + 4c44: 01400304 movi r5,12 + 4c48: 1009883a mov r4,r2 + 4c4c: 00031180 call 3118 <__mulsi3> + 4c50: 8085883a add r2,r16,r2 + 4c54: 10800204 addi r2,r2,8 + 4c58: 10c00017 ldw r3,0(r2) + 4c5c: e0bffb17 ldw r2,-20(fp) + 4c60: 10c00215 stw r3,8(r2) alt_release_fd (old); - 4c60: e13ffa17 ldw r4,-24(fp) - 4c64: 000379c0 call 379c + 4c64: e13ffa17 ldw r4,-24(fp) + 4c68: 00037a00 call 37a0 } } - 4c68: 0001883a nop - 4c6c: e6ffff04 addi sp,fp,-4 - 4c70: dfc00217 ldw ra,8(sp) - 4c74: df000117 ldw fp,4(sp) - 4c78: dc000017 ldw r16,0(sp) - 4c7c: dec00304 addi sp,sp,12 - 4c80: f800283a ret + 4c6c: 0001883a nop + 4c70: e6ffff04 addi sp,fp,-4 + 4c74: dfc00217 ldw ra,8(sp) + 4c78: df000117 ldw fp,4(sp) + 4c7c: dc000017 ldw r16,0(sp) + 4c80: dec00304 addi sp,sp,12 + 4c84: f800283a ret -00004c84 : +00004c88 : */ void alt_io_redirect(const char* stdout_dev, const char* stdin_dev, const char* stderr_dev) { - 4c84: defffb04 addi sp,sp,-20 - 4c88: dfc00415 stw ra,16(sp) - 4c8c: df000315 stw fp,12(sp) - 4c90: df000304 addi fp,sp,12 - 4c94: e13ffd15 stw r4,-12(fp) - 4c98: e17ffe15 stw r5,-8(fp) - 4c9c: e1bfff15 stw r6,-4(fp) + 4c88: defffb04 addi sp,sp,-20 + 4c8c: dfc00415 stw ra,16(sp) + 4c90: df000315 stw fp,12(sp) + 4c94: df000304 addi fp,sp,12 + 4c98: e13ffd15 stw r4,-12(fp) + 4c9c: e17ffe15 stw r5,-8(fp) + 4ca0: e1bfff15 stw r6,-4(fp) /* Redirect the channels */ alt_open_fd (&alt_fd_list[STDOUT_FILENO], stdout_dev, O_WRONLY, 0777); - 4ca0: 01c07fc4 movi r7,511 - 4ca4: 01800044 movi r6,1 - 4ca8: e17ffd17 ldw r5,-12(fp) - 4cac: 01000034 movhi r4,0 - 4cb0: 21182104 addi r4,r4,24708 - 4cb4: 0004ba00 call 4ba0 + 4ca4: 01c07fc4 movi r7,511 + 4ca8: 01800044 movi r6,1 + 4cac: e17ffd17 ldw r5,-12(fp) + 4cb0: 01000034 movhi r4,0 + 4cb4: 21182e04 addi r4,r4,24760 + 4cb8: 0004ba40 call 4ba4 alt_open_fd (&alt_fd_list[STDIN_FILENO], stdin_dev, O_RDONLY, 0777); - 4cb8: 01c07fc4 movi r7,511 - 4cbc: 000d883a mov r6,zero - 4cc0: e17ffe17 ldw r5,-8(fp) - 4cc4: 01000034 movhi r4,0 - 4cc8: 21181e04 addi r4,r4,24696 - 4ccc: 0004ba00 call 4ba0 + 4cbc: 01c07fc4 movi r7,511 + 4cc0: 000d883a mov r6,zero + 4cc4: e17ffe17 ldw r5,-8(fp) + 4cc8: 01000034 movhi r4,0 + 4ccc: 21182b04 addi r4,r4,24748 + 4cd0: 0004ba40 call 4ba4 alt_open_fd (&alt_fd_list[STDERR_FILENO], stderr_dev, O_WRONLY, 0777); - 4cd0: 01c07fc4 movi r7,511 - 4cd4: 01800044 movi r6,1 - 4cd8: e17fff17 ldw r5,-4(fp) - 4cdc: 01000034 movhi r4,0 - 4ce0: 21182404 addi r4,r4,24720 - 4ce4: 0004ba00 call 4ba0 + 4cd4: 01c07fc4 movi r7,511 + 4cd8: 01800044 movi r6,1 + 4cdc: e17fff17 ldw r5,-4(fp) + 4ce0: 01000034 movhi r4,0 + 4ce4: 21183104 addi r4,r4,24772 + 4ce8: 0004ba40 call 4ba4 } - 4ce8: 0001883a nop - 4cec: e037883a mov sp,fp - 4cf0: dfc00117 ldw ra,4(sp) - 4cf4: df000017 ldw fp,0(sp) - 4cf8: dec00204 addi sp,sp,8 - 4cfc: f800283a ret + 4cec: 0001883a nop + 4cf0: e037883a mov sp,fp + 4cf4: dfc00117 ldw ra,4(sp) + 4cf8: df000017 ldw fp,0(sp) + 4cfc: dec00204 addi sp,sp,8 + 4d00: f800283a ret -00004d00 : +00004d04 : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 4d00: defffe04 addi sp,sp,-8 - 4d04: dfc00115 stw ra,4(sp) - 4d08: df000015 stw fp,0(sp) - 4d0c: d839883a mov fp,sp + 4d04: defffe04 addi sp,sp,-8 + 4d08: dfc00115 stw ra,4(sp) + 4d0c: df000015 stw fp,0(sp) + 4d10: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 4d10: d0a00917 ldw r2,-32732(gp) - 4d14: 10000326 beq r2,zero,4d24 - 4d18: d0a00917 ldw r2,-32732(gp) - 4d1c: 103ee83a callr r2 - 4d20: 00000106 br 4d28 - 4d24: d0a01104 addi r2,gp,-32700 + 4d14: d0a00917 ldw r2,-32732(gp) + 4d18: 10000326 beq r2,zero,4d28 + 4d1c: d0a00917 ldw r2,-32732(gp) + 4d20: 103ee83a callr r2 + 4d24: 00000106 br 4d2c + 4d28: d0a01104 addi r2,gp,-32700 } - 4d28: e037883a mov sp,fp - 4d2c: dfc00117 ldw ra,4(sp) - 4d30: df000017 ldw fp,0(sp) - 4d34: dec00204 addi sp,sp,8 - 4d38: f800283a ret + 4d2c: e037883a mov sp,fp + 4d30: dfc00117 ldw ra,4(sp) + 4d34: df000017 ldw fp,0(sp) + 4d38: dec00204 addi sp,sp,8 + 4d3c: f800283a ret -00004d3c : +00004d40 : * performed for devices. Filesystems are required to handle the ioctl() call * themselves, and report the error from the filesystems open() function. */ static int alt_file_locked (alt_fd* fd) { - 4d3c: defffb04 addi sp,sp,-20 - 4d40: dfc00415 stw ra,16(sp) - 4d44: df000315 stw fp,12(sp) - 4d48: dc000215 stw r16,8(sp) - 4d4c: df000304 addi fp,sp,12 - 4d50: e13ffe15 stw r4,-8(fp) + 4d40: defffb04 addi sp,sp,-20 + 4d44: dfc00415 stw ra,16(sp) + 4d48: df000315 stw fp,12(sp) + 4d4c: dc000215 stw r16,8(sp) + 4d50: df000304 addi fp,sp,12 + 4d54: e13ffe15 stw r4,-8(fp) /* * Mark the file descriptor as belonging to a device. */ fd->fd_flags |= ALT_FD_DEV; - 4d54: e0bffe17 ldw r2,-8(fp) - 4d58: 10800217 ldw r2,8(r2) - 4d5c: 10d00034 orhi r3,r2,16384 - 4d60: e0bffe17 ldw r2,-8(fp) - 4d64: 10c00215 stw r3,8(r2) + 4d58: e0bffe17 ldw r2,-8(fp) + 4d5c: 10800217 ldw r2,8(r2) + 4d60: 10d00034 orhi r3,r2,16384 + 4d64: e0bffe17 ldw r2,-8(fp) + 4d68: 10c00215 stw r3,8(r2) /* * Loop through all current file descriptors searching for one that's locked * for exclusive access. If a match is found, generate an error. */ for (i = 0; i <= alt_max_fd; i++) - 4d68: e03ffd15 stw zero,-12(fp) - 4d6c: 00002306 br 4dfc + 4d6c: e03ffd15 stw zero,-12(fp) + 4d70: 00002306 br 4e00 { if ((alt_fd_list[i].dev == fd->dev) && - 4d70: 04000034 movhi r16,0 - 4d74: 84181e04 addi r16,r16,24696 - 4d78: e0bffd17 ldw r2,-12(fp) - 4d7c: 01400304 movi r5,12 - 4d80: 1009883a mov r4,r2 - 4d84: 00031140 call 3114 <__mulsi3> - 4d88: 8085883a add r2,r16,r2 - 4d8c: 10c00017 ldw r3,0(r2) - 4d90: e0bffe17 ldw r2,-8(fp) - 4d94: 10800017 ldw r2,0(r2) - 4d98: 1880151e bne r3,r2,4df0 + 4d74: 04000034 movhi r16,0 + 4d78: 84182b04 addi r16,r16,24748 + 4d7c: e0bffd17 ldw r2,-12(fp) + 4d80: 01400304 movi r5,12 + 4d84: 1009883a mov r4,r2 + 4d88: 00031180 call 3118 <__mulsi3> + 4d8c: 8085883a add r2,r16,r2 + 4d90: 10c00017 ldw r3,0(r2) + 4d94: e0bffe17 ldw r2,-8(fp) + 4d98: 10800017 ldw r2,0(r2) + 4d9c: 1880151e bne r3,r2,4df4 (alt_fd_list[i].fd_flags & ALT_FD_EXCL) && - 4d9c: 04000034 movhi r16,0 - 4da0: 84181e04 addi r16,r16,24696 - 4da4: e0bffd17 ldw r2,-12(fp) - 4da8: 01400304 movi r5,12 - 4dac: 1009883a mov r4,r2 - 4db0: 00031140 call 3114 <__mulsi3> - 4db4: 8085883a add r2,r16,r2 - 4db8: 10800204 addi r2,r2,8 - 4dbc: 10800017 ldw r2,0(r2) + 4da0: 04000034 movhi r16,0 + 4da4: 84182b04 addi r16,r16,24748 + 4da8: e0bffd17 ldw r2,-12(fp) + 4dac: 01400304 movi r5,12 + 4db0: 1009883a mov r4,r2 + 4db4: 00031180 call 3118 <__mulsi3> + 4db8: 8085883a add r2,r16,r2 + 4dbc: 10800204 addi r2,r2,8 + 4dc0: 10800017 ldw r2,0(r2) * for exclusive access. If a match is found, generate an error. */ for (i = 0; i <= alt_max_fd; i++) { if ((alt_fd_list[i].dev == fd->dev) && - 4dc0: 10000b0e bge r2,zero,4df0 + 4dc4: 10000b0e bge r2,zero,4df4 (alt_fd_list[i].fd_flags & ALT_FD_EXCL) && (&alt_fd_list[i] != fd)) - 4dc4: 01400304 movi r5,12 - 4dc8: e13ffd17 ldw r4,-12(fp) - 4dcc: 00031140 call 3114 <__mulsi3> - 4dd0: 1007883a mov r3,r2 - 4dd4: 00800034 movhi r2,0 - 4dd8: 10981e04 addi r2,r2,24696 - 4ddc: 1887883a add r3,r3,r2 + 4dc8: 01400304 movi r5,12 + 4dcc: e13ffd17 ldw r4,-12(fp) + 4dd0: 00031180 call 3118 <__mulsi3> + 4dd4: 1007883a mov r3,r2 + 4dd8: 00800034 movhi r2,0 + 4ddc: 10982b04 addi r2,r2,24748 + 4de0: 1887883a add r3,r3,r2 */ for (i = 0; i <= alt_max_fd; i++) { if ((alt_fd_list[i].dev == fd->dev) && (alt_fd_list[i].fd_flags & ALT_FD_EXCL) && - 4de0: e0bffe17 ldw r2,-8(fp) - 4de4: 18800226 beq r3,r2,4df0 + 4de4: e0bffe17 ldw r2,-8(fp) + 4de8: 18800226 beq r3,r2,4df4 (&alt_fd_list[i] != fd)) { return -EACCES; - 4de8: 00bffcc4 movi r2,-13 - 4dec: 00000806 br 4e10 + 4dec: 00bffcc4 movi r2,-13 + 4df0: 00000806 br 4e14 /* * Loop through all current file descriptors searching for one that's locked * for exclusive access. If a match is found, generate an error. */ for (i = 0; i <= alt_max_fd; i++) - 4df0: e0bffd17 ldw r2,-12(fp) - 4df4: 10800044 addi r2,r2,1 - 4df8: e0bffd15 stw r2,-12(fp) - 4dfc: d0a00817 ldw r2,-32736(gp) - 4e00: 1007883a mov r3,r2 - 4e04: e0bffd17 ldw r2,-12(fp) - 4e08: 18bfd92e bgeu r3,r2,4d70 <__alt_data_end+0xfffe4d70> + 4df4: e0bffd17 ldw r2,-12(fp) + 4df8: 10800044 addi r2,r2,1 + 4dfc: e0bffd15 stw r2,-12(fp) + 4e00: d0a00817 ldw r2,-32736(gp) + 4e04: 1007883a mov r3,r2 + 4e08: e0bffd17 ldw r2,-12(fp) + 4e0c: 18bfd92e bgeu r3,r2,4d74 <__alt_data_end+0xfffe4d74> } } /* The device is not locked */ return 0; - 4e0c: 0005883a mov r2,zero + 4e10: 0005883a mov r2,zero } - 4e10: e6ffff04 addi sp,fp,-4 - 4e14: dfc00217 ldw ra,8(sp) - 4e18: df000117 ldw fp,4(sp) - 4e1c: dc000017 ldw r16,0(sp) - 4e20: dec00304 addi sp,sp,12 - 4e24: f800283a ret + 4e14: e6ffff04 addi sp,fp,-4 + 4e18: dfc00217 ldw ra,8(sp) + 4e1c: df000117 ldw fp,4(sp) + 4e20: dc000017 ldw r16,0(sp) + 4e24: dec00304 addi sp,sp,12 + 4e28: f800283a ret -00004e28 : +00004e2c : * * ALT_OPEN is mapped onto the open() system call in alt_syscall.h */ int ALT_OPEN (const char* file, int flags, int mode) { - 4e28: defff604 addi sp,sp,-40 - 4e2c: dfc00915 stw ra,36(sp) - 4e30: df000815 stw fp,32(sp) - 4e34: df000804 addi fp,sp,32 - 4e38: e13ffd15 stw r4,-12(fp) - 4e3c: e17ffe15 stw r5,-8(fp) - 4e40: e1bfff15 stw r6,-4(fp) + 4e2c: defff604 addi sp,sp,-40 + 4e30: dfc00915 stw ra,36(sp) + 4e34: df000815 stw fp,32(sp) + 4e38: df000804 addi fp,sp,32 + 4e3c: e13ffd15 stw r4,-12(fp) + 4e40: e17ffe15 stw r5,-8(fp) + 4e44: e1bfff15 stw r6,-4(fp) alt_dev* dev; alt_fd* fd; int index = -1; - 4e44: 00bfffc4 movi r2,-1 - 4e48: e0bff915 stw r2,-28(fp) + 4e48: 00bfffc4 movi r2,-1 + 4e4c: e0bff915 stw r2,-28(fp) int status = -ENODEV; - 4e4c: 00bffb44 movi r2,-19 - 4e50: e0bffa15 stw r2,-24(fp) + 4e50: 00bffb44 movi r2,-19 + 4e54: e0bffa15 stw r2,-24(fp) int isafs = 0; - 4e54: e03ffb15 stw zero,-20(fp) + 4e58: e03ffb15 stw zero,-20(fp) /* * Check the device list, to see if a device with a matching name is * registered. */ if (!(dev = alt_find_dev (file, &alt_dev_list))) - 4e58: d1600604 addi r5,gp,-32744 - 4e5c: e13ffd17 ldw r4,-12(fp) - 4e60: 000514c0 call 514c - 4e64: e0bff815 stw r2,-32(fp) - 4e68: e0bff817 ldw r2,-32(fp) - 4e6c: 1000051e bne r2,zero,4e84 + 4e5c: d1600604 addi r5,gp,-32744 + 4e60: e13ffd17 ldw r4,-12(fp) + 4e64: 00051500 call 5150 + 4e68: e0bff815 stw r2,-32(fp) + 4e6c: e0bff817 ldw r2,-32(fp) + 4e70: 1000051e bne r2,zero,4e88 { /* No matching device, so try the filesystem list */ dev = alt_find_file (file); - 4e70: e13ffd17 ldw r4,-12(fp) - 4e74: 00051dc0 call 51dc - 4e78: e0bff815 stw r2,-32(fp) + 4e74: e13ffd17 ldw r4,-12(fp) + 4e78: 00051e00 call 51e0 + 4e7c: e0bff815 stw r2,-32(fp) isafs = 1; - 4e7c: 00800044 movi r2,1 - 4e80: e0bffb15 stw r2,-20(fp) + 4e80: 00800044 movi r2,1 + 4e84: e0bffb15 stw r2,-20(fp) /* * If a matching device or filesystem is found, allocate a file descriptor. */ if (dev) - 4e84: e0bff817 ldw r2,-32(fp) - 4e88: 10002b26 beq r2,zero,4f38 + 4e88: e0bff817 ldw r2,-32(fp) + 4e8c: 10002b26 beq r2,zero,4f3c { if ((index = alt_get_fd (dev)) < 0) - 4e8c: e13ff817 ldw r4,-32(fp) - 4e90: 00052e40 call 52e4 - 4e94: e0bff915 stw r2,-28(fp) - 4e98: e0bff917 ldw r2,-28(fp) - 4e9c: 1000030e bge r2,zero,4eac + 4e90: e13ff817 ldw r4,-32(fp) + 4e94: 00052e80 call 52e8 + 4e98: e0bff915 stw r2,-28(fp) + 4e9c: e0bff917 ldw r2,-28(fp) + 4ea0: 1000030e bge r2,zero,4eb0 { status = index; - 4ea0: e0bff917 ldw r2,-28(fp) - 4ea4: e0bffa15 stw r2,-24(fp) - 4ea8: 00002506 br 4f40 + 4ea4: e0bff917 ldw r2,-28(fp) + 4ea8: e0bffa15 stw r2,-24(fp) + 4eac: 00002506 br 4f44 } else { fd = &alt_fd_list[index]; - 4eac: 01400304 movi r5,12 - 4eb0: e13ff917 ldw r4,-28(fp) - 4eb4: 00031140 call 3114 <__mulsi3> - 4eb8: 1007883a mov r3,r2 - 4ebc: 00800034 movhi r2,0 - 4ec0: 10981e04 addi r2,r2,24696 - 4ec4: 1885883a add r2,r3,r2 - 4ec8: e0bffc15 stw r2,-16(fp) + 4eb0: 01400304 movi r5,12 + 4eb4: e13ff917 ldw r4,-28(fp) + 4eb8: 00031180 call 3118 <__mulsi3> + 4ebc: 1007883a mov r3,r2 + 4ec0: 00800034 movhi r2,0 + 4ec4: 10982b04 addi r2,r2,24748 + 4ec8: 1885883a add r2,r3,r2 + 4ecc: e0bffc15 stw r2,-16(fp) fd->fd_flags = (flags & ~ALT_FD_FLAGS_MASK); - 4ecc: e0fffe17 ldw r3,-8(fp) - 4ed0: 00900034 movhi r2,16384 - 4ed4: 10bfffc4 addi r2,r2,-1 - 4ed8: 1886703a and r3,r3,r2 - 4edc: e0bffc17 ldw r2,-16(fp) - 4ee0: 10c00215 stw r3,8(r2) + 4ed0: e0fffe17 ldw r3,-8(fp) + 4ed4: 00900034 movhi r2,16384 + 4ed8: 10bfffc4 addi r2,r2,-1 + 4edc: 1886703a and r3,r3,r2 + 4ee0: e0bffc17 ldw r2,-16(fp) + 4ee4: 10c00215 stw r3,8(r2) /* If this is a device, ensure it isn't already locked */ if (isafs || ((status = alt_file_locked (fd)) >= 0)) - 4ee4: e0bffb17 ldw r2,-20(fp) - 4ee8: 1000051e bne r2,zero,4f00 - 4eec: e13ffc17 ldw r4,-16(fp) - 4ef0: 0004d3c0 call 4d3c - 4ef4: e0bffa15 stw r2,-24(fp) - 4ef8: e0bffa17 ldw r2,-24(fp) - 4efc: 10001016 blt r2,zero,4f40 + 4ee8: e0bffb17 ldw r2,-20(fp) + 4eec: 1000051e bne r2,zero,4f04 + 4ef0: e13ffc17 ldw r4,-16(fp) + 4ef4: 0004d400 call 4d40 + 4ef8: e0bffa15 stw r2,-24(fp) + 4efc: e0bffa17 ldw r2,-24(fp) + 4f00: 10001016 blt r2,zero,4f44 /* * If the device or filesystem provides an open() callback function, * call it now to perform any device/filesystem specific operations. */ status = (dev->open) ? dev->open(fd, file, flags, mode): 0; - 4f00: e0bff817 ldw r2,-32(fp) - 4f04: 10800317 ldw r2,12(r2) - 4f08: 10000826 beq r2,zero,4f2c - 4f0c: e0bff817 ldw r2,-32(fp) - 4f10: 10800317 ldw r2,12(r2) - 4f14: e1ffff17 ldw r7,-4(fp) - 4f18: e1bffe17 ldw r6,-8(fp) - 4f1c: e17ffd17 ldw r5,-12(fp) - 4f20: e13ffc17 ldw r4,-16(fp) - 4f24: 103ee83a callr r2 - 4f28: 00000106 br 4f30 - 4f2c: 0005883a mov r2,zero - 4f30: e0bffa15 stw r2,-24(fp) - 4f34: 00000206 br 4f40 + 4f04: e0bff817 ldw r2,-32(fp) + 4f08: 10800317 ldw r2,12(r2) + 4f0c: 10000826 beq r2,zero,4f30 + 4f10: e0bff817 ldw r2,-32(fp) + 4f14: 10800317 ldw r2,12(r2) + 4f18: e1ffff17 ldw r7,-4(fp) + 4f1c: e1bffe17 ldw r6,-8(fp) + 4f20: e17ffd17 ldw r5,-12(fp) + 4f24: e13ffc17 ldw r4,-16(fp) + 4f28: 103ee83a callr r2 + 4f2c: 00000106 br 4f34 + 4f30: 0005883a mov r2,zero + 4f34: e0bffa15 stw r2,-24(fp) + 4f38: 00000206 br 4f44 } } } else { status = -ENODEV; - 4f38: 00bffb44 movi r2,-19 - 4f3c: e0bffa15 stw r2,-24(fp) + 4f3c: 00bffb44 movi r2,-19 + 4f40: e0bffa15 stw r2,-24(fp) } /* Allocation failed, so clean up and return an error */ if (status < 0) - 4f40: e0bffa17 ldw r2,-24(fp) - 4f44: 1000090e bge r2,zero,4f6c + 4f44: e0bffa17 ldw r2,-24(fp) + 4f48: 1000090e bge r2,zero,4f70 { alt_release_fd (index); - 4f48: e13ff917 ldw r4,-28(fp) - 4f4c: 000379c0 call 379c + 4f4c: e13ff917 ldw r4,-28(fp) + 4f50: 00037a00 call 37a0 ALT_ERRNO = -status; - 4f50: 0004d000 call 4d00 - 4f54: 1007883a mov r3,r2 - 4f58: e0bffa17 ldw r2,-24(fp) - 4f5c: 0085c83a sub r2,zero,r2 - 4f60: 18800015 stw r2,0(r3) + 4f54: 0004d040 call 4d04 + 4f58: 1007883a mov r3,r2 + 4f5c: e0bffa17 ldw r2,-24(fp) + 4f60: 0085c83a sub r2,zero,r2 + 4f64: 18800015 stw r2,0(r3) return -1; - 4f64: 00bfffc4 movi r2,-1 - 4f68: 00000106 br 4f70 + 4f68: 00bfffc4 movi r2,-1 + 4f6c: 00000106 br 4f74 } /* return the reference upon success */ return index; - 4f6c: e0bff917 ldw r2,-28(fp) + 4f70: e0bff917 ldw r2,-28(fp) } - 4f70: e037883a mov sp,fp - 4f74: dfc00117 ldw ra,4(sp) - 4f78: df000017 ldw fp,0(sp) - 4f7c: dec00204 addi sp,sp,8 - 4f80: f800283a ret + 4f74: e037883a mov sp,fp + 4f78: dfc00117 ldw ra,4(sp) + 4f7c: df000017 ldw fp,0(sp) + 4f80: dec00204 addi sp,sp,8 + 4f84: f800283a ret -00004f84 : +00004f88 : * alarms. Alternatively an alarm can unregister itself by returning zero when * the alarm executes. */ void alt_alarm_stop (alt_alarm* alarm) { - 4f84: defffa04 addi sp,sp,-24 - 4f88: df000515 stw fp,20(sp) - 4f8c: df000504 addi fp,sp,20 - 4f90: e13fff15 stw r4,-4(fp) + 4f88: defffa04 addi sp,sp,-24 + 4f8c: df000515 stw fp,20(sp) + 4f90: df000504 addi fp,sp,20 + 4f94: e13fff15 stw r4,-4(fp) static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 4f94: 0005303a rdctl r2,status - 4f98: e0bffc15 stw r2,-16(fp) + 4f98: 0005303a rdctl r2,status + 4f9c: e0bffc15 stw r2,-16(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 4f9c: e0fffc17 ldw r3,-16(fp) - 4fa0: 00bfff84 movi r2,-2 - 4fa4: 1884703a and r2,r3,r2 - 4fa8: 1001703a wrctl status,r2 + 4fa0: e0fffc17 ldw r3,-16(fp) + 4fa4: 00bfff84 movi r2,-2 + 4fa8: 1884703a and r2,r3,r2 + 4fac: 1001703a wrctl status,r2 return context; - 4fac: e0bffc17 ldw r2,-16(fp) + 4fb0: e0bffc17 ldw r2,-16(fp) alt_irq_context irq_context; irq_context = alt_irq_disable_all(); - 4fb0: e0bffb15 stw r2,-20(fp) + 4fb4: e0bffb15 stw r2,-20(fp) alt_llist_remove (&alarm->llist); - 4fb4: e0bfff17 ldw r2,-4(fp) - 4fb8: e0bffd15 stw r2,-12(fp) + 4fb8: e0bfff17 ldw r2,-4(fp) + 4fbc: e0bffd15 stw r2,-12(fp) * input argument is the element to remove. */ static ALT_INLINE void ALT_ALWAYS_INLINE alt_llist_remove(alt_llist* entry) { entry->next->previous = entry->previous; - 4fbc: e0bffd17 ldw r2,-12(fp) - 4fc0: 10800017 ldw r2,0(r2) - 4fc4: e0fffd17 ldw r3,-12(fp) - 4fc8: 18c00117 ldw r3,4(r3) - 4fcc: 10c00115 stw r3,4(r2) + 4fc0: e0bffd17 ldw r2,-12(fp) + 4fc4: 10800017 ldw r2,0(r2) + 4fc8: e0fffd17 ldw r3,-12(fp) + 4fcc: 18c00117 ldw r3,4(r3) + 4fd0: 10c00115 stw r3,4(r2) entry->previous->next = entry->next; - 4fd0: e0bffd17 ldw r2,-12(fp) - 4fd4: 10800117 ldw r2,4(r2) - 4fd8: e0fffd17 ldw r3,-12(fp) - 4fdc: 18c00017 ldw r3,0(r3) - 4fe0: 10c00015 stw r3,0(r2) + 4fd4: e0bffd17 ldw r2,-12(fp) + 4fd8: 10800117 ldw r2,4(r2) + 4fdc: e0fffd17 ldw r3,-12(fp) + 4fe0: 18c00017 ldw r3,0(r3) + 4fe4: 10c00015 stw r3,0(r2) /* * Set the entry to point to itself, so that any further calls to * alt_llist_remove() are harmless. */ entry->previous = entry; - 4fe4: e0bffd17 ldw r2,-12(fp) - 4fe8: e0fffd17 ldw r3,-12(fp) - 4fec: 10c00115 stw r3,4(r2) + 4fe8: e0bffd17 ldw r2,-12(fp) + 4fec: e0fffd17 ldw r3,-12(fp) + 4ff0: 10c00115 stw r3,4(r2) entry->next = entry; - 4ff0: e0bffd17 ldw r2,-12(fp) - 4ff4: e0fffd17 ldw r3,-12(fp) - 4ff8: 10c00015 stw r3,0(r2) - 4ffc: e0bffb17 ldw r2,-20(fp) - 5000: e0bffe15 stw r2,-8(fp) + 4ff4: e0bffd17 ldw r2,-12(fp) + 4ff8: e0fffd17 ldw r3,-12(fp) + 4ffc: 10c00015 stw r3,0(r2) + 5000: e0bffb17 ldw r2,-20(fp) + 5004: e0bffe15 stw r2,-8(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 5004: e0bffe17 ldw r2,-8(fp) - 5008: 1001703a wrctl status,r2 + 5008: e0bffe17 ldw r2,-8(fp) + 500c: 1001703a wrctl status,r2 alt_irq_enable_all (irq_context); } - 500c: 0001883a nop - 5010: e037883a mov sp,fp - 5014: df000017 ldw fp,0(sp) - 5018: dec00104 addi sp,sp,4 - 501c: f800283a ret + 5010: 0001883a nop + 5014: e037883a mov sp,fp + 5018: df000017 ldw fp,0(sp) + 501c: dec00104 addi sp,sp,4 + 5020: f800283a ret -00005020 : +00005024 : * * alt_tick() is expected to run at interrupt level. */ void alt_tick (void) { - 5020: defffb04 addi sp,sp,-20 - 5024: dfc00415 stw ra,16(sp) - 5028: df000315 stw fp,12(sp) - 502c: df000304 addi fp,sp,12 + 5024: defffb04 addi sp,sp,-20 + 5028: dfc00415 stw ra,16(sp) + 502c: df000315 stw fp,12(sp) + 5030: df000304 addi fp,sp,12 alt_alarm* next; alt_alarm* alarm = (alt_alarm*) alt_alarm_list.next; - 5030: d0a00c17 ldw r2,-32720(gp) - 5034: e0bffd15 stw r2,-12(fp) + 5034: d0a00c17 ldw r2,-32720(gp) + 5038: e0bffd15 stw r2,-12(fp) alt_u32 next_callback; /* update the tick counter */ _alt_nticks++; - 5038: d0a01717 ldw r2,-32676(gp) - 503c: 10800044 addi r2,r2,1 - 5040: d0a01715 stw r2,-32676(gp) + 503c: d0a01717 ldw r2,-32676(gp) + 5040: 10800044 addi r2,r2,1 + 5044: d0a01715 stw r2,-32676(gp) /* process the registered callbacks */ while (alarm != (alt_alarm*) &alt_alarm_list) - 5044: 00002e06 br 5100 + 5048: 00002e06 br 5104 { next = (alt_alarm*) alarm->llist.next; - 5048: e0bffd17 ldw r2,-12(fp) - 504c: 10800017 ldw r2,0(r2) - 5050: e0bffe15 stw r2,-8(fp) + 504c: e0bffd17 ldw r2,-12(fp) + 5050: 10800017 ldw r2,0(r2) + 5054: e0bffe15 stw r2,-8(fp) /* * Upon the tick-counter rolling over it is safe to clear the * roll-over flag; once the flag is cleared this (or subsequnt) * tick events are enabled to generate an alarm event. */ if ((alarm->rollover) && (_alt_nticks == 0)) - 5054: e0bffd17 ldw r2,-12(fp) - 5058: 10800403 ldbu r2,16(r2) - 505c: 10803fcc andi r2,r2,255 - 5060: 10000426 beq r2,zero,5074 - 5064: d0a01717 ldw r2,-32676(gp) - 5068: 1000021e bne r2,zero,5074 + 5058: e0bffd17 ldw r2,-12(fp) + 505c: 10800403 ldbu r2,16(r2) + 5060: 10803fcc andi r2,r2,255 + 5064: 10000426 beq r2,zero,5078 + 5068: d0a01717 ldw r2,-32676(gp) + 506c: 1000021e bne r2,zero,5078 { alarm->rollover = 0; - 506c: e0bffd17 ldw r2,-12(fp) - 5070: 10000405 stb zero,16(r2) + 5070: e0bffd17 ldw r2,-12(fp) + 5074: 10000405 stb zero,16(r2) } /* if the alarm period has expired, make the callback */ if ((alarm->time <= _alt_nticks) && (alarm->rollover == 0)) - 5074: e0bffd17 ldw r2,-12(fp) - 5078: 10800217 ldw r2,8(r2) - 507c: d0e01717 ldw r3,-32676(gp) - 5080: 18801d36 bltu r3,r2,50f8 - 5084: e0bffd17 ldw r2,-12(fp) - 5088: 10800403 ldbu r2,16(r2) - 508c: 10803fcc andi r2,r2,255 - 5090: 1000191e bne r2,zero,50f8 + 5078: e0bffd17 ldw r2,-12(fp) + 507c: 10800217 ldw r2,8(r2) + 5080: d0e01717 ldw r3,-32676(gp) + 5084: 18801d36 bltu r3,r2,50fc + 5088: e0bffd17 ldw r2,-12(fp) + 508c: 10800403 ldbu r2,16(r2) + 5090: 10803fcc andi r2,r2,255 + 5094: 1000191e bne r2,zero,50fc { next_callback = alarm->callback (alarm->context); - 5094: e0bffd17 ldw r2,-12(fp) - 5098: 10800317 ldw r2,12(r2) - 509c: e0fffd17 ldw r3,-12(fp) - 50a0: 18c00517 ldw r3,20(r3) - 50a4: 1809883a mov r4,r3 - 50a8: 103ee83a callr r2 - 50ac: e0bfff15 stw r2,-4(fp) + 5098: e0bffd17 ldw r2,-12(fp) + 509c: 10800317 ldw r2,12(r2) + 50a0: e0fffd17 ldw r3,-12(fp) + 50a4: 18c00517 ldw r3,20(r3) + 50a8: 1809883a mov r4,r3 + 50ac: 103ee83a callr r2 + 50b0: e0bfff15 stw r2,-4(fp) /* deactivate the alarm if the return value is zero */ if (next_callback == 0) - 50b0: e0bfff17 ldw r2,-4(fp) - 50b4: 1000031e bne r2,zero,50c4 + 50b4: e0bfff17 ldw r2,-4(fp) + 50b8: 1000031e bne r2,zero,50c8 { alt_alarm_stop (alarm); - 50b8: e13ffd17 ldw r4,-12(fp) - 50bc: 0004f840 call 4f84 - 50c0: 00000d06 br 50f8 + 50bc: e13ffd17 ldw r4,-12(fp) + 50c0: 0004f880 call 4f88 + 50c4: 00000d06 br 50fc } else { alarm->time += next_callback; - 50c4: e0bffd17 ldw r2,-12(fp) - 50c8: 10c00217 ldw r3,8(r2) - 50cc: e0bfff17 ldw r2,-4(fp) - 50d0: 1887883a add r3,r3,r2 - 50d4: e0bffd17 ldw r2,-12(fp) - 50d8: 10c00215 stw r3,8(r2) + 50c8: e0bffd17 ldw r2,-12(fp) + 50cc: 10c00217 ldw r3,8(r2) + 50d0: e0bfff17 ldw r2,-4(fp) + 50d4: 1887883a add r3,r3,r2 + 50d8: e0bffd17 ldw r2,-12(fp) + 50dc: 10c00215 stw r3,8(r2) /* * If the desired alarm time causes a roll-over, set the rollover * flag. This will prevent the subsequent tick event from causing * an alarm too early. */ if(alarm->time < _alt_nticks) - 50dc: e0bffd17 ldw r2,-12(fp) - 50e0: 10c00217 ldw r3,8(r2) - 50e4: d0a01717 ldw r2,-32676(gp) - 50e8: 1880032e bgeu r3,r2,50f8 + 50e0: e0bffd17 ldw r2,-12(fp) + 50e4: 10c00217 ldw r3,8(r2) + 50e8: d0a01717 ldw r2,-32676(gp) + 50ec: 1880032e bgeu r3,r2,50fc { alarm->rollover = 1; - 50ec: e0bffd17 ldw r2,-12(fp) - 50f0: 00c00044 movi r3,1 - 50f4: 10c00405 stb r3,16(r2) + 50f0: e0bffd17 ldw r2,-12(fp) + 50f4: 00c00044 movi r3,1 + 50f8: 10c00405 stb r3,16(r2) } } } alarm = next; - 50f8: e0bffe17 ldw r2,-8(fp) - 50fc: e0bffd15 stw r2,-12(fp) + 50fc: e0bffe17 ldw r2,-8(fp) + 5100: e0bffd15 stw r2,-12(fp) _alt_nticks++; /* process the registered callbacks */ while (alarm != (alt_alarm*) &alt_alarm_list) - 5100: e0fffd17 ldw r3,-12(fp) - 5104: d0a00c04 addi r2,gp,-32720 - 5108: 18bfcf1e bne r3,r2,5048 <__alt_data_end+0xfffe5048> + 5104: e0fffd17 ldw r3,-12(fp) + 5108: d0a00c04 addi r2,gp,-32720 + 510c: 18bfcf1e bne r3,r2,504c <__alt_data_end+0xfffe504c> /* * Update the operating system specific timer facilities. */ ALT_OS_TIME_TICK(); - 510c: 0001883a nop -} 5110: 0001883a nop - 5114: e037883a mov sp,fp - 5118: dfc00117 ldw ra,4(sp) - 511c: df000017 ldw fp,0(sp) - 5120: dec00204 addi sp,sp,8 - 5124: f800283a ret +} + 5114: 0001883a nop + 5118: e037883a mov sp,fp + 511c: dfc00117 ldw ra,4(sp) + 5120: df000017 ldw fp,0(sp) + 5124: dec00204 addi sp,sp,8 + 5128: f800283a ret -00005128 : +0000512c : /* * To initialize the internal interrupt controller, just clear the IENABLE * register so that all possible IRQs are disabled. */ void altera_nios2_gen2_irq_init(void) { - 5128: deffff04 addi sp,sp,-4 - 512c: df000015 stw fp,0(sp) - 5130: d839883a mov fp,sp + 512c: deffff04 addi sp,sp,-4 + 5130: df000015 stw fp,0(sp) + 5134: d839883a mov fp,sp NIOS2_WRITE_IENABLE(0); - 5134: 000170fa wrctl ienable,zero + 5138: 000170fa wrctl ienable,zero } - 5138: 0001883a nop - 513c: e037883a mov sp,fp - 5140: df000017 ldw fp,0(sp) - 5144: dec00104 addi sp,sp,4 - 5148: f800283a ret + 513c: 0001883a nop + 5140: e037883a mov sp,fp + 5144: df000017 ldw fp,0(sp) + 5148: dec00104 addi sp,sp,4 + 514c: f800283a ret -0000514c : +00005150 : * "name" must be an exact match for the devices registered name for a match to * be found. */ alt_dev* alt_find_dev(const char* name, alt_llist* llist) { - 514c: defffa04 addi sp,sp,-24 - 5150: dfc00515 stw ra,20(sp) - 5154: df000415 stw fp,16(sp) - 5158: df000404 addi fp,sp,16 - 515c: e13ffe15 stw r4,-8(fp) - 5160: e17fff15 stw r5,-4(fp) + 5150: defffa04 addi sp,sp,-24 + 5154: dfc00515 stw ra,20(sp) + 5158: df000415 stw fp,16(sp) + 515c: df000404 addi fp,sp,16 + 5160: e13ffe15 stw r4,-8(fp) + 5164: e17fff15 stw r5,-4(fp) alt_dev* next = (alt_dev*) llist->next; - 5164: e0bfff17 ldw r2,-4(fp) - 5168: 10800017 ldw r2,0(r2) - 516c: e0bffc15 stw r2,-16(fp) + 5168: e0bfff17 ldw r2,-4(fp) + 516c: 10800017 ldw r2,0(r2) + 5170: e0bffc15 stw r2,-16(fp) alt_32 len; len = strlen(name) + 1; - 5170: e13ffe17 ldw r4,-8(fp) - 5174: 00004040 call 404 - 5178: 10800044 addi r2,r2,1 - 517c: e0bffd15 stw r2,-12(fp) + 5174: e13ffe17 ldw r4,-8(fp) + 5178: 00004080 call 408 + 517c: 10800044 addi r2,r2,1 + 5180: e0bffd15 stw r2,-12(fp) /* * Check each list entry in turn, until a match is found, or we reach the * end of the list (i.e. next winds up pointing back to the list head). */ while (next != (alt_dev*) llist) - 5180: 00000d06 br 51b8 + 5184: 00000d06 br 51bc /* * memcmp() is used here rather than strcmp() in order to reduce the size * of the executable. */ if (!memcmp (next->name, name, len)) - 5184: e0bffc17 ldw r2,-16(fp) - 5188: 10800217 ldw r2,8(r2) - 518c: e0fffd17 ldw r3,-12(fp) - 5190: 180d883a mov r6,r3 - 5194: e17ffe17 ldw r5,-8(fp) - 5198: 1009883a mov r4,r2 - 519c: 00054900 call 5490 - 51a0: 1000021e bne r2,zero,51ac + 5188: e0bffc17 ldw r2,-16(fp) + 518c: 10800217 ldw r2,8(r2) + 5190: e0fffd17 ldw r3,-12(fp) + 5194: 180d883a mov r6,r3 + 5198: e17ffe17 ldw r5,-8(fp) + 519c: 1009883a mov r4,r2 + 51a0: 00054940 call 5494 + 51a4: 1000021e bne r2,zero,51b0 { /* match found */ return next; - 51a4: e0bffc17 ldw r2,-16(fp) - 51a8: 00000706 br 51c8 + 51a8: e0bffc17 ldw r2,-16(fp) + 51ac: 00000706 br 51cc } next = (alt_dev*) next->llist.next; - 51ac: e0bffc17 ldw r2,-16(fp) - 51b0: 10800017 ldw r2,0(r2) - 51b4: e0bffc15 stw r2,-16(fp) + 51b0: e0bffc17 ldw r2,-16(fp) + 51b4: 10800017 ldw r2,0(r2) + 51b8: e0bffc15 stw r2,-16(fp) /* * Check each list entry in turn, until a match is found, or we reach the * end of the list (i.e. next winds up pointing back to the list head). */ while (next != (alt_dev*) llist) - 51b8: e0fffc17 ldw r3,-16(fp) - 51bc: e0bfff17 ldw r2,-4(fp) - 51c0: 18bff01e bne r3,r2,5184 <__alt_data_end+0xfffe5184> + 51bc: e0fffc17 ldw r3,-16(fp) + 51c0: e0bfff17 ldw r2,-4(fp) + 51c4: 18bff01e bne r3,r2,5188 <__alt_data_end+0xfffe5188> next = (alt_dev*) next->llist.next; } /* No match found */ return NULL; - 51c4: 0005883a mov r2,zero + 51c8: 0005883a mov r2,zero } - 51c8: e037883a mov sp,fp - 51cc: dfc00117 ldw ra,4(sp) - 51d0: df000017 ldw fp,0(sp) - 51d4: dec00204 addi sp,sp,8 - 51d8: f800283a ret + 51cc: e037883a mov sp,fp + 51d0: dfc00117 ldw ra,4(sp) + 51d4: df000017 ldw fp,0(sp) + 51d8: dec00204 addi sp,sp,8 + 51dc: f800283a ret -000051dc : +000051e0 : * either '/' or '\0' is the prefix of the filename. For example the filename: * "/myfilesystem/junk.txt" would match: "/myfilesystem", but not: "/myfile". */ alt_dev* alt_find_file (const char* name) { - 51dc: defffb04 addi sp,sp,-20 - 51e0: dfc00415 stw ra,16(sp) - 51e4: df000315 stw fp,12(sp) - 51e8: df000304 addi fp,sp,12 - 51ec: e13fff15 stw r4,-4(fp) + 51e0: defffb04 addi sp,sp,-20 + 51e4: dfc00415 stw ra,16(sp) + 51e8: df000315 stw fp,12(sp) + 51ec: df000304 addi fp,sp,12 + 51f0: e13fff15 stw r4,-4(fp) alt_dev* next = (alt_dev*) alt_fs_list.next; - 51f0: d0a00417 ldw r2,-32752(gp) - 51f4: e0bffd15 stw r2,-12(fp) + 51f4: d0a00417 ldw r2,-32752(gp) + 51f8: e0bffd15 stw r2,-12(fp) /* * Check each list entry in turn, until a match is found, or we reach the * end of the list (i.e. next winds up pointing back to the list head). */ while (next != (alt_dev*) &alt_fs_list) - 51f8: 00003106 br 52c0 + 51fc: 00003106 br 52c4 { len = strlen(next->name); - 51fc: e0bffd17 ldw r2,-12(fp) - 5200: 10800217 ldw r2,8(r2) - 5204: 1009883a mov r4,r2 - 5208: 00004040 call 404 - 520c: e0bffe15 stw r2,-8(fp) + 5200: e0bffd17 ldw r2,-12(fp) + 5204: 10800217 ldw r2,8(r2) + 5208: 1009883a mov r4,r2 + 520c: 00004080 call 408 + 5210: e0bffe15 stw r2,-8(fp) if (next->name[len-1] == '/') - 5210: e0bffd17 ldw r2,-12(fp) - 5214: 10c00217 ldw r3,8(r2) - 5218: e0bffe17 ldw r2,-8(fp) - 521c: 10bfffc4 addi r2,r2,-1 - 5220: 1885883a add r2,r3,r2 - 5224: 10800003 ldbu r2,0(r2) - 5228: 10803fcc andi r2,r2,255 - 522c: 1080201c xori r2,r2,128 - 5230: 10bfe004 addi r2,r2,-128 - 5234: 10800bd8 cmpnei r2,r2,47 - 5238: 1000031e bne r2,zero,5248 + 5214: e0bffd17 ldw r2,-12(fp) + 5218: 10c00217 ldw r3,8(r2) + 521c: e0bffe17 ldw r2,-8(fp) + 5220: 10bfffc4 addi r2,r2,-1 + 5224: 1885883a add r2,r3,r2 + 5228: 10800003 ldbu r2,0(r2) + 522c: 10803fcc andi r2,r2,255 + 5230: 1080201c xori r2,r2,128 + 5234: 10bfe004 addi r2,r2,-128 + 5238: 10800bd8 cmpnei r2,r2,47 + 523c: 1000031e bne r2,zero,524c { len -= 1; - 523c: e0bffe17 ldw r2,-8(fp) - 5240: 10bfffc4 addi r2,r2,-1 - 5244: e0bffe15 stw r2,-8(fp) + 5240: e0bffe17 ldw r2,-8(fp) + 5244: 10bfffc4 addi r2,r2,-1 + 5248: e0bffe15 stw r2,-8(fp) } if (((name[len] == '/') || (name[len] == '\0')) && - 5248: e0bffe17 ldw r2,-8(fp) - 524c: e0ffff17 ldw r3,-4(fp) - 5250: 1885883a add r2,r3,r2 - 5254: 10800003 ldbu r2,0(r2) - 5258: 10803fcc andi r2,r2,255 - 525c: 1080201c xori r2,r2,128 - 5260: 10bfe004 addi r2,r2,-128 - 5264: 10800be0 cmpeqi r2,r2,47 - 5268: 1000081e bne r2,zero,528c - 526c: e0bffe17 ldw r2,-8(fp) - 5270: e0ffff17 ldw r3,-4(fp) - 5274: 1885883a add r2,r3,r2 - 5278: 10800003 ldbu r2,0(r2) - 527c: 10803fcc andi r2,r2,255 - 5280: 1080201c xori r2,r2,128 - 5284: 10bfe004 addi r2,r2,-128 - 5288: 10000a1e bne r2,zero,52b4 + 524c: e0bffe17 ldw r2,-8(fp) + 5250: e0ffff17 ldw r3,-4(fp) + 5254: 1885883a add r2,r3,r2 + 5258: 10800003 ldbu r2,0(r2) + 525c: 10803fcc andi r2,r2,255 + 5260: 1080201c xori r2,r2,128 + 5264: 10bfe004 addi r2,r2,-128 + 5268: 10800be0 cmpeqi r2,r2,47 + 526c: 1000081e bne r2,zero,5290 + 5270: e0bffe17 ldw r2,-8(fp) + 5274: e0ffff17 ldw r3,-4(fp) + 5278: 1885883a add r2,r3,r2 + 527c: 10800003 ldbu r2,0(r2) + 5280: 10803fcc andi r2,r2,255 + 5284: 1080201c xori r2,r2,128 + 5288: 10bfe004 addi r2,r2,-128 + 528c: 10000a1e bne r2,zero,52b8 !memcmp (next->name, name, len)) - 528c: e0bffd17 ldw r2,-12(fp) - 5290: 10800217 ldw r2,8(r2) - 5294: e0fffe17 ldw r3,-8(fp) - 5298: 180d883a mov r6,r3 - 529c: e17fff17 ldw r5,-4(fp) - 52a0: 1009883a mov r4,r2 - 52a4: 00054900 call 5490 + 5290: e0bffd17 ldw r2,-12(fp) + 5294: 10800217 ldw r2,8(r2) + 5298: e0fffe17 ldw r3,-8(fp) + 529c: 180d883a mov r6,r3 + 52a0: e17fff17 ldw r5,-4(fp) + 52a4: 1009883a mov r4,r2 + 52a8: 00054940 call 5494 if (next->name[len-1] == '/') { len -= 1; } if (((name[len] == '/') || (name[len] == '\0')) && - 52a8: 1000021e bne r2,zero,52b4 + 52ac: 1000021e bne r2,zero,52b8 !memcmp (next->name, name, len)) { /* match found */ return next; - 52ac: e0bffd17 ldw r2,-12(fp) - 52b0: 00000706 br 52d0 + 52b0: e0bffd17 ldw r2,-12(fp) + 52b4: 00000706 br 52d4 } next = (alt_dev*) next->llist.next; - 52b4: e0bffd17 ldw r2,-12(fp) - 52b8: 10800017 ldw r2,0(r2) - 52bc: e0bffd15 stw r2,-12(fp) + 52b8: e0bffd17 ldw r2,-12(fp) + 52bc: 10800017 ldw r2,0(r2) + 52c0: e0bffd15 stw r2,-12(fp) /* * Check each list entry in turn, until a match is found, or we reach the * end of the list (i.e. next winds up pointing back to the list head). */ while (next != (alt_dev*) &alt_fs_list) - 52c0: e0fffd17 ldw r3,-12(fp) - 52c4: d0a00404 addi r2,gp,-32752 - 52c8: 18bfcc1e bne r3,r2,51fc <__alt_data_end+0xfffe51fc> + 52c4: e0fffd17 ldw r3,-12(fp) + 52c8: d0a00404 addi r2,gp,-32752 + 52cc: 18bfcc1e bne r3,r2,5200 <__alt_data_end+0xfffe5200> next = (alt_dev*) next->llist.next; } /* No match found */ return NULL; - 52cc: 0005883a mov r2,zero + 52d0: 0005883a mov r2,zero } - 52d0: e037883a mov sp,fp - 52d4: dfc00117 ldw ra,4(sp) - 52d8: df000017 ldw fp,0(sp) - 52dc: dec00204 addi sp,sp,8 - 52e0: f800283a ret + 52d4: e037883a mov sp,fp + 52d8: dfc00117 ldw ra,4(sp) + 52dc: df000017 ldw fp,0(sp) + 52e0: dec00204 addi sp,sp,8 + 52e4: f800283a ret -000052e4 : +000052e8 : * the offset of the file descriptor within the file descriptor array). A * negative value indicates failure. */ int alt_get_fd (alt_dev* dev) { - 52e4: defffa04 addi sp,sp,-24 - 52e8: dfc00515 stw ra,20(sp) - 52ec: df000415 stw fp,16(sp) - 52f0: dc000315 stw r16,12(sp) - 52f4: df000404 addi fp,sp,16 - 52f8: e13ffe15 stw r4,-8(fp) + 52e8: defffa04 addi sp,sp,-24 + 52ec: dfc00515 stw ra,20(sp) + 52f0: df000415 stw fp,16(sp) + 52f4: dc000315 stw r16,12(sp) + 52f8: df000404 addi fp,sp,16 + 52fc: e13ffe15 stw r4,-8(fp) alt_32 i; int rc = -EMFILE; - 52fc: 00bffa04 movi r2,-24 - 5300: e0bffd15 stw r2,-12(fp) + 5300: 00bffa04 movi r2,-24 + 5304: e0bffd15 stw r2,-12(fp) * indicates the highest file descriptor ever allocated. This is used to * improve efficency when searching the file descriptor list, and * therefore reduce contention on the alt_fd_list_lock semaphore. */ for (i = 0; i < ALT_MAX_FD; i++) - 5304: e03ffc15 stw zero,-16(fp) - 5308: 00001d06 br 5380 + 5308: e03ffc15 stw zero,-16(fp) + 530c: 00001d06 br 5384 { if (!alt_fd_list[i].dev) - 530c: 04000034 movhi r16,0 - 5310: 84181e04 addi r16,r16,24696 - 5314: e0bffc17 ldw r2,-16(fp) - 5318: 01400304 movi r5,12 - 531c: 1009883a mov r4,r2 - 5320: 00031140 call 3114 <__mulsi3> - 5324: 8085883a add r2,r16,r2 - 5328: 10800017 ldw r2,0(r2) - 532c: 1000111e bne r2,zero,5374 + 5310: 04000034 movhi r16,0 + 5314: 84182b04 addi r16,r16,24748 + 5318: e0bffc17 ldw r2,-16(fp) + 531c: 01400304 movi r5,12 + 5320: 1009883a mov r4,r2 + 5324: 00031180 call 3118 <__mulsi3> + 5328: 8085883a add r2,r16,r2 + 532c: 10800017 ldw r2,0(r2) + 5330: 1000111e bne r2,zero,5378 { alt_fd_list[i].dev = dev; - 5330: 04000034 movhi r16,0 - 5334: 84181e04 addi r16,r16,24696 - 5338: e0bffc17 ldw r2,-16(fp) - 533c: 01400304 movi r5,12 - 5340: 1009883a mov r4,r2 - 5344: 00031140 call 3114 <__mulsi3> - 5348: 8085883a add r2,r16,r2 - 534c: e0fffe17 ldw r3,-8(fp) - 5350: 10c00015 stw r3,0(r2) + 5334: 04000034 movhi r16,0 + 5338: 84182b04 addi r16,r16,24748 + 533c: e0bffc17 ldw r2,-16(fp) + 5340: 01400304 movi r5,12 + 5344: 1009883a mov r4,r2 + 5348: 00031180 call 3118 <__mulsi3> + 534c: 8085883a add r2,r16,r2 + 5350: e0fffe17 ldw r3,-8(fp) + 5354: 10c00015 stw r3,0(r2) if (i > alt_max_fd) - 5354: d0e00817 ldw r3,-32736(gp) - 5358: e0bffc17 ldw r2,-16(fp) - 535c: 1880020e bge r3,r2,5368 + 5358: d0e00817 ldw r3,-32736(gp) + 535c: e0bffc17 ldw r2,-16(fp) + 5360: 1880020e bge r3,r2,536c { alt_max_fd = i; - 5360: e0bffc17 ldw r2,-16(fp) - 5364: d0a00815 stw r2,-32736(gp) + 5364: e0bffc17 ldw r2,-16(fp) + 5368: d0a00815 stw r2,-32736(gp) } rc = i; - 5368: e0bffc17 ldw r2,-16(fp) - 536c: e0bffd15 stw r2,-12(fp) + 536c: e0bffc17 ldw r2,-16(fp) + 5370: e0bffd15 stw r2,-12(fp) goto alt_get_fd_exit; - 5370: 00000606 br 538c + 5374: 00000606 br 5390 * indicates the highest file descriptor ever allocated. This is used to * improve efficency when searching the file descriptor list, and * therefore reduce contention on the alt_fd_list_lock semaphore. */ for (i = 0; i < ALT_MAX_FD; i++) - 5374: e0bffc17 ldw r2,-16(fp) - 5378: 10800044 addi r2,r2,1 - 537c: e0bffc15 stw r2,-16(fp) - 5380: e0bffc17 ldw r2,-16(fp) - 5384: 10800810 cmplti r2,r2,32 - 5388: 103fe01e bne r2,zero,530c <__alt_data_end+0xfffe530c> + 5378: e0bffc17 ldw r2,-16(fp) + 537c: 10800044 addi r2,r2,1 + 5380: e0bffc15 stw r2,-16(fp) + 5384: e0bffc17 ldw r2,-16(fp) + 5388: 10800810 cmplti r2,r2,32 + 538c: 103fe01e bne r2,zero,5310 <__alt_data_end+0xfffe5310> * file descriptor pool. */ ALT_SEM_POST(alt_fd_list_lock); return rc; - 538c: e0bffd17 ldw r2,-12(fp) + 5390: e0bffd17 ldw r2,-12(fp) } - 5390: e6ffff04 addi sp,fp,-4 - 5394: dfc00217 ldw ra,8(sp) - 5398: df000117 ldw fp,4(sp) - 539c: dc000017 ldw r16,0(sp) - 53a0: dec00304 addi sp,sp,12 - 53a4: f800283a ret + 5394: e6ffff04 addi sp,fp,-4 + 5398: dfc00217 ldw ra,8(sp) + 539c: df000117 ldw fp,4(sp) + 53a0: dc000017 ldw r16,0(sp) + 53a4: dec00304 addi sp,sp,12 + 53a8: f800283a ret -000053a8 : +000053ac : * Return: 1: BADADDR (bad_addr argument to handler) is valid * 0: BADADDR is not valid */ int alt_exception_cause_generated_bad_addr(alt_exception_cause cause) { - 53a8: defffe04 addi sp,sp,-8 - 53ac: df000115 stw fp,4(sp) - 53b0: df000104 addi fp,sp,4 - 53b4: e13fff15 stw r4,-4(fp) + 53ac: defffe04 addi sp,sp,-8 + 53b0: df000115 stw fp,4(sp) + 53b4: df000104 addi fp,sp,4 + 53b8: e13fff15 stw r4,-4(fp) switch (cause) { - 53b8: e0bfff17 ldw r2,-4(fp) - 53bc: 10bffe84 addi r2,r2,-6 - 53c0: 10c00428 cmpgeui r3,r2,16 - 53c4: 18001a1e bne r3,zero,5430 - 53c8: 100690ba slli r3,r2,2 - 53cc: 00800034 movhi r2,0 - 53d0: 1094f804 addi r2,r2,21472 - 53d4: 1885883a add r2,r3,r2 - 53d8: 10800017 ldw r2,0(r2) - 53dc: 1000683a jmp r2 - 53e0: 00005420 cmpeqi zero,zero,336 - 53e4: 00005420 cmpeqi zero,zero,336 - 53e8: 00005430 cmpltui zero,zero,336 - 53ec: 00005430 cmpltui zero,zero,336 - 53f0: 00005430 cmpltui zero,zero,336 - 53f4: 00005420 cmpeqi zero,zero,336 - 53f8: 00005428 cmpgeui zero,zero,336 - 53fc: 00005430 cmpltui zero,zero,336 - 5400: 00005420 cmpeqi zero,zero,336 - 5404: 00005420 cmpeqi zero,zero,336 - 5408: 00005430 cmpltui zero,zero,336 - 540c: 00005420 cmpeqi zero,zero,336 - 5410: 00005428 cmpgeui zero,zero,336 - 5414: 00005430 cmpltui zero,zero,336 - 5418: 00005430 cmpltui zero,zero,336 - 541c: 00005420 cmpeqi zero,zero,336 + 53bc: e0bfff17 ldw r2,-4(fp) + 53c0: 10bffe84 addi r2,r2,-6 + 53c4: 10c00428 cmpgeui r3,r2,16 + 53c8: 18001a1e bne r3,zero,5434 + 53cc: 100690ba slli r3,r2,2 + 53d0: 00800034 movhi r2,0 + 53d4: 1094f904 addi r2,r2,21476 + 53d8: 1885883a add r2,r3,r2 + 53dc: 10800017 ldw r2,0(r2) + 53e0: 1000683a jmp r2 + 53e4: 00005424 muli zero,zero,336 + 53e8: 00005424 muli zero,zero,336 + 53ec: 00005434 movhi zero,336 + 53f0: 00005434 movhi zero,336 + 53f4: 00005434 movhi zero,336 + 53f8: 00005424 muli zero,zero,336 + 53fc: 0000542c andhi zero,zero,336 + 5400: 00005434 movhi zero,336 + 5404: 00005424 muli zero,zero,336 + 5408: 00005424 muli zero,zero,336 + 540c: 00005434 movhi zero,336 + 5410: 00005424 muli zero,zero,336 + 5414: 0000542c andhi zero,zero,336 + 5418: 00005434 movhi zero,336 + 541c: 00005434 movhi zero,336 + 5420: 00005424 muli zero,zero,336 case NIOS2_EXCEPTION_MISALIGNED_TARGET_PC: case NIOS2_EXCEPTION_TLB_READ_PERM_VIOLATION: case NIOS2_EXCEPTION_TLB_WRITE_PERM_VIOLATION: case NIOS2_EXCEPTION_MPU_DATA_REGION_VIOLATION: case NIOS2_EXCEPTION_ECC_DATA_ERR: return 1; - 5420: 00800044 movi r2,1 - 5424: 00000306 br 5434 + 5424: 00800044 movi r2,1 + 5428: 00000306 br 5438 case NIOS2_EXCEPTION_TLB_MISS: case NIOS2_EXCEPTION_ECC_TLB_ERR: return 0; - 5428: 0005883a mov r2,zero - 542c: 00000106 br 5434 + 542c: 0005883a mov r2,zero + 5430: 00000106 br 5438 default: return 0; - 5430: 0005883a mov r2,zero + 5434: 0005883a mov r2,zero } } - 5434: e037883a mov sp,fp - 5438: df000017 ldw fp,0(sp) - 543c: dec00104 addi sp,sp,4 - 5440: f800283a ret + 5438: e037883a mov sp,fp + 543c: df000017 ldw fp,0(sp) + 5440: dec00104 addi sp,sp,4 + 5444: f800283a ret -00005444 : - 5444: 200b883a mov r5,r4 - 5448: 000f883a mov r7,zero - 544c: 000d883a mov r6,zero - 5450: 0009883a mov r4,zero - 5454: 000550c1 jmpi 550c <__register_exitproc> +00005448 : + 5448: 200b883a mov r5,r4 + 544c: 000f883a mov r7,zero + 5450: 000d883a mov r6,zero + 5454: 0009883a mov r4,zero + 5458: 00055101 jmpi 5510 <__register_exitproc> -00005458 : - 5458: defffe04 addi sp,sp,-8 - 545c: 000b883a mov r5,zero - 5460: dc000015 stw r16,0(sp) - 5464: dfc00115 stw ra,4(sp) - 5468: 2021883a mov r16,r4 - 546c: 00056240 call 5624 <__call_exitprocs> - 5470: 00800034 movhi r2,0 - 5474: 109c9604 addi r2,r2,29272 - 5478: 11000017 ldw r4,0(r2) - 547c: 20800f17 ldw r2,60(r4) - 5480: 10000126 beq r2,zero,5488 - 5484: 103ee83a callr r2 - 5488: 8009883a mov r4,r16 - 548c: 00057a40 call 57a4 <_exit> +0000545c : + 545c: defffe04 addi sp,sp,-8 + 5460: 000b883a mov r5,zero + 5464: dc000015 stw r16,0(sp) + 5468: dfc00115 stw ra,4(sp) + 546c: 2021883a mov r16,r4 + 5470: 00056280 call 5628 <__call_exitprocs> + 5474: 00800034 movhi r2,0 + 5478: 109ca304 addi r2,r2,29324 + 547c: 11000017 ldw r4,0(r2) + 5480: 20800f17 ldw r2,60(r4) + 5484: 10000126 beq r2,zero,548c + 5488: 103ee83a callr r2 + 548c: 8009883a mov r4,r16 + 5490: 00057a80 call 57a8 <_exit> -00005490 : - 5490: 01c000c4 movi r7,3 - 5494: 3980192e bgeu r7,r6,54fc - 5498: 2144b03a or r2,r4,r5 - 549c: 11c4703a and r2,r2,r7 - 54a0: 10000f26 beq r2,zero,54e0 - 54a4: 20800003 ldbu r2,0(r4) - 54a8: 28c00003 ldbu r3,0(r5) - 54ac: 10c0151e bne r2,r3,5504 - 54b0: 31bfff84 addi r6,r6,-2 - 54b4: 01ffffc4 movi r7,-1 - 54b8: 00000406 br 54cc - 54bc: 20800003 ldbu r2,0(r4) - 54c0: 28c00003 ldbu r3,0(r5) - 54c4: 31bfffc4 addi r6,r6,-1 - 54c8: 10c00e1e bne r2,r3,5504 - 54cc: 21000044 addi r4,r4,1 - 54d0: 29400044 addi r5,r5,1 - 54d4: 31fff91e bne r6,r7,54bc <__alt_data_end+0xfffe54bc> - 54d8: 0005883a mov r2,zero - 54dc: f800283a ret - 54e0: 20c00017 ldw r3,0(r4) - 54e4: 28800017 ldw r2,0(r5) - 54e8: 18bfee1e bne r3,r2,54a4 <__alt_data_end+0xfffe54a4> - 54ec: 31bfff04 addi r6,r6,-4 - 54f0: 21000104 addi r4,r4,4 - 54f4: 29400104 addi r5,r5,4 - 54f8: 39bff936 bltu r7,r6,54e0 <__alt_data_end+0xfffe54e0> - 54fc: 303fe91e bne r6,zero,54a4 <__alt_data_end+0xfffe54a4> - 5500: 003ff506 br 54d8 <__alt_data_end+0xfffe54d8> - 5504: 10c5c83a sub r2,r2,r3 - 5508: f800283a ret +00005494 : + 5494: 01c000c4 movi r7,3 + 5498: 3980192e bgeu r7,r6,5500 + 549c: 2144b03a or r2,r4,r5 + 54a0: 11c4703a and r2,r2,r7 + 54a4: 10000f26 beq r2,zero,54e4 + 54a8: 20800003 ldbu r2,0(r4) + 54ac: 28c00003 ldbu r3,0(r5) + 54b0: 10c0151e bne r2,r3,5508 + 54b4: 31bfff84 addi r6,r6,-2 + 54b8: 01ffffc4 movi r7,-1 + 54bc: 00000406 br 54d0 + 54c0: 20800003 ldbu r2,0(r4) + 54c4: 28c00003 ldbu r3,0(r5) + 54c8: 31bfffc4 addi r6,r6,-1 + 54cc: 10c00e1e bne r2,r3,5508 + 54d0: 21000044 addi r4,r4,1 + 54d4: 29400044 addi r5,r5,1 + 54d8: 31fff91e bne r6,r7,54c0 <__alt_data_end+0xfffe54c0> + 54dc: 0005883a mov r2,zero + 54e0: f800283a ret + 54e4: 20c00017 ldw r3,0(r4) + 54e8: 28800017 ldw r2,0(r5) + 54ec: 18bfee1e bne r3,r2,54a8 <__alt_data_end+0xfffe54a8> + 54f0: 31bfff04 addi r6,r6,-4 + 54f4: 21000104 addi r4,r4,4 + 54f8: 29400104 addi r5,r5,4 + 54fc: 39bff936 bltu r7,r6,54e4 <__alt_data_end+0xfffe54e4> + 5500: 303fe91e bne r6,zero,54a8 <__alt_data_end+0xfffe54a8> + 5504: 003ff506 br 54dc <__alt_data_end+0xfffe54dc> + 5508: 10c5c83a sub r2,r2,r3 + 550c: f800283a ret -0000550c <__register_exitproc>: - 550c: defffa04 addi sp,sp,-24 - 5510: dc000315 stw r16,12(sp) - 5514: 04000034 movhi r16,0 - 5518: 841c9604 addi r16,r16,29272 - 551c: 80c00017 ldw r3,0(r16) - 5520: dc400415 stw r17,16(sp) - 5524: dfc00515 stw ra,20(sp) - 5528: 18805217 ldw r2,328(r3) - 552c: 2023883a mov r17,r4 - 5530: 10003726 beq r2,zero,5610 <__register_exitproc+0x104> - 5534: 10c00117 ldw r3,4(r2) - 5538: 010007c4 movi r4,31 - 553c: 20c00e16 blt r4,r3,5578 <__register_exitproc+0x6c> - 5540: 1a000044 addi r8,r3,1 - 5544: 8800221e bne r17,zero,55d0 <__register_exitproc+0xc4> - 5548: 18c00084 addi r3,r3,2 - 554c: 18c7883a add r3,r3,r3 +00005510 <__register_exitproc>: + 5510: defffa04 addi sp,sp,-24 + 5514: dc000315 stw r16,12(sp) + 5518: 04000034 movhi r16,0 + 551c: 841ca304 addi r16,r16,29324 + 5520: 80c00017 ldw r3,0(r16) + 5524: dc400415 stw r17,16(sp) + 5528: dfc00515 stw ra,20(sp) + 552c: 18805217 ldw r2,328(r3) + 5530: 2023883a mov r17,r4 + 5534: 10003726 beq r2,zero,5614 <__register_exitproc+0x104> + 5538: 10c00117 ldw r3,4(r2) + 553c: 010007c4 movi r4,31 + 5540: 20c00e16 blt r4,r3,557c <__register_exitproc+0x6c> + 5544: 1a000044 addi r8,r3,1 + 5548: 8800221e bne r17,zero,55d4 <__register_exitproc+0xc4> + 554c: 18c00084 addi r3,r3,2 5550: 18c7883a add r3,r3,r3 - 5554: 12000115 stw r8,4(r2) - 5558: 10c7883a add r3,r2,r3 - 555c: 19400015 stw r5,0(r3) - 5560: 0005883a mov r2,zero - 5564: dfc00517 ldw ra,20(sp) - 5568: dc400417 ldw r17,16(sp) - 556c: dc000317 ldw r16,12(sp) - 5570: dec00604 addi sp,sp,24 - 5574: f800283a ret - 5578: 00800034 movhi r2,0 - 557c: 10800004 addi r2,r2,0 - 5580: 10002626 beq r2,zero,561c <__register_exitproc+0x110> - 5584: 01006404 movi r4,400 - 5588: d9400015 stw r5,0(sp) - 558c: d9800115 stw r6,4(sp) - 5590: d9c00215 stw r7,8(sp) - 5594: 00000000 call 0 <__reset> - 5598: d9400017 ldw r5,0(sp) - 559c: d9800117 ldw r6,4(sp) - 55a0: d9c00217 ldw r7,8(sp) - 55a4: 10001d26 beq r2,zero,561c <__register_exitproc+0x110> - 55a8: 81000017 ldw r4,0(r16) - 55ac: 10000115 stw zero,4(r2) - 55b0: 02000044 movi r8,1 - 55b4: 22405217 ldw r9,328(r4) - 55b8: 0007883a mov r3,zero - 55bc: 12400015 stw r9,0(r2) - 55c0: 20805215 stw r2,328(r4) - 55c4: 10006215 stw zero,392(r2) - 55c8: 10006315 stw zero,396(r2) - 55cc: 883fde26 beq r17,zero,5548 <__alt_data_end+0xfffe5548> - 55d0: 18c9883a add r4,r3,r3 - 55d4: 2109883a add r4,r4,r4 - 55d8: 1109883a add r4,r2,r4 - 55dc: 21802215 stw r6,136(r4) - 55e0: 01800044 movi r6,1 - 55e4: 12406217 ldw r9,392(r2) - 55e8: 30cc983a sll r6,r6,r3 - 55ec: 4992b03a or r9,r9,r6 - 55f0: 12406215 stw r9,392(r2) - 55f4: 21c04215 stw r7,264(r4) - 55f8: 01000084 movi r4,2 - 55fc: 893fd21e bne r17,r4,5548 <__alt_data_end+0xfffe5548> - 5600: 11006317 ldw r4,396(r2) - 5604: 218cb03a or r6,r4,r6 - 5608: 11806315 stw r6,396(r2) - 560c: 003fce06 br 5548 <__alt_data_end+0xfffe5548> - 5610: 18805304 addi r2,r3,332 - 5614: 18805215 stw r2,328(r3) - 5618: 003fc606 br 5534 <__alt_data_end+0xfffe5534> - 561c: 00bfffc4 movi r2,-1 - 5620: 003fd006 br 5564 <__alt_data_end+0xfffe5564> + 5554: 18c7883a add r3,r3,r3 + 5558: 12000115 stw r8,4(r2) + 555c: 10c7883a add r3,r2,r3 + 5560: 19400015 stw r5,0(r3) + 5564: 0005883a mov r2,zero + 5568: dfc00517 ldw ra,20(sp) + 556c: dc400417 ldw r17,16(sp) + 5570: dc000317 ldw r16,12(sp) + 5574: dec00604 addi sp,sp,24 + 5578: f800283a ret + 557c: 00800034 movhi r2,0 + 5580: 10800004 addi r2,r2,0 + 5584: 10002626 beq r2,zero,5620 <__register_exitproc+0x110> + 5588: 01006404 movi r4,400 + 558c: d9400015 stw r5,0(sp) + 5590: d9800115 stw r6,4(sp) + 5594: d9c00215 stw r7,8(sp) + 5598: 00000000 call 0 <__reset> + 559c: d9400017 ldw r5,0(sp) + 55a0: d9800117 ldw r6,4(sp) + 55a4: d9c00217 ldw r7,8(sp) + 55a8: 10001d26 beq r2,zero,5620 <__register_exitproc+0x110> + 55ac: 81000017 ldw r4,0(r16) + 55b0: 10000115 stw zero,4(r2) + 55b4: 02000044 movi r8,1 + 55b8: 22405217 ldw r9,328(r4) + 55bc: 0007883a mov r3,zero + 55c0: 12400015 stw r9,0(r2) + 55c4: 20805215 stw r2,328(r4) + 55c8: 10006215 stw zero,392(r2) + 55cc: 10006315 stw zero,396(r2) + 55d0: 883fde26 beq r17,zero,554c <__alt_data_end+0xfffe554c> + 55d4: 18c9883a add r4,r3,r3 + 55d8: 2109883a add r4,r4,r4 + 55dc: 1109883a add r4,r2,r4 + 55e0: 21802215 stw r6,136(r4) + 55e4: 01800044 movi r6,1 + 55e8: 12406217 ldw r9,392(r2) + 55ec: 30cc983a sll r6,r6,r3 + 55f0: 4992b03a or r9,r9,r6 + 55f4: 12406215 stw r9,392(r2) + 55f8: 21c04215 stw r7,264(r4) + 55fc: 01000084 movi r4,2 + 5600: 893fd21e bne r17,r4,554c <__alt_data_end+0xfffe554c> + 5604: 11006317 ldw r4,396(r2) + 5608: 218cb03a or r6,r4,r6 + 560c: 11806315 stw r6,396(r2) + 5610: 003fce06 br 554c <__alt_data_end+0xfffe554c> + 5614: 18805304 addi r2,r3,332 + 5618: 18805215 stw r2,328(r3) + 561c: 003fc606 br 5538 <__alt_data_end+0xfffe5538> + 5620: 00bfffc4 movi r2,-1 + 5624: 003fd006 br 5568 <__alt_data_end+0xfffe5568> -00005624 <__call_exitprocs>: - 5624: defff504 addi sp,sp,-44 - 5628: df000915 stw fp,36(sp) - 562c: dd400615 stw r21,24(sp) - 5630: dc800315 stw r18,12(sp) - 5634: dfc00a15 stw ra,40(sp) - 5638: ddc00815 stw r23,32(sp) - 563c: dd800715 stw r22,28(sp) - 5640: dd000515 stw r20,20(sp) - 5644: dcc00415 stw r19,16(sp) - 5648: dc400215 stw r17,8(sp) - 564c: dc000115 stw r16,4(sp) - 5650: d9000015 stw r4,0(sp) - 5654: 2839883a mov fp,r5 - 5658: 04800044 movi r18,1 - 565c: 057fffc4 movi r21,-1 - 5660: 00800034 movhi r2,0 - 5664: 109c9604 addi r2,r2,29272 - 5668: 12000017 ldw r8,0(r2) - 566c: 45005217 ldw r20,328(r8) - 5670: 44c05204 addi r19,r8,328 - 5674: a0001c26 beq r20,zero,56e8 <__call_exitprocs+0xc4> - 5678: a0800117 ldw r2,4(r20) - 567c: 15ffffc4 addi r23,r2,-1 - 5680: b8000d16 blt r23,zero,56b8 <__call_exitprocs+0x94> - 5684: 14000044 addi r16,r2,1 - 5688: 8421883a add r16,r16,r16 +00005628 <__call_exitprocs>: + 5628: defff504 addi sp,sp,-44 + 562c: df000915 stw fp,36(sp) + 5630: dd400615 stw r21,24(sp) + 5634: dc800315 stw r18,12(sp) + 5638: dfc00a15 stw ra,40(sp) + 563c: ddc00815 stw r23,32(sp) + 5640: dd800715 stw r22,28(sp) + 5644: dd000515 stw r20,20(sp) + 5648: dcc00415 stw r19,16(sp) + 564c: dc400215 stw r17,8(sp) + 5650: dc000115 stw r16,4(sp) + 5654: d9000015 stw r4,0(sp) + 5658: 2839883a mov fp,r5 + 565c: 04800044 movi r18,1 + 5660: 057fffc4 movi r21,-1 + 5664: 00800034 movhi r2,0 + 5668: 109ca304 addi r2,r2,29324 + 566c: 12000017 ldw r8,0(r2) + 5670: 45005217 ldw r20,328(r8) + 5674: 44c05204 addi r19,r8,328 + 5678: a0001c26 beq r20,zero,56ec <__call_exitprocs+0xc4> + 567c: a0800117 ldw r2,4(r20) + 5680: 15ffffc4 addi r23,r2,-1 + 5684: b8000d16 blt r23,zero,56bc <__call_exitprocs+0x94> + 5688: 14000044 addi r16,r2,1 568c: 8421883a add r16,r16,r16 - 5690: 84402004 addi r17,r16,128 - 5694: a463883a add r17,r20,r17 - 5698: a421883a add r16,r20,r16 - 569c: e0001e26 beq fp,zero,5718 <__call_exitprocs+0xf4> - 56a0: 80804017 ldw r2,256(r16) - 56a4: e0801c26 beq fp,r2,5718 <__call_exitprocs+0xf4> - 56a8: bdffffc4 addi r23,r23,-1 - 56ac: 843fff04 addi r16,r16,-4 - 56b0: 8c7fff04 addi r17,r17,-4 - 56b4: bd7ff91e bne r23,r21,569c <__alt_data_end+0xfffe569c> - 56b8: 00800034 movhi r2,0 - 56bc: 10800004 addi r2,r2,0 - 56c0: 10000926 beq r2,zero,56e8 <__call_exitprocs+0xc4> - 56c4: a0800117 ldw r2,4(r20) - 56c8: 1000301e bne r2,zero,578c <__call_exitprocs+0x168> - 56cc: a0800017 ldw r2,0(r20) - 56d0: 10003226 beq r2,zero,579c <__call_exitprocs+0x178> - 56d4: a009883a mov r4,r20 - 56d8: 98800015 stw r2,0(r19) - 56dc: 00000000 call 0 <__reset> - 56e0: 9d000017 ldw r20,0(r19) - 56e4: a03fe41e bne r20,zero,5678 <__alt_data_end+0xfffe5678> - 56e8: dfc00a17 ldw ra,40(sp) - 56ec: df000917 ldw fp,36(sp) - 56f0: ddc00817 ldw r23,32(sp) - 56f4: dd800717 ldw r22,28(sp) - 56f8: dd400617 ldw r21,24(sp) - 56fc: dd000517 ldw r20,20(sp) - 5700: dcc00417 ldw r19,16(sp) - 5704: dc800317 ldw r18,12(sp) - 5708: dc400217 ldw r17,8(sp) - 570c: dc000117 ldw r16,4(sp) - 5710: dec00b04 addi sp,sp,44 - 5714: f800283a ret - 5718: a0800117 ldw r2,4(r20) - 571c: 80c00017 ldw r3,0(r16) - 5720: 10bfffc4 addi r2,r2,-1 - 5724: 15c01426 beq r2,r23,5778 <__call_exitprocs+0x154> - 5728: 80000015 stw zero,0(r16) - 572c: 183fde26 beq r3,zero,56a8 <__alt_data_end+0xfffe56a8> - 5730: 95c8983a sll r4,r18,r23 - 5734: a0806217 ldw r2,392(r20) - 5738: a5800117 ldw r22,4(r20) - 573c: 2084703a and r2,r4,r2 - 5740: 10000b26 beq r2,zero,5770 <__call_exitprocs+0x14c> - 5744: a0806317 ldw r2,396(r20) - 5748: 2088703a and r4,r4,r2 - 574c: 20000c1e bne r4,zero,5780 <__call_exitprocs+0x15c> - 5750: 89400017 ldw r5,0(r17) - 5754: d9000017 ldw r4,0(sp) - 5758: 183ee83a callr r3 - 575c: a0800117 ldw r2,4(r20) - 5760: 15bfbf1e bne r2,r22,5660 <__alt_data_end+0xfffe5660> - 5764: 98800017 ldw r2,0(r19) - 5768: 153fcf26 beq r2,r20,56a8 <__alt_data_end+0xfffe56a8> - 576c: 003fbc06 br 5660 <__alt_data_end+0xfffe5660> - 5770: 183ee83a callr r3 - 5774: 003ff906 br 575c <__alt_data_end+0xfffe575c> - 5778: a5c00115 stw r23,4(r20) - 577c: 003feb06 br 572c <__alt_data_end+0xfffe572c> - 5780: 89000017 ldw r4,0(r17) - 5784: 183ee83a callr r3 - 5788: 003ff406 br 575c <__alt_data_end+0xfffe575c> - 578c: a0800017 ldw r2,0(r20) - 5790: a027883a mov r19,r20 - 5794: 1029883a mov r20,r2 - 5798: 003fb606 br 5674 <__alt_data_end+0xfffe5674> - 579c: 0005883a mov r2,zero - 57a0: 003ffb06 br 5790 <__alt_data_end+0xfffe5790> + 5690: 8421883a add r16,r16,r16 + 5694: 84402004 addi r17,r16,128 + 5698: a463883a add r17,r20,r17 + 569c: a421883a add r16,r20,r16 + 56a0: e0001e26 beq fp,zero,571c <__call_exitprocs+0xf4> + 56a4: 80804017 ldw r2,256(r16) + 56a8: e0801c26 beq fp,r2,571c <__call_exitprocs+0xf4> + 56ac: bdffffc4 addi r23,r23,-1 + 56b0: 843fff04 addi r16,r16,-4 + 56b4: 8c7fff04 addi r17,r17,-4 + 56b8: bd7ff91e bne r23,r21,56a0 <__alt_data_end+0xfffe56a0> + 56bc: 00800034 movhi r2,0 + 56c0: 10800004 addi r2,r2,0 + 56c4: 10000926 beq r2,zero,56ec <__call_exitprocs+0xc4> + 56c8: a0800117 ldw r2,4(r20) + 56cc: 1000301e bne r2,zero,5790 <__call_exitprocs+0x168> + 56d0: a0800017 ldw r2,0(r20) + 56d4: 10003226 beq r2,zero,57a0 <__call_exitprocs+0x178> + 56d8: a009883a mov r4,r20 + 56dc: 98800015 stw r2,0(r19) + 56e0: 00000000 call 0 <__reset> + 56e4: 9d000017 ldw r20,0(r19) + 56e8: a03fe41e bne r20,zero,567c <__alt_data_end+0xfffe567c> + 56ec: dfc00a17 ldw ra,40(sp) + 56f0: df000917 ldw fp,36(sp) + 56f4: ddc00817 ldw r23,32(sp) + 56f8: dd800717 ldw r22,28(sp) + 56fc: dd400617 ldw r21,24(sp) + 5700: dd000517 ldw r20,20(sp) + 5704: dcc00417 ldw r19,16(sp) + 5708: dc800317 ldw r18,12(sp) + 570c: dc400217 ldw r17,8(sp) + 5710: dc000117 ldw r16,4(sp) + 5714: dec00b04 addi sp,sp,44 + 5718: f800283a ret + 571c: a0800117 ldw r2,4(r20) + 5720: 80c00017 ldw r3,0(r16) + 5724: 10bfffc4 addi r2,r2,-1 + 5728: 15c01426 beq r2,r23,577c <__call_exitprocs+0x154> + 572c: 80000015 stw zero,0(r16) + 5730: 183fde26 beq r3,zero,56ac <__alt_data_end+0xfffe56ac> + 5734: 95c8983a sll r4,r18,r23 + 5738: a0806217 ldw r2,392(r20) + 573c: a5800117 ldw r22,4(r20) + 5740: 2084703a and r2,r4,r2 + 5744: 10000b26 beq r2,zero,5774 <__call_exitprocs+0x14c> + 5748: a0806317 ldw r2,396(r20) + 574c: 2088703a and r4,r4,r2 + 5750: 20000c1e bne r4,zero,5784 <__call_exitprocs+0x15c> + 5754: 89400017 ldw r5,0(r17) + 5758: d9000017 ldw r4,0(sp) + 575c: 183ee83a callr r3 + 5760: a0800117 ldw r2,4(r20) + 5764: 15bfbf1e bne r2,r22,5664 <__alt_data_end+0xfffe5664> + 5768: 98800017 ldw r2,0(r19) + 576c: 153fcf26 beq r2,r20,56ac <__alt_data_end+0xfffe56ac> + 5770: 003fbc06 br 5664 <__alt_data_end+0xfffe5664> + 5774: 183ee83a callr r3 + 5778: 003ff906 br 5760 <__alt_data_end+0xfffe5760> + 577c: a5c00115 stw r23,4(r20) + 5780: 003feb06 br 5730 <__alt_data_end+0xfffe5730> + 5784: 89000017 ldw r4,0(r17) + 5788: 183ee83a callr r3 + 578c: 003ff406 br 5760 <__alt_data_end+0xfffe5760> + 5790: a0800017 ldw r2,0(r20) + 5794: a027883a mov r19,r20 + 5798: 1029883a mov r20,r2 + 579c: 003fb606 br 5678 <__alt_data_end+0xfffe5678> + 57a0: 0005883a mov r2,zero + 57a4: 003ffb06 br 5794 <__alt_data_end+0xfffe5794> -000057a4 <_exit>: +000057a8 <_exit>: * * ALT_EXIT is mapped onto the _exit() system call in alt_syscall.h */ void ALT_EXIT (int exit_code) { - 57a4: defffd04 addi sp,sp,-12 - 57a8: df000215 stw fp,8(sp) - 57ac: df000204 addi fp,sp,8 - 57b0: e13fff15 stw r4,-4(fp) + 57a8: defffd04 addi sp,sp,-12 + 57ac: df000215 stw fp,8(sp) + 57b0: df000204 addi fp,sp,8 + 57b4: e13fff15 stw r4,-4(fp) ALT_LOG_PRINT_BOOT("[alt_exit.c] Entering _exit() function.\r\n"); ALT_LOG_PRINT_BOOT("[alt_exit.c] Exit code from main was %d.\r\n",exit_code); /* Stop all other threads */ ALT_LOG_PRINT_BOOT("[alt_exit.c] Calling ALT_OS_STOP().\r\n"); ALT_OS_STOP(); - 57b4: 0001883a nop - 57b8: e0bfff17 ldw r2,-4(fp) - 57bc: e0bffe15 stw r2,-8(fp) + 57b8: 0001883a nop + 57bc: e0bfff17 ldw r2,-4(fp) + 57c0: e0bffe15 stw r2,-8(fp) /* * Routine called on exit. */ static ALT_INLINE ALT_ALWAYS_INLINE void alt_sim_halt(int exit_code) { register int r2 asm ("r2") = exit_code; - 57c0: e0bffe17 ldw r2,-8(fp) + 57c4: e0bffe17 ldw r2,-8(fp) __asm__ volatile ("\n0:\n\taddi %0,%0, -1\n\tbgt %0,zero,0b" : : "r" (ALT_CPU_FREQ/100) ); /* Delay for >30ms */ __asm__ volatile ("break 2" : : "r"(r2), "r"(r3) ALT_GMON_DATA ); #else /* !DEBUG_STUB */ if (r2) { - 57c4: 10000226 beq r2,zero,57d0 <_exit+0x2c> + 57c8: 10000226 beq r2,zero,57d4 <_exit+0x2c> ALT_SIM_FAIL(); - 57c8: 002af070 cmpltui zero,zero,43969 - 57cc: 00000106 br 57d4 <_exit+0x30> + 57cc: 002af070 cmpltui zero,zero,43969 + 57d0: 00000106 br 57d8 <_exit+0x30> } else { ALT_SIM_PASS(); - 57d0: 002af0b0 cmpltui zero,zero,43970 + 57d4: 002af0b0 cmpltui zero,zero,43970 ALT_SIM_HALT(exit_code); /* spin forever, since there's no where to go back to */ ALT_LOG_PRINT_BOOT("[alt_exit.c] Spinning forever.\r\n"); while (1); - 57d4: 003fff06 br 57d4 <__alt_data_end+0xfffe57d4> + 57d8: 003fff06 br 57d8 <__alt_data_end+0xfffe57d8> diff --git a/Top/software/semafor/mem_init/hdl_sim/niosII_mem.dat b/Top/software/semafor/mem_init/hdl_sim/niosII_mem.dat index 59ec0be..5e374a4 100644 --- a/Top/software/semafor/mem_init/hdl_sim/niosII_mem.dat +++ b/Top/software/semafor/mem_init/hdl_sim/niosII_mem.dat @@ -78,13 +78,13 @@ @004D 1884703A @004E 10001426 @004F 00800034 -@0050 109CB904 +@0050 109CC604 @0051 E0FFFD17 @0052 180690FA @0053 10C5883A @0054 10C00017 @0055 00800034 -@0056 109CB904 +@0056 109CC604 @0057 E13FFD17 @0058 200890FA @0059 1105883A @@ -141,8 +141,8 @@ @008C 06C000B4 @008D DEC00014 @008E 06800034 -@008F D6BC9614 -@0090 00035980 +@008F D6BCA314 +@0090 000359C0 @0091 003FFF06 @0092 DEFFFA04 @0093 DFC00515 @@ -152,5510 +152,5510 @@ @0097 10840804 @0098 E0BFFE15 @0099 E03FFC15 -@009A 00002106 +@009A 00002206 @009B E03FFF15 @009C 00800104 @009D E0BFFD15 -@009E 00001206 +@009E 00001306 @009F E0BFFF17 @00A0 1008923A @00A1 E0BFFD17 @00A2 117FFFC4 @00A3 00800034 -@00A4 1095F604 +@00A4 1095F704 @00A5 E0FFFC17 @00A6 18C7883A @00A7 18C7883A -@00A8 10C5883A -@00A9 1145883A -@00AA 10800003 -@00AB 10803FCC -@00AC 2084B03A -@00AD E0BFFF15 -@00AE E0BFFD17 -@00AF 10BFFFC4 -@00B0 E0BFFD15 -@00B1 E0BFFD17 -@00B2 00BFEC16 -@00B3 E0BFFE17 -@00B4 E0FFFF17 -@00B5 10C00015 -@00B6 E0BFFE17 -@00B7 10800104 -@00B8 E0BFFE15 -@00B9 E0BFFC17 -@00BA 10800044 -@00BB E0BFFC15 -@00BC E0BFFC17 -@00BD 10800110 -@00BE 103FDC1E -@00BF 00032500 -@00C0 0007883A -@00C1 008000B4 -@00C2 10840D04 -@00C3 10C00035 -@00C4 00C00044 -@00C5 008000B4 -@00C6 10840C04 -@00C7 10C00035 -@00C8 01000034 -@00C9 2115FA04 -@00CA 00003F00 -@00CB 003FFF06 -@00CC DEFFF604 -@00CD DC000715 -@00CE 2021883A -@00CF 2809883A -@00D0 DC400815 -@00D1 DFC00915 -@00D2 2823883A -@00D3 00004040 -@00D4 10C00044 -@00D5 D8800115 -@00D6 00800034 -@00D7 1095FC04 -@00D8 D8800215 -@00D9 00800044 -@00DA D8800315 -@00DB 00800084 -@00DC DC400015 -@00DD D8C00615 -@00DE DEC00415 -@00DF D8800515 -@00E0 80000226 -@00E1 80800E17 -@00E2 10001426 -@00E3 81400217 -@00E4 2880030B -@00E5 10C8000C -@00E6 1800061E -@00E7 29001917 -@00E8 00F7FFC4 -@00E9 10880014 -@00EA 20C6703A -@00EB 2880030D -@00EC 28C01915 -@00ED D9800404 -@00EE 8009883A -@00EF 000084C0 -@00F0 1000091E -@00F1 00800284 -@00F2 DFC00917 -@00F3 DC400817 -@00F4 DC000717 -@00F5 DEC00A04 -@00F6 F800283A -@00F7 8009883A -@00F8 00007FC0 -@00F9 003FE906 -@00FA 00BFFFC4 -@00FB 003FF606 -@00FC 00800034 -@00FD 109C9704 -@00FE 200B883A -@00FF 11000017 -@0100 00003301 -@0101 208000CC -@0102 10002026 -@0103 20800007 -@0104 10002026 -@0105 2005883A -@0106 00000206 -@0107 10C00007 -@0108 18001826 -@0109 10800044 -@010A 10C000CC -@010B 183FFB1E -@010C 10C00017 -@010D 01FFBFF4 -@010E 39FFBFC4 -@010F 00CA303A -@0110 01A02074 -@0111 19C7883A -@0112 31A02004 -@0113 1946703A -@0114 1986703A -@0115 1800091E -@0116 10800104 -@0117 10C00017 -@0118 19CB883A -@0119 00C6303A -@011A 28C6703A -@011B 1986703A -@011C 183FF926 -@011D 00000106 -@011E 10800044 -@011F 10C00007 -@0120 183FFD1E -@0121 1105C83A -@0122 F800283A -@0123 2005883A -@0124 003FE706 -@0125 0005883A -@0126 F800283A -@0127 0005883A -@0128 F800283A -@0129 01400034 -@012A 29491504 -@012B 0000DD81 -@012C DEFFF704 -@012D 00C00034 -@012E DFC00815 -@012F DDC00715 -@0130 DD800615 -@0131 DD400515 -@0132 DD000415 -@0133 DCC00315 -@0134 DC800215 -@0135 DC400115 -@0136 DC000015 -@0137 18C12904 -@0138 24000117 -@0139 20C00F15 -@013A 2080BB04 -@013B 00C000C4 -@013C 20C0B915 -@013D 2080BA15 -@013E 2000B815 -@013F 05C00204 -@0140 00800104 -@0141 2025883A -@0142 B80D883A -@0143 81001704 -@0144 000B883A -@0145 80000015 -@0146 80000115 -@0147 80000215 -@0148 8080030D -@0149 80001915 -@014A 8000038D -@014B 80000415 -@014C 80000515 -@014D 80000615 -@014E 0001A300 -@014F 05800034 -@0150 94400217 -@0151 05400034 -@0152 05000034 -@0153 04C00034 -@0154 B5884404 -@0155 AD485B04 -@0156 A5087A04 -@0157 9CC89104 -@0158 85800815 -@0159 85400915 -@015A 85000A15 -@015B 84C00B15 -@015C 84000715 -@015D 00800284 -@015E 8880030D -@015F 00800044 -@0160 B80D883A -@0161 89001704 -@0162 000B883A -@0163 88000015 -@0164 88000115 -@0165 88000215 -@0166 88001915 -@0167 8880038D -@0168 88000415 -@0169 88000515 -@016A 88000615 -@016B 0001A300 -@016C 94000317 -@016D 00800484 -@016E 8C400715 -@016F 8D800815 -@0170 8D400915 -@0171 8D000A15 -@0172 8CC00B15 -@0173 8080030D -@0174 00800084 -@0175 80000015 -@0176 80000115 -@0177 80000215 -@0178 80001915 -@0179 8080038D -@017A 80000415 -@017B 80000515 -@017C 80000615 -@017D B80D883A -@017E 000B883A -@017F 81001704 -@0180 0001A300 -@0181 00800044 -@0182 84000715 -@0183 85800815 -@0184 85400915 -@0185 85000A15 -@0186 84C00B15 -@0187 90800E15 -@0188 DFC00817 -@0189 DDC00717 -@018A DD800617 -@018B DD400517 -@018C DD000417 -@018D DCC00317 -@018E DC800217 -@018F DC400117 -@0190 DC000017 -@0191 DEC00904 -@0192 F800283A -@0193 0005883A -@0194 F800283A -@0195 DEFFFC04 -@0196 DC800215 -@0197 2825883A -@0198 DC000015 -@0199 01401A04 -@019A 2021883A -@019B 913FFFC4 -@019C DFC00315 -@019D DC400115 -@019E 00031140 -@019F 8009883A -@01A0 11401D04 -@01A1 1023883A -@01A2 0000E9C0 -@01A3 1021883A -@01A4 10000726 -@01A5 11000304 -@01A6 10000015 -@01A7 14800115 -@01A8 11000215 -@01A9 89801A04 -@01AA 000B883A -@01AB 0001A300 -@01AC 8005883A -@01AD DFC00317 -@01AE DC800217 -@01AF DC400117 -@01B0 DC000017 -@01B1 DEC00404 -@01B2 F800283A -@01B3 DEFFFB04 -@01B4 DC000015 -@01B5 04000034 -@01B6 841C9604 -@01B7 DCC00315 -@01B8 2027883A -@01B9 81000017 -@01BA DFC00415 -@01BB DC800215 -@01BC 20800E17 -@01BD DC400115 -@01BE 1000021E -@01BF 00004B00 -@01C0 81000017 -@01C1 2480B804 -@01C2 047FFFC4 -@01C3 91000117 -@01C4 94000217 -@01C5 213FFFC4 -@01C6 20000A16 -@01C7 8080030F -@01C8 10000C26 -@01C9 80C01D04 -@01CA 00000206 -@01CB 18BFE60F -@01CC 10000826 -@01CD 213FFFC4 -@01CE 1C3FFD04 -@01CF 18C01A04 -@01D0 247FFA1E -@01D1 90800017 -@01D2 10001D26 -@01D3 1025883A -@01D4 003FEE06 -@01D5 00BFFFC4 -@01D6 8080038D -@01D7 00800044 -@01D8 8080030D -@01D9 80001915 -@01DA 80000015 -@01DB 80000215 -@01DC 80000115 -@01DD 80000415 -@01DE 80000515 -@01DF 80000615 -@01E0 01800204 -@01E1 000B883A -@01E2 81001704 -@01E3 0001A300 -@01E4 8005883A -@01E5 80000C15 -@01E6 80000D15 -@01E7 80001115 -@01E8 80001215 -@01E9 DFC00417 -@01EA DCC00317 -@01EB DC800217 -@01EC DC400117 -@01ED DC000017 -@01EE DEC00504 -@01EF F800283A -@01F0 01400104 -@01F1 9809883A -@01F2 00006540 -@01F3 90800015 -@01F4 103FDE1E -@01F5 00800304 -@01F6 98800015 -@01F7 0005883A -@01F8 003FF006 -@01F9 00800034 -@01FA 109C9604 -@01FB 11000017 -@01FC 01400034 -@01FD 29491504 -@01FE 0000DD81 -@01FF 20800E17 -@0200 10000126 -@0201 F800283A -@0202 00004B01 -@0203 F800283A +@00A8 1947883A +@00A9 18C7883A +@00AA 18C7883A +@00AB 10C5883A +@00AC 10800017 +@00AD 2084B03A +@00AE E0BFFF15 +@00AF E0BFFD17 +@00B0 10BFFFC4 +@00B1 E0BFFD15 +@00B2 E0BFFD17 +@00B3 00BFEB16 +@00B4 E0BFFE17 +@00B5 E0FFFF17 +@00B6 10C00015 +@00B7 E0BFFE17 +@00B8 10800104 +@00B9 E0BFFE15 +@00BA E0BFFC17 +@00BB 10800044 +@00BC E0BFFC15 +@00BD E0BFFC17 +@00BE 10800110 +@00BF 103FDB1E +@00C0 00032540 +@00C1 0007883A +@00C2 008000B4 +@00C3 10840D04 +@00C4 10C00035 +@00C5 00C00044 +@00C6 008000B4 +@00C7 10840C04 +@00C8 10C00035 +@00C9 01000034 +@00CA 21160704 +@00CB 00003F40 +@00CC 003FFF06 +@00CD DEFFF604 +@00CE DC000715 +@00CF 2021883A +@00D0 2809883A +@00D1 DC400815 +@00D2 DFC00915 +@00D3 2823883A +@00D4 00004080 +@00D5 10C00044 +@00D6 D8800115 +@00D7 00800034 +@00D8 10960904 +@00D9 D8800215 +@00DA 00800044 +@00DB D8800315 +@00DC 00800084 +@00DD DC400015 +@00DE D8C00615 +@00DF DEC00415 +@00E0 D8800515 +@00E1 80000226 +@00E2 80800E17 +@00E3 10001426 +@00E4 81400217 +@00E5 2880030B +@00E6 10C8000C +@00E7 1800061E +@00E8 29001917 +@00E9 00F7FFC4 +@00EA 10880014 +@00EB 20C6703A +@00EC 2880030D +@00ED 28C01915 +@00EE D9800404 +@00EF 8009883A +@00F0 00008500 +@00F1 1000091E +@00F2 00800284 +@00F3 DFC00917 +@00F4 DC400817 +@00F5 DC000717 +@00F6 DEC00A04 +@00F7 F800283A +@00F8 8009883A +@00F9 00008000 +@00FA 003FE906 +@00FB 00BFFFC4 +@00FC 003FF606 +@00FD 00800034 +@00FE 109CA404 +@00FF 200B883A +@0100 11000017 +@0101 00003341 +@0102 208000CC +@0103 10002026 +@0104 20800007 +@0105 10002026 +@0106 2005883A +@0107 00000206 +@0108 10C00007 +@0109 18001826 +@010A 10800044 +@010B 10C000CC +@010C 183FFB1E +@010D 10C00017 +@010E 01FFBFF4 +@010F 39FFBFC4 +@0110 00CA303A +@0111 01A02074 +@0112 19C7883A +@0113 31A02004 +@0114 1946703A +@0115 1986703A +@0116 1800091E +@0117 10800104 +@0118 10C00017 +@0119 19CB883A +@011A 00C6303A +@011B 28C6703A +@011C 1986703A +@011D 183FF926 +@011E 00000106 +@011F 10800044 +@0120 10C00007 +@0121 183FFD1E +@0122 1105C83A +@0123 F800283A +@0124 2005883A +@0125 003FE706 +@0126 0005883A +@0127 F800283A +@0128 0005883A +@0129 F800283A +@012A 01400034 +@012B 29491604 +@012C 0000DDC1 +@012D DEFFF704 +@012E 00C00034 +@012F DFC00815 +@0130 DDC00715 +@0131 DD800615 +@0132 DD400515 +@0133 DD000415 +@0134 DCC00315 +@0135 DC800215 +@0136 DC400115 +@0137 DC000015 +@0138 18C12A04 +@0139 24000117 +@013A 20C00F15 +@013B 2080BB04 +@013C 00C000C4 +@013D 20C0B915 +@013E 2080BA15 +@013F 2000B815 +@0140 05C00204 +@0141 00800104 +@0142 2025883A +@0143 B80D883A +@0144 81001704 +@0145 000B883A +@0146 80000015 +@0147 80000115 +@0148 80000215 +@0149 8080030D +@014A 80001915 +@014B 8000038D +@014C 80000415 +@014D 80000515 +@014E 80000615 +@014F 0001A340 +@0150 05800034 +@0151 94400217 +@0152 05400034 +@0153 05000034 +@0154 04C00034 +@0155 B5884504 +@0156 AD485C04 +@0157 A5087B04 +@0158 9CC89204 +@0159 85800815 +@015A 85400915 +@015B 85000A15 +@015C 84C00B15 +@015D 84000715 +@015E 00800284 +@015F 8880030D +@0160 00800044 +@0161 B80D883A +@0162 89001704 +@0163 000B883A +@0164 88000015 +@0165 88000115 +@0166 88000215 +@0167 88001915 +@0168 8880038D +@0169 88000415 +@016A 88000515 +@016B 88000615 +@016C 0001A340 +@016D 94000317 +@016E 00800484 +@016F 8C400715 +@0170 8D800815 +@0171 8D400915 +@0172 8D000A15 +@0173 8CC00B15 +@0174 8080030D +@0175 00800084 +@0176 80000015 +@0177 80000115 +@0178 80000215 +@0179 80001915 +@017A 8080038D +@017B 80000415 +@017C 80000515 +@017D 80000615 +@017E B80D883A +@017F 000B883A +@0180 81001704 +@0181 0001A340 +@0182 00800044 +@0183 84000715 +@0184 85800815 +@0185 85400915 +@0186 85000A15 +@0187 84C00B15 +@0188 90800E15 +@0189 DFC00817 +@018A DDC00717 +@018B DD800617 +@018C DD400517 +@018D DD000417 +@018E DCC00317 +@018F DC800217 +@0190 DC400117 +@0191 DC000017 +@0192 DEC00904 +@0193 F800283A +@0194 0005883A +@0195 F800283A +@0196 DEFFFC04 +@0197 DC800215 +@0198 2825883A +@0199 DC000015 +@019A 01401A04 +@019B 2021883A +@019C 913FFFC4 +@019D DFC00315 +@019E DC400115 +@019F 00031180 +@01A0 8009883A +@01A1 11401D04 +@01A2 1023883A +@01A3 0000EA00 +@01A4 1021883A +@01A5 10000726 +@01A6 11000304 +@01A7 10000015 +@01A8 14800115 +@01A9 11000215 +@01AA 89801A04 +@01AB 000B883A +@01AC 0001A340 +@01AD 8005883A +@01AE DFC00317 +@01AF DC800217 +@01B0 DC400117 +@01B1 DC000017 +@01B2 DEC00404 +@01B3 F800283A +@01B4 DEFFFB04 +@01B5 DC000015 +@01B6 04000034 +@01B7 841CA304 +@01B8 DCC00315 +@01B9 2027883A +@01BA 81000017 +@01BB DFC00415 +@01BC DC800215 +@01BD 20800E17 +@01BE DC400115 +@01BF 1000021E +@01C0 00004B40 +@01C1 81000017 +@01C2 2480B804 +@01C3 047FFFC4 +@01C4 91000117 +@01C5 94000217 +@01C6 213FFFC4 +@01C7 20000A16 +@01C8 8080030F +@01C9 10000C26 +@01CA 80C01D04 +@01CB 00000206 +@01CC 18BFE60F +@01CD 10000826 +@01CE 213FFFC4 +@01CF 1C3FFD04 +@01D0 18C01A04 +@01D1 247FFA1E +@01D2 90800017 +@01D3 10001D26 +@01D4 1025883A +@01D5 003FEE06 +@01D6 00BFFFC4 +@01D7 8080038D +@01D8 00800044 +@01D9 8080030D +@01DA 80001915 +@01DB 80000015 +@01DC 80000215 +@01DD 80000115 +@01DE 80000415 +@01DF 80000515 +@01E0 80000615 +@01E1 01800204 +@01E2 000B883A +@01E3 81001704 +@01E4 0001A340 +@01E5 8005883A +@01E6 80000C15 +@01E7 80000D15 +@01E8 80001115 +@01E9 80001215 +@01EA DFC00417 +@01EB DCC00317 +@01EC DC800217 +@01ED DC400117 +@01EE DC000017 +@01EF DEC00504 +@01F0 F800283A +@01F1 01400104 +@01F2 9809883A +@01F3 00006580 +@01F4 90800015 +@01F5 103FDE1E +@01F6 00800304 +@01F7 98800015 +@01F8 0005883A +@01F9 003FF006 +@01FA 00800034 +@01FB 109CA304 +@01FC 11000017 +@01FD 01400034 +@01FE 29491604 +@01FF 0000DDC1 +@0200 20800E17 +@0201 10000126 +@0202 F800283A +@0203 00004B41 @0204 F800283A @0205 F800283A @0206 F800283A -@0207 00800034 -@0208 109C9704 -@0209 11000017 -@020A 01400034 -@020B 29419304 -@020C 0000D141 -@020D 00800034 -@020E 109C9704 -@020F 11000017 -@0210 01400034 -@0211 29412704 -@0212 0000D141 -@0213 30800217 -@0214 10006726 -@0215 28C0030B -@0216 DEFFF404 -@0217 DD400715 -@0218 DD000615 -@0219 DC000215 -@021A DFC00B15 -@021B DF000A15 -@021C DDC00915 -@021D DD800815 -@021E DCC00515 -@021F DC800415 -@0220 DC400315 -@0221 1880020C -@0222 2821883A -@0223 202B883A -@0224 3029883A -@0225 10002726 -@0226 28800417 -@0227 10002526 -@0228 1880008C -@0229 A4400017 -@022A 10002A26 -@022B 05A00034 -@022C 0027883A -@022D 0025883A -@022E B5BF0004 -@022F 980D883A -@0230 A809883A -@0231 90004626 -@0232 900F883A -@0233 B480022E -@0234 01E00034 -@0235 39FF0004 -@0236 80800917 -@0237 81400717 -@0238 103EE83A -@0239 00805A0E -@023A A0C00217 -@023B 98A7883A -@023C 90A5C83A -@023D 1885C83A -@023E A0800215 -@023F 103FEF1E -@0240 0005883A -@0241 DFC00B17 -@0242 DF000A17 -@0243 DDC00917 -@0244 DD800817 -@0245 DD400717 -@0246 DD000617 -@0247 DCC00517 -@0248 DC800417 -@0249 DC400317 -@024A DC000217 -@024B DEC00C04 -@024C F800283A -@024D 800B883A -@024E A809883A -@024F 00022AC0 -@0250 1000EE1E -@0251 80C0030B -@0252 A4400017 -@0253 1880008C -@0254 103FD61E -@0255 1880004C -@0256 1000421E -@0257 0039883A -@0258 0025883A -@0259 90001A26 -@025A 1880800C -@025B 84C00217 -@025C 10002126 -@025D 982F883A -@025E 94C09636 -@025F 1881200C -@0260 1000A11E -@0261 81000017 -@0262 B80D883A -@0263 E00B883A -@0264 00018D40 -@0265 80C00217 -@0266 81000017 -@0267 9005883A -@0268 1CE7C83A -@0269 25CF883A -@026A 84C00215 -@026B 81C00015 -@026C A0C00217 -@026D E0B9883A -@026E 90A5C83A -@026F 18A7C83A -@0270 A4C00215 -@0271 983FCE26 -@0272 80C0030B -@0273 903FE61E -@0274 8F000017 -@0275 8C800117 -@0276 8C400204 -@0277 003FE106 -@0278 8CC00017 -@0279 8C800117 -@027A 8C400204 -@027B 003FB306 -@027C 0005883A -@027D F800283A -@027E 81000017 -@027F 80800417 -@0280 11005A36 -@0281 85C00517 -@0282 95C05836 -@0283 00A00034 -@0284 10BFFFC4 -@0285 9009883A -@0286 1480012E -@0287 1009883A -@0288 B80B883A -@0289 0002F600 -@028A B80B883A -@028B 1009883A -@028C 00031140 -@028D 81400717 -@028E 80C00917 -@028F 100F883A -@0290 E00D883A -@0291 A809883A -@0292 183EE83A -@0293 00BFD816 -@0294 8080030B -@0295 10801014 -@0296 8080030D -@0297 00BFFFC4 -@0298 003FA806 -@0299 0027883A -@029A 0011883A -@029B 0039883A -@029C 0025883A -@029D 90001F26 -@029E 40005A26 -@029F 982D883A -@02A0 94C0012E -@02A1 902D883A -@02A2 81000017 -@02A3 80800417 -@02A4 B02F883A -@02A5 81C00517 -@02A6 1100032E -@02A7 80C00217 -@02A8 38C7883A -@02A9 1D801816 -@02AA B1C03E16 -@02AB 80800917 -@02AC 81400717 -@02AD E00D883A -@02AE DA000115 -@02AF A809883A -@02B0 103EE83A -@02B1 102F883A -@02B2 DA000117 -@02B3 00BFE00E -@02B4 9DE7C83A -@02B5 98001F26 -@02B6 A0800217 -@02B7 E5F9883A -@02B8 95E5C83A -@02B9 15EFC83A -@02BA A5C00215 -@02BB B83F8426 -@02BC 903FE11E -@02BD 8F000017 -@02BE 8C800117 -@02BF 0011883A -@02C0 8C400204 -@02C1 003FDB06 -@02C2 180D883A -@02C3 E00B883A -@02C4 DA000115 -@02C5 D8C00015 -@02C6 00018D40 -@02C7 D8C00017 -@02C8 80800017 -@02C9 800B883A -@02CA A809883A -@02CB 10C5883A -@02CC 80800015 -@02CD D8C00015 -@02CE 00027740 -@02CF D8C00017 -@02D0 DA000117 -@02D1 103FC21E -@02D2 182F883A -@02D3 9DE7C83A -@02D4 983FE11E -@02D5 800B883A -@02D6 A809883A -@02D7 00027740 -@02D8 103FBB1E -@02D9 0011883A -@02DA 003FDB06 -@02DB 94C0012E -@02DC 9027883A -@02DD 980D883A -@02DE E00B883A -@02DF 00018D40 -@02E0 80800217 -@02E1 80C00017 -@02E2 14C5C83A -@02E3 1CC7883A -@02E4 80800215 -@02E5 80C00015 -@02E6 10004326 -@02E7 9805883A -@02E8 003F8306 -@02E9 B00D883A -@02EA E00B883A -@02EB DA000115 -@02EC 00018D40 -@02ED 80800217 -@02EE 80C00017 -@02EF DA000117 -@02F0 1585C83A -@02F1 1DAD883A -@02F2 80800215 -@02F3 85800015 -@02F4 003FBF06 -@02F5 81000017 -@02F6 9027883A -@02F7 902F883A -@02F8 003F6906 -@02F9 900D883A -@02FA 01400284 -@02FB E009883A -@02FC 00016A80 -@02FD 10003E26 -@02FE 10800044 -@02FF 1727C83A -@0300 02000044 -@0301 003F9D06 -@0302 80800517 -@0303 81400417 -@0304 81C00017 -@0305 10A7883A -@0306 9885883A -@0307 1026D7FA -@0308 396DC83A -@0309 B1000044 -@030A 9885883A -@030B 1027D07A -@030C 2485883A -@030D 980D883A -@030E 9880022E -@030F 1027883A -@0310 100D883A -@0311 18C1000C -@0312 18001C26 -@0313 300B883A -@0314 A809883A -@0315 0000E9C0 -@0316 102F883A -@0317 10002926 -@0318 81400417 -@0319 B00D883A -@031A 1009883A -@031B 000178C0 -@031C 8080030B -@031D 00FEDFC4 -@031E 10C4703A -@031F 10802014 -@0320 8080030D -@0321 BD89883A -@0322 9D8FC83A -@0323 85C00415 -@0324 84C00515 -@0325 81000015 -@0326 9027883A -@0327 81C00215 -@0328 902F883A -@0329 003F3806 -@032A 800B883A -@032B A809883A -@032C 00027740 -@032D 103FB926 -@032E 003F6506 -@032F A809883A -@0330 0001B580 -@0331 102F883A -@0332 103FEE1E -@0333 81400417 -@0334 A809883A -@0335 00029240 -@0336 8080030B -@0337 00FFDFC4 -@0338 1884703A -@0339 00C00304 -@033A A8C00015 -@033B 003F5906 -@033C 94C00044 -@033D 02000044 -@033E 003F6006 -@033F 00BFFFC4 -@0340 003F0006 -@0341 00800304 -@0342 A8800015 -@0343 8080030B -@0344 003F5006 -@0345 DEFFF704 -@0346 DD000415 -@0347 DFC00815 -@0348 DDC00715 -@0349 DD800615 -@034A DD400515 -@034B DCC00315 -@034C DC800215 -@034D DC400115 -@034E DC000015 -@034F 2500B804 -@0350 A0002326 -@0351 282B883A -@0352 002F883A -@0353 05800044 -@0354 04FFFFC4 -@0355 A4400117 -@0356 A4800217 -@0357 8C7FFFC4 -@0358 88000D16 -@0359 94000304 -@035A 94800384 -@035B 8080000B -@035C 8C7FFFC4 -@035D 813FFD04 -@035E B080042E -@035F 9080000F -@0360 14C00226 -@0361 A83EE83A -@0362 B8AEB03A -@0363 84001A04 -@0364 94801A04 -@0365 8CFFF51E -@0366 A5000017 -@0367 A03FED1E -@0368 B805883A -@0369 DFC00817 -@036A DDC00717 -@036B DD800617 -@036C DD400517 -@036D DD000417 -@036E DCC00317 -@036F DC800217 -@0370 DC400117 -@0371 DC000017 -@0372 DEC00904 -@0373 F800283A -@0374 002F883A -@0375 003FF206 -@0376 DEFFF704 -@0377 DD000415 -@0378 DFC00815 -@0379 DDC00715 -@037A DD800615 -@037B DD400515 -@037C DCC00315 -@037D DC800215 -@037E DC400115 -@037F DC000015 -@0380 2500B804 -@0381 A0002326 -@0382 282B883A -@0383 2027883A -@0384 002F883A -@0385 05800044 -@0386 04BFFFC4 -@0387 A4400117 -@0388 A4000217 -@0389 8C7FFFC4 -@038A 88000C16 -@038B 84000304 -@038C 8080000B -@038D 8C7FFFC4 -@038E 817FFD04 -@038F B080052E -@0390 8080008F -@0391 9809883A -@0392 14800226 -@0393 A83EE83A -@0394 B8AEB03A -@0395 84001A04 -@0396 8CBFF51E -@0397 A5000017 -@0398 A03FEE1E -@0399 B805883A -@039A DFC00817 -@039B DDC00717 -@039C DD800617 -@039D DD400517 -@039E DD000417 -@039F DCC00317 -@03A0 DC800217 -@03A1 DC400117 -@03A2 DC000017 -@03A3 DEC00904 -@03A4 F800283A -@03A5 002F883A -@03A6 003FF206 -@03A7 DEFFF504 -@03A8 DC800315 -@03A9 DFC00A15 -@03AA DF000915 -@03AB DDC00815 -@03AC DD800715 -@03AD DD400615 -@03AE DD000515 -@03AF DCC00415 -@03B0 DC400215 -@03B1 DC000115 -@03B2 288002C4 -@03B3 00C00584 -@03B4 2025883A -@03B5 18807F2E -@03B6 047FFE04 -@03B7 1462703A -@03B8 8800A316 -@03B9 8940A236 -@03BA 00036140 -@03BB 00807DC4 -@03BC 1441E92E -@03BD 8804D27A -@03BE 1000A126 -@03BF 00C00104 -@03C0 18811E36 -@03C1 8804D1BA -@03C2 12000E44 -@03C3 11C00E04 -@03C4 4209883A -@03C5 04C00034 -@03C6 2109883A -@03C7 9CD71204 -@03C8 2109883A -@03C9 9909883A -@03CA 24000117 -@03CB 213FFE04 -@03CC 24009726 -@03CD 80800117 -@03CE 01BFFF04 -@03CF 014003C4 -@03D0 1184703A -@03D1 1447C83A -@03D2 28C00716 -@03D3 1800920E -@03D4 84000317 -@03D5 24008E26 -@03D6 80800117 -@03D7 1184703A -@03D8 1447C83A -@03D9 28FFF90E -@03DA 3809883A -@03DB 01800034 -@03DC 9C000417 -@03DD 31971204 -@03DE 32000204 -@03DF 82013426 -@03E0 80C00117 -@03E1 00BFFF04 -@03E2 188E703A -@03E3 3C45C83A -@03E4 00C003C4 -@03E5 18811F16 -@03E6 32000515 -@03E7 32000415 -@03E8 10007F0E -@03E9 00807FC4 -@03EA 11C0FD36 -@03EB 3806D0FA -@03EC 01C00044 -@03ED 30800117 -@03EE 19400044 -@03EF 294B883A -@03F0 1807D0BA -@03F1 294B883A +@0207 F800283A +@0208 00800034 +@0209 109CA404 +@020A 11000017 +@020B 01400034 +@020C 29419404 +@020D 0000D181 +@020E 00800034 +@020F 109CA404 +@0210 11000017 +@0211 01400034 +@0212 29412804 +@0213 0000D181 +@0214 30800217 +@0215 10006726 +@0216 28C0030B +@0217 DEFFF404 +@0218 DD400715 +@0219 DD000615 +@021A DC000215 +@021B DFC00B15 +@021C DF000A15 +@021D DDC00915 +@021E DD800815 +@021F DCC00515 +@0220 DC800415 +@0221 DC400315 +@0222 1880020C +@0223 2821883A +@0224 202B883A +@0225 3029883A +@0226 10002726 +@0227 28800417 +@0228 10002526 +@0229 1880008C +@022A A4400017 +@022B 10002A26 +@022C 05A00034 +@022D 0027883A +@022E 0025883A +@022F B5BF0004 +@0230 980D883A +@0231 A809883A +@0232 90004626 +@0233 900F883A +@0234 B480022E +@0235 01E00034 +@0236 39FF0004 +@0237 80800917 +@0238 81400717 +@0239 103EE83A +@023A 00805A0E +@023B A0C00217 +@023C 98A7883A +@023D 90A5C83A +@023E 1885C83A +@023F A0800215 +@0240 103FEF1E +@0241 0005883A +@0242 DFC00B17 +@0243 DF000A17 +@0244 DDC00917 +@0245 DD800817 +@0246 DD400717 +@0247 DD000617 +@0248 DCC00517 +@0249 DC800417 +@024A DC400317 +@024B DC000217 +@024C DEC00C04 +@024D F800283A +@024E 800B883A +@024F A809883A +@0250 00022B00 +@0251 1000EE1E +@0252 80C0030B +@0253 A4400017 +@0254 1880008C +@0255 103FD61E +@0256 1880004C +@0257 1000421E +@0258 0039883A +@0259 0025883A +@025A 90001A26 +@025B 1880800C +@025C 84C00217 +@025D 10002126 +@025E 982F883A +@025F 94C09636 +@0260 1881200C +@0261 1000A11E +@0262 81000017 +@0263 B80D883A +@0264 E00B883A +@0265 00018D80 +@0266 80C00217 +@0267 81000017 +@0268 9005883A +@0269 1CE7C83A +@026A 25CF883A +@026B 84C00215 +@026C 81C00015 +@026D A0C00217 +@026E E0B9883A +@026F 90A5C83A +@0270 18A7C83A +@0271 A4C00215 +@0272 983FCE26 +@0273 80C0030B +@0274 903FE61E +@0275 8F000017 +@0276 8C800117 +@0277 8C400204 +@0278 003FE106 +@0279 8CC00017 +@027A 8C800117 +@027B 8C400204 +@027C 003FB306 +@027D 0005883A +@027E F800283A +@027F 81000017 +@0280 80800417 +@0281 11005A36 +@0282 85C00517 +@0283 95C05836 +@0284 00A00034 +@0285 10BFFFC4 +@0286 9009883A +@0287 1480012E +@0288 1009883A +@0289 B80B883A +@028A 0002F640 +@028B B80B883A +@028C 1009883A +@028D 00031180 +@028E 81400717 +@028F 80C00917 +@0290 100F883A +@0291 E00D883A +@0292 A809883A +@0293 183EE83A +@0294 00BFD816 +@0295 8080030B +@0296 10801014 +@0297 8080030D +@0298 00BFFFC4 +@0299 003FA806 +@029A 0027883A +@029B 0011883A +@029C 0039883A +@029D 0025883A +@029E 90001F26 +@029F 40005A26 +@02A0 982D883A +@02A1 94C0012E +@02A2 902D883A +@02A3 81000017 +@02A4 80800417 +@02A5 B02F883A +@02A6 81C00517 +@02A7 1100032E +@02A8 80C00217 +@02A9 38C7883A +@02AA 1D801816 +@02AB B1C03E16 +@02AC 80800917 +@02AD 81400717 +@02AE E00D883A +@02AF DA000115 +@02B0 A809883A +@02B1 103EE83A +@02B2 102F883A +@02B3 DA000117 +@02B4 00BFE00E +@02B5 9DE7C83A +@02B6 98001F26 +@02B7 A0800217 +@02B8 E5F9883A +@02B9 95E5C83A +@02BA 15EFC83A +@02BB A5C00215 +@02BC B83F8426 +@02BD 903FE11E +@02BE 8F000017 +@02BF 8C800117 +@02C0 0011883A +@02C1 8C400204 +@02C2 003FDB06 +@02C3 180D883A +@02C4 E00B883A +@02C5 DA000115 +@02C6 D8C00015 +@02C7 00018D80 +@02C8 D8C00017 +@02C9 80800017 +@02CA 800B883A +@02CB A809883A +@02CC 10C5883A +@02CD 80800015 +@02CE D8C00015 +@02CF 00027780 +@02D0 D8C00017 +@02D1 DA000117 +@02D2 103FC21E +@02D3 182F883A +@02D4 9DE7C83A +@02D5 983FE11E +@02D6 800B883A +@02D7 A809883A +@02D8 00027780 +@02D9 103FBB1E +@02DA 0011883A +@02DB 003FDB06 +@02DC 94C0012E +@02DD 9027883A +@02DE 980D883A +@02DF E00B883A +@02E0 00018D80 +@02E1 80800217 +@02E2 80C00017 +@02E3 14C5C83A +@02E4 1CC7883A +@02E5 80800215 +@02E6 80C00015 +@02E7 10004326 +@02E8 9805883A +@02E9 003F8306 +@02EA B00D883A +@02EB E00B883A +@02EC DA000115 +@02ED 00018D80 +@02EE 80800217 +@02EF 80C00017 +@02F0 DA000117 +@02F1 1585C83A +@02F2 1DAD883A +@02F3 80800215 +@02F4 85800015 +@02F5 003FBF06 +@02F6 81000017 +@02F7 9027883A +@02F8 902F883A +@02F9 003F6906 +@02FA 900D883A +@02FB 01400284 +@02FC E009883A +@02FD 00016AC0 +@02FE 10003E26 +@02FF 10800044 +@0300 1727C83A +@0301 02000044 +@0302 003F9D06 +@0303 80800517 +@0304 81400417 +@0305 81C00017 +@0306 10A7883A +@0307 9885883A +@0308 1026D7FA +@0309 396DC83A +@030A B1000044 +@030B 9885883A +@030C 1027D07A +@030D 2485883A +@030E 980D883A +@030F 9880022E +@0310 1027883A +@0311 100D883A +@0312 18C1000C +@0313 18001C26 +@0314 300B883A +@0315 A809883A +@0316 0000EA00 +@0317 102F883A +@0318 10002926 +@0319 81400417 +@031A B00D883A +@031B 1009883A +@031C 00017900 +@031D 8080030B +@031E 00FEDFC4 +@031F 10C4703A +@0320 10802014 +@0321 8080030D +@0322 BD89883A +@0323 9D8FC83A +@0324 85C00415 +@0325 84C00515 +@0326 81000015 +@0327 9027883A +@0328 81C00215 +@0329 902F883A +@032A 003F3806 +@032B 800B883A +@032C A809883A +@032D 00027780 +@032E 103FB926 +@032F 003F6506 +@0330 A809883A +@0331 0001B5C0 +@0332 102F883A +@0333 103FEE1E +@0334 81400417 +@0335 A809883A +@0336 00029280 +@0337 8080030B +@0338 00FFDFC4 +@0339 1884703A +@033A 00C00304 +@033B A8C00015 +@033C 003F5906 +@033D 94C00044 +@033E 02000044 +@033F 003F6006 +@0340 00BFFFC4 +@0341 003F0006 +@0342 00800304 +@0343 A8800015 +@0344 8080030B +@0345 003F5006 +@0346 DEFFF704 +@0347 DD000415 +@0348 DFC00815 +@0349 DDC00715 +@034A DD800615 +@034B DD400515 +@034C DCC00315 +@034D DC800215 +@034E DC400115 +@034F DC000015 +@0350 2500B804 +@0351 A0002326 +@0352 282B883A +@0353 002F883A +@0354 05800044 +@0355 04FFFFC4 +@0356 A4400117 +@0357 A4800217 +@0358 8C7FFFC4 +@0359 88000D16 +@035A 94000304 +@035B 94800384 +@035C 8080000B +@035D 8C7FFFC4 +@035E 813FFD04 +@035F B080042E +@0360 9080000F +@0361 14C00226 +@0362 A83EE83A +@0363 B8AEB03A +@0364 84001A04 +@0365 94801A04 +@0366 8CFFF51E +@0367 A5000017 +@0368 A03FED1E +@0369 B805883A +@036A DFC00817 +@036B DDC00717 +@036C DD800617 +@036D DD400517 +@036E DD000417 +@036F DCC00317 +@0370 DC800217 +@0371 DC400117 +@0372 DC000017 +@0373 DEC00904 +@0374 F800283A +@0375 002F883A +@0376 003FF206 +@0377 DEFFF704 +@0378 DD000415 +@0379 DFC00815 +@037A DDC00715 +@037B DD800615 +@037C DD400515 +@037D DCC00315 +@037E DC800215 +@037F DC400115 +@0380 DC000015 +@0381 2500B804 +@0382 A0002326 +@0383 282B883A +@0384 2027883A +@0385 002F883A +@0386 05800044 +@0387 04BFFFC4 +@0388 A4400117 +@0389 A4000217 +@038A 8C7FFFC4 +@038B 88000C16 +@038C 84000304 +@038D 8080000B +@038E 8C7FFFC4 +@038F 817FFD04 +@0390 B080052E +@0391 8080008F +@0392 9809883A +@0393 14800226 +@0394 A83EE83A +@0395 B8AEB03A +@0396 84001A04 +@0397 8CBFF51E +@0398 A5000017 +@0399 A03FEE1E +@039A B805883A +@039B DFC00817 +@039C DDC00717 +@039D DD800617 +@039E DD400517 +@039F DD000417 +@03A0 DCC00317 +@03A1 DC800217 +@03A2 DC400117 +@03A3 DC000017 +@03A4 DEC00904 +@03A5 F800283A +@03A6 002F883A +@03A7 003FF206 +@03A8 DEFFF504 +@03A9 DC800315 +@03AA DFC00A15 +@03AB DF000915 +@03AC DDC00815 +@03AD DD800715 +@03AE DD400615 +@03AF DD000515 +@03B0 DCC00415 +@03B1 DC400215 +@03B2 DC000115 +@03B3 288002C4 +@03B4 00C00584 +@03B5 2025883A +@03B6 18807F2E +@03B7 047FFE04 +@03B8 1462703A +@03B9 8800A316 +@03BA 8940A236 +@03BB 00036180 +@03BC 00807DC4 +@03BD 1441E92E +@03BE 8804D27A +@03BF 1000A126 +@03C0 00C00104 +@03C1 18811E36 +@03C2 8804D1BA +@03C3 12000E44 +@03C4 11C00E04 +@03C5 4209883A +@03C6 04C00034 +@03C7 2109883A +@03C8 9CD71F04 +@03C9 2109883A +@03CA 9909883A +@03CB 24000117 +@03CC 213FFE04 +@03CD 24009726 +@03CE 80800117 +@03CF 01BFFF04 +@03D0 014003C4 +@03D1 1184703A +@03D2 1447C83A +@03D3 28C00716 +@03D4 1800920E +@03D5 84000317 +@03D6 24008E26 +@03D7 80800117 +@03D8 1184703A +@03D9 1447C83A +@03DA 28FFF90E +@03DB 3809883A +@03DC 01800034 +@03DD 9C000417 +@03DE 31971F04 +@03DF 32000204 +@03E0 82013426 +@03E1 80C00117 +@03E2 00BFFF04 +@03E3 188E703A +@03E4 3C45C83A +@03E5 00C003C4 +@03E6 18811F16 +@03E7 32000515 +@03E8 32000415 +@03E9 10007F0E +@03EA 00807FC4 +@03EB 11C0FD36 +@03EC 3806D0FA +@03ED 01C00044 +@03EE 30800117 +@03EF 19400044 +@03F0 294B883A +@03F1 1807D0BA @03F2 294B883A -@03F3 298B883A -@03F4 38C6983A -@03F5 29C00017 -@03F6 2A7FFE04 -@03F7 1886B03A -@03F8 82400315 -@03F9 81C00215 -@03FA 30C00115 -@03FB 2C000015 -@03FC 3C000315 -@03FD 2005D0BA -@03FE 01400044 -@03FF 288A983A -@0400 19406F36 -@0401 28C4703A -@0402 10000A1E -@0403 00BFFF04 -@0404 294B883A -@0405 2088703A -@0406 28C4703A -@0407 21000104 -@0408 1000041E -@0409 294B883A -@040A 28C4703A -@040B 21000104 -@040C 103FFC26 -@040D 02BFFF04 -@040E 024003C4 -@040F 21800044 -@0410 318D883A +@03F3 294B883A +@03F4 298B883A +@03F5 38C6983A +@03F6 29C00017 +@03F7 2A7FFE04 +@03F8 1886B03A +@03F9 82400315 +@03FA 81C00215 +@03FB 30C00115 +@03FC 2C000015 +@03FD 3C000315 +@03FE 2005D0BA +@03FF 01400044 +@0400 288A983A +@0401 19406F36 +@0402 28C4703A +@0403 10000A1E +@0404 00BFFF04 +@0405 294B883A +@0406 2088703A +@0407 28C4703A +@0408 21000104 +@0409 1000041E +@040A 294B883A +@040B 28C4703A +@040C 21000104 +@040D 103FFC26 +@040E 02BFFF04 +@040F 024003C4 +@0410 21800044 @0411 318D883A @0412 318D883A -@0413 998D883A -@0414 333FFE04 -@0415 2017883A -@0416 31800104 -@0417 34000017 -@0418 31FFFD04 -@0419 81C0041E -@041A 0000FB06 -@041B 1801030E -@041C 84000317 -@041D 81C0F826 -@041E 80800117 -@041F 1284703A -@0420 1447C83A -@0421 48FFF90E -@0422 80800317 -@0423 81000217 -@0424 89400054 -@0425 81400115 -@0426 20800315 -@0427 11000215 -@0428 8463883A -@0429 9C400515 -@042A 9C400415 -@042B 18800054 -@042C 88800115 -@042D 8A000315 -@042E 8A000215 -@042F 88E3883A -@0430 88C00015 -@0431 9009883A -@0432 00036380 -@0433 80800204 -@0434 00001B06 -@0435 04400404 -@0436 89402536 -@0437 00036140 -@0438 00800184 -@0439 01000084 -@043A 04C00034 -@043B 1085883A -@043C 9CD71204 -@043D 1085883A -@043E 9885883A -@043F 14000117 -@0440 10FFFE04 -@0441 80C0D926 -@0442 80C00117 -@0443 81000317 -@0444 00BFFF04 -@0445 1884703A -@0446 81400217 -@0447 8085883A -@0448 10C00117 -@0449 29000315 -@044A 21400215 -@044B 18C00054 -@044C 10C00115 -@044D 9009883A -@044E 00036380 -@044F 80800204 -@0450 DFC00A17 -@0451 DF000917 -@0452 DDC00817 -@0453 DD800717 -@0454 DD400617 -@0455 DD000517 -@0456 DCC00417 -@0457 DC800317 -@0458 DC400217 -@0459 DC000117 -@045A DEC00B04 -@045B F800283A -@045C 00800304 -@045D 90800015 -@045E 0005883A -@045F 003FF006 -@0460 01002004 -@0461 02001004 -@0462 01C00FC4 -@0463 003F6106 -@0464 4009883A -@0465 003F7506 -@0466 81000317 -@0467 003FDE06 -@0468 81C5883A -@0469 11400117 -@046A 9009883A -@046B 29400054 -@046C 11400115 -@046D 00036380 -@046E 80800204 -@046F 003FE006 -@0470 9C000217 -@0471 00BFFF04 -@0472 85800117 -@0473 B0AC703A -@0474 B4400336 -@0475 B445C83A -@0476 00C003C4 -@0477 18805D16 -@0478 05C00034 -@0479 00800034 -@047A 109CA604 -@047B BDDC9804 -@047C 15400017 -@047D B8C00017 -@047E 00BFFFC4 -@047F 858D883A -@0480 8D6B883A -@0481 1880EA26 -@0482 AD4403C4 -@0483 00BC0004 -@0484 A8AA703A -@0485 A80B883A -@0486 9009883A -@0487 D9800015 -@0488 00020BC0 -@0489 1029883A -@048A 00BFFFC4 -@048B D9800017 -@048C A080E826 -@048D A180A636 -@048E 07000034 -@048F E71CAF04 -@0490 E0800017 -@0491 A887883A -@0492 E0C00015 -@0493 3500E626 -@0494 B9000017 -@0495 00BFFFC4 -@0496 2080EE26 -@0497 A185C83A -@0498 10C5883A -@0499 E0800015 -@049A A0C001CC -@049B 1800BC26 -@049C A0E9C83A -@049D 00840204 -@049E A5000204 -@049F 10C7C83A -@04A0 A545883A -@04A1 1083FFCC -@04A2 18ABC83A -@04A3 A80B883A -@04A4 9009883A -@04A5 00020BC0 -@04A6 00FFFFC4 -@04A7 10C0E126 -@04A8 1505C83A -@04A9 1545883A -@04AA 10800054 -@04AB E0C00017 -@04AC 9D000215 -@04AD A0800115 -@04AE A8C7883A -@04AF E0C00015 -@04B0 84C00E26 -@04B1 018003C4 -@04B2 3580A72E -@04B3 81400117 -@04B4 013FFE04 -@04B5 B0BFFD04 -@04B6 1104703A -@04B7 2900004C -@04B8 2088B03A -@04B9 81000115 -@04BA 01400144 -@04BB 8089883A -@04BC 21400115 -@04BD 21400215 -@04BE 3080CD36 -@04BF 00800034 -@04C0 109CA504 -@04C1 11000017 -@04C2 20C0012E -@04C3 10C00015 -@04C4 00800034 -@04C5 109CA404 -@04C6 11000017 -@04C7 9C000217 -@04C8 20C0012E -@04C9 10C00015 -@04CA 80C00117 -@04CB 00BFFF04 -@04CC 1886703A -@04CD 1C45C83A -@04CE 1C400236 -@04CF 00C003C4 -@04D0 18800416 -@04D1 9009883A -@04D2 00036380 -@04D3 0005883A -@04D4 003F7B06 -@04D5 88C00054 -@04D6 80C00115 -@04D7 8463883A -@04D8 10800054 -@04D9 9C400215 -@04DA 88800115 -@04DB 9009883A -@04DC 00036380 -@04DD 80800204 -@04DE 003F7106 -@04DF 00C00504 -@04E0 18804A2E -@04E1 00C01504 -@04E2 18806E36 -@04E3 8804D33A -@04E4 12001BC4 -@04E5 11C01B84 -@04E6 4209883A -@04E7 003EDD06 -@04E8 3804D27A -@04E9 00C00104 -@04EA 1880442E -@04EB 00C00504 -@04EC 18808136 -@04ED 11401704 -@04EE 10C016C4 -@04EF 294B883A +@0413 318D883A +@0414 998D883A +@0415 333FFE04 +@0416 2017883A +@0417 31800104 +@0418 34000017 +@0419 31FFFD04 +@041A 81C0041E +@041B 0000FB06 +@041C 1801030E +@041D 84000317 +@041E 81C0F826 +@041F 80800117 +@0420 1284703A +@0421 1447C83A +@0422 48FFF90E +@0423 80800317 +@0424 81000217 +@0425 89400054 +@0426 81400115 +@0427 20800315 +@0428 11000215 +@0429 8463883A +@042A 9C400515 +@042B 9C400415 +@042C 18800054 +@042D 88800115 +@042E 8A000315 +@042F 8A000215 +@0430 88E3883A +@0431 88C00015 +@0432 9009883A +@0433 000363C0 +@0434 80800204 +@0435 00001B06 +@0436 04400404 +@0437 89402536 +@0438 00036180 +@0439 00800184 +@043A 01000084 +@043B 04C00034 +@043C 1085883A +@043D 9CD71F04 +@043E 1085883A +@043F 9885883A +@0440 14000117 +@0441 10FFFE04 +@0442 80C0D926 +@0443 80C00117 +@0444 81000317 +@0445 00BFFF04 +@0446 1884703A +@0447 81400217 +@0448 8085883A +@0449 10C00117 +@044A 29000315 +@044B 21400215 +@044C 18C00054 +@044D 10C00115 +@044E 9009883A +@044F 000363C0 +@0450 80800204 +@0451 DFC00A17 +@0452 DF000917 +@0453 DDC00817 +@0454 DD800717 +@0455 DD400617 +@0456 DD000517 +@0457 DCC00417 +@0458 DC800317 +@0459 DC400217 +@045A DC000117 +@045B DEC00B04 +@045C F800283A +@045D 00800304 +@045E 90800015 +@045F 0005883A +@0460 003FF006 +@0461 01002004 +@0462 02001004 +@0463 01C00FC4 +@0464 003F6106 +@0465 4009883A +@0466 003F7506 +@0467 81000317 +@0468 003FDE06 +@0469 81C5883A +@046A 11400117 +@046B 9009883A +@046C 29400054 +@046D 11400115 +@046E 000363C0 +@046F 80800204 +@0470 003FE006 +@0471 9C000217 +@0472 00BFFF04 +@0473 85800117 +@0474 B0AC703A +@0475 B4400336 +@0476 B445C83A +@0477 00C003C4 +@0478 18805D16 +@0479 05C00034 +@047A 00800034 +@047B 109CB304 +@047C BDDCA504 +@047D 15400017 +@047E B8C00017 +@047F 00BFFFC4 +@0480 858D883A +@0481 8D6B883A +@0482 1880EA26 +@0483 AD4403C4 +@0484 00BC0004 +@0485 A8AA703A +@0486 A80B883A +@0487 9009883A +@0488 D9800015 +@0489 00020C00 +@048A 1029883A +@048B 00BFFFC4 +@048C D9800017 +@048D A080E826 +@048E A180A636 +@048F 07000034 +@0490 E71CBC04 +@0491 E0800017 +@0492 A887883A +@0493 E0C00015 +@0494 3500E626 +@0495 B9000017 +@0496 00BFFFC4 +@0497 2080EE26 +@0498 A185C83A +@0499 10C5883A +@049A E0800015 +@049B A0C001CC +@049C 1800BC26 +@049D A0E9C83A +@049E 00840204 +@049F A5000204 +@04A0 10C7C83A +@04A1 A545883A +@04A2 1083FFCC +@04A3 18ABC83A +@04A4 A80B883A +@04A5 9009883A +@04A6 00020C00 +@04A7 00FFFFC4 +@04A8 10C0E126 +@04A9 1505C83A +@04AA 1545883A +@04AB 10800054 +@04AC E0C00017 +@04AD 9D000215 +@04AE A0800115 +@04AF A8C7883A +@04B0 E0C00015 +@04B1 84C00E26 +@04B2 018003C4 +@04B3 3580A72E +@04B4 81400117 +@04B5 013FFE04 +@04B6 B0BFFD04 +@04B7 1104703A +@04B8 2900004C +@04B9 2088B03A +@04BA 81000115 +@04BB 01400144 +@04BC 8089883A +@04BD 21400115 +@04BE 21400215 +@04BF 3080CD36 +@04C0 00800034 +@04C1 109CB204 +@04C2 11000017 +@04C3 20C0012E +@04C4 10C00015 +@04C5 00800034 +@04C6 109CB104 +@04C7 11000017 +@04C8 9C000217 +@04C9 20C0012E +@04CA 10C00015 +@04CB 80C00117 +@04CC 00BFFF04 +@04CD 1886703A +@04CE 1C45C83A +@04CF 1C400236 +@04D0 00C003C4 +@04D1 18800416 +@04D2 9009883A +@04D3 000363C0 +@04D4 0005883A +@04D5 003F7B06 +@04D6 88C00054 +@04D7 80C00115 +@04D8 8463883A +@04D9 10800054 +@04DA 9C400215 +@04DB 88800115 +@04DC 9009883A +@04DD 000363C0 +@04DE 80800204 +@04DF 003F7106 +@04E0 00C00504 +@04E1 18804A2E +@04E2 00C01504 +@04E3 18806E36 +@04E4 8804D33A +@04E5 12001BC4 +@04E6 11C01B84 +@04E7 4209883A +@04E8 003EDD06 +@04E9 3804D27A +@04EA 00C00104 +@04EB 1880442E +@04EC 00C00504 +@04ED 18808136 +@04EE 11401704 +@04EF 10C016C4 @04F0 294B883A @04F1 294B883A -@04F2 994B883A -@04F3 28800017 -@04F4 01800034 -@04F5 297FFE04 -@04F6 31971204 -@04F7 28806526 -@04F8 01BFFF04 -@04F9 10C00117 -@04FA 1986703A -@04FB 38C0022E -@04FC 10800217 -@04FD 28BFFB1E -@04FE 11400317 -@04FF 98C00117 -@0500 81400315 -@0501 80800215 -@0502 2C000215 -@0503 14000315 -@0504 003EF806 -@0505 88C00054 -@0506 80C00115 -@0507 8463883A -@0508 34400515 -@0509 34400415 -@050A 10C00054 -@050B 8A000315 -@050C 8A000215 -@050D 88C00115 -@050E 88A3883A -@050F 88800015 -@0510 9009883A -@0511 00036380 -@0512 80800204 -@0513 003F3C06 -@0514 30C00117 -@0515 003EE706 -@0516 5AC00044 -@0517 588000CC -@0518 31800204 -@0519 103EFD1E -@051A 00002406 -@051B 14000317 -@051C 143F251E -@051D 21000084 -@051E 003EBC06 -@051F 8085883A -@0520 10C00117 -@0521 81000317 -@0522 81400217 -@0523 18C00054 -@0524 10C00115 -@0525 29000315 -@0526 21400215 -@0527 9009883A -@0528 00036380 -@0529 80800204 -@052A 003F2506 -@052B 12001704 -@052C 11C016C4 -@052D 4209883A -@052E 003E9606 -@052F 3804D1BA -@0530 11400E44 -@0531 10C00E04 -@0532 294B883A -@0533 003FBC06 -@0534 84FF5926 -@0535 00800034 -@0536 10971204 -@0537 14000217 -@0538 00BFFF04 -@0539 80C00117 -@053A 1886703A -@053B 003F9106 -@053C 60800217 -@053D 213FFFC4 -@053E 1300651E -@053F 208000CC -@0540 633FFE04 -@0541 103FFA1E -@0542 98800117 -@0543 0146303A -@0544 1884703A -@0545 98800115 -@0546 294B883A -@0547 117F2836 -@0548 283F2726 -@0549 2886703A -@054A 5809883A -@054B 183EC31E -@054C 294B883A -@054D 2886703A -@054E 21000104 -@054F 183FFC26 -@0550 003EBE06 -@0551 00C05504 -@0552 18801236 -@0553 8804D3FA -@0554 12001E04 -@0555 11C01DC4 -@0556 4209883A -@0557 003E6D06 -@0558 00C40004 -@0559 003F4606 -@055A 00800044 -@055B A0800115 -@055C 003F7406 -@055D 1805D0BA -@055E 01C00044 -@055F 30C00117 -@0560 388E983A -@0561 2805883A -@0562 38C6B03A -@0563 30C00115 -@0564 003F9B06 -@0565 00C15504 -@0566 18801A36 -@0567 8804D4BA -@0568 12001F44 -@0569 11C01F04 -@056A 4209883A -@056B 003E5906 -@056C AD400404 -@056D 003F1706 -@056E 00C01504 -@056F 18802336 -@0570 3804D33A -@0571 11401BC4 -@0572 10C01B84 -@0573 294B883A -@0574 003F7B06 -@0575 9C000217 -@0576 00BFFF04 -@0577 80C00117 -@0578 1886703A -@0579 003F5306 -@057A 3083FFCC -@057B 103F181E -@057C 99000217 -@057D B545883A -@057E 10800054 -@057F 20800115 -@0580 003F3E06 -@0581 01003F84 -@0582 02001FC4 -@0583 01C01F84 -@0584 003E4006 -@0585 00800034 -@0586 109C9804 -@0587 15000015 -@0588 003F1106 -@0589 00800044 -@058A 002B883A -@058B 003F1F06 -@058C 81400204 -@058D 9009883A -@058E 00029240 -@058F 00800034 -@0590 109CAF04 -@0591 10C00017 -@0592 003F2C06 -@0593 00C05504 -@0594 18800536 -@0595 3804D3FA -@0596 11401E04 -@0597 10C01DC4 -@0598 294B883A -@0599 003F5606 -@059A 00C15504 -@059B 18800536 -@059C 3804D4BA -@059D 11401F44 -@059E 10C01F04 -@059F 294B883A -@05A0 003F4F06 -@05A1 01403F84 -@05A2 00C01F84 -@05A3 003F4C06 -@05A4 98800117 -@05A5 003FA006 -@05A6 8808D0FA -@05A7 20800044 -@05A8 1085883A -@05A9 003E9006 -@05AA 208000CC -@05AB 280F883A -@05AC 10003426 -@05AD 30BFFFC4 -@05AE 30001A26 -@05AF 20C00003 -@05B0 29803FCC -@05B1 30C0051E -@05B2 00001806 -@05B3 10001526 -@05B4 20C00003 -@05B5 10BFFFC4 -@05B6 30C01426 -@05B7 21000044 -@05B8 20C000CC -@05B9 183FF91E -@05BA 020000C4 -@05BB 40801136 -@05BC 10000C26 -@05BD 20C00003 -@05BE 29403FCC -@05BF 28C00B26 -@05C0 20C00044 -@05C1 39803FCC -@05C2 2089883A -@05C3 00000306 -@05C4 18C00044 -@05C5 197FFFC3 -@05C6 31400526 -@05C7 1805883A -@05C8 20FFFB1E -@05C9 0005883A -@05CA F800283A -@05CB 2005883A -@05CC F800283A -@05CD 28C03FCC -@05CE 1812923A -@05CF 02FFBFF4 -@05D0 02A02074 -@05D1 48D2B03A -@05D2 4806943A -@05D3 5AFFBFC4 -@05D4 52A02004 -@05D5 48D2B03A -@05D6 20C00017 -@05D7 48C6F03A -@05D8 1ACD883A -@05D9 00C6303A -@05DA 30C6703A -@05DB 1A86703A -@05DC 183FE01E -@05DD 10BFFF04 -@05DE 21000104 -@05DF 40BFF636 -@05E0 003FDB06 -@05E1 3005883A -@05E2 003FD706 -@05E3 DEFFFD04 -@05E4 DFC00215 -@05E5 DC400115 -@05E6 DC000015 -@05E7 00C003C4 -@05E8 2005883A -@05E9 1980452E -@05EA 2906B03A -@05EB 18C000CC -@05EC 1800441E -@05ED 347FFC04 -@05EE 8822D13A -@05EF 28C00104 -@05F0 23400104 -@05F1 8820913A -@05F2 2B000204 -@05F3 22C00204 -@05F4 84000504 -@05F5 2A800304 -@05F6 22400304 -@05F7 2C21883A -@05F8 2811883A -@05F9 200F883A -@05FA 41000017 -@05FB 1FC00017 -@05FC 63C00017 -@05FD 39000015 -@05FE 53800017 -@05FF 6FC00015 -@0600 5BC00015 -@0601 4B800015 -@0602 18C00404 -@0603 39C00404 -@0604 42000404 -@0605 6B400404 -@0606 63000404 -@0607 5AC00404 -@0608 52800404 -@0609 4A400404 -@060A 1C3FEF1E -@060B 89C00044 -@060C 380E913A -@060D 310003CC -@060E 02C000C4 -@060F 11C7883A -@0610 29CB883A -@0611 5900212E -@0612 1813883A -@0613 2811883A -@0614 200F883A -@0615 42800017 -@0616 4A400104 -@0617 39FFFF04 -@0618 4ABFFF15 -@0619 42000104 -@061A 59FFFA36 -@061B 213FFF04 -@061C 2008D0BA -@061D 318000CC -@061E 21000044 -@061F 2109883A +@04F2 294B883A +@04F3 994B883A +@04F4 28800017 +@04F5 01800034 +@04F6 297FFE04 +@04F7 31971F04 +@04F8 28806526 +@04F9 01BFFF04 +@04FA 10C00117 +@04FB 1986703A +@04FC 38C0022E +@04FD 10800217 +@04FE 28BFFB1E +@04FF 11400317 +@0500 98C00117 +@0501 81400315 +@0502 80800215 +@0503 2C000215 +@0504 14000315 +@0505 003EF806 +@0506 88C00054 +@0507 80C00115 +@0508 8463883A +@0509 34400515 +@050A 34400415 +@050B 10C00054 +@050C 8A000315 +@050D 8A000215 +@050E 88C00115 +@050F 88A3883A +@0510 88800015 +@0511 9009883A +@0512 000363C0 +@0513 80800204 +@0514 003F3C06 +@0515 30C00117 +@0516 003EE706 +@0517 5AC00044 +@0518 588000CC +@0519 31800204 +@051A 103EFD1E +@051B 00002406 +@051C 14000317 +@051D 143F251E +@051E 21000084 +@051F 003EBC06 +@0520 8085883A +@0521 10C00117 +@0522 81000317 +@0523 81400217 +@0524 18C00054 +@0525 10C00115 +@0526 29000315 +@0527 21400215 +@0528 9009883A +@0529 000363C0 +@052A 80800204 +@052B 003F2506 +@052C 12001704 +@052D 11C016C4 +@052E 4209883A +@052F 003E9606 +@0530 3804D1BA +@0531 11400E44 +@0532 10C00E04 +@0533 294B883A +@0534 003FBC06 +@0535 84FF5926 +@0536 00800034 +@0537 10971F04 +@0538 14000217 +@0539 00BFFF04 +@053A 80C00117 +@053B 1886703A +@053C 003F9106 +@053D 60800217 +@053E 213FFFC4 +@053F 1300651E +@0540 208000CC +@0541 633FFE04 +@0542 103FFA1E +@0543 98800117 +@0544 0146303A +@0545 1884703A +@0546 98800115 +@0547 294B883A +@0548 117F2836 +@0549 283F2726 +@054A 2886703A +@054B 5809883A +@054C 183EC31E +@054D 294B883A +@054E 2886703A +@054F 21000104 +@0550 183FFC26 +@0551 003EBE06 +@0552 00C05504 +@0553 18801236 +@0554 8804D3FA +@0555 12001E04 +@0556 11C01DC4 +@0557 4209883A +@0558 003E6D06 +@0559 00C40004 +@055A 003F4606 +@055B 00800044 +@055C A0800115 +@055D 003F7406 +@055E 1805D0BA +@055F 01C00044 +@0560 30C00117 +@0561 388E983A +@0562 2805883A +@0563 38C6B03A +@0564 30C00115 +@0565 003F9B06 +@0566 00C15504 +@0567 18801A36 +@0568 8804D4BA +@0569 12001F44 +@056A 11C01F04 +@056B 4209883A +@056C 003E5906 +@056D AD400404 +@056E 003F1706 +@056F 00C01504 +@0570 18802336 +@0571 3804D33A +@0572 11401BC4 +@0573 10C01B84 +@0574 294B883A +@0575 003F7B06 +@0576 9C000217 +@0577 00BFFF04 +@0578 80C00117 +@0579 1886703A +@057A 003F5306 +@057B 3083FFCC +@057C 103F181E +@057D 99000217 +@057E B545883A +@057F 10800054 +@0580 20800115 +@0581 003F3E06 +@0582 01003F84 +@0583 02001FC4 +@0584 01C01F84 +@0585 003E4006 +@0586 00800034 +@0587 109CA504 +@0588 15000015 +@0589 003F1106 +@058A 00800044 +@058B 002B883A +@058C 003F1F06 +@058D 81400204 +@058E 9009883A +@058F 00029280 +@0590 00800034 +@0591 109CBC04 +@0592 10C00017 +@0593 003F2C06 +@0594 00C05504 +@0595 18800536 +@0596 3804D3FA +@0597 11401E04 +@0598 10C01DC4 +@0599 294B883A +@059A 003F5606 +@059B 00C15504 +@059C 18800536 +@059D 3804D4BA +@059E 11401F44 +@059F 10C01F04 +@05A0 294B883A +@05A1 003F4F06 +@05A2 01403F84 +@05A3 00C01F84 +@05A4 003F4C06 +@05A5 98800117 +@05A6 003FA006 +@05A7 8808D0FA +@05A8 20800044 +@05A9 1085883A +@05AA 003E9006 +@05AB 208000CC +@05AC 280F883A +@05AD 10003426 +@05AE 30BFFFC4 +@05AF 30001A26 +@05B0 20C00003 +@05B1 29803FCC +@05B2 30C0051E +@05B3 00001806 +@05B4 10001526 +@05B5 20C00003 +@05B6 10BFFFC4 +@05B7 30C01426 +@05B8 21000044 +@05B9 20C000CC +@05BA 183FF91E +@05BB 020000C4 +@05BC 40801136 +@05BD 10000C26 +@05BE 20C00003 +@05BF 29403FCC +@05C0 28C00B26 +@05C1 20C00044 +@05C2 39803FCC +@05C3 2089883A +@05C4 00000306 +@05C5 18C00044 +@05C6 197FFFC3 +@05C7 31400526 +@05C8 1805883A +@05C9 20FFFB1E +@05CA 0005883A +@05CB F800283A +@05CC 2005883A +@05CD F800283A +@05CE 28C03FCC +@05CF 1812923A +@05D0 02FFBFF4 +@05D1 02A02074 +@05D2 48D2B03A +@05D3 4806943A +@05D4 5AFFBFC4 +@05D5 52A02004 +@05D6 48D2B03A +@05D7 20C00017 +@05D8 48C6F03A +@05D9 1ACD883A +@05DA 00C6303A +@05DB 30C6703A +@05DC 1A86703A +@05DD 183FE01E +@05DE 10BFFF04 +@05DF 21000104 +@05E0 40BFF636 +@05E1 003FDB06 +@05E2 3005883A +@05E3 003FD706 +@05E4 DEFFFD04 +@05E5 DFC00215 +@05E6 DC400115 +@05E7 DC000015 +@05E8 00C003C4 +@05E9 2005883A +@05EA 1980452E +@05EB 2906B03A +@05EC 18C000CC +@05ED 1800441E +@05EE 347FFC04 +@05EF 8822D13A +@05F0 28C00104 +@05F1 23400104 +@05F2 8820913A +@05F3 2B000204 +@05F4 22C00204 +@05F5 84000504 +@05F6 2A800304 +@05F7 22400304 +@05F8 2C21883A +@05F9 2811883A +@05FA 200F883A +@05FB 41000017 +@05FC 1FC00017 +@05FD 63C00017 +@05FE 39000015 +@05FF 53800017 +@0600 6FC00015 +@0601 5BC00015 +@0602 4B800015 +@0603 18C00404 +@0604 39C00404 +@0605 42000404 +@0606 6B400404 +@0607 63000404 +@0608 5AC00404 +@0609 52800404 +@060A 4A400404 +@060B 1C3FEF1E +@060C 89C00044 +@060D 380E913A +@060E 310003CC +@060F 02C000C4 +@0610 11C7883A +@0611 29CB883A +@0612 5900212E +@0613 1813883A +@0614 2811883A +@0615 200F883A +@0616 42800017 +@0617 4A400104 +@0618 39FFFF04 +@0619 4ABFFF15 +@061A 42000104 +@061B 59FFFA36 +@061C 213FFF04 +@061D 2008D0BA +@061E 318000CC +@061F 21000044 @0620 2109883A -@0621 1907883A -@0622 290B883A -@0623 30000626 -@0624 198D883A -@0625 29C00003 -@0626 18C00044 -@0627 29400044 -@0628 19FFFFC5 -@0629 19BFFB1E -@062A DFC00217 -@062B DC400117 -@062C DC000017 -@062D DEC00304 -@062E F800283A -@062F 2007883A -@0630 003FF206 -@0631 2007883A -@0632 003FF106 -@0633 200D883A -@0634 003FEE06 -@0635 2005883A -@0636 29000B2E -@0637 298F883A -@0638 21C0092E -@0639 2187883A -@063A 198BC83A -@063B 30004826 -@063C 39FFFFC4 -@063D 39000003 -@063E 18FFFFC4 -@063F 19000005 -@0640 28FFFB1E -@0641 F800283A -@0642 00C003C4 -@0643 1980412E -@0644 2886B03A -@0645 18C000CC -@0646 1800401E -@0647 33FFFC04 -@0648 781ED13A -@0649 28C00104 -@064A 13400104 -@064B 781C913A -@064C 2B000204 -@064D 12C00204 -@064E 73800504 -@064F 2A800304 -@0650 12400304 -@0651 2B9D883A -@0652 2811883A -@0653 100F883A -@0654 41000017 -@0655 39C00404 -@0656 18C00404 -@0657 393FFC15 -@0658 193FFC17 -@0659 6B400404 -@065A 5AC00404 -@065B 693FFC15 -@065C 61000017 -@065D 4A400404 -@065E 42000404 -@065F 593FFC15 -@0660 51000017 -@0661 63000404 -@0662 52800404 -@0663 493FFC15 -@0664 1BBFEF1E -@0665 79000044 -@0666 2008913A -@0667 328003CC -@0668 02C000C4 -@0669 1107883A -@066A 290B883A -@066B 5A801E2E -@066C 1813883A -@066D 2811883A -@066E 500F883A -@066F 41000017 -@0670 4A400104 -@0671 39FFFF04 -@0672 493FFF15 -@0673 42000104 -@0674 59FFFA36 -@0675 513FFF04 -@0676 2008D0BA -@0677 318000CC -@0678 21000044 -@0679 2109883A +@0621 2109883A +@0622 1907883A +@0623 290B883A +@0624 30000626 +@0625 198D883A +@0626 29C00003 +@0627 18C00044 +@0628 29400044 +@0629 19FFFFC5 +@062A 19BFFB1E +@062B DFC00217 +@062C DC400117 +@062D DC000017 +@062E DEC00304 +@062F F800283A +@0630 2007883A +@0631 003FF206 +@0632 2007883A +@0633 003FF106 +@0634 200D883A +@0635 003FEE06 +@0636 2005883A +@0637 29000B2E +@0638 298F883A +@0639 21C0092E +@063A 2187883A +@063B 198BC83A +@063C 30004826 +@063D 39FFFFC4 +@063E 39000003 +@063F 18FFFFC4 +@0640 19000005 +@0641 28FFFB1E +@0642 F800283A +@0643 00C003C4 +@0644 1980412E +@0645 2886B03A +@0646 18C000CC +@0647 1800401E +@0648 33FFFC04 +@0649 781ED13A +@064A 28C00104 +@064B 13400104 +@064C 781C913A +@064D 2B000204 +@064E 12C00204 +@064F 73800504 +@0650 2A800304 +@0651 12400304 +@0652 2B9D883A +@0653 2811883A +@0654 100F883A +@0655 41000017 +@0656 39C00404 +@0657 18C00404 +@0658 393FFC15 +@0659 193FFC17 +@065A 6B400404 +@065B 5AC00404 +@065C 693FFC15 +@065D 61000017 +@065E 4A400404 +@065F 42000404 +@0660 593FFC15 +@0661 51000017 +@0662 63000404 +@0663 52800404 +@0664 493FFC15 +@0665 1BBFEF1E +@0666 79000044 +@0667 2008913A +@0668 328003CC +@0669 02C000C4 +@066A 1107883A +@066B 290B883A +@066C 5A801E2E +@066D 1813883A +@066E 2811883A +@066F 500F883A +@0670 41000017 +@0671 4A400104 +@0672 39FFFF04 +@0673 493FFF15 +@0674 42000104 +@0675 59FFFA36 +@0676 513FFF04 +@0677 2008D0BA +@0678 318000CC +@0679 21000044 @067A 2109883A -@067B 1907883A -@067C 290B883A -@067D 30000B26 -@067E 198D883A -@067F 29C00003 -@0680 18C00044 -@0681 29400044 -@0682 19FFFFC5 -@0683 19BFFB1E -@0684 F800283A -@0685 1007883A -@0686 003FF606 -@0687 1007883A -@0688 003FF506 -@0689 F800283A -@068A 500D883A -@068B 003FF106 -@068C 20C000CC -@068D 2005883A -@068E 18004426 -@068F 31FFFFC4 -@0690 30004026 -@0691 2813883A -@0692 200D883A -@0693 2007883A -@0694 00000406 -@0695 3A3FFFC4 -@0696 31800044 -@0697 38003926 -@0698 400F883A -@0699 18C00044 -@069A 32400005 -@069B 1A0000CC -@069C 403FF81E -@069D 010000C4 -@069E 21C02D2E -@069F 29003FCC -@06A0 200C923A -@06A1 3108B03A -@06A2 200C943A -@06A3 218CB03A -@06A4 010003C4 -@06A5 21C0182E -@06A6 3B3FFC04 -@06A7 6018D13A -@06A8 1A000104 -@06A9 1AC00204 -@06AA 6008913A -@06AB 1A800304 -@06AC 1813883A -@06AD 21000504 -@06AE 1909883A -@06AF 49800015 -@06B0 41800015 -@06B1 59800015 -@06B2 51800015 -@06B3 42000404 -@06B4 4A400404 -@06B5 5AC00404 -@06B6 52800404 -@06B7 413FF71E -@06B8 63000044 -@06B9 6018913A -@06BA 39C003CC -@06BB 010000C4 -@06BC 1B07883A -@06BD 21C00E2E -@06BE 1813883A -@06BF 3811883A -@06C0 010000C4 -@06C1 49800015 -@06C2 423FFF04 -@06C3 4A400104 -@06C4 223FFC36 -@06C5 393FFF04 -@06C6 2008D0BA -@06C7 39C000CC -@06C8 21000044 -@06C9 2109883A +@067B 2109883A +@067C 1907883A +@067D 290B883A +@067E 30000B26 +@067F 198D883A +@0680 29C00003 +@0681 18C00044 +@0682 29400044 +@0683 19FFFFC5 +@0684 19BFFB1E +@0685 F800283A +@0686 1007883A +@0687 003FF606 +@0688 1007883A +@0689 003FF506 +@068A F800283A +@068B 500D883A +@068C 003FF106 +@068D 20C000CC +@068E 2005883A +@068F 18004426 +@0690 31FFFFC4 +@0691 30004026 +@0692 2813883A +@0693 200D883A +@0694 2007883A +@0695 00000406 +@0696 3A3FFFC4 +@0697 31800044 +@0698 38003926 +@0699 400F883A +@069A 18C00044 +@069B 32400005 +@069C 1A0000CC +@069D 403FF81E +@069E 010000C4 +@069F 21C02D2E +@06A0 29003FCC +@06A1 200C923A +@06A2 3108B03A +@06A3 200C943A +@06A4 218CB03A +@06A5 010003C4 +@06A6 21C0182E +@06A7 3B3FFC04 +@06A8 6018D13A +@06A9 1A000104 +@06AA 1AC00204 +@06AB 6008913A +@06AC 1A800304 +@06AD 1813883A +@06AE 21000504 +@06AF 1909883A +@06B0 49800015 +@06B1 41800015 +@06B2 59800015 +@06B3 51800015 +@06B4 42000404 +@06B5 4A400404 +@06B6 5AC00404 +@06B7 52800404 +@06B8 413FF71E +@06B9 63000044 +@06BA 6018913A +@06BB 39C003CC +@06BC 010000C4 +@06BD 1B07883A +@06BE 21C00E2E +@06BF 1813883A +@06C0 3811883A +@06C1 010000C4 +@06C2 49800015 +@06C3 423FFF04 +@06C4 4A400104 +@06C5 223FFC36 +@06C6 393FFF04 +@06C7 2008D0BA +@06C8 39C000CC +@06C9 21000044 @06CA 2109883A -@06CB 1907883A -@06CC 38000526 -@06CD 19CF883A -@06CE 19400005 -@06CF 18C00044 -@06D0 38FFFD1E -@06D1 F800283A +@06CB 2109883A +@06CC 1907883A +@06CD 38000526 +@06CE 19CF883A +@06CF 19400005 +@06D0 18C00044 +@06D1 38FFFD1E @06D2 F800283A -@06D3 2007883A -@06D4 300F883A -@06D5 003FC706 -@06D6 DEFFF604 -@06D7 DC800215 -@06D8 DFC00915 -@06D9 DF000815 -@06DA DDC00715 -@06DB DD800615 -@06DC DD400515 -@06DD DD000415 -@06DE DCC00315 -@06DF DC400115 -@06E0 DC000015 -@06E1 3025883A -@06E2 2800B726 -@06E3 282B883A -@06E4 2029883A -@06E5 00036140 -@06E6 A8BFFF17 -@06E7 043FFF04 -@06E8 90C002C4 -@06E9 01000584 -@06EA ACFFFE04 -@06EB 1420703A -@06EC 20C0332E -@06ED 047FFE04 -@06EE 1C62703A -@06EF 8807883A -@06F0 88005816 -@06F1 8C805736 -@06F2 80C0300E -@06F3 07000034 -@06F4 E7171204 -@06F5 E1C00217 -@06F6 9C09883A -@06F7 22000117 -@06F8 21C06326 -@06F9 017FFF84 -@06FA 414A703A -@06FB 214B883A -@06FC 29800117 -@06FD 3180004C -@06FE 30003F26 -@06FF 1080004C -@0700 10008326 -@0701 900B883A -@0702 A009883A -@0703 0000E9C0 -@0704 1025883A -@0705 10011E26 -@0706 A93FFF17 -@0707 10FFFE04 -@0708 00BFFF84 -@0709 2084703A -@070A 9885883A -@070B 1880EE26 -@070C 81BFFF04 -@070D 00800904 -@070E 1180B836 -@070F 00C004C4 -@0710 19809636 -@0711 9005883A -@0712 A807883A -@0713 19000017 -@0714 11000015 -@0715 19000117 -@0716 11000115 -@0717 18C00217 -@0718 10C00215 -@0719 A80B883A -@071A A009883A -@071B 00029240 -@071C A009883A -@071D 00036380 -@071E 9005883A -@071F 00001206 -@0720 00C00404 -@0721 1823883A -@0722 003FCE06 -@0723 A825883A -@0724 8445C83A -@0725 00C003C4 -@0726 18802636 -@0727 99800117 -@0728 9C07883A -@0729 3180004C -@072A 3420B03A -@072B 9C000115 -@072C 18800117 -@072D 10800054 -@072E 18800115 -@072F A009883A -@0730 00036380 -@0731 9005883A -@0732 DFC00917 -@0733 DF000817 -@0734 DDC00717 -@0735 DD800617 -@0736 DD400517 -@0737 DD000417 -@0738 DCC00317 -@0739 DC800217 -@073A DC400117 -@073B DC000017 -@073C DEC00A04 -@073D F800283A -@073E 017FFF04 -@073F 414A703A -@0740 814D883A -@0741 30C01F16 -@0742 20800317 -@0743 20C00217 -@0744 A825883A -@0745 3021883A -@0746 18800315 -@0747 10C00215 -@0748 003FDB06 -@0749 00800304 -@074A A0800015 -@074B 0005883A -@074C 003FE506 -@074D 98C00117 -@074E 9C4B883A -@074F 11000054 -@0750 18C0004C -@0751 1C62B03A -@0752 9C400115 -@0753 29000115 -@0754 2885883A -@0755 10C00117 -@0756 29400204 -@0757 A009883A -@0758 18C00054 -@0759 10C00115 -@075A 00029240 -@075B 003FD306 -@075C 017FFF04 -@075D 414A703A -@075E 89800404 -@075F 8151883A -@0760 4180590E -@0761 1080004C -@0762 103F9E1E -@0763 ADBFFE17 -@0764 00BFFF04 -@0765 9DADC83A -@0766 B1800117 -@0767 3084703A -@0768 20002026 -@0769 80AF883A -@076A B96F883A -@076B 21C05F26 -@076C B8C01C16 -@076D 20800317 -@076E 20C00217 -@076F 81BFFF04 -@0770 01000904 -@0771 18800315 -@0772 10C00215 -@0773 B0C00217 -@0774 B0800317 -@0775 B4800204 -@0776 18800315 -@0777 10C00215 -@0778 21801B36 -@0779 008004C4 -@077A 1180352E -@077B A8800017 -@077C B0800215 -@077D A8800117 -@077E B0800315 -@077F 008006C4 -@0780 11807F36 -@0781 B0800404 -@0782 AD400204 -@0783 00002D06 -@0784 ADBFFE17 -@0785 00BFFF04 -@0786 9DADC83A -@0787 B1000117 -@0788 2084703A -@0789 B03F7726 -@078A 80AF883A -@078B B8FF7516 -@078C B0800317 -@078D B0C00217 -@078E 81BFFF04 -@078F 01000904 -@0790 18800315 -@0791 10C00215 -@0792 B4800204 -@0793 21BFE52E -@0794 A80B883A -@0795 9009883A -@0796 00018D40 -@0797 B821883A -@0798 B027883A -@0799 003F8A06 -@079A 300B883A -@079B DFC00917 -@079C DF000817 -@079D DDC00717 -@079E DD800617 -@079F DD400517 -@07A0 DD000417 -@07A1 DCC00317 -@07A2 DC800217 -@07A3 DC400117 -@07A4 DC000017 -@07A5 DEC00A04 -@07A6 0000E9C1 -@07A7 A8C00017 -@07A8 90C00015 -@07A9 A8C00117 -@07AA 90C00115 -@07AB 00C006C4 -@07AC 19804536 -@07AD 90800204 -@07AE A8C00204 -@07AF 003F6306 -@07B0 9005883A -@07B1 A8C00017 -@07B2 B821883A -@07B3 B027883A -@07B4 10C00015 -@07B5 A8C00117 -@07B6 10C00115 -@07B7 A8C00217 -@07B8 10C00215 -@07B9 003F6A06 -@07BA 9C67883A -@07BB 4445C83A -@07BC E4C00215 -@07BD 10800054 -@07BE 98800115 -@07BF A8BFFF17 -@07C0 A009883A -@07C1 1080004C -@07C2 1462B03A -@07C3 AC7FFF15 -@07C4 00036380 -@07C5 A805883A -@07C6 003F6B06 -@07C7 A80B883A -@07C8 9009883A -@07C9 00018D40 -@07CA 003F4E06 -@07CB 89000404 -@07CC B93FBC16 -@07CD B0800317 -@07CE B0C00217 -@07CF 81BFFF04 -@07D0 01000904 -@07D1 18800315 -@07D2 10C00215 -@07D3 B4800204 -@07D4 21804336 -@07D5 008004C4 -@07D6 11803F2E -@07D7 A8800017 -@07D8 B0800215 -@07D9 A8800117 -@07DA B0800315 -@07DB 008006C4 -@07DC 11803F36 -@07DD B0800404 -@07DE AD400204 -@07DF A8C00017 -@07E0 10C00015 -@07E1 A8C00117 -@07E2 10C00115 -@07E3 A8C00217 -@07E4 10C00215 -@07E5 B447883A -@07E6 BC45C83A -@07E7 E0C00215 -@07E8 10800054 -@07E9 18800115 -@07EA B0800117 -@07EB A009883A -@07EC 1080004C -@07ED 1462B03A -@07EE B4400115 -@07EF 00036380 -@07F0 9005883A -@07F1 003F4006 -@07F2 A8C00217 -@07F3 90C00215 -@07F4 A8C00317 -@07F5 90C00315 -@07F6 30801126 -@07F7 90800404 -@07F8 A8C00404 -@07F9 003F1906 -@07FA 90FFFF17 -@07FB 00BFFF04 -@07FC A825883A -@07FD 1884703A -@07FE 80A1883A -@07FF 003F2406 -@0800 A8800217 -@0801 B0800415 -@0802 A8800317 -@0803 B0800515 -@0804 31000A26 -@0805 B0800604 -@0806 AD400404 -@0807 003FA906 -@0808 A9000417 -@0809 90800604 -@080A A8C00604 -@080B 91000415 -@080C A9000517 -@080D 91000515 -@080E 003F0406 -@080F A8C00417 -@0810 AD400604 -@0811 B0800804 -@0812 B0C00615 -@0813 A8FFFF17 -@0814 B0C00715 -@0815 003F9B06 -@0816 9005883A -@0817 003FC706 -@0818 A80B883A -@0819 9009883A -@081A 00018D40 -@081B 003FC906 -@081C A8800217 -@081D B0800415 -@081E A8800317 -@081F B0800515 -@0820 31000726 -@0821 B0800604 -@0822 AD400404 -@0823 003FBB06 -@0824 A009883A -@0825 00036380 -@0826 0005883A -@0827 003F0A06 -@0828 A8C00417 -@0829 AD400604 -@082A B0800804 -@082B B0C00615 -@082C A8FFFF17 -@082D B0C00715 -@082E 003FB006 -@082F DEFFFD04 -@0830 DC000015 -@0831 04000034 -@0832 DC400115 -@0833 841CA704 -@0834 2023883A -@0835 2809883A -@0836 DFC00215 -@0837 80000015 -@0838 00038200 -@0839 00FFFFC4 -@083A 10C00526 -@083B DFC00217 -@083C DC400117 -@083D DC000017 -@083E DEC00304 -@083F F800283A -@0840 80C00017 -@0841 183FF926 -@0842 88C00015 -@0843 003FF706 -@0844 DEFFFE04 -@0845 DC000015 -@0846 2821883A -@0847 2940038F -@0848 DFC00115 -@0849 0002E500 -@084A 10000716 -@084B 80C01417 -@084C 1887883A -@084D 80C01415 -@084E DFC00117 -@084F DC000017 -@0850 DEC00204 -@0851 F800283A -@0852 80C0030B -@0853 18FBFFCC -@0854 80C0030D -@0855 DFC00117 -@0856 DC000017 -@0857 DEC00204 -@0858 F800283A -@0859 0005883A -@085A F800283A -@085B 2880030B -@085C DEFFFB04 -@085D DCC00315 -@085E DC800215 -@085F DC400115 -@0860 DC000015 -@0861 DFC00415 -@0862 10C0400C -@0863 2821883A -@0864 2023883A -@0865 3025883A -@0866 3827883A -@0867 18000526 -@0868 2940038F -@0869 01C00084 -@086A 000D883A -@086B 0002C340 -@086C 8080030B -@086D 8140038F -@086E 10BBFFCC -@086F 980F883A -@0870 900D883A -@0871 8809883A -@0872 8080030D -@0873 DFC00417 -@0874 DCC00317 -@0875 DC800217 -@0876 DC400117 -@0877 DC000017 -@0878 DEC00504 -@0879 000224C1 -@087A DEFFFE04 -@087B DC000015 -@087C 2821883A -@087D 2940038F -@087E DFC00115 -@087F 0002C340 -@0880 00FFFFC4 -@0881 10C00826 -@0882 80C0030B -@0883 80801415 -@0884 18C40014 -@0885 80C0030D -@0886 DFC00117 -@0887 DC000017 -@0888 DEC00204 -@0889 F800283A -@088A 80C0030B -@088B 18FBFFCC -@088C 80C0030D -@088D DFC00117 -@088E DC000017 -@088F DEC00204 -@0890 F800283A -@0891 2940038F -@0892 00024001 -@0893 DEFFFD04 -@0894 2805883A -@0895 DC000015 -@0896 04000034 -@0897 DC400115 -@0898 300B883A -@0899 841CA704 -@089A 2023883A -@089B 380D883A -@089C 1009883A -@089D DFC00215 -@089E 80000015 -@089F 000390C0 -@08A0 00FFFFC4 -@08A1 10C00526 -@08A2 DFC00217 -@08A3 DC400117 -@08A4 DC000017 -@08A5 DEC00304 -@08A6 F800283A -@08A7 80C00017 -@08A8 183FF926 -@08A9 88C00015 -@08AA 003FF706 -@08AB 00800034 -@08AC DEFFFD04 -@08AD 109C9704 -@08AE DC400115 -@08AF 2023883A -@08B0 11000017 -@08B1 DC000015 -@08B2 DFC00215 -@08B3 2821883A -@08B4 20000226 -@08B5 20800E17 -@08B6 10003126 -@08B7 8080030B -@08B8 10C0020C -@08B9 1009883A -@08BA 18000F26 -@08BB 80C00417 -@08BC 18001526 -@08BD 1100004C -@08BE 20001C1E -@08BF 1080008C -@08C0 1000291E -@08C1 80800517 -@08C2 80800215 -@08C3 18001C26 -@08C4 0005883A -@08C5 DFC00217 -@08C6 DC400117 -@08C7 DC000017 -@08C8 DEC00304 -@08C9 F800283A -@08CA 2080040C -@08CB 10002E26 -@08CC 2080010C -@08CD 10001E1E -@08CE 80C00417 -@08CF 20800214 -@08D0 8080030D -@08D1 183FEB1E -@08D2 1100A00C -@08D3 01408004 -@08D4 217FE826 -@08D5 800B883A -@08D6 8809883A -@08D7 0002C940 -@08D8 8080030B -@08D9 80C00417 -@08DA 003FE206 -@08DB 80800517 -@08DC 80000215 -@08DD 0085C83A -@08DE 80800615 -@08DF 183FE41E -@08E0 80C0030B -@08E1 0005883A -@08E2 1900200C -@08E3 203FE126 -@08E4 18C01014 -@08E5 80C0030D -@08E6 00BFFFC4 -@08E7 003FDD06 -@08E8 00007FC0 -@08E9 003FCD06 -@08EA 0005883A -@08EB 003FD606 -@08EC 81400C17 -@08ED 28000626 -@08EE 80801004 -@08EF 28800326 -@08F0 8809883A -@08F1 00029240 -@08F2 8100030B -@08F3 80000C15 -@08F4 80C00417 -@08F5 00BFF6C4 -@08F6 1108703A -@08F7 80000115 -@08F8 80C00015 -@08F9 003FD506 -@08FA 00800244 -@08FB 88800015 -@08FC 20801014 -@08FD 8080030D -@08FE 00BFFFC4 -@08FF 003FC506 -@0900 DEFFFD04 -@0901 DC000015 -@0902 04000034 -@0903 DC400115 -@0904 841CA704 -@0905 2023883A -@0906 2809883A -@0907 DFC00215 -@0908 80000015 -@0909 00031780 -@090A 00FFFFC4 -@090B 10C00526 -@090C DFC00217 -@090D DC400117 -@090E DC000017 -@090F DEC00304 -@0910 F800283A -@0911 80C00017 -@0912 183FF926 -@0913 88C00015 -@0914 003FF706 -@0915 28003926 -@0916 DEFFFC04 -@0917 DC400115 -@0918 DC000015 -@0919 DFC00315 -@091A DC800215 -@091B 2023883A -@091C 2821883A -@091D 20000226 -@091E 20800E17 -@091F 10002726 -@0920 8080030F -@0921 1000071E -@0922 0005883A -@0923 DFC00317 -@0924 DC800217 -@0925 DC400117 -@0926 DC000017 -@0927 DEC00404 -@0928 F800283A -@0929 800B883A -@092A 8809883A -@092B 00025580 -@092C 1025883A -@092D 80800B17 -@092E 10000426 -@092F 81400717 -@0930 8809883A -@0931 103EE83A -@0932 10001616 -@0933 8080030B -@0934 1080200C -@0935 1000151E -@0936 81400C17 -@0937 28000526 -@0938 80801004 -@0939 28800226 -@093A 8809883A -@093B 00029240 -@093C 80000C15 -@093D 81401117 -@093E 28000326 -@093F 8809883A -@0940 00029240 -@0941 80001115 -@0942 000080C0 -@0943 8000030D -@0944 00008100 -@0945 9005883A -@0946 003FDC06 -@0947 00007FC0 -@0948 003FD706 -@0949 04BFFFC4 -@094A 003FE806 -@094B 81400417 -@094C 8809883A -@094D 00029240 -@094E 003FE706 -@094F 0005883A -@0950 F800283A -@0951 00800034 -@0952 109C9704 -@0953 200B883A -@0954 11000017 -@0955 00024541 -@0956 2880030B -@0957 DEFFFB04 -@0958 DCC00315 -@0959 DC400115 -@095A DFC00415 -@095B DC800215 -@095C DC000015 -@095D 10C0020C -@095E 2823883A -@095F 2027883A -@0960 1800311E -@0961 28C00117 -@0962 10820014 -@0963 2880030D -@0964 00C04B0E -@0965 8A000A17 -@0966 40002326 -@0967 9C000017 -@0968 10C4000C -@0969 98000015 -@096A 18004826 -@096B 89801417 -@096C 10C0010C -@096D 18000626 -@096E 88C00117 -@096F 88800C17 -@0970 30CDC83A -@0971 10000226 -@0972 88800F17 -@0973 308DC83A -@0974 89400717 -@0975 000F883A -@0976 9809883A -@0977 403EE83A -@0978 00FFFFC4 -@0979 10C04426 -@097A 88C0030B -@097B 89000417 -@097C 88000115 -@097D 197DFFCC -@097E 8940030D -@097F 89000015 -@0980 18C4000C -@0981 18002C1E -@0982 89400C17 -@0983 9C000015 -@0984 28000526 -@0985 88801004 -@0986 28800226 -@0987 9809883A -@0988 00029240 -@0989 88000C15 -@098A 0005883A -@098B DFC00417 -@098C DCC00317 -@098D DC800217 -@098E DC400117 -@098F DC000017 -@0990 DEC00504 -@0991 F800283A -@0992 2C800417 -@0993 903FF626 -@0994 2C000017 -@0995 108000CC -@0996 2C800015 -@0997 84A1C83A -@0998 1000131E -@0999 28800517 -@099A 88800215 -@099B 04000316 -@099C 003FED06 -@099D 90A5883A -@099E 043FEB0E -@099F 88800917 -@09A0 89400717 -@09A1 800F883A -@09A2 900D883A -@09A3 9809883A -@09A4 103EE83A -@09A5 80A1C83A -@09A6 00BFF616 -@09A7 88C0030B -@09A8 00BFFFC4 -@09A9 18C01014 -@09AA 88C0030D -@09AB 003FDF06 -@09AC 0005883A -@09AD 003FEC06 -@09AE 88801415 -@09AF 003FD206 -@09B0 28C00F17 -@09B1 00FFB316 -@09B2 003FD706 -@09B3 89400717 -@09B4 000D883A -@09B5 01C00044 -@09B6 9809883A -@09B7 403EE83A -@09B8 100D883A -@09B9 00BFFFC4 -@09BA 30801426 -@09BB 8880030B -@09BC 8A000A17 -@09BD 003FAE06 -@09BE 98C00017 -@09BF 183FBA26 -@09C0 01000744 -@09C1 19000626 -@09C2 01000584 -@09C3 19000426 -@09C4 88C0030B -@09C5 18C01014 -@09C6 88C0030D -@09C7 003FC306 -@09C8 8880030B -@09C9 88C00417 -@09CA 88000115 -@09CB 10BDFFCC -@09CC 8880030D -@09CD 88C00015 -@09CE 003FB306 -@09CF 98800017 -@09D0 103FEA26 -@09D1 00C00744 -@09D2 10C00226 -@09D3 00C00584 -@09D4 10C0031E -@09D5 9C000015 -@09D6 0005883A -@09D7 003FB306 -@09D8 88C0030B -@09D9 3005883A -@09DA 18C01014 -@09DB 88C0030D -@09DC 003FAE06 -@09DD DEFFFD04 -@09DE DC000115 -@09DF DFC00215 -@09E0 2021883A -@09E1 20000226 -@09E2 20800E17 -@09E3 10000C26 -@09E4 2880030F -@09E5 1000051E -@09E6 0005883A -@09E7 DFC00217 -@09E8 DC000117 -@09E9 DEC00304 -@09EA F800283A -@09EB 8009883A -@09EC DFC00217 -@09ED DC000117 -@09EE DEC00304 -@09EF 00025581 -@09F0 D9400015 -@09F1 00007FC0 -@09F2 D9400017 -@09F3 003FF006 -@09F4 20000526 -@09F5 00800034 -@09F6 109C9704 -@09F7 200B883A -@09F8 11000017 -@09F9 00027741 -@09FA 00800034 -@09FB 109C9604 -@09FC 11000017 -@09FD 01400034 -@09FE 2949DD04 -@09FF 0000DD81 -@0A00 DEFFFB04 -@0A01 DCC00315 -@0A02 04C00034 -@0A03 DC800215 -@0A04 DC400115 -@0A05 DC000015 -@0A06 DFC00415 -@0A07 2821883A -@0A08 9CD71204 -@0A09 2025883A -@0A0A 00036140 -@0A0B 98800217 -@0A0C 14400117 -@0A0D 00BFFF04 -@0A0E 88A2703A -@0A0F 8C21C83A -@0A10 8403FBC4 -@0A11 8020D33A -@0A12 0083FFC4 -@0A13 843FFFC4 -@0A14 8020933A -@0A15 1400060E -@0A16 000B883A -@0A17 9009883A -@0A18 00020BC0 -@0A19 98C00217 -@0A1A 1C47883A -@0A1B 10C00A26 -@0A1C 9009883A -@0A1D 00036380 -@0A1E 0005883A -@0A1F DFC00417 -@0A20 DCC00317 -@0A21 DC800217 -@0A22 DC400117 -@0A23 DC000017 -@0A24 DEC00504 -@0A25 F800283A -@0A26 040BC83A -@0A27 9009883A -@0A28 00020BC0 -@0A29 00FFFFC4 -@0A2A 10C00D26 -@0A2B 00C00034 -@0A2C 18DCAF04 -@0A2D 18800017 -@0A2E 99000217 -@0A2F 8C23C83A -@0A30 8C400054 -@0A31 1421C83A -@0A32 24400115 -@0A33 9009883A -@0A34 1C000015 -@0A35 00036380 -@0A36 00800044 -@0A37 003FE706 -@0A38 000B883A -@0A39 9009883A -@0A3A 00020BC0 -@0A3B 99000217 -@0A3C 014003C4 -@0A3D 1107C83A -@0A3E 28FFDD0E -@0A3F 01400034 -@0A40 295C9804 -@0A41 29400017 -@0A42 18C00054 -@0A43 20C00115 -@0A44 00C00034 -@0A45 1145C83A -@0A46 18DCAF04 -@0A47 18800015 -@0A48 003FD306 -@0A49 28004126 -@0A4A DEFFFD04 -@0A4B DC400115 -@0A4C DC000015 -@0A4D 2023883A -@0A4E 2821883A -@0A4F DFC00215 -@0A50 00036140 -@0A51 81FFFF17 -@0A52 00BFFF84 -@0A53 01000034 -@0A54 81BFFE04 -@0A55 3884703A -@0A56 21171204 -@0A57 308B883A -@0A58 2A400117 -@0A59 22000217 -@0A5A 00FFFF04 -@0A5B 48C6703A -@0A5C 2A005726 -@0A5D 28C00115 -@0A5E 39C0004C -@0A5F 3800091E -@0A60 823FFE17 -@0A61 22400204 -@0A62 320DC83A -@0A63 31C00217 -@0A64 1205883A -@0A65 3A406526 -@0A66 32000317 -@0A67 3A000315 -@0A68 41C00215 -@0A69 28CF883A -@0A6A 39C00117 -@0A6B 39C0004C -@0A6C 38003A26 -@0A6D 10C00054 -@0A6E 30C00115 -@0A6F 3087883A -@0A70 18800015 -@0A71 00C07FC4 -@0A72 18801936 -@0A73 1004D0FA -@0A74 01C00044 -@0A75 21400117 -@0A76 10C00044 -@0A77 18C7883A -@0A78 1005D0BA -@0A79 18C7883A +@06D3 F800283A +@06D4 2007883A +@06D5 300F883A +@06D6 003FC706 +@06D7 DEFFF604 +@06D8 DC800215 +@06D9 DFC00915 +@06DA DF000815 +@06DB DDC00715 +@06DC DD800615 +@06DD DD400515 +@06DE DD000415 +@06DF DCC00315 +@06E0 DC400115 +@06E1 DC000015 +@06E2 3025883A +@06E3 2800B726 +@06E4 282B883A +@06E5 2029883A +@06E6 00036180 +@06E7 A8BFFF17 +@06E8 043FFF04 +@06E9 90C002C4 +@06EA 01000584 +@06EB ACFFFE04 +@06EC 1420703A +@06ED 20C0332E +@06EE 047FFE04 +@06EF 1C62703A +@06F0 8807883A +@06F1 88005816 +@06F2 8C805736 +@06F3 80C0300E +@06F4 07000034 +@06F5 E7171F04 +@06F6 E1C00217 +@06F7 9C09883A +@06F8 22000117 +@06F9 21C06326 +@06FA 017FFF84 +@06FB 414A703A +@06FC 214B883A +@06FD 29800117 +@06FE 3180004C +@06FF 30003F26 +@0700 1080004C +@0701 10008326 +@0702 900B883A +@0703 A009883A +@0704 0000EA00 +@0705 1025883A +@0706 10011E26 +@0707 A93FFF17 +@0708 10FFFE04 +@0709 00BFFF84 +@070A 2084703A +@070B 9885883A +@070C 1880EE26 +@070D 81BFFF04 +@070E 00800904 +@070F 1180B836 +@0710 00C004C4 +@0711 19809636 +@0712 9005883A +@0713 A807883A +@0714 19000017 +@0715 11000015 +@0716 19000117 +@0717 11000115 +@0718 18C00217 +@0719 10C00215 +@071A A80B883A +@071B A009883A +@071C 00029280 +@071D A009883A +@071E 000363C0 +@071F 9005883A +@0720 00001206 +@0721 00C00404 +@0722 1823883A +@0723 003FCE06 +@0724 A825883A +@0725 8445C83A +@0726 00C003C4 +@0727 18802636 +@0728 99800117 +@0729 9C07883A +@072A 3180004C +@072B 3420B03A +@072C 9C000115 +@072D 18800117 +@072E 10800054 +@072F 18800115 +@0730 A009883A +@0731 000363C0 +@0732 9005883A +@0733 DFC00917 +@0734 DF000817 +@0735 DDC00717 +@0736 DD800617 +@0737 DD400517 +@0738 DD000417 +@0739 DCC00317 +@073A DC800217 +@073B DC400117 +@073C DC000017 +@073D DEC00A04 +@073E F800283A +@073F 017FFF04 +@0740 414A703A +@0741 814D883A +@0742 30C01F16 +@0743 20800317 +@0744 20C00217 +@0745 A825883A +@0746 3021883A +@0747 18800315 +@0748 10C00215 +@0749 003FDB06 +@074A 00800304 +@074B A0800015 +@074C 0005883A +@074D 003FE506 +@074E 98C00117 +@074F 9C4B883A +@0750 11000054 +@0751 18C0004C +@0752 1C62B03A +@0753 9C400115 +@0754 29000115 +@0755 2885883A +@0756 10C00117 +@0757 29400204 +@0758 A009883A +@0759 18C00054 +@075A 10C00115 +@075B 00029280 +@075C 003FD306 +@075D 017FFF04 +@075E 414A703A +@075F 89800404 +@0760 8151883A +@0761 4180590E +@0762 1080004C +@0763 103F9E1E +@0764 ADBFFE17 +@0765 00BFFF04 +@0766 9DADC83A +@0767 B1800117 +@0768 3084703A +@0769 20002026 +@076A 80AF883A +@076B B96F883A +@076C 21C05F26 +@076D B8C01C16 +@076E 20800317 +@076F 20C00217 +@0770 81BFFF04 +@0771 01000904 +@0772 18800315 +@0773 10C00215 +@0774 B0C00217 +@0775 B0800317 +@0776 B4800204 +@0777 18800315 +@0778 10C00215 +@0779 21801B36 +@077A 008004C4 +@077B 1180352E +@077C A8800017 +@077D B0800215 +@077E A8800117 +@077F B0800315 +@0780 008006C4 +@0781 11807F36 +@0782 B0800404 +@0783 AD400204 +@0784 00002D06 +@0785 ADBFFE17 +@0786 00BFFF04 +@0787 9DADC83A +@0788 B1000117 +@0789 2084703A +@078A B03F7726 +@078B 80AF883A +@078C B8FF7516 +@078D B0800317 +@078E B0C00217 +@078F 81BFFF04 +@0790 01000904 +@0791 18800315 +@0792 10C00215 +@0793 B4800204 +@0794 21BFE52E +@0795 A80B883A +@0796 9009883A +@0797 00018D80 +@0798 B821883A +@0799 B027883A +@079A 003F8A06 +@079B 300B883A +@079C DFC00917 +@079D DF000817 +@079E DDC00717 +@079F DD800617 +@07A0 DD400517 +@07A1 DD000417 +@07A2 DCC00317 +@07A3 DC800217 +@07A4 DC400117 +@07A5 DC000017 +@07A6 DEC00A04 +@07A7 0000EA01 +@07A8 A8C00017 +@07A9 90C00015 +@07AA A8C00117 +@07AB 90C00115 +@07AC 00C006C4 +@07AD 19804536 +@07AE 90800204 +@07AF A8C00204 +@07B0 003F6306 +@07B1 9005883A +@07B2 A8C00017 +@07B3 B821883A +@07B4 B027883A +@07B5 10C00015 +@07B6 A8C00117 +@07B7 10C00115 +@07B8 A8C00217 +@07B9 10C00215 +@07BA 003F6A06 +@07BB 9C67883A +@07BC 4445C83A +@07BD E4C00215 +@07BE 10800054 +@07BF 98800115 +@07C0 A8BFFF17 +@07C1 A009883A +@07C2 1080004C +@07C3 1462B03A +@07C4 AC7FFF15 +@07C5 000363C0 +@07C6 A805883A +@07C7 003F6B06 +@07C8 A80B883A +@07C9 9009883A +@07CA 00018D80 +@07CB 003F4E06 +@07CC 89000404 +@07CD B93FBC16 +@07CE B0800317 +@07CF B0C00217 +@07D0 81BFFF04 +@07D1 01000904 +@07D2 18800315 +@07D3 10C00215 +@07D4 B4800204 +@07D5 21804336 +@07D6 008004C4 +@07D7 11803F2E +@07D8 A8800017 +@07D9 B0800215 +@07DA A8800117 +@07DB B0800315 +@07DC 008006C4 +@07DD 11803F36 +@07DE B0800404 +@07DF AD400204 +@07E0 A8C00017 +@07E1 10C00015 +@07E2 A8C00117 +@07E3 10C00115 +@07E4 A8C00217 +@07E5 10C00215 +@07E6 B447883A +@07E7 BC45C83A +@07E8 E0C00215 +@07E9 10800054 +@07EA 18800115 +@07EB B0800117 +@07EC A009883A +@07ED 1080004C +@07EE 1462B03A +@07EF B4400115 +@07F0 000363C0 +@07F1 9005883A +@07F2 003F4006 +@07F3 A8C00217 +@07F4 90C00215 +@07F5 A8C00317 +@07F6 90C00315 +@07F7 30801126 +@07F8 90800404 +@07F9 A8C00404 +@07FA 003F1906 +@07FB 90FFFF17 +@07FC 00BFFF04 +@07FD A825883A +@07FE 1884703A +@07FF 80A1883A +@0800 003F2406 +@0801 A8800217 +@0802 B0800415 +@0803 A8800317 +@0804 B0800515 +@0805 31000A26 +@0806 B0800604 +@0807 AD400404 +@0808 003FA906 +@0809 A9000417 +@080A 90800604 +@080B A8C00604 +@080C 91000415 +@080D A9000517 +@080E 91000515 +@080F 003F0406 +@0810 A8C00417 +@0811 AD400604 +@0812 B0800804 +@0813 B0C00615 +@0814 A8FFFF17 +@0815 B0C00715 +@0816 003F9B06 +@0817 9005883A +@0818 003FC706 +@0819 A80B883A +@081A 9009883A +@081B 00018D80 +@081C 003FC906 +@081D A8800217 +@081E B0800415 +@081F A8800317 +@0820 B0800515 +@0821 31000726 +@0822 B0800604 +@0823 AD400404 +@0824 003FBB06 +@0825 A009883A +@0826 000363C0 +@0827 0005883A +@0828 003F0A06 +@0829 A8C00417 +@082A AD400604 +@082B B0800804 +@082C B0C00615 +@082D A8FFFF17 +@082E B0C00715 +@082F 003FB006 +@0830 DEFFFD04 +@0831 DC000015 +@0832 04000034 +@0833 DC400115 +@0834 841CB404 +@0835 2023883A +@0836 2809883A +@0837 DFC00215 +@0838 80000015 +@0839 00038240 +@083A 00FFFFC4 +@083B 10C00526 +@083C DFC00217 +@083D DC400117 +@083E DC000017 +@083F DEC00304 +@0840 F800283A +@0841 80C00017 +@0842 183FF926 +@0843 88C00015 +@0844 003FF706 +@0845 DEFFFE04 +@0846 DC000015 +@0847 2821883A +@0848 2940038F +@0849 DFC00115 +@084A 0002E540 +@084B 10000716 +@084C 80C01417 +@084D 1887883A +@084E 80C01415 +@084F DFC00117 +@0850 DC000017 +@0851 DEC00204 +@0852 F800283A +@0853 80C0030B +@0854 18FBFFCC +@0855 80C0030D +@0856 DFC00117 +@0857 DC000017 +@0858 DEC00204 +@0859 F800283A +@085A 0005883A +@085B F800283A +@085C 2880030B +@085D DEFFFB04 +@085E DCC00315 +@085F DC800215 +@0860 DC400115 +@0861 DC000015 +@0862 DFC00415 +@0863 10C0400C +@0864 2821883A +@0865 2023883A +@0866 3025883A +@0867 3827883A +@0868 18000526 +@0869 2940038F +@086A 01C00084 +@086B 000D883A +@086C 0002C380 +@086D 8080030B +@086E 8140038F +@086F 10BBFFCC +@0870 980F883A +@0871 900D883A +@0872 8809883A +@0873 8080030D +@0874 DFC00417 +@0875 DCC00317 +@0876 DC800217 +@0877 DC400117 +@0878 DC000017 +@0879 DEC00504 +@087A 00022501 +@087B DEFFFE04 +@087C DC000015 +@087D 2821883A +@087E 2940038F +@087F DFC00115 +@0880 0002C380 +@0881 00FFFFC4 +@0882 10C00826 +@0883 80C0030B +@0884 80801415 +@0885 18C40014 +@0886 80C0030D +@0887 DFC00117 +@0888 DC000017 +@0889 DEC00204 +@088A F800283A +@088B 80C0030B +@088C 18FBFFCC +@088D 80C0030D +@088E DFC00117 +@088F DC000017 +@0890 DEC00204 +@0891 F800283A +@0892 2940038F +@0893 00024041 +@0894 DEFFFD04 +@0895 2805883A +@0896 DC000015 +@0897 04000034 +@0898 DC400115 +@0899 300B883A +@089A 841CB404 +@089B 2023883A +@089C 380D883A +@089D 1009883A +@089E DFC00215 +@089F 80000015 +@08A0 00039100 +@08A1 00FFFFC4 +@08A2 10C00526 +@08A3 DFC00217 +@08A4 DC400117 +@08A5 DC000017 +@08A6 DEC00304 +@08A7 F800283A +@08A8 80C00017 +@08A9 183FF926 +@08AA 88C00015 +@08AB 003FF706 +@08AC 00800034 +@08AD DEFFFD04 +@08AE 109CA404 +@08AF DC400115 +@08B0 2023883A +@08B1 11000017 +@08B2 DC000015 +@08B3 DFC00215 +@08B4 2821883A +@08B5 20000226 +@08B6 20800E17 +@08B7 10003126 +@08B8 8080030B +@08B9 10C0020C +@08BA 1009883A +@08BB 18000F26 +@08BC 80C00417 +@08BD 18001526 +@08BE 1100004C +@08BF 20001C1E +@08C0 1080008C +@08C1 1000291E +@08C2 80800517 +@08C3 80800215 +@08C4 18001C26 +@08C5 0005883A +@08C6 DFC00217 +@08C7 DC400117 +@08C8 DC000017 +@08C9 DEC00304 +@08CA F800283A +@08CB 2080040C +@08CC 10002E26 +@08CD 2080010C +@08CE 10001E1E +@08CF 80C00417 +@08D0 20800214 +@08D1 8080030D +@08D2 183FEB1E +@08D3 1100A00C +@08D4 01408004 +@08D5 217FE826 +@08D6 800B883A +@08D7 8809883A +@08D8 0002C980 +@08D9 8080030B +@08DA 80C00417 +@08DB 003FE206 +@08DC 80800517 +@08DD 80000215 +@08DE 0085C83A +@08DF 80800615 +@08E0 183FE41E +@08E1 80C0030B +@08E2 0005883A +@08E3 1900200C +@08E4 203FE126 +@08E5 18C01014 +@08E6 80C0030D +@08E7 00BFFFC4 +@08E8 003FDD06 +@08E9 00008000 +@08EA 003FCD06 +@08EB 0005883A +@08EC 003FD606 +@08ED 81400C17 +@08EE 28000626 +@08EF 80801004 +@08F0 28800326 +@08F1 8809883A +@08F2 00029280 +@08F3 8100030B +@08F4 80000C15 +@08F5 80C00417 +@08F6 00BFF6C4 +@08F7 1108703A +@08F8 80000115 +@08F9 80C00015 +@08FA 003FD506 +@08FB 00800244 +@08FC 88800015 +@08FD 20801014 +@08FE 8080030D +@08FF 00BFFFC4 +@0900 003FC506 +@0901 DEFFFD04 +@0902 DC000015 +@0903 04000034 +@0904 DC400115 +@0905 841CB404 +@0906 2023883A +@0907 2809883A +@0908 DFC00215 +@0909 80000015 +@090A 000317C0 +@090B 00FFFFC4 +@090C 10C00526 +@090D DFC00217 +@090E DC400117 +@090F DC000017 +@0910 DEC00304 +@0911 F800283A +@0912 80C00017 +@0913 183FF926 +@0914 88C00015 +@0915 003FF706 +@0916 28003926 +@0917 DEFFFC04 +@0918 DC400115 +@0919 DC000015 +@091A DFC00315 +@091B DC800215 +@091C 2023883A +@091D 2821883A +@091E 20000226 +@091F 20800E17 +@0920 10002726 +@0921 8080030F +@0922 1000071E +@0923 0005883A +@0924 DFC00317 +@0925 DC800217 +@0926 DC400117 +@0927 DC000017 +@0928 DEC00404 +@0929 F800283A +@092A 800B883A +@092B 8809883A +@092C 000255C0 +@092D 1025883A +@092E 80800B17 +@092F 10000426 +@0930 81400717 +@0931 8809883A +@0932 103EE83A +@0933 10001616 +@0934 8080030B +@0935 1080200C +@0936 1000151E +@0937 81400C17 +@0938 28000526 +@0939 80801004 +@093A 28800226 +@093B 8809883A +@093C 00029280 +@093D 80000C15 +@093E 81401117 +@093F 28000326 +@0940 8809883A +@0941 00029280 +@0942 80001115 +@0943 00008100 +@0944 8000030D +@0945 00008140 +@0946 9005883A +@0947 003FDC06 +@0948 00008000 +@0949 003FD706 +@094A 04BFFFC4 +@094B 003FE806 +@094C 81400417 +@094D 8809883A +@094E 00029280 +@094F 003FE706 +@0950 0005883A +@0951 F800283A +@0952 00800034 +@0953 109CA404 +@0954 200B883A +@0955 11000017 +@0956 00024581 +@0957 2880030B +@0958 DEFFFB04 +@0959 DCC00315 +@095A DC400115 +@095B DFC00415 +@095C DC800215 +@095D DC000015 +@095E 10C0020C +@095F 2823883A +@0960 2027883A +@0961 1800311E +@0962 28C00117 +@0963 10820014 +@0964 2880030D +@0965 00C04B0E +@0966 8A000A17 +@0967 40002326 +@0968 9C000017 +@0969 10C4000C +@096A 98000015 +@096B 18004826 +@096C 89801417 +@096D 10C0010C +@096E 18000626 +@096F 88C00117 +@0970 88800C17 +@0971 30CDC83A +@0972 10000226 +@0973 88800F17 +@0974 308DC83A +@0975 89400717 +@0976 000F883A +@0977 9809883A +@0978 403EE83A +@0979 00FFFFC4 +@097A 10C04426 +@097B 88C0030B +@097C 89000417 +@097D 88000115 +@097E 197DFFCC +@097F 8940030D +@0980 89000015 +@0981 18C4000C +@0982 18002C1E +@0983 89400C17 +@0984 9C000015 +@0985 28000526 +@0986 88801004 +@0987 28800226 +@0988 9809883A +@0989 00029280 +@098A 88000C15 +@098B 0005883A +@098C DFC00417 +@098D DCC00317 +@098E DC800217 +@098F DC400117 +@0990 DC000017 +@0991 DEC00504 +@0992 F800283A +@0993 2C800417 +@0994 903FF626 +@0995 2C000017 +@0996 108000CC +@0997 2C800015 +@0998 84A1C83A +@0999 1000131E +@099A 28800517 +@099B 88800215 +@099C 04000316 +@099D 003FED06 +@099E 90A5883A +@099F 043FEB0E +@09A0 88800917 +@09A1 89400717 +@09A2 800F883A +@09A3 900D883A +@09A4 9809883A +@09A5 103EE83A +@09A6 80A1C83A +@09A7 00BFF616 +@09A8 88C0030B +@09A9 00BFFFC4 +@09AA 18C01014 +@09AB 88C0030D +@09AC 003FDF06 +@09AD 0005883A +@09AE 003FEC06 +@09AF 88801415 +@09B0 003FD206 +@09B1 28C00F17 +@09B2 00FFB316 +@09B3 003FD706 +@09B4 89400717 +@09B5 000D883A +@09B6 01C00044 +@09B7 9809883A +@09B8 403EE83A +@09B9 100D883A +@09BA 00BFFFC4 +@09BB 30801426 +@09BC 8880030B +@09BD 8A000A17 +@09BE 003FAE06 +@09BF 98C00017 +@09C0 183FBA26 +@09C1 01000744 +@09C2 19000626 +@09C3 01000584 +@09C4 19000426 +@09C5 88C0030B +@09C6 18C01014 +@09C7 88C0030D +@09C8 003FC306 +@09C9 8880030B +@09CA 88C00417 +@09CB 88000115 +@09CC 10BDFFCC +@09CD 8880030D +@09CE 88C00015 +@09CF 003FB306 +@09D0 98800017 +@09D1 103FEA26 +@09D2 00C00744 +@09D3 10C00226 +@09D4 00C00584 +@09D5 10C0031E +@09D6 9C000015 +@09D7 0005883A +@09D8 003FB306 +@09D9 88C0030B +@09DA 3005883A +@09DB 18C01014 +@09DC 88C0030D +@09DD 003FAE06 +@09DE DEFFFD04 +@09DF DC000115 +@09E0 DFC00215 +@09E1 2021883A +@09E2 20000226 +@09E3 20800E17 +@09E4 10000C26 +@09E5 2880030F +@09E6 1000051E +@09E7 0005883A +@09E8 DFC00217 +@09E9 DC000117 +@09EA DEC00304 +@09EB F800283A +@09EC 8009883A +@09ED DFC00217 +@09EE DC000117 +@09EF DEC00304 +@09F0 000255C1 +@09F1 D9400015 +@09F2 00008000 +@09F3 D9400017 +@09F4 003FF006 +@09F5 20000526 +@09F6 00800034 +@09F7 109CA404 +@09F8 200B883A +@09F9 11000017 +@09FA 00027781 +@09FB 00800034 +@09FC 109CA304 +@09FD 11000017 +@09FE 01400034 +@09FF 2949DE04 +@0A00 0000DDC1 +@0A01 DEFFFB04 +@0A02 DCC00315 +@0A03 04C00034 +@0A04 DC800215 +@0A05 DC400115 +@0A06 DC000015 +@0A07 DFC00415 +@0A08 2821883A +@0A09 9CD71F04 +@0A0A 2025883A +@0A0B 00036180 +@0A0C 98800217 +@0A0D 14400117 +@0A0E 00BFFF04 +@0A0F 88A2703A +@0A10 8C21C83A +@0A11 8403FBC4 +@0A12 8020D33A +@0A13 0083FFC4 +@0A14 843FFFC4 +@0A15 8020933A +@0A16 1400060E +@0A17 000B883A +@0A18 9009883A +@0A19 00020C00 +@0A1A 98C00217 +@0A1B 1C47883A +@0A1C 10C00A26 +@0A1D 9009883A +@0A1E 000363C0 +@0A1F 0005883A +@0A20 DFC00417 +@0A21 DCC00317 +@0A22 DC800217 +@0A23 DC400117 +@0A24 DC000017 +@0A25 DEC00504 +@0A26 F800283A +@0A27 040BC83A +@0A28 9009883A +@0A29 00020C00 +@0A2A 00FFFFC4 +@0A2B 10C00D26 +@0A2C 00C00034 +@0A2D 18DCBC04 +@0A2E 18800017 +@0A2F 99000217 +@0A30 8C23C83A +@0A31 8C400054 +@0A32 1421C83A +@0A33 24400115 +@0A34 9009883A +@0A35 1C000015 +@0A36 000363C0 +@0A37 00800044 +@0A38 003FE706 +@0A39 000B883A +@0A3A 9009883A +@0A3B 00020C00 +@0A3C 99000217 +@0A3D 014003C4 +@0A3E 1107C83A +@0A3F 28FFDD0E +@0A40 01400034 +@0A41 295CA504 +@0A42 29400017 +@0A43 18C00054 +@0A44 20C00115 +@0A45 00C00034 +@0A46 1145C83A +@0A47 18DCBC04 +@0A48 18800015 +@0A49 003FD306 +@0A4A 28004126 +@0A4B DEFFFD04 +@0A4C DC400115 +@0A4D DC000015 +@0A4E 2023883A +@0A4F 2821883A +@0A50 DFC00215 +@0A51 00036180 +@0A52 81FFFF17 +@0A53 00BFFF84 +@0A54 01000034 +@0A55 81BFFE04 +@0A56 3884703A +@0A57 21171F04 +@0A58 308B883A +@0A59 2A400117 +@0A5A 22000217 +@0A5B 00FFFF04 +@0A5C 48C6703A +@0A5D 2A005726 +@0A5E 28C00115 +@0A5F 39C0004C +@0A60 3800091E +@0A61 823FFE17 +@0A62 22400204 +@0A63 320DC83A +@0A64 31C00217 +@0A65 1205883A +@0A66 3A406526 +@0A67 32000317 +@0A68 3A000315 +@0A69 41C00215 +@0A6A 28CF883A +@0A6B 39C00117 +@0A6C 39C0004C +@0A6D 38003A26 +@0A6E 10C00054 +@0A6F 30C00115 +@0A70 3087883A +@0A71 18800015 +@0A72 00C07FC4 +@0A73 18801936 +@0A74 1004D0FA +@0A75 01C00044 +@0A76 21400117 +@0A77 10C00044 +@0A78 18C7883A +@0A79 1005D0BA @0A7A 18C7883A -@0A7B 1907883A -@0A7C 3884983A -@0A7D 19C00017 -@0A7E 1A3FFE04 -@0A7F 1144B03A -@0A80 32000315 -@0A81 31C00215 -@0A82 20800115 -@0A83 19800015 -@0A84 39800315 -@0A85 8809883A -@0A86 DFC00217 -@0A87 DC400117 -@0A88 DC000017 -@0A89 DEC00304 -@0A8A 00036381 -@0A8B F800283A -@0A8C 100AD27A -@0A8D 00C00104 -@0A8E 19404A36 -@0A8F 100AD1BA -@0A90 28C00E44 -@0A91 18C7883A -@0A92 29400E04 -@0A93 18C7883A +@0A7B 18C7883A +@0A7C 1907883A +@0A7D 3884983A +@0A7E 19C00017 +@0A7F 1A3FFE04 +@0A80 1144B03A +@0A81 32000315 +@0A82 31C00215 +@0A83 20800115 +@0A84 19800015 +@0A85 39800315 +@0A86 8809883A +@0A87 DFC00217 +@0A88 DC400117 +@0A89 DC000017 +@0A8A DEC00304 +@0A8B 000363C1 +@0A8C F800283A +@0A8D 100AD27A +@0A8E 00C00104 +@0A8F 19404A36 +@0A90 100AD1BA +@0A91 28C00E44 +@0A92 18C7883A +@0A93 29400E04 @0A94 18C7883A -@0A95 1909883A -@0A96 20C00017 -@0A97 01C00034 -@0A98 213FFE04 -@0A99 39D71204 -@0A9A 20C04426 -@0A9B 01FFFF04 -@0A9C 19400117 -@0A9D 29CA703A -@0A9E 1140022E -@0A9F 18C00217 -@0AA0 20FFFB1E -@0AA1 19000317 -@0AA2 31000315 -@0AA3 30C00215 -@0AA4 21800215 -@0AA5 19800315 -@0AA6 003FDE06 -@0AA7 29C00217 -@0AA8 10C5883A -@0AA9 00C00034 -@0AAA 18D71404 -@0AAB 38C03B26 -@0AAC 2A000317 -@0AAD 11400054 -@0AAE 3087883A -@0AAF 3A000315 -@0AB0 41C00215 -@0AB1 31400115 -@0AB2 18800015 -@0AB3 003FBD06 -@0AB4 39C0004C -@0AB5 10C5883A -@0AB6 3800071E -@0AB7 81FFFE17 -@0AB8 31CDC83A -@0AB9 30C00317 -@0ABA 31400217 -@0ABB 11C5883A -@0ABC 28C00315 -@0ABD 19400215 -@0ABE 10C00054 -@0ABF 30C00115 -@0AC0 00C00034 -@0AC1 18DC9904 -@0AC2 18C00017 -@0AC3 21800215 -@0AC4 10FFC036 -@0AC5 00800034 -@0AC6 109CA604 -@0AC7 11400017 -@0AC8 8809883A -@0AC9 00028000 -@0ACA 003FBA06 -@0ACB 28C9883A -@0ACC 21000117 -@0ACD 2100004C -@0ACE 2000391E -@0ACF 29C00217 -@0AD0 29000317 -@0AD1 1885883A -@0AD2 10C00054 -@0AD3 39000315 -@0AD4 21C00215 -@0AD5 30C00115 -@0AD6 308D883A -@0AD7 30800015 -@0AD8 003FAC06 -@0AD9 00C00504 -@0ADA 19401536 -@0ADB 28C01704 -@0ADC 18C7883A -@0ADD 294016C4 -@0ADE 003FB406 -@0ADF 280BD0BA -@0AE0 00C00044 -@0AE1 38800117 -@0AE2 194A983A -@0AE3 2007883A -@0AE4 2884B03A -@0AE5 38800115 -@0AE6 003FBB06 -@0AE7 21800515 -@0AE8 21800415 -@0AE9 10C00054 -@0AEA 31C00315 -@0AEB 31C00215 -@0AEC 30C00115 -@0AED 308D883A -@0AEE 30800015 -@0AEF 003F9506 -@0AF0 00C01504 -@0AF1 19400536 -@0AF2 100AD33A -@0AF3 28C01BC4 -@0AF4 18C7883A -@0AF5 29401B84 -@0AF6 003F9C06 -@0AF7 00C05504 -@0AF8 19400536 -@0AF9 100AD3FA -@0AFA 28C01E04 -@0AFB 18C7883A -@0AFC 29401DC4 -@0AFD 003F9506 -@0AFE 00C15504 -@0AFF 19400536 -@0B00 100AD4BA -@0B01 28C01F44 -@0B02 18C7883A -@0B03 29401F04 -@0B04 003F8E06 -@0B05 00C03F84 -@0B06 01401F84 -@0B07 003F8B06 -@0B08 10C00054 -@0B09 30C00115 -@0B0A 308D883A -@0B0B 30800015 -@0B0C 003F7806 -@0B0D DEFFFD04 -@0B0E 2805883A -@0B0F DC000015 -@0B10 04000034 -@0B11 DC400115 -@0B12 300B883A -@0B13 841CA704 -@0B14 2023883A -@0B15 380D883A -@0B16 1009883A -@0B17 DFC00215 -@0B18 80000015 -@0B19 00034BC0 -@0B1A 00FFFFC4 -@0B1B 10C00526 -@0B1C DFC00217 -@0B1D DC400117 -@0B1E DC000017 -@0B1F DEC00304 -@0B20 F800283A -@0B21 80C00017 -@0B22 183FF926 -@0B23 88C00015 -@0B24 003FF706 -@0B25 2880030B -@0B26 10C0008C -@0B27 1800411E -@0B28 DEFFEC04 -@0B29 DC000F15 -@0B2A 2821883A -@0B2B 2940038F -@0B2C DC401015 -@0B2D DFC01315 -@0B2E DCC01215 -@0B2F DC801115 -@0B30 2023883A -@0B31 28001C16 -@0B32 D80D883A -@0B33 0002EB00 -@0B34 10001816 -@0B35 D8800117 -@0B36 00E00014 -@0B37 10BC000C -@0B38 14C80020 -@0B39 10C03726 -@0B3A 80C0030B -@0B3B 18C20014 -@0B3C 80C0030D -@0B3D 00C80004 -@0B3E 10C0521E -@0B3F 8140038F -@0B40 8809883A -@0B41 0002F0C0 -@0B42 10004C26 -@0B43 8080030B -@0B44 80C010C4 -@0B45 80C00015 -@0B46 10800054 -@0B47 8080030D -@0B48 00800044 -@0B49 80C00415 -@0B4A 80800515 -@0B4B 04810004 -@0B4C 00000706 -@0B4D 8080030B -@0B4E 10C0200C -@0B4F 18001F1E -@0B50 04810004 -@0B51 10820014 -@0B52 8080030D -@0B53 0027883A -@0B54 900B883A -@0B55 8809883A -@0B56 0000E9C0 -@0B57 10002C26 -@0B58 80C0030B -@0B59 01000034 -@0B5A 21012904 -@0B5B 89000F15 -@0B5C 18C02014 -@0B5D 80C0030D -@0B5E 80800015 -@0B5F 80800415 -@0B60 84800515 -@0B61 98001A1E -@0B62 DFC01317 -@0B63 DCC01217 -@0B64 DC801117 -@0B65 DC401017 -@0B66 DC000F17 -@0B67 DEC01404 -@0B68 F800283A -@0B69 288010C4 -@0B6A 28800015 -@0B6B 28800415 -@0B6C 00800044 -@0B6D 28800515 -@0B6E F800283A -@0B6F 04801004 -@0B70 003FE006 -@0B71 81000A17 -@0B72 00C00034 -@0B73 18C87A04 -@0B74 20FFC51E -@0B75 8080030B -@0B76 04810004 -@0B77 84801315 -@0B78 1484B03A -@0B79 8080030D -@0B7A 0027883A -@0B7B 003FD806 -@0B7C 8140038F -@0B7D 8809883A -@0B7E 0002F0C0 -@0B7F 103FE226 -@0B80 8080030B -@0B81 10800054 -@0B82 8080030D -@0B83 003FDE06 -@0B84 8080030B -@0B85 10C0800C -@0B86 183FDB1E -@0B87 10800094 -@0B88 80C010C4 -@0B89 8080030D -@0B8A 00800044 -@0B8B 80C00015 -@0B8C 80C00415 -@0B8D 80800515 -@0B8E 003FD306 -@0B8F 04810004 -@0B90 003FC306 -@0B91 0027883A -@0B92 04810004 -@0B93 003FC006 -@0B94 DEFFFD04 -@0B95 2805883A -@0B96 DC000015 -@0B97 04000034 -@0B98 DC400115 -@0B99 300B883A -@0B9A 841CA704 -@0B9B 2023883A -@0B9C 380D883A -@0B9D 1009883A -@0B9E DFC00215 -@0B9F 80000015 -@0BA0 00036980 -@0BA1 00FFFFC4 -@0BA2 10C00526 -@0BA3 DFC00217 -@0BA4 DC400117 -@0BA5 DC000017 -@0BA6 DEC00304 -@0BA7 F800283A -@0BA8 80C00017 -@0BA9 183FF926 -@0BAA 88C00015 -@0BAB 003FF706 -@0BAC DEFFFD04 -@0BAD 2805883A -@0BAE DC000015 -@0BAF 04000034 -@0BB0 DC400115 -@0BB1 841CA704 -@0BB2 2023883A -@0BB3 300B883A -@0BB4 1009883A -@0BB5 DFC00215 -@0BB6 80000015 -@0BB7 00032E00 -@0BB8 00FFFFC4 -@0BB9 10C00526 -@0BBA DFC00217 -@0BBB DC400117 -@0BBC DC000017 -@0BBD DEC00304 -@0BBE F800283A -@0BBF 80C00017 -@0BC0 183FF926 -@0BC1 88C00015 -@0BC2 003FF706 -@0BC3 DEFFFD04 -@0BC4 DC000015 -@0BC5 04000034 -@0BC6 DC400115 -@0BC7 841CA704 -@0BC8 2023883A -@0BC9 2809883A -@0BCA DFC00215 -@0BCB 80000015 -@0BCC 00033D40 -@0BCD 00FFFFC4 -@0BCE 10C00526 -@0BCF DFC00217 -@0BD0 DC400117 -@0BD1 DC000017 -@0BD2 DEC00304 -@0BD3 F800283A -@0BD4 80C00017 -@0BD5 183FF926 -@0BD6 88C00015 -@0BD7 003FF706 -@0BD8 20001B16 -@0BD9 000F883A -@0BDA 28001616 -@0BDB 200D883A -@0BDC 29001A2E -@0BDD 00800804 -@0BDE 00C00044 -@0BDF 00000106 -@0BE0 10000D26 -@0BE1 294B883A -@0BE2 10BFFFC4 -@0BE3 18C7883A -@0BE4 293FFB36 -@0BE5 0005883A -@0BE6 18000726 -@0BE7 0005883A -@0BE8 31400236 -@0BE9 314DC83A -@0BEA 10C4B03A -@0BEB 1806D07A -@0BEC 280AD07A -@0BED 183FFA1E -@0BEE 38000126 -@0BEF 0085C83A -@0BF0 F800283A -@0BF1 014BC83A -@0BF2 39C0005C -@0BF3 003FE706 -@0BF4 0109C83A -@0BF5 01C00044 -@0BF6 003FE306 -@0BF7 00C00044 -@0BF8 003FEE06 -@0BF9 20001716 -@0BFA 000F883A -@0BFB 2005883A -@0BFC 28001216 -@0BFD 2900162E -@0BFE 01800804 -@0BFF 00C00044 -@0C00 00000106 -@0C01 30000A26 -@0C02 294B883A -@0C03 31BFFFC4 -@0C04 18C7883A -@0C05 293FFB36 -@0C06 18000526 -@0C07 1806D07A -@0C08 11400136 -@0C09 1145C83A -@0C0A 280AD07A -@0C0B 183FFB1E -@0C0C 38000126 -@0C0D 0085C83A -@0C0E F800283A -@0C0F 014BC83A -@0C10 003FEC06 -@0C11 0109C83A -@0C12 01C00044 -@0C13 003FE706 -@0C14 00C00044 -@0C15 003FF106 -@0C16 200D883A -@0C17 2900152E -@0C18 28001416 -@0C19 00800804 -@0C1A 00C00044 -@0C1B 00000206 -@0C1C 10000E26 -@0C1D 28000516 -@0C1E 294B883A -@0C1F 10BFFFC4 -@0C20 18C7883A -@0C21 293FFA36 -@0C22 18000826 -@0C23 0005883A -@0C24 31400236 -@0C25 314DC83A -@0C26 10C4B03A -@0C27 1806D07A -@0C28 280AD07A -@0C29 183FFA1E -@0C2A F800283A -@0C2B 0005883A -@0C2C F800283A -@0C2D 00C00044 -@0C2E 003FF406 -@0C2F 2005883A -@0C30 2900122E -@0C31 28001116 -@0C32 01800804 -@0C33 00C00044 -@0C34 00000206 -@0C35 30000C26 -@0C36 28000516 -@0C37 294B883A -@0C38 31BFFFC4 -@0C39 18C7883A -@0C3A 293FFA36 -@0C3B 18000626 -@0C3C 1806D07A -@0C3D 11400136 -@0C3E 1145C83A -@0C3F 280AD07A -@0C40 183FFB1E -@0C41 F800283A +@0A95 18C7883A +@0A96 1909883A +@0A97 20C00017 +@0A98 01C00034 +@0A99 213FFE04 +@0A9A 39D71F04 +@0A9B 20C04426 +@0A9C 01FFFF04 +@0A9D 19400117 +@0A9E 29CA703A +@0A9F 1140022E +@0AA0 18C00217 +@0AA1 20FFFB1E +@0AA2 19000317 +@0AA3 31000315 +@0AA4 30C00215 +@0AA5 21800215 +@0AA6 19800315 +@0AA7 003FDE06 +@0AA8 29C00217 +@0AA9 10C5883A +@0AAA 00C00034 +@0AAB 18D72104 +@0AAC 38C03B26 +@0AAD 2A000317 +@0AAE 11400054 +@0AAF 3087883A +@0AB0 3A000315 +@0AB1 41C00215 +@0AB2 31400115 +@0AB3 18800015 +@0AB4 003FBD06 +@0AB5 39C0004C +@0AB6 10C5883A +@0AB7 3800071E +@0AB8 81FFFE17 +@0AB9 31CDC83A +@0ABA 30C00317 +@0ABB 31400217 +@0ABC 11C5883A +@0ABD 28C00315 +@0ABE 19400215 +@0ABF 10C00054 +@0AC0 30C00115 +@0AC1 00C00034 +@0AC2 18DCA604 +@0AC3 18C00017 +@0AC4 21800215 +@0AC5 10FFC036 +@0AC6 00800034 +@0AC7 109CB304 +@0AC8 11400017 +@0AC9 8809883A +@0ACA 00028040 +@0ACB 003FBA06 +@0ACC 28C9883A +@0ACD 21000117 +@0ACE 2100004C +@0ACF 2000391E +@0AD0 29C00217 +@0AD1 29000317 +@0AD2 1885883A +@0AD3 10C00054 +@0AD4 39000315 +@0AD5 21C00215 +@0AD6 30C00115 +@0AD7 308D883A +@0AD8 30800015 +@0AD9 003FAC06 +@0ADA 00C00504 +@0ADB 19401536 +@0ADC 28C01704 +@0ADD 18C7883A +@0ADE 294016C4 +@0ADF 003FB406 +@0AE0 280BD0BA +@0AE1 00C00044 +@0AE2 38800117 +@0AE3 194A983A +@0AE4 2007883A +@0AE5 2884B03A +@0AE6 38800115 +@0AE7 003FBB06 +@0AE8 21800515 +@0AE9 21800415 +@0AEA 10C00054 +@0AEB 31C00315 +@0AEC 31C00215 +@0AED 30C00115 +@0AEE 308D883A +@0AEF 30800015 +@0AF0 003F9506 +@0AF1 00C01504 +@0AF2 19400536 +@0AF3 100AD33A +@0AF4 28C01BC4 +@0AF5 18C7883A +@0AF6 29401B84 +@0AF7 003F9C06 +@0AF8 00C05504 +@0AF9 19400536 +@0AFA 100AD3FA +@0AFB 28C01E04 +@0AFC 18C7883A +@0AFD 29401DC4 +@0AFE 003F9506 +@0AFF 00C15504 +@0B00 19400536 +@0B01 100AD4BA +@0B02 28C01F44 +@0B03 18C7883A +@0B04 29401F04 +@0B05 003F8E06 +@0B06 00C03F84 +@0B07 01401F84 +@0B08 003F8B06 +@0B09 10C00054 +@0B0A 30C00115 +@0B0B 308D883A +@0B0C 30800015 +@0B0D 003F7806 +@0B0E DEFFFD04 +@0B0F 2805883A +@0B10 DC000015 +@0B11 04000034 +@0B12 DC400115 +@0B13 300B883A +@0B14 841CB404 +@0B15 2023883A +@0B16 380D883A +@0B17 1009883A +@0B18 DFC00215 +@0B19 80000015 +@0B1A 00034C00 +@0B1B 00FFFFC4 +@0B1C 10C00526 +@0B1D DFC00217 +@0B1E DC400117 +@0B1F DC000017 +@0B20 DEC00304 +@0B21 F800283A +@0B22 80C00017 +@0B23 183FF926 +@0B24 88C00015 +@0B25 003FF706 +@0B26 2880030B +@0B27 10C0008C +@0B28 1800411E +@0B29 DEFFEC04 +@0B2A DC000F15 +@0B2B 2821883A +@0B2C 2940038F +@0B2D DC401015 +@0B2E DFC01315 +@0B2F DCC01215 +@0B30 DC801115 +@0B31 2023883A +@0B32 28001C16 +@0B33 D80D883A +@0B34 0002EB40 +@0B35 10001816 +@0B36 D8800117 +@0B37 00E00014 +@0B38 10BC000C +@0B39 14C80020 +@0B3A 10C03726 +@0B3B 80C0030B +@0B3C 18C20014 +@0B3D 80C0030D +@0B3E 00C80004 +@0B3F 10C0521E +@0B40 8140038F +@0B41 8809883A +@0B42 0002F100 +@0B43 10004C26 +@0B44 8080030B +@0B45 80C010C4 +@0B46 80C00015 +@0B47 10800054 +@0B48 8080030D +@0B49 00800044 +@0B4A 80C00415 +@0B4B 80800515 +@0B4C 04810004 +@0B4D 00000706 +@0B4E 8080030B +@0B4F 10C0200C +@0B50 18001F1E +@0B51 04810004 +@0B52 10820014 +@0B53 8080030D +@0B54 0027883A +@0B55 900B883A +@0B56 8809883A +@0B57 0000EA00 +@0B58 10002C26 +@0B59 80C0030B +@0B5A 01000034 +@0B5B 21012A04 +@0B5C 89000F15 +@0B5D 18C02014 +@0B5E 80C0030D +@0B5F 80800015 +@0B60 80800415 +@0B61 84800515 +@0B62 98001A1E +@0B63 DFC01317 +@0B64 DCC01217 +@0B65 DC801117 +@0B66 DC401017 +@0B67 DC000F17 +@0B68 DEC01404 +@0B69 F800283A +@0B6A 288010C4 +@0B6B 28800015 +@0B6C 28800415 +@0B6D 00800044 +@0B6E 28800515 +@0B6F F800283A +@0B70 04801004 +@0B71 003FE006 +@0B72 81000A17 +@0B73 00C00034 +@0B74 18C87B04 +@0B75 20FFC51E +@0B76 8080030B +@0B77 04810004 +@0B78 84801315 +@0B79 1484B03A +@0B7A 8080030D +@0B7B 0027883A +@0B7C 003FD806 +@0B7D 8140038F +@0B7E 8809883A +@0B7F 0002F100 +@0B80 103FE226 +@0B81 8080030B +@0B82 10800054 +@0B83 8080030D +@0B84 003FDE06 +@0B85 8080030B +@0B86 10C0800C +@0B87 183FDB1E +@0B88 10800094 +@0B89 80C010C4 +@0B8A 8080030D +@0B8B 00800044 +@0B8C 80C00015 +@0B8D 80C00415 +@0B8E 80800515 +@0B8F 003FD306 +@0B90 04810004 +@0B91 003FC306 +@0B92 0027883A +@0B93 04810004 +@0B94 003FC006 +@0B95 DEFFFD04 +@0B96 2805883A +@0B97 DC000015 +@0B98 04000034 +@0B99 DC400115 +@0B9A 300B883A +@0B9B 841CB404 +@0B9C 2023883A +@0B9D 380D883A +@0B9E 1009883A +@0B9F DFC00215 +@0BA0 80000015 +@0BA1 000369C0 +@0BA2 00FFFFC4 +@0BA3 10C00526 +@0BA4 DFC00217 +@0BA5 DC400117 +@0BA6 DC000017 +@0BA7 DEC00304 +@0BA8 F800283A +@0BA9 80C00017 +@0BAA 183FF926 +@0BAB 88C00015 +@0BAC 003FF706 +@0BAD DEFFFD04 +@0BAE 2805883A +@0BAF DC000015 +@0BB0 04000034 +@0BB1 DC400115 +@0BB2 841CB404 +@0BB3 2023883A +@0BB4 300B883A +@0BB5 1009883A +@0BB6 DFC00215 +@0BB7 80000015 +@0BB8 00032E40 +@0BB9 00FFFFC4 +@0BBA 10C00526 +@0BBB DFC00217 +@0BBC DC400117 +@0BBD DC000017 +@0BBE DEC00304 +@0BBF F800283A +@0BC0 80C00017 +@0BC1 183FF926 +@0BC2 88C00015 +@0BC3 003FF706 +@0BC4 DEFFFD04 +@0BC5 DC000015 +@0BC6 04000034 +@0BC7 DC400115 +@0BC8 841CB404 +@0BC9 2023883A +@0BCA 2809883A +@0BCB DFC00215 +@0BCC 80000015 +@0BCD 00033D80 +@0BCE 00FFFFC4 +@0BCF 10C00526 +@0BD0 DFC00217 +@0BD1 DC400117 +@0BD2 DC000017 +@0BD3 DEC00304 +@0BD4 F800283A +@0BD5 80C00017 +@0BD6 183FF926 +@0BD7 88C00015 +@0BD8 003FF706 +@0BD9 20001B16 +@0BDA 000F883A +@0BDB 28001616 +@0BDC 200D883A +@0BDD 29001A2E +@0BDE 00800804 +@0BDF 00C00044 +@0BE0 00000106 +@0BE1 10000D26 +@0BE2 294B883A +@0BE3 10BFFFC4 +@0BE4 18C7883A +@0BE5 293FFB36 +@0BE6 0005883A +@0BE7 18000726 +@0BE8 0005883A +@0BE9 31400236 +@0BEA 314DC83A +@0BEB 10C4B03A +@0BEC 1806D07A +@0BED 280AD07A +@0BEE 183FFA1E +@0BEF 38000126 +@0BF0 0085C83A +@0BF1 F800283A +@0BF2 014BC83A +@0BF3 39C0005C +@0BF4 003FE706 +@0BF5 0109C83A +@0BF6 01C00044 +@0BF7 003FE306 +@0BF8 00C00044 +@0BF9 003FEE06 +@0BFA 20001716 +@0BFB 000F883A +@0BFC 2005883A +@0BFD 28001216 +@0BFE 2900162E +@0BFF 01800804 +@0C00 00C00044 +@0C01 00000106 +@0C02 30000A26 +@0C03 294B883A +@0C04 31BFFFC4 +@0C05 18C7883A +@0C06 293FFB36 +@0C07 18000526 +@0C08 1806D07A +@0C09 11400136 +@0C0A 1145C83A +@0C0B 280AD07A +@0C0C 183FFB1E +@0C0D 38000126 +@0C0E 0085C83A +@0C0F F800283A +@0C10 014BC83A +@0C11 003FEC06 +@0C12 0109C83A +@0C13 01C00044 +@0C14 003FE706 +@0C15 00C00044 +@0C16 003FF106 +@0C17 200D883A +@0C18 2900152E +@0C19 28001416 +@0C1A 00800804 +@0C1B 00C00044 +@0C1C 00000206 +@0C1D 10000E26 +@0C1E 28000516 +@0C1F 294B883A +@0C20 10BFFFC4 +@0C21 18C7883A +@0C22 293FFA36 +@0C23 18000826 +@0C24 0005883A +@0C25 31400236 +@0C26 314DC83A +@0C27 10C4B03A +@0C28 1806D07A +@0C29 280AD07A +@0C2A 183FFA1E +@0C2B F800283A +@0C2C 0005883A +@0C2D F800283A +@0C2E 00C00044 +@0C2F 003FF406 +@0C30 2005883A +@0C31 2900122E +@0C32 28001116 +@0C33 01800804 +@0C34 00C00044 +@0C35 00000206 +@0C36 30000C26 +@0C37 28000516 +@0C38 294B883A +@0C39 31BFFFC4 +@0C3A 18C7883A +@0C3B 293FFA36 +@0C3C 18000626 +@0C3D 1806D07A +@0C3E 11400136 +@0C3F 1145C83A +@0C40 280AD07A +@0C41 183FFB1E @0C42 F800283A -@0C43 00C00044 -@0C44 003FF706 -@0C45 0005883A -@0C46 20000726 -@0C47 20C0004C -@0C48 2008D07A -@0C49 18000126 -@0C4A 1145883A -@0C4B 294B883A -@0C4C 203FFA1E -@0C4D F800283A +@0C43 F800283A +@0C44 00C00044 +@0C45 003FF706 +@0C46 0005883A +@0C47 20000726 +@0C48 20C0004C +@0C49 2008D07A +@0C4A 18000126 +@0C4B 1145883A +@0C4C 294B883A +@0C4D 203FFA1E @0C4E F800283A -@0C4F DEFFFE04 -@0C50 DFC00115 -@0C51 DF000015 -@0C52 D839883A -@0C53 D0A00917 -@0C54 10000326 -@0C55 D0A00917 -@0C56 103EE83A -@0C57 00000106 -@0C58 D0A01104 -@0C59 E037883A -@0C5A DFC00117 -@0C5B DF000017 -@0C5C DEC00204 -@0C5D F800283A -@0C5E DEFFFB04 -@0C5F DFC00415 -@0C60 DF000315 -@0C61 DF000304 -@0C62 E13FFF15 -@0C63 E0BFFF17 -@0C64 10000816 -@0C65 01400304 -@0C66 E13FFF17 -@0C67 00031140 -@0C68 1007883A -@0C69 00800034 -@0C6A 10981E04 -@0C6B 1885883A -@0C6C 00000106 -@0C6D 0005883A -@0C6E E0BFFD15 -@0C6F E0BFFD17 -@0C70 10001926 -@0C71 E0BFFD17 -@0C72 10800017 -@0C73 10800417 -@0C74 10000626 -@0C75 E0BFFD17 -@0C76 10800017 -@0C77 10800417 -@0C78 E13FFD17 -@0C79 103EE83A -@0C7A 00000106 -@0C7B 0005883A -@0C7C E0BFFE15 -@0C7D E13FFF17 -@0C7E 000379C0 -@0C7F E0BFFE17 -@0C80 1000070E -@0C81 000313C0 -@0C82 1007883A -@0C83 E0BFFE17 -@0C84 0085C83A -@0C85 18800015 -@0C86 00BFFFC4 -@0C87 00000706 -@0C88 0005883A -@0C89 00000506 -@0C8A 000313C0 -@0C8B 1007883A -@0C8C 00801444 -@0C8D 18800015 -@0C8E 00BFFFC4 -@0C8F E037883A -@0C90 DFC00117 -@0C91 DF000017 -@0C92 DEC00204 -@0C93 F800283A -@0C94 DEFFFD04 -@0C95 DF000215 -@0C96 DF000204 -@0C97 E13FFE15 -@0C98 E17FFF15 -@0C99 0001883A -@0C9A E037883A -@0C9B DF000017 -@0C9C DEC00104 -@0C9D F800283A -@0C9E DEFFFC04 -@0C9F DF000315 -@0CA0 DF000304 -@0CA1 E13FFD15 -@0CA2 E17FFE15 -@0CA3 E1BFFF15 -@0CA4 E0BFFF17 -@0CA5 E037883A -@0CA6 DF000017 -@0CA7 DEC00104 -@0CA8 F800283A -@0CA9 DEFFFE04 -@0CAA DFC00115 -@0CAB DF000015 -@0CAC D839883A -@0CAD D0A00917 -@0CAE 10000326 -@0CAF D0A00917 -@0CB0 103EE83A -@0CB1 00000106 -@0CB2 D0A01104 -@0CB3 E037883A -@0CB4 DFC00117 -@0CB5 DF000017 -@0CB6 DEC00204 -@0CB7 F800283A -@0CB8 DEFFFB04 -@0CB9 DFC00415 -@0CBA DF000315 -@0CBB DF000304 -@0CBC E13FFE15 -@0CBD E17FFF15 -@0CBE E0BFFE17 -@0CBF 10000816 -@0CC0 01400304 -@0CC1 E13FFE17 -@0CC2 00031140 -@0CC3 1007883A -@0CC4 00800034 -@0CC5 10981E04 -@0CC6 1885883A -@0CC7 00000106 -@0CC8 0005883A -@0CC9 E0BFFD15 -@0CCA E0BFFD17 -@0CCB 10001026 -@0CCC E0BFFD17 -@0CCD 10800017 -@0CCE 10800817 -@0CCF 10000726 -@0CD0 E0BFFD17 -@0CD1 10800017 -@0CD2 10800817 -@0CD3 E17FFF17 -@0CD4 E13FFD17 -@0CD5 103EE83A -@0CD6 00000A06 -@0CD7 E0BFFF17 -@0CD8 00C80004 -@0CD9 10C00115 -@0CDA 0005883A -@0CDB 00000506 -@0CDC 00032A40 -@0CDD 1007883A -@0CDE 00801444 -@0CDF 18800015 -@0CE0 00BFFFC4 -@0CE1 E037883A -@0CE2 DFC00117 -@0CE3 DF000017 -@0CE4 DEC00204 -@0CE5 F800283A -@0CE6 DEFFFE04 -@0CE7 DFC00115 -@0CE8 DF000015 -@0CE9 D839883A -@0CEA D0A00917 -@0CEB 10000326 -@0CEC D0A00917 -@0CED 103EE83A -@0CEE 00000106 -@0CEF D0A01104 -@0CF0 E037883A -@0CF1 DFC00117 -@0CF2 DF000017 -@0CF3 DEC00204 -@0CF4 F800283A -@0CF5 DEFFED04 -@0CF6 DFC01215 -@0CF7 DF001115 -@0CF8 DF001104 -@0CF9 E13FFF15 -@0CFA E0BFFF17 -@0CFB 10000816 -@0CFC 01400304 -@0CFD E13FFF17 -@0CFE 00031140 -@0CFF 1007883A -@0D00 00800034 -@0D01 10981E04 -@0D02 1885883A -@0D03 00000106 -@0D04 0005883A -@0D05 E0BFEF15 -@0D06 E0BFEF17 -@0D07 10000E26 -@0D08 E0BFEF17 -@0D09 10800017 -@0D0A 10800817 -@0D0B 1000021E -@0D0C 00800044 -@0D0D 00000D06 -@0D0E E0BFF004 -@0D0F 100B883A -@0D10 E13FFF17 -@0D11 00032E00 -@0D12 E0BFF117 -@0D13 10880020 -@0D14 10803FCC -@0D15 00000506 -@0D16 00033980 -@0D17 1007883A -@0D18 00801444 -@0D19 18800015 -@0D1A 0005883A -@0D1B E037883A -@0D1C DFC00117 -@0D1D DF000017 -@0D1E DEC00204 -@0D1F F800283A -@0D20 DEFFFE04 -@0D21 DFC00115 -@0D22 DF000015 -@0D23 D839883A -@0D24 D0A00917 -@0D25 10000326 -@0D26 D0A00917 -@0D27 103EE83A -@0D28 00000106 -@0D29 D0A01104 -@0D2A E037883A -@0D2B DFC00117 -@0D2C DF000017 -@0D2D DEC00204 -@0D2E F800283A -@0D2F DEFFF904 -@0D30 DFC00615 -@0D31 DF000515 -@0D32 DF000504 -@0D33 E13FFD15 -@0D34 E17FFE15 -@0D35 E1BFFF15 -@0D36 E03FFB15 -@0D37 E0BFFD17 -@0D38 10000816 -@0D39 01400304 -@0D3A E13FFD17 -@0D3B 00031140 -@0D3C 1007883A -@0D3D 00800034 -@0D3E 10981E04 -@0D3F 1885883A -@0D40 00000106 -@0D41 0005883A -@0D42 E0BFFC15 -@0D43 E0BFFC17 -@0D44 10001026 -@0D45 E0BFFC17 -@0D46 10800017 -@0D47 10800717 -@0D48 10000926 -@0D49 E0BFFC17 -@0D4A 10800017 -@0D4B 10800717 -@0D4C E1BFFF17 -@0D4D E17FFE17 -@0D4E E13FFC17 -@0D4F 103EE83A -@0D50 E0BFFB15 -@0D51 00000506 -@0D52 00BFDE84 -@0D53 E0BFFB15 -@0D54 00000206 -@0D55 00BFEBC4 -@0D56 E0BFFB15 -@0D57 E0BFFB17 -@0D58 1000070E -@0D59 00034800 -@0D5A 1007883A -@0D5B E0BFFB17 -@0D5C 0085C83A -@0D5D 18800015 -@0D5E 00BFFFC4 -@0D5F E0BFFB15 -@0D60 E0BFFB17 -@0D61 E037883A -@0D62 DFC00117 -@0D63 DF000017 -@0D64 DEC00204 -@0D65 F800283A -@0D66 DEFFFD04 -@0D67 DFC00215 -@0D68 DF000115 -@0D69 DF000104 -@0D6A 0009883A -@0D6B 0003A400 -@0D6C 0001883A -@0D6D 0003A780 -@0D6E 01800034 -@0D6F 31960104 -@0D70 01400034 -@0D71 29560104 -@0D72 01000034 -@0D73 21160104 -@0D74 0004C840 -@0D75 00048400 -@0D76 01000034 -@0D77 21122804 -@0D78 00054440 -@0D79 D0A01217 -@0D7A D0E01317 -@0D7B D1201417 -@0D7C 200D883A -@0D7D 180B883A -@0D7E 1009883A -@0D7F 00002480 -@0D80 E0BFFF15 -@0D81 01000044 -@0D82 00031780 -@0D83 E13FFF17 -@0D84 00054580 -@0D85 DEFFFE04 -@0D86 DF000115 -@0D87 DF000104 -@0D88 E13FFF15 -@0D89 0001883A -@0D8A E037883A -@0D8B DF000017 -@0D8C DEC00104 -@0D8D F800283A -@0D8E DEFFFE04 -@0D8F DF000115 -@0D90 DF000104 -@0D91 E13FFF15 -@0D92 0001883A -@0D93 E037883A -@0D94 DF000017 -@0D95 DEC00104 -@0D96 F800283A -@0D97 DEFFFE04 -@0D98 DFC00115 -@0D99 DF000015 -@0D9A D839883A -@0D9B D0A00917 -@0D9C 10000326 -@0D9D D0A00917 -@0D9E 103EE83A -@0D9F 00000106 -@0DA0 D0A01104 -@0DA1 E037883A -@0DA2 DFC00117 -@0DA3 DF000017 -@0DA4 DEC00204 -@0DA5 F800283A -@0DA6 DEFFF904 -@0DA7 DFC00615 -@0DA8 DF000515 -@0DA9 DF000504 -@0DAA E13FFD15 -@0DAB E17FFE15 -@0DAC E1BFFF15 -@0DAD E0BFFD17 -@0DAE 10000816 -@0DAF 01400304 -@0DB0 E13FFD17 -@0DB1 00031140 -@0DB2 1007883A -@0DB3 00800034 -@0DB4 10981E04 -@0DB5 1885883A -@0DB6 00000106 -@0DB7 0005883A -@0DB8 E0BFFB15 -@0DB9 E0BFFB17 -@0DBA 10002226 -@0DBB E0BFFB17 -@0DBC 10800217 -@0DBD 108000CC -@0DBE 10800060 -@0DBF 1000181E -@0DC0 E0BFFB17 -@0DC1 10800017 -@0DC2 10800517 -@0DC3 10001426 -@0DC4 E0BFFB17 -@0DC5 10800017 -@0DC6 10800517 -@0DC7 E0FFFF17 -@0DC8 180D883A -@0DC9 E17FFE17 -@0DCA E13FFB17 -@0DCB 103EE83A -@0DCC E0BFFC15 -@0DCD E0BFFC17 -@0DCE 1000070E -@0DCF 000365C0 -@0DD0 1007883A -@0DD1 E0BFFC17 -@0DD2 0085C83A -@0DD3 18800015 -@0DD4 00BFFFC4 -@0DD5 00000C06 -@0DD6 E0BFFC17 -@0DD7 00000A06 -@0DD8 000365C0 -@0DD9 1007883A -@0DDA 00800344 -@0DDB 18800015 -@0DDC 00000406 -@0DDD 000365C0 -@0DDE 1007883A -@0DDF 00801444 -@0DE0 18800015 -@0DE1 00BFFFC4 -@0DE2 E037883A -@0DE3 DFC00117 -@0DE4 DF000017 -@0DE5 DEC00204 -@0DE6 F800283A -@0DE7 DEFFFC04 -@0DE8 DFC00315 -@0DE9 DF000215 -@0DEA DC000115 -@0DEB DF000204 -@0DEC E13FFE15 -@0DED E0BFFE17 -@0DEE 108000D0 -@0DEF 1000111E -@0DF0 04000034 -@0DF1 84181E04 -@0DF2 E0BFFE17 -@0DF3 01400304 -@0DF4 1009883A -@0DF5 00031140 -@0DF6 8085883A -@0DF7 10800204 -@0DF8 10000015 -@0DF9 04000034 -@0DFA 84181E04 -@0DFB E0BFFE17 -@0DFC 01400304 -@0DFD 1009883A -@0DFE 00031140 -@0DFF 8085883A -@0E00 10000015 -@0E01 0001883A -@0E02 E6FFFF04 -@0E03 DFC00217 -@0E04 DF000117 -@0E05 DC000017 -@0E06 DEC00304 -@0E07 F800283A -@0E08 DEFFF904 -@0E09 DF000615 -@0E0A DF000604 -@0E0B E13FFF15 -@0E0C 0005303A -@0E0D E0BFFE15 -@0E0E E0FFFE17 -@0E0F 00BFFF84 -@0E10 1884703A -@0E11 1001703A -@0E12 E0BFFE17 -@0E13 E0BFFB15 -@0E14 D0A00A17 -@0E15 10C000C4 -@0E16 00BFFF04 -@0E17 1884703A -@0E18 D0A00A15 -@0E19 D0E00A17 -@0E1A E0BFFF17 -@0E1B 1887883A -@0E1C 008000B4 -@0E1D 10800004 -@0E1E 10C0062E -@0E1F E0BFFB17 -@0E20 E0BFFA15 -@0E21 E0BFFA17 -@0E22 1001703A -@0E23 00BFFFC4 -@0E24 00000B06 -@0E25 D0A00A17 -@0E26 E0BFFD15 -@0E27 D0E00A17 -@0E28 E0BFFF17 -@0E29 1885883A -@0E2A D0A00A15 -@0E2B E0BFFB17 -@0E2C E0BFFC15 -@0E2D E0BFFC17 -@0E2E 1001703A -@0E2F E0BFFD17 -@0E30 E037883A -@0E31 DF000017 -@0E32 DEC00104 -@0E33 F800283A -@0E34 DEFFFE04 -@0E35 DFC00115 -@0E36 DF000015 -@0E37 D839883A -@0E38 D0A00917 -@0E39 10000326 -@0E3A D0A00917 -@0E3B 103EE83A -@0E3C 00000106 -@0E3D D0A01104 -@0E3E E037883A -@0E3F DFC00117 -@0E40 DF000017 -@0E41 DEC00204 -@0E42 F800283A -@0E43 DEFFF904 -@0E44 DFC00615 -@0E45 DF000515 -@0E46 DF000504 -@0E47 E13FFD15 -@0E48 E17FFE15 -@0E49 E1BFFF15 -@0E4A E0BFFD17 -@0E4B 10000816 -@0E4C 01400304 -@0E4D E13FFD17 -@0E4E 00031140 -@0E4F 1007883A -@0E50 00800034 -@0E51 10981E04 -@0E52 1885883A -@0E53 00000106 -@0E54 0005883A -@0E55 E0BFFB15 -@0E56 E0BFFB17 -@0E57 10002126 -@0E58 E0BFFB17 -@0E59 10800217 -@0E5A 108000CC -@0E5B 10001826 -@0E5C E0BFFB17 -@0E5D 10800017 -@0E5E 10800617 -@0E5F 10001426 -@0E60 E0BFFB17 -@0E61 10800017 -@0E62 10800617 -@0E63 E0FFFF17 -@0E64 180D883A -@0E65 E17FFE17 -@0E66 E13FFB17 -@0E67 103EE83A -@0E68 E0BFFC15 -@0E69 E0BFFC17 -@0E6A 1000070E -@0E6B 00038D00 -@0E6C 1007883A -@0E6D E0BFFC17 -@0E6E 0085C83A -@0E6F 18800015 -@0E70 00BFFFC4 -@0E71 00000C06 -@0E72 E0BFFC17 -@0E73 00000A06 -@0E74 00038D00 -@0E75 1007883A -@0E76 00800344 -@0E77 18800015 -@0E78 00000406 -@0E79 00038D00 -@0E7A 1007883A -@0E7B 00801444 -@0E7C 18800015 -@0E7D 00BFFFC4 -@0E7E E037883A -@0E7F DFC00117 -@0E80 DF000017 -@0E81 DEC00204 -@0E82 F800283A -@0E83 DEFFFD04 -@0E84 DFC00215 -@0E85 DF000115 -@0E86 DF000104 -@0E87 E13FFF15 -@0E88 D1600604 -@0E89 E13FFF17 -@0E8A 000479C0 -@0E8B E037883A -@0E8C DFC00117 -@0E8D DF000017 -@0E8E DEC00204 -@0E8F F800283A -@0E90 DEFFFD04 -@0E91 DFC00215 -@0E92 DF000115 -@0E93 DF000104 -@0E94 E13FFF15 -@0E95 00051280 -@0E96 00800044 -@0E97 1001703A -@0E98 0001883A -@0E99 E037883A -@0E9A DFC00117 -@0E9B DF000017 -@0E9C DEC00204 -@0E9D F800283A -@0E9E DEFFFE04 -@0E9F DFC00115 -@0EA0 DF000015 -@0EA1 D839883A -@0EA2 01C0FA04 -@0EA3 000D883A -@0EA4 000B883A -@0EA5 010000B4 -@0EA6 21040004 -@0EA7 00045B80 -@0EA8 01800044 -@0EA9 000B883A -@0EAA 01000034 -@0EAB 21188804 -@0EAC 0003C3C0 -@0EAD 01000034 -@0EAE 21187E04 -@0EAF 0003A0C0 -@0EB0 0001883A -@0EB1 E037883A -@0EB2 DFC00117 -@0EB3 DF000017 -@0EB4 DEC00204 -@0EB5 F800283A -@0EB6 DEFFFA04 -@0EB7 DFC00515 -@0EB8 DF000415 -@0EB9 DF000404 -@0EBA E13FFD15 -@0EBB E17FFE15 -@0EBC E1BFFF15 -@0EBD E0BFFD17 -@0EBE 10800017 -@0EBF E0BFFC15 -@0EC0 E0BFFC17 -@0EC1 10C00A04 -@0EC2 E0BFFD17 -@0EC3 10800217 -@0EC4 100F883A -@0EC5 E1BFFF17 -@0EC6 E17FFE17 -@0EC7 1809883A -@0EC8 00041000 -@0EC9 E037883A -@0ECA DFC00117 -@0ECB DF000017 -@0ECC DEC00204 -@0ECD F800283A -@0ECE DEFFFA04 -@0ECF DFC00515 -@0ED0 DF000415 -@0ED1 DF000404 -@0ED2 E13FFD15 -@0ED3 E17FFE15 -@0ED4 E1BFFF15 -@0ED5 E0BFFD17 -@0ED6 10800017 -@0ED7 E0BFFC15 -@0ED8 E0BFFC17 -@0ED9 10C00A04 -@0EDA E0BFFD17 -@0EDB 10800217 -@0EDC 100F883A -@0EDD E1BFFF17 -@0EDE E17FFE17 -@0EDF 1809883A -@0EE0 000431C0 -@0EE1 E037883A -@0EE2 DFC00117 -@0EE3 DF000017 -@0EE4 DEC00204 -@0EE5 F800283A -@0EE6 DEFFFC04 -@0EE7 DFC00315 -@0EE8 DF000215 -@0EE9 DF000204 -@0EEA E13FFF15 -@0EEB E0BFFF17 -@0EEC 10800017 -@0EED E0BFFE15 -@0EEE E0BFFE17 -@0EEF 10C00A04 -@0EF0 E0BFFF17 -@0EF1 10800217 -@0EF2 100B883A -@0EF3 1809883A -@0EF4 0003FA80 -@0EF5 E037883A -@0EF6 DFC00117 -@0EF7 DF000017 -@0EF8 DEC00204 -@0EF9 F800283A -@0EFA DEFFFA04 -@0EFB DFC00515 -@0EFC DF000415 -@0EFD DF000404 -@0EFE E13FFD15 -@0EFF E17FFE15 -@0F00 E1BFFF15 -@0F01 E0BFFD17 -@0F02 10800017 -@0F03 E0BFFC15 -@0F04 E0BFFC17 -@0F05 10800A04 -@0F06 E1BFFF17 -@0F07 E17FFE17 -@0F08 1009883A -@0F09 00040100 -@0F0A E037883A -@0F0B DFC00117 -@0F0C DF000017 -@0F0D DEC00204 -@0F0E F800283A -@0F0F DEFFFA04 -@0F10 DFC00515 -@0F11 DF000415 -@0F12 DF000404 -@0F13 E13FFD15 -@0F14 E17FFE15 -@0F15 E1BFFF15 -@0F16 E0BFFD17 -@0F17 00C00044 -@0F18 10C00815 -@0F19 E0BFFD17 -@0F1A 10800017 -@0F1B 10800104 -@0F1C 1007883A -@0F1D E0BFFD17 -@0F1E 10800817 -@0F1F 18800035 -@0F20 E0BFFE17 -@0F21 E0FFFF17 -@0F22 D8000015 -@0F23 E1FFFD17 -@0F24 01800034 -@0F25 318F3F04 -@0F26 180B883A -@0F27 1009883A -@0F28 00049000 -@0F29 E0BFFD17 -@0F2A 10000915 -@0F2B E0BFFD17 -@0F2C 10800204 -@0F2D D0E01617 -@0F2E E1FFFD17 -@0F2F 01800034 -@0F30 318FC204 -@0F31 180B883A -@0F32 1009883A -@0F33 00046340 -@0F34 1000040E -@0F35 E0FFFD17 -@0F36 00A00034 -@0F37 10BFFFC4 -@0F38 18800115 -@0F39 0001883A -@0F3A E037883A -@0F3B DFC00117 -@0F3C DF000017 -@0F3D DEC00204 -@0F3E F800283A -@0F3F DEFFF804 -@0F40 DF000715 -@0F41 DF000704 -@0F42 E13FFF15 -@0F43 E0BFFF17 -@0F44 E0BFFB15 -@0F45 E0BFFB17 -@0F46 10800017 -@0F47 E0BFFC15 -@0F48 E0BFFC17 -@0F49 10800104 -@0F4A 10800037 -@0F4B E0BFFD15 -@0F4C E0BFFD17 -@0F4D 1080C00C -@0F4E 10006D26 -@0F4F E0BFFD17 -@0F50 1080400C -@0F51 10003526 -@0F52 00800074 -@0F53 E0BFF915 -@0F54 E0BFFB17 -@0F55 10800A17 -@0F56 10800044 -@0F57 1081FFCC -@0F58 E0BFFE15 -@0F59 E0BFFB17 -@0F5A 10C00B17 -@0F5B E0BFFE17 -@0F5C 18801526 -@0F5D E0BFFC17 -@0F5E 10800037 -@0F5F E0BFF915 -@0F60 E0BFF917 -@0F61 10A0000C -@0F62 10001126 -@0F63 E0BFFB17 -@0F64 10800A17 -@0F65 E0FFF917 -@0F66 1809883A -@0F67 E0FFFB17 -@0F68 1885883A -@0F69 10800E04 -@0F6A 11000005 -@0F6B E0BFFB17 -@0F6C 10800A17 -@0F6D 10800044 -@0F6E 10C1FFCC -@0F6F E0BFFB17 -@0F70 10C00A15 -@0F71 003FE206 -@0F72 0001883A -@0F73 00000106 -@0F74 0001883A -@0F75 E0BFF917 -@0F76 10BFFFEC -@0F77 10000F26 -@0F78 E0BFFB17 -@0F79 10C00817 -@0F7A 00BFFF84 -@0F7B 1886703A -@0F7C E0BFFB17 -@0F7D 10C00815 -@0F7E E0BFFC17 -@0F7F 10800104 -@0F80 1007883A -@0F81 E0BFFB17 -@0F82 10800817 -@0F83 18800035 -@0F84 E0BFFC17 -@0F85 10800104 -@0F86 10800037 -@0F87 E0BFFD17 -@0F88 1080800C -@0F89 103FBE26 -@0F8A E0BFFD17 -@0F8B 1004D43A -@0F8C E0BFFA15 -@0F8D 00001406 -@0F8E E0BFFC17 -@0F8F E0FFFB17 -@0F90 18C00D17 -@0F91 E13FFB17 -@0F92 20C7883A -@0F93 18C20E04 -@0F94 18C00003 -@0F95 18C03FCC -@0F96 18C0201C -@0F97 18FFE004 -@0F98 10C00035 -@0F99 E0BFFB17 -@0F9A 10800D17 -@0F9B 10800044 -@0F9C 10C1FFCC -@0F9D E0BFFB17 -@0F9E 10C00D15 -@0F9F E0BFFA17 -@0FA0 10BFFFC4 -@0FA1 E0BFFA15 -@0FA2 E0BFFA17 -@0FA3 10000526 -@0FA4 E0BFFB17 -@0FA5 10C00D17 -@0FA6 E0BFFB17 -@0FA7 10800C17 -@0FA8 18BFE51E -@0FA9 E0BFFA17 -@0FAA 103F9D26 -@0FAB E0BFFB17 -@0FAC 10C00817 -@0FAD 00BFFF44 -@0FAE 1886703A -@0FAF E0BFFB17 -@0FB0 10C00815 -@0FB1 E0BFFB17 -@0FB2 10800017 -@0FB3 10800104 -@0FB4 1007883A -@0FB5 E0BFFB17 -@0FB6 10800817 -@0FB7 18800035 -@0FB8 E0BFFC17 -@0FB9 10800104 -@0FBA 10800037 -@0FBB 003F8C06 -@0FBC 0001883A +@0C4F F800283A +@0C50 DEFFFE04 +@0C51 DFC00115 +@0C52 DF000015 +@0C53 D839883A +@0C54 D0A00917 +@0C55 10000326 +@0C56 D0A00917 +@0C57 103EE83A +@0C58 00000106 +@0C59 D0A01104 +@0C5A E037883A +@0C5B DFC00117 +@0C5C DF000017 +@0C5D DEC00204 +@0C5E F800283A +@0C5F DEFFFB04 +@0C60 DFC00415 +@0C61 DF000315 +@0C62 DF000304 +@0C63 E13FFF15 +@0C64 E0BFFF17 +@0C65 10000816 +@0C66 01400304 +@0C67 E13FFF17 +@0C68 00031180 +@0C69 1007883A +@0C6A 00800034 +@0C6B 10982B04 +@0C6C 1885883A +@0C6D 00000106 +@0C6E 0005883A +@0C6F E0BFFD15 +@0C70 E0BFFD17 +@0C71 10001926 +@0C72 E0BFFD17 +@0C73 10800017 +@0C74 10800417 +@0C75 10000626 +@0C76 E0BFFD17 +@0C77 10800017 +@0C78 10800417 +@0C79 E13FFD17 +@0C7A 103EE83A +@0C7B 00000106 +@0C7C 0005883A +@0C7D E0BFFE15 +@0C7E E13FFF17 +@0C7F 00037A00 +@0C80 E0BFFE17 +@0C81 1000070E +@0C82 00031400 +@0C83 1007883A +@0C84 E0BFFE17 +@0C85 0085C83A +@0C86 18800015 +@0C87 00BFFFC4 +@0C88 00000706 +@0C89 0005883A +@0C8A 00000506 +@0C8B 00031400 +@0C8C 1007883A +@0C8D 00801444 +@0C8E 18800015 +@0C8F 00BFFFC4 +@0C90 E037883A +@0C91 DFC00117 +@0C92 DF000017 +@0C93 DEC00204 +@0C94 F800283A +@0C95 DEFFFD04 +@0C96 DF000215 +@0C97 DF000204 +@0C98 E13FFE15 +@0C99 E17FFF15 +@0C9A 0001883A +@0C9B E037883A +@0C9C DF000017 +@0C9D DEC00104 +@0C9E F800283A +@0C9F DEFFFC04 +@0CA0 DF000315 +@0CA1 DF000304 +@0CA2 E13FFD15 +@0CA3 E17FFE15 +@0CA4 E1BFFF15 +@0CA5 E0BFFF17 +@0CA6 E037883A +@0CA7 DF000017 +@0CA8 DEC00104 +@0CA9 F800283A +@0CAA DEFFFE04 +@0CAB DFC00115 +@0CAC DF000015 +@0CAD D839883A +@0CAE D0A00917 +@0CAF 10000326 +@0CB0 D0A00917 +@0CB1 103EE83A +@0CB2 00000106 +@0CB3 D0A01104 +@0CB4 E037883A +@0CB5 DFC00117 +@0CB6 DF000017 +@0CB7 DEC00204 +@0CB8 F800283A +@0CB9 DEFFFB04 +@0CBA DFC00415 +@0CBB DF000315 +@0CBC DF000304 +@0CBD E13FFE15 +@0CBE E17FFF15 +@0CBF E0BFFE17 +@0CC0 10000816 +@0CC1 01400304 +@0CC2 E13FFE17 +@0CC3 00031180 +@0CC4 1007883A +@0CC5 00800034 +@0CC6 10982B04 +@0CC7 1885883A +@0CC8 00000106 +@0CC9 0005883A +@0CCA E0BFFD15 +@0CCB E0BFFD17 +@0CCC 10001026 +@0CCD E0BFFD17 +@0CCE 10800017 +@0CCF 10800817 +@0CD0 10000726 +@0CD1 E0BFFD17 +@0CD2 10800017 +@0CD3 10800817 +@0CD4 E17FFF17 +@0CD5 E13FFD17 +@0CD6 103EE83A +@0CD7 00000A06 +@0CD8 E0BFFF17 +@0CD9 00C80004 +@0CDA 10C00115 +@0CDB 0005883A +@0CDC 00000506 +@0CDD 00032A80 +@0CDE 1007883A +@0CDF 00801444 +@0CE0 18800015 +@0CE1 00BFFFC4 +@0CE2 E037883A +@0CE3 DFC00117 +@0CE4 DF000017 +@0CE5 DEC00204 +@0CE6 F800283A +@0CE7 DEFFFE04 +@0CE8 DFC00115 +@0CE9 DF000015 +@0CEA D839883A +@0CEB D0A00917 +@0CEC 10000326 +@0CED D0A00917 +@0CEE 103EE83A +@0CEF 00000106 +@0CF0 D0A01104 +@0CF1 E037883A +@0CF2 DFC00117 +@0CF3 DF000017 +@0CF4 DEC00204 +@0CF5 F800283A +@0CF6 DEFFED04 +@0CF7 DFC01215 +@0CF8 DF001115 +@0CF9 DF001104 +@0CFA E13FFF15 +@0CFB E0BFFF17 +@0CFC 10000816 +@0CFD 01400304 +@0CFE E13FFF17 +@0CFF 00031180 +@0D00 1007883A +@0D01 00800034 +@0D02 10982B04 +@0D03 1885883A +@0D04 00000106 +@0D05 0005883A +@0D06 E0BFEF15 +@0D07 E0BFEF17 +@0D08 10000E26 +@0D09 E0BFEF17 +@0D0A 10800017 +@0D0B 10800817 +@0D0C 1000021E +@0D0D 00800044 +@0D0E 00000D06 +@0D0F E0BFF004 +@0D10 100B883A +@0D11 E13FFF17 +@0D12 00032E40 +@0D13 E0BFF117 +@0D14 10880020 +@0D15 10803FCC +@0D16 00000506 +@0D17 000339C0 +@0D18 1007883A +@0D19 00801444 +@0D1A 18800015 +@0D1B 0005883A +@0D1C E037883A +@0D1D DFC00117 +@0D1E DF000017 +@0D1F DEC00204 +@0D20 F800283A +@0D21 DEFFFE04 +@0D22 DFC00115 +@0D23 DF000015 +@0D24 D839883A +@0D25 D0A00917 +@0D26 10000326 +@0D27 D0A00917 +@0D28 103EE83A +@0D29 00000106 +@0D2A D0A01104 +@0D2B E037883A +@0D2C DFC00117 +@0D2D DF000017 +@0D2E DEC00204 +@0D2F F800283A +@0D30 DEFFF904 +@0D31 DFC00615 +@0D32 DF000515 +@0D33 DF000504 +@0D34 E13FFD15 +@0D35 E17FFE15 +@0D36 E1BFFF15 +@0D37 E03FFB15 +@0D38 E0BFFD17 +@0D39 10000816 +@0D3A 01400304 +@0D3B E13FFD17 +@0D3C 00031180 +@0D3D 1007883A +@0D3E 00800034 +@0D3F 10982B04 +@0D40 1885883A +@0D41 00000106 +@0D42 0005883A +@0D43 E0BFFC15 +@0D44 E0BFFC17 +@0D45 10001026 +@0D46 E0BFFC17 +@0D47 10800017 +@0D48 10800717 +@0D49 10000926 +@0D4A E0BFFC17 +@0D4B 10800017 +@0D4C 10800717 +@0D4D E1BFFF17 +@0D4E E17FFE17 +@0D4F E13FFC17 +@0D50 103EE83A +@0D51 E0BFFB15 +@0D52 00000506 +@0D53 00BFDE84 +@0D54 E0BFFB15 +@0D55 00000206 +@0D56 00BFEBC4 +@0D57 E0BFFB15 +@0D58 E0BFFB17 +@0D59 1000070E +@0D5A 00034840 +@0D5B 1007883A +@0D5C E0BFFB17 +@0D5D 0085C83A +@0D5E 18800015 +@0D5F 00BFFFC4 +@0D60 E0BFFB15 +@0D61 E0BFFB17 +@0D62 E037883A +@0D63 DFC00117 +@0D64 DF000017 +@0D65 DEC00204 +@0D66 F800283A +@0D67 DEFFFD04 +@0D68 DFC00215 +@0D69 DF000115 +@0D6A DF000104 +@0D6B 0009883A +@0D6C 0003A440 +@0D6D 0001883A +@0D6E 0003A7C0 +@0D6F 01800034 +@0D70 31960E04 +@0D71 01400034 +@0D72 29560E04 +@0D73 01000034 +@0D74 21160E04 +@0D75 0004C880 +@0D76 00048440 +@0D77 01000034 +@0D78 21122904 +@0D79 00054480 +@0D7A D0A01217 +@0D7B D0E01317 +@0D7C D1201417 +@0D7D 200D883A +@0D7E 180B883A +@0D7F 1009883A +@0D80 00002480 +@0D81 E0BFFF15 +@0D82 01000044 +@0D83 000317C0 +@0D84 E13FFF17 +@0D85 000545C0 +@0D86 DEFFFE04 +@0D87 DF000115 +@0D88 DF000104 +@0D89 E13FFF15 +@0D8A 0001883A +@0D8B E037883A +@0D8C DF000017 +@0D8D DEC00104 +@0D8E F800283A +@0D8F DEFFFE04 +@0D90 DF000115 +@0D91 DF000104 +@0D92 E13FFF15 +@0D93 0001883A +@0D94 E037883A +@0D95 DF000017 +@0D96 DEC00104 +@0D97 F800283A +@0D98 DEFFFE04 +@0D99 DFC00115 +@0D9A DF000015 +@0D9B D839883A +@0D9C D0A00917 +@0D9D 10000326 +@0D9E D0A00917 +@0D9F 103EE83A +@0DA0 00000106 +@0DA1 D0A01104 +@0DA2 E037883A +@0DA3 DFC00117 +@0DA4 DF000017 +@0DA5 DEC00204 +@0DA6 F800283A +@0DA7 DEFFF904 +@0DA8 DFC00615 +@0DA9 DF000515 +@0DAA DF000504 +@0DAB E13FFD15 +@0DAC E17FFE15 +@0DAD E1BFFF15 +@0DAE E0BFFD17 +@0DAF 10000816 +@0DB0 01400304 +@0DB1 E13FFD17 +@0DB2 00031180 +@0DB3 1007883A +@0DB4 00800034 +@0DB5 10982B04 +@0DB6 1885883A +@0DB7 00000106 +@0DB8 0005883A +@0DB9 E0BFFB15 +@0DBA E0BFFB17 +@0DBB 10002226 +@0DBC E0BFFB17 +@0DBD 10800217 +@0DBE 108000CC +@0DBF 10800060 +@0DC0 1000181E +@0DC1 E0BFFB17 +@0DC2 10800017 +@0DC3 10800517 +@0DC4 10001426 +@0DC5 E0BFFB17 +@0DC6 10800017 +@0DC7 10800517 +@0DC8 E0FFFF17 +@0DC9 180D883A +@0DCA E17FFE17 +@0DCB E13FFB17 +@0DCC 103EE83A +@0DCD E0BFFC15 +@0DCE E0BFFC17 +@0DCF 1000070E +@0DD0 00036600 +@0DD1 1007883A +@0DD2 E0BFFC17 +@0DD3 0085C83A +@0DD4 18800015 +@0DD5 00BFFFC4 +@0DD6 00000C06 +@0DD7 E0BFFC17 +@0DD8 00000A06 +@0DD9 00036600 +@0DDA 1007883A +@0DDB 00800344 +@0DDC 18800015 +@0DDD 00000406 +@0DDE 00036600 +@0DDF 1007883A +@0DE0 00801444 +@0DE1 18800015 +@0DE2 00BFFFC4 +@0DE3 E037883A +@0DE4 DFC00117 +@0DE5 DF000017 +@0DE6 DEC00204 +@0DE7 F800283A +@0DE8 DEFFFC04 +@0DE9 DFC00315 +@0DEA DF000215 +@0DEB DC000115 +@0DEC DF000204 +@0DED E13FFE15 +@0DEE E0BFFE17 +@0DEF 108000D0 +@0DF0 1000111E +@0DF1 04000034 +@0DF2 84182B04 +@0DF3 E0BFFE17 +@0DF4 01400304 +@0DF5 1009883A +@0DF6 00031180 +@0DF7 8085883A +@0DF8 10800204 +@0DF9 10000015 +@0DFA 04000034 +@0DFB 84182B04 +@0DFC E0BFFE17 +@0DFD 01400304 +@0DFE 1009883A +@0DFF 00031180 +@0E00 8085883A +@0E01 10000015 +@0E02 0001883A +@0E03 E6FFFF04 +@0E04 DFC00217 +@0E05 DF000117 +@0E06 DC000017 +@0E07 DEC00304 +@0E08 F800283A +@0E09 DEFFF904 +@0E0A DF000615 +@0E0B DF000604 +@0E0C E13FFF15 +@0E0D 0005303A +@0E0E E0BFFE15 +@0E0F E0FFFE17 +@0E10 00BFFF84 +@0E11 1884703A +@0E12 1001703A +@0E13 E0BFFE17 +@0E14 E0BFFB15 +@0E15 D0A00A17 +@0E16 10C000C4 +@0E17 00BFFF04 +@0E18 1884703A +@0E19 D0A00A15 +@0E1A D0E00A17 +@0E1B E0BFFF17 +@0E1C 1887883A +@0E1D 008000B4 +@0E1E 10800004 +@0E1F 10C0062E +@0E20 E0BFFB17 +@0E21 E0BFFA15 +@0E22 E0BFFA17 +@0E23 1001703A +@0E24 00BFFFC4 +@0E25 00000B06 +@0E26 D0A00A17 +@0E27 E0BFFD15 +@0E28 D0E00A17 +@0E29 E0BFFF17 +@0E2A 1885883A +@0E2B D0A00A15 +@0E2C E0BFFB17 +@0E2D E0BFFC15 +@0E2E E0BFFC17 +@0E2F 1001703A +@0E30 E0BFFD17 +@0E31 E037883A +@0E32 DF000017 +@0E33 DEC00104 +@0E34 F800283A +@0E35 DEFFFE04 +@0E36 DFC00115 +@0E37 DF000015 +@0E38 D839883A +@0E39 D0A00917 +@0E3A 10000326 +@0E3B D0A00917 +@0E3C 103EE83A +@0E3D 00000106 +@0E3E D0A01104 +@0E3F E037883A +@0E40 DFC00117 +@0E41 DF000017 +@0E42 DEC00204 +@0E43 F800283A +@0E44 DEFFF904 +@0E45 DFC00615 +@0E46 DF000515 +@0E47 DF000504 +@0E48 E13FFD15 +@0E49 E17FFE15 +@0E4A E1BFFF15 +@0E4B E0BFFD17 +@0E4C 10000816 +@0E4D 01400304 +@0E4E E13FFD17 +@0E4F 00031180 +@0E50 1007883A +@0E51 00800034 +@0E52 10982B04 +@0E53 1885883A +@0E54 00000106 +@0E55 0005883A +@0E56 E0BFFB15 +@0E57 E0BFFB17 +@0E58 10002126 +@0E59 E0BFFB17 +@0E5A 10800217 +@0E5B 108000CC +@0E5C 10001826 +@0E5D E0BFFB17 +@0E5E 10800017 +@0E5F 10800617 +@0E60 10001426 +@0E61 E0BFFB17 +@0E62 10800017 +@0E63 10800617 +@0E64 E0FFFF17 +@0E65 180D883A +@0E66 E17FFE17 +@0E67 E13FFB17 +@0E68 103EE83A +@0E69 E0BFFC15 +@0E6A E0BFFC17 +@0E6B 1000070E +@0E6C 00038D40 +@0E6D 1007883A +@0E6E E0BFFC17 +@0E6F 0085C83A +@0E70 18800015 +@0E71 00BFFFC4 +@0E72 00000C06 +@0E73 E0BFFC17 +@0E74 00000A06 +@0E75 00038D40 +@0E76 1007883A +@0E77 00800344 +@0E78 18800015 +@0E79 00000406 +@0E7A 00038D40 +@0E7B 1007883A +@0E7C 00801444 +@0E7D 18800015 +@0E7E 00BFFFC4 +@0E7F E037883A +@0E80 DFC00117 +@0E81 DF000017 +@0E82 DEC00204 +@0E83 F800283A +@0E84 DEFFFD04 +@0E85 DFC00215 +@0E86 DF000115 +@0E87 DF000104 +@0E88 E13FFF15 +@0E89 D1600604 +@0E8A E13FFF17 +@0E8B 00047A00 +@0E8C E037883A +@0E8D DFC00117 +@0E8E DF000017 +@0E8F DEC00204 +@0E90 F800283A +@0E91 DEFFFD04 +@0E92 DFC00215 +@0E93 DF000115 +@0E94 DF000104 +@0E95 E13FFF15 +@0E96 000512C0 +@0E97 00800044 +@0E98 1001703A +@0E99 0001883A +@0E9A E037883A +@0E9B DFC00117 +@0E9C DF000017 +@0E9D DEC00204 +@0E9E F800283A +@0E9F DEFFFE04 +@0EA0 DFC00115 +@0EA1 DF000015 +@0EA2 D839883A +@0EA3 01C0FA04 +@0EA4 000D883A +@0EA5 000B883A +@0EA6 010000B4 +@0EA7 21040004 +@0EA8 00045BC0 +@0EA9 01800044 +@0EAA 000B883A +@0EAB 01000034 +@0EAC 21189504 +@0EAD 0003C400 +@0EAE 01000034 +@0EAF 21188B04 +@0EB0 0003A100 +@0EB1 0001883A +@0EB2 E037883A +@0EB3 DFC00117 +@0EB4 DF000017 +@0EB5 DEC00204 +@0EB6 F800283A +@0EB7 DEFFFA04 +@0EB8 DFC00515 +@0EB9 DF000415 +@0EBA DF000404 +@0EBB E13FFD15 +@0EBC E17FFE15 +@0EBD E1BFFF15 +@0EBE E0BFFD17 +@0EBF 10800017 +@0EC0 E0BFFC15 +@0EC1 E0BFFC17 +@0EC2 10C00A04 +@0EC3 E0BFFD17 +@0EC4 10800217 +@0EC5 100F883A +@0EC6 E1BFFF17 +@0EC7 E17FFE17 +@0EC8 1809883A +@0EC9 00041040 +@0ECA E037883A +@0ECB DFC00117 +@0ECC DF000017 +@0ECD DEC00204 +@0ECE F800283A +@0ECF DEFFFA04 +@0ED0 DFC00515 +@0ED1 DF000415 +@0ED2 DF000404 +@0ED3 E13FFD15 +@0ED4 E17FFE15 +@0ED5 E1BFFF15 +@0ED6 E0BFFD17 +@0ED7 10800017 +@0ED8 E0BFFC15 +@0ED9 E0BFFC17 +@0EDA 10C00A04 +@0EDB E0BFFD17 +@0EDC 10800217 +@0EDD 100F883A +@0EDE E1BFFF17 +@0EDF E17FFE17 +@0EE0 1809883A +@0EE1 00043200 +@0EE2 E037883A +@0EE3 DFC00117 +@0EE4 DF000017 +@0EE5 DEC00204 +@0EE6 F800283A +@0EE7 DEFFFC04 +@0EE8 DFC00315 +@0EE9 DF000215 +@0EEA DF000204 +@0EEB E13FFF15 +@0EEC E0BFFF17 +@0EED 10800017 +@0EEE E0BFFE15 +@0EEF E0BFFE17 +@0EF0 10C00A04 +@0EF1 E0BFFF17 +@0EF2 10800217 +@0EF3 100B883A +@0EF4 1809883A +@0EF5 0003FAC0 +@0EF6 E037883A +@0EF7 DFC00117 +@0EF8 DF000017 +@0EF9 DEC00204 +@0EFA F800283A +@0EFB DEFFFA04 +@0EFC DFC00515 +@0EFD DF000415 +@0EFE DF000404 +@0EFF E13FFD15 +@0F00 E17FFE15 +@0F01 E1BFFF15 +@0F02 E0BFFD17 +@0F03 10800017 +@0F04 E0BFFC15 +@0F05 E0BFFC17 +@0F06 10800A04 +@0F07 E1BFFF17 +@0F08 E17FFE17 +@0F09 1009883A +@0F0A 00040140 +@0F0B E037883A +@0F0C DFC00117 +@0F0D DF000017 +@0F0E DEC00204 +@0F0F F800283A +@0F10 DEFFFA04 +@0F11 DFC00515 +@0F12 DF000415 +@0F13 DF000404 +@0F14 E13FFD15 +@0F15 E17FFE15 +@0F16 E1BFFF15 +@0F17 E0BFFD17 +@0F18 00C00044 +@0F19 10C00815 +@0F1A E0BFFD17 +@0F1B 10800017 +@0F1C 10800104 +@0F1D 1007883A +@0F1E E0BFFD17 +@0F1F 10800817 +@0F20 18800035 +@0F21 E0BFFE17 +@0F22 E0FFFF17 +@0F23 D8000015 +@0F24 E1FFFD17 +@0F25 01800034 +@0F26 318F4004 +@0F27 180B883A +@0F28 1009883A +@0F29 00049040 +@0F2A E0BFFD17 +@0F2B 10000915 +@0F2C E0BFFD17 +@0F2D 10800204 +@0F2E D0E01617 +@0F2F E1FFFD17 +@0F30 01800034 +@0F31 318FC304 +@0F32 180B883A +@0F33 1009883A +@0F34 00046380 +@0F35 1000040E +@0F36 E0FFFD17 +@0F37 00A00034 +@0F38 10BFFFC4 +@0F39 18800115 +@0F3A 0001883A +@0F3B E037883A +@0F3C DFC00117 +@0F3D DF000017 +@0F3E DEC00204 +@0F3F F800283A +@0F40 DEFFF804 +@0F41 DF000715 +@0F42 DF000704 +@0F43 E13FFF15 +@0F44 E0BFFF17 +@0F45 E0BFFB15 +@0F46 E0BFFB17 +@0F47 10800017 +@0F48 E0BFFC15 +@0F49 E0BFFC17 +@0F4A 10800104 +@0F4B 10800037 +@0F4C E0BFFD15 +@0F4D E0BFFD17 +@0F4E 1080C00C +@0F4F 10006D26 +@0F50 E0BFFD17 +@0F51 1080400C +@0F52 10003526 +@0F53 00800074 +@0F54 E0BFF915 +@0F55 E0BFFB17 +@0F56 10800A17 +@0F57 10800044 +@0F58 1081FFCC +@0F59 E0BFFE15 +@0F5A E0BFFB17 +@0F5B 10C00B17 +@0F5C E0BFFE17 +@0F5D 18801526 +@0F5E E0BFFC17 +@0F5F 10800037 +@0F60 E0BFF915 +@0F61 E0BFF917 +@0F62 10A0000C +@0F63 10001126 +@0F64 E0BFFB17 +@0F65 10800A17 +@0F66 E0FFF917 +@0F67 1809883A +@0F68 E0FFFB17 +@0F69 1885883A +@0F6A 10800E04 +@0F6B 11000005 +@0F6C E0BFFB17 +@0F6D 10800A17 +@0F6E 10800044 +@0F6F 10C1FFCC +@0F70 E0BFFB17 +@0F71 10C00A15 +@0F72 003FE206 +@0F73 0001883A +@0F74 00000106 +@0F75 0001883A +@0F76 E0BFF917 +@0F77 10BFFFEC +@0F78 10000F26 +@0F79 E0BFFB17 +@0F7A 10C00817 +@0F7B 00BFFF84 +@0F7C 1886703A +@0F7D E0BFFB17 +@0F7E 10C00815 +@0F7F E0BFFC17 +@0F80 10800104 +@0F81 1007883A +@0F82 E0BFFB17 +@0F83 10800817 +@0F84 18800035 +@0F85 E0BFFC17 +@0F86 10800104 +@0F87 10800037 +@0F88 E0BFFD17 +@0F89 1080800C +@0F8A 103FBE26 +@0F8B E0BFFD17 +@0F8C 1004D43A +@0F8D E0BFFA15 +@0F8E 00001406 +@0F8F E0BFFC17 +@0F90 E0FFFB17 +@0F91 18C00D17 +@0F92 E13FFB17 +@0F93 20C7883A +@0F94 18C20E04 +@0F95 18C00003 +@0F96 18C03FCC +@0F97 18C0201C +@0F98 18FFE004 +@0F99 10C00035 +@0F9A E0BFFB17 +@0F9B 10800D17 +@0F9C 10800044 +@0F9D 10C1FFCC +@0F9E E0BFFB17 +@0F9F 10C00D15 +@0FA0 E0BFFA17 +@0FA1 10BFFFC4 +@0FA2 E0BFFA15 +@0FA3 E0BFFA17 +@0FA4 10000526 +@0FA5 E0BFFB17 +@0FA6 10C00D17 +@0FA7 E0BFFB17 +@0FA8 10800C17 +@0FA9 18BFE51E +@0FAA E0BFFA17 +@0FAB 103F9D26 +@0FAC E0BFFB17 +@0FAD 10C00817 +@0FAE 00BFFF44 +@0FAF 1886703A +@0FB0 E0BFFB17 +@0FB1 10C00815 +@0FB2 E0BFFB17 +@0FB3 10800017 +@0FB4 10800104 +@0FB5 1007883A +@0FB6 E0BFFB17 +@0FB7 10800817 +@0FB8 18800035 +@0FB9 E0BFFC17 +@0FBA 10800104 +@0FBB 10800037 +@0FBC 003F8C06 @0FBD 0001883A -@0FBE E037883A -@0FBF DF000017 -@0FC0 DEC00104 -@0FC1 F800283A -@0FC2 DEFFF804 -@0FC3 DF000715 -@0FC4 DF000704 -@0FC5 E13FFB15 -@0FC6 E0BFFB17 -@0FC7 E0BFF915 -@0FC8 E0BFF917 -@0FC9 10800017 -@0FCA 10800104 -@0FCB 10800037 -@0FCC E0BFFA15 -@0FCD E0BFFA17 -@0FCE 1081000C -@0FCF 10000B26 -@0FD0 E0BFF917 -@0FD1 10800017 -@0FD2 10800104 -@0FD3 1007883A -@0FD4 E0BFF917 -@0FD5 10800817 -@0FD6 10810014 -@0FD7 18800035 -@0FD8 E0BFF917 -@0FD9 10000915 -@0FDA 00000A06 -@0FDB E0BFF917 -@0FDC 10C00917 -@0FDD 00A00034 -@0FDE 10BFFF04 -@0FDF 10C00536 -@0FE0 E0BFF917 -@0FE1 10800917 -@0FE2 10C00044 -@0FE3 E0BFF917 -@0FE4 10C00915 -@0FE5 D0A01617 -@0FE6 E037883A -@0FE7 DF000017 -@0FE8 DEC00104 -@0FE9 F800283A -@0FEA DEFFFD04 -@0FEB DF000215 -@0FEC DF000204 -@0FED E13FFE15 -@0FEE E17FFF15 -@0FEF 00000506 -@0FF0 E0BFFF17 -@0FF1 1090000C -@0FF2 10000226 -@0FF3 00BFFD44 -@0FF4 00000B06 -@0FF5 E0BFFE17 -@0FF6 10C00D17 -@0FF7 E0BFFE17 -@0FF8 10800C17 -@0FF9 18800526 -@0FFA E0BFFE17 -@0FFB 10C00917 -@0FFC E0BFFE17 -@0FFD 10800117 -@0FFE 18BFF136 -@0FFF 0005883A -@1000 E037883A -@1001 DF000017 -@1002 DEC00104 -@1003 F800283A -@1004 DEFFFA04 -@1005 DF000515 -@1006 DF000504 -@1007 E13FFD15 -@1008 E17FFE15 -@1009 E1BFFF15 -@100A 00BFF9C4 -@100B E0BFFB15 -@100C E0BFFE17 -@100D 10DA8060 -@100E 1800031E -@100F 109A80A0 -@1010 1000181E -@1011 00002906 -@1012 E0BFFD17 -@1013 10C00117 -@1014 00A00034 -@1015 10BFFFC4 -@1016 18802126 -@1017 E0BFFF17 -@1018 10800017 -@1019 E0BFFC15 -@101A E0BFFC17 -@101B 10800090 -@101C 1000061E -@101D E0FFFC17 -@101E 00A00034 -@101F 10BFFFC4 -@1020 18800226 -@1021 E0BFFC17 -@1022 00000206 -@1023 00A00034 -@1024 10BFFF84 -@1025 E0FFFD17 -@1026 18800115 -@1027 E03FFB15 -@1028 00000F06 -@1029 E0BFFD17 -@102A 10C00117 -@102B 00A00034 -@102C 10BFFFC4 -@102D 18800C26 -@102E E0BFFD17 -@102F 10C00917 -@1030 E0BFFD17 -@1031 10800117 -@1032 1885803A -@1033 10C03FCC -@1034 E0BFFF17 -@1035 10C00015 -@1036 E03FFB15 -@1037 00000206 -@1038 0001883A -@1039 00000106 -@103A 0001883A -@103B E0BFFB17 -@103C E037883A -@103D DF000017 -@103E DEC00104 -@103F F800283A -@1040 DEFFF304 -@1041 DFC00C15 -@1042 DF000B15 -@1043 DF000B04 -@1044 E13FFC15 -@1045 E17FFD15 -@1046 E1BFFE15 -@1047 E1FFFF15 -@1048 E0BFFD17 -@1049 E0BFF515 -@104A 00004706 -@104B E0BFFC17 -@104C 10800A17 -@104D E0BFF715 -@104E E0BFFC17 -@104F 10800B17 -@1050 E0BFF815 -@1051 E0FFF717 -@1052 E0BFF817 -@1053 18800536 -@1054 E0FFF717 -@1055 E0BFF817 -@1056 1885C83A -@1057 E0BFF615 -@1058 00000406 -@1059 00C20004 -@105A E0BFF817 -@105B 1885C83A -@105C E0BFF615 -@105D E0BFF617 -@105E 10001E26 -@105F E0FFFE17 -@1060 E0BFF617 -@1061 1880022E -@1062 E0BFFE17 -@1063 E0BFF615 -@1064 E0BFFC17 -@1065 10C00E04 -@1066 E0BFF817 -@1067 1885883A -@1068 E1BFF617 -@1069 100B883A -@106A E13FF517 -@106B 000178C0 -@106C E0FFF517 -@106D E0BFF617 -@106E 1885883A -@106F E0BFF515 -@1070 E0FFFE17 -@1071 E0BFF617 -@1072 1885C83A -@1073 E0BFFE15 -@1074 E0FFF817 -@1075 E0BFF617 -@1076 1885883A -@1077 10C1FFCC -@1078 E0BFFC17 -@1079 10C00B15 -@107A E0BFFE17 -@107B 00BFCF16 -@107C 00000106 -@107D 0001883A -@107E E0FFF517 -@107F E0BFFD17 -@1080 1880141E -@1081 E0BFFF17 -@1082 1090000C -@1083 1000131E -@1084 0001883A -@1085 E0BFFC17 -@1086 10C00A17 -@1087 E0BFF717 -@1088 1880051E -@1089 E0BFFC17 -@108A 10C00917 -@108B E0BFFC17 -@108C 10800117 -@108D 18BFF736 -@108E E0BFFC17 -@108F 10C00A17 -@1090 E0BFF717 -@1091 18800726 -@1092 E0BFFE17 -@1093 00BFB716 -@1094 00000506 -@1095 0001883A -@1096 00000306 -@1097 0001883A -@1098 00000106 -@1099 0001883A -@109A E0FFF517 -@109B E0BFFD17 -@109C 18801826 -@109D 0005303A -@109E E0BFFB15 -@109F E0FFFB17 -@10A0 00BFFF84 -@10A1 1884703A -@10A2 1001703A -@10A3 E0BFFB17 -@10A4 E0BFFA15 -@10A5 E0BFFC17 -@10A6 10800817 -@10A7 10C00054 -@10A8 E0BFFC17 -@10A9 10C00815 -@10AA E0BFFC17 -@10AB 10800017 -@10AC 10800104 -@10AD 1007883A -@10AE E0BFFC17 -@10AF 10800817 -@10B0 18800035 -@10B1 E0BFFA17 -@10B2 E0BFF915 -@10B3 E0BFF917 -@10B4 1001703A -@10B5 E0FFF517 -@10B6 E0BFFD17 -@10B7 18800426 -@10B8 E0FFF517 -@10B9 E0BFFD17 -@10BA 1885C83A -@10BB 00000606 -@10BC E0BFFF17 -@10BD 1090000C -@10BE 10000226 -@10BF 00BFFD44 -@10C0 00000106 -@10C1 00BFFEC4 -@10C2 E037883A -@10C3 DFC00117 -@10C4 DF000017 -@10C5 DEC00204 -@10C6 F800283A -@10C7 DEFFF304 -@10C8 DFC00C15 -@10C9 DF000B15 -@10CA DF000B04 -@10CB E13FFC15 -@10CC E17FFD15 -@10CD E1BFFE15 -@10CE E1FFFF15 -@10CF E03FF515 -@10D0 E0BFFD17 -@10D1 E0BFF715 -@10D2 00003706 -@10D3 E0BFFC17 -@10D4 10800C17 -@10D5 E0BFF915 -@10D6 E0BFFC17 -@10D7 10800D17 -@10D8 E0BFF515 -@10D9 E0FFF917 -@10DA E0BFF517 -@10DB 1880062E -@10DC E0FFF517 -@10DD E0BFF917 -@10DE 1885C83A -@10DF 10BFFFC4 -@10E0 E0BFF615 -@10E1 00000B06 -@10E2 E0BFF517 -@10E3 10000526 -@10E4 00C20004 -@10E5 E0BFF917 -@10E6 1885C83A -@10E7 E0BFF615 -@10E8 00000406 -@10E9 00C1FFC4 -@10EA E0BFF917 -@10EB 1885C83A -@10EC E0BFF615 -@10ED E0BFF617 -@10EE 10001E26 -@10EF E0FFFE17 -@10F0 E0BFF617 -@10F1 1880022E -@10F2 E0BFFE17 -@10F3 E0BFF615 -@10F4 E0BFFC17 -@10F5 10C20E04 -@10F6 E0BFF917 -@10F7 1885883A -@10F8 E1BFF617 -@10F9 E17FFD17 -@10FA 1009883A -@10FB 000178C0 -@10FC E0FFFD17 -@10FD E0BFF617 -@10FE 1885883A -@10FF E0BFFD15 -@1100 E0FFFE17 -@1101 E0BFF617 -@1102 1885C83A -@1103 E0BFFE15 -@1104 E0FFF917 -@1105 E0BFF617 -@1106 1885883A -@1107 10C1FFCC -@1108 E0BFFC17 -@1109 10C00C15 -@110A E0BFFE17 -@110B 00BFC716 -@110C 00000106 -@110D 0001883A -@110E 0005303A -@110F E0BFFB15 -@1110 E0FFFB17 -@1111 00BFFF84 -@1112 1884703A -@1113 1001703A -@1114 E0BFFB17 -@1115 E0BFFA15 -@1116 E0BFFC17 -@1117 10800817 -@1118 10C00094 -@1119 E0BFFC17 -@111A 10C00815 -@111B E0BFFC17 -@111C 10800017 -@111D 10800104 -@111E 1007883A -@111F E0BFFC17 -@1120 10800817 -@1121 18800035 -@1122 E0BFFA17 -@1123 E0BFF815 -@1124 E0BFF817 -@1125 1001703A -@1126 E0BFFE17 -@1127 0080100E -@1128 E0BFFF17 -@1129 1090000C -@112A 1000101E -@112B 0001883A -@112C E0BFFC17 -@112D 10C00D17 -@112E E0BFF517 -@112F 1880051E -@1130 E0BFFC17 -@1131 10C00917 -@1132 E0BFFC17 -@1133 10800117 -@1134 18BFF736 -@1135 E0BFFC17 -@1136 10800917 -@1137 1000051E -@1138 E0BFFE17 -@1139 00BFD016 -@113A 00000306 -@113B 0001883A -@113C 00000106 -@113D 0001883A -@113E E0FFFD17 -@113F E0BFF717 -@1140 18800426 -@1141 E0FFFD17 -@1142 E0BFF717 -@1143 1885C83A -@1144 00000606 -@1145 E0BFFF17 -@1146 1090000C -@1147 10000226 -@1148 00BFFD44 -@1149 00000106 -@114A 00BFFEC4 -@114B E037883A -@114C DFC00117 -@114D DF000017 -@114E DEC00204 -@114F F800283A -@1150 DEFFFA04 -@1151 DFC00515 -@1152 DF000415 -@1153 DF000404 -@1154 E13FFF15 -@1155 0007883A -@1156 E0BFFF17 -@1157 10C00035 -@1158 E0BFFF17 -@1159 10800104 -@115A 10800037 -@115B 0005303A -@115C E0BFFD15 -@115D E0FFFD17 -@115E 00BFFF84 -@115F 1884703A -@1160 1001703A -@1161 E0BFFD17 -@1162 E0BFFC15 -@1163 00050200 -@1164 E0BFFC17 -@1165 E0BFFE15 -@1166 E0BFFE17 -@1167 1001703A -@1168 0001883A -@1169 E037883A -@116A DFC00117 -@116B DF000017 -@116C DEC00204 -@116D F800283A -@116E DEFFF804 -@116F DFC00715 -@1170 DF000615 -@1171 DF000604 -@1172 E13FFC15 -@1173 E17FFD15 -@1174 E1BFFE15 -@1175 E1FFFF15 -@1176 E0BFFF17 -@1177 E0BFFB15 -@1178 D0A01617 -@1179 1000021E -@117A E0BFFB17 -@117B D0A01615 -@117C E0BFFC17 -@117D 10800104 -@117E 00C001C4 -@117F 10C00035 -@1180 D8000015 -@1181 E1FFFC17 -@1182 01800034 -@1183 31915004 -@1184 E17FFE17 -@1185 E13FFD17 -@1186 00049000 -@1187 0001883A -@1188 E037883A -@1189 DFC00117 -@118A DF000017 -@118B DEC00204 -@118C F800283A -@118D DEFFF504 -@118E DF000A15 -@118F DF000A04 -@1190 E13FFC15 -@1191 E17FFD15 -@1192 E1BFFE15 -@1193 E1FFFF15 -@1194 E03FF615 -@1195 D0A01617 -@1196 10003C26 -@1197 E0BFFC17 -@1198 10003826 -@1199 E0BFFC17 -@119A E0FFFE17 -@119B 10C00315 -@119C E0BFFC17 -@119D E0FFFF17 -@119E 10C00515 -@119F 0005303A -@11A0 E0BFF915 -@11A1 E0FFF917 -@11A2 00BFFF84 -@11A3 1884703A -@11A4 1001703A -@11A5 E0BFF917 -@11A6 E0BFF815 -@11A7 D0A01717 -@11A8 E0BFF615 -@11A9 E0FFFD17 -@11AA E0BFF617 -@11AB 1885883A -@11AC 10C00044 -@11AD E0BFFC17 -@11AE 10C00215 -@11AF E0BFFC17 -@11B0 10C00217 -@11B1 E0BFF617 -@11B2 1880042E -@11B3 E0BFFC17 -@11B4 00C00044 -@11B5 10C00405 -@11B6 00000206 -@11B7 E0BFFC17 -@11B8 10000405 -@11B9 E0BFFC17 -@11BA D0E00C04 -@11BB E0FFFA15 -@11BC E0BFFB15 -@11BD E0BFFB17 -@11BE E0FFFA17 -@11BF 10C00115 -@11C0 E0BFFA17 -@11C1 10C00017 -@11C2 E0BFFB17 -@11C3 10C00015 -@11C4 E0BFFA17 -@11C5 10800017 -@11C6 E0FFFB17 -@11C7 10C00115 -@11C8 E0BFFA17 -@11C9 E0FFFB17 -@11CA 10C00015 -@11CB E0BFF817 -@11CC E0BFF715 -@11CD E0BFF717 -@11CE 1001703A -@11CF 0005883A -@11D0 00000306 -@11D1 00BFFA84 -@11D2 00000106 -@11D3 00BFDE84 -@11D4 E037883A -@11D5 DF000017 -@11D6 DEC00104 -@11D7 F800283A -@11D8 DEFFFE04 -@11D9 DFC00115 -@11DA DF000015 -@11DB D839883A -@11DC D0A00917 -@11DD 10000326 -@11DE D0A00917 -@11DF 103EE83A -@11E0 00000106 -@11E1 D0A01104 -@11E2 E037883A -@11E3 DFC00117 -@11E4 DF000017 -@11E5 DEC00204 -@11E6 F800283A -@11E7 DEFFFA04 -@11E8 DFC00515 -@11E9 DF000415 -@11EA DF000404 -@11EB E13FFE15 -@11EC E17FFF15 -@11ED E0BFFE17 -@11EE 10000326 -@11EF E0BFFE17 -@11F0 10800217 -@11F1 1000061E -@11F2 00047600 -@11F3 1007883A -@11F4 00800584 -@11F5 18800015 -@11F6 00BFFA84 -@11F7 00001306 -@11F8 E0BFFE17 -@11F9 E0FFFF17 -@11FA E0FFFC15 -@11FB E0BFFD15 -@11FC E0BFFD17 -@11FD E0FFFC17 -@11FE 10C00115 -@11FF E0BFFC17 -@1200 10C00017 -@1201 E0BFFD17 -@1202 10C00015 -@1203 E0BFFC17 -@1204 10800017 -@1205 E0FFFD17 -@1206 10C00115 -@1207 E0BFFC17 -@1208 E0FFFD17 -@1209 10C00015 -@120A 0005883A -@120B E037883A -@120C DFC00117 -@120D DF000017 -@120E DEC00204 -@120F F800283A -@1210 DEFFFD04 -@1211 DFC00215 -@1212 DF000115 -@1213 DF000104 -@1214 00800034 -@1215 1095F504 -@1216 E0BFFF15 -@1217 00000606 -@1218 E0BFFF17 -@1219 10800017 -@121A 103EE83A -@121B E0BFFF17 -@121C 10BFFF04 -@121D E0BFFF15 -@121E E0FFFF17 -@121F 00800034 -@1220 1095F604 -@1221 18BFF62E -@1222 0001883A -@1223 E037883A -@1224 DFC00117 -@1225 DF000017 -@1226 DEC00204 -@1227 F800283A -@1228 DEFFFD04 -@1229 DFC00215 -@122A DF000115 -@122B DF000104 -@122C 00800034 -@122D 1095F504 -@122E E0BFFF15 -@122F 00000606 -@1230 E0BFFF17 -@1231 10800017 -@1232 103EE83A -@1233 E0BFFF17 -@1234 10BFFF04 -@1235 E0BFFF15 -@1236 E0FFFF17 -@1237 00800034 -@1238 1095F604 -@1239 18BFF62E -@123A 0001883A -@123B E037883A -@123C DFC00117 -@123D DF000017 -@123E DEC00204 -@123F F800283A -@1240 DEFFF904 -@1241 DFC00615 -@1242 DF000515 -@1243 DF000504 -@1244 E13FFC15 -@1245 E17FFD15 -@1246 E1BFFE15 -@1247 E1FFFF15 -@1248 E0800217 -@1249 D8800015 -@124A E1FFFF17 -@124B E1BFFE17 -@124C E17FFD17 -@124D E13FFC17 -@124E 0004AB00 -@124F E037883A -@1250 DFC00117 -@1251 DF000017 -@1252 DEC00204 -@1253 F800283A -@1254 DEFFF904 -@1255 DF000615 -@1256 DF000604 -@1257 E13FFE15 -@1258 E17FFF15 -@1259 E0BFFF17 -@125A E0BFFA15 -@125B 0005303A -@125C E0BFFB15 -@125D E0FFFB17 -@125E 00BFFF84 -@125F 1884703A -@1260 1001703A -@1261 E0BFFB17 -@1262 E0BFFC15 -@1263 00C00044 -@1264 E0BFFA17 -@1265 1884983A -@1266 1007883A -@1267 D0A01517 -@1268 1884B03A -@1269 D0A01515 -@126A D0A01517 -@126B 100170FA -@126C E0BFFC17 -@126D E0BFFD15 -@126E E0BFFD17 -@126F 1001703A -@1270 0005883A -@1271 0001883A -@1272 E037883A -@1273 DF000017 -@1274 DEC00104 -@1275 F800283A -@1276 DEFFF904 -@1277 DF000615 -@1278 DF000604 -@1279 E13FFE15 -@127A E17FFF15 -@127B E0BFFF17 -@127C E0BFFA15 -@127D 0005303A -@127E E0BFFB15 -@127F E0FFFB17 -@1280 00BFFF84 -@1281 1884703A -@1282 1001703A -@1283 E0BFFB17 -@1284 E0BFFC15 -@1285 00C00044 -@1286 E0BFFA17 -@1287 1884983A -@1288 0084303A -@1289 1007883A -@128A D0A01517 -@128B 1884703A -@128C D0A01515 -@128D D0A01517 -@128E 100170FA -@128F E0BFFC17 -@1290 E0BFFD15 -@1291 E0BFFD17 -@1292 1001703A -@1293 0005883A -@1294 0001883A -@1295 E037883A -@1296 DF000017 -@1297 DEC00104 -@1298 F800283A -@1299 DEFFFC04 -@129A DF000315 -@129B DF000304 -@129C E13FFE15 -@129D E17FFF15 -@129E 000530FA -@129F E0BFFD15 -@12A0 00C00044 -@12A1 E0BFFF17 -@12A2 1884983A -@12A3 1007883A -@12A4 E0BFFD17 -@12A5 1884703A -@12A6 1004C03A -@12A7 10803FCC -@12A8 E037883A -@12A9 DF000017 -@12AA DEC00104 -@12AB F800283A -@12AC DEFFF504 -@12AD DFC00A15 -@12AE DF000915 -@12AF DF000904 -@12B0 E13FFC15 -@12B1 E17FFD15 -@12B2 E1BFFE15 -@12B3 E1FFFF15 -@12B4 00BFFA84 -@12B5 E0BFF715 -@12B6 E0BFFD17 -@12B7 E0BFF815 -@12B8 E0BFF817 -@12B9 10800808 -@12BA 1000271E -@12BB 0005303A -@12BC E0BFFB15 -@12BD E0FFFB17 -@12BE 00BFFF84 -@12BF 1884703A -@12C0 1001703A -@12C1 E0BFFB17 -@12C2 E0BFFA15 -@12C3 00800034 -@12C4 109CB904 -@12C5 E0FFF817 -@12C6 180690FA -@12C7 10C5883A -@12C8 E0FFFE17 -@12C9 10C00015 -@12CA 00800034 -@12CB 109CB904 -@12CC E0FFF817 -@12CD 180690FA -@12CE 10C5883A -@12CF 10800104 -@12D0 E0FFFF17 -@12D1 10C00015 -@12D2 E0BFFE17 -@12D3 10000526 -@12D4 E0BFF817 -@12D5 100B883A -@12D6 E13FFC17 -@12D7 00049500 -@12D8 00000406 -@12D9 E0BFF817 -@12DA 100B883A -@12DB E13FFC17 -@12DC 00049D80 -@12DD E0BFF715 -@12DE E0BFFA17 -@12DF E0BFF915 -@12E0 E0BFF917 -@12E1 1001703A -@12E2 E0BFF717 -@12E3 E037883A -@12E4 DFC00117 -@12E5 DF000017 -@12E6 DEC00204 -@12E7 F800283A -@12E8 DEFFF804 -@12E9 DFC00715 -@12EA DF000615 -@12EB DC000515 -@12EC DF000604 -@12ED E13FFB15 -@12EE E17FFC15 -@12EF E1BFFD15 -@12F0 E1FFFE15 -@12F1 E1BFFE17 -@12F2 E17FFD17 -@12F3 E13FFC17 -@12F4 0004E280 -@12F5 E0BFFA15 -@12F6 E0BFFA17 -@12F7 10002216 -@12F8 04000034 -@12F9 84181E04 -@12FA E0BFFA17 -@12FB 01400304 -@12FC 1009883A -@12FD 00031140 -@12FE 8085883A -@12FF 10C00017 -@1300 E0BFFB17 -@1301 10C00015 -@1302 04000034 -@1303 84181E04 -@1304 E0BFFA17 -@1305 01400304 -@1306 1009883A -@1307 00031140 -@1308 8085883A -@1309 10800104 -@130A 10C00017 -@130B E0BFFB17 -@130C 10C00115 -@130D 04000034 -@130E 84181E04 -@130F E0BFFA17 -@1310 01400304 -@1311 1009883A -@1312 00031140 -@1313 8085883A -@1314 10800204 -@1315 10C00017 -@1316 E0BFFB17 -@1317 10C00215 -@1318 E13FFA17 -@1319 000379C0 -@131A 0001883A -@131B E6FFFF04 -@131C DFC00217 -@131D DF000117 -@131E DC000017 -@131F DEC00304 -@1320 F800283A -@1321 DEFFFB04 -@1322 DFC00415 -@1323 DF000315 -@1324 DF000304 -@1325 E13FFD15 -@1326 E17FFE15 -@1327 E1BFFF15 -@1328 01C07FC4 -@1329 01800044 -@132A E17FFD17 -@132B 01000034 -@132C 21182104 -@132D 0004BA00 -@132E 01C07FC4 -@132F 000D883A -@1330 E17FFE17 -@1331 01000034 -@1332 21181E04 -@1333 0004BA00 -@1334 01C07FC4 -@1335 01800044 -@1336 E17FFF17 -@1337 01000034 -@1338 21182404 -@1339 0004BA00 -@133A 0001883A -@133B E037883A -@133C DFC00117 -@133D DF000017 -@133E DEC00204 -@133F F800283A -@1340 DEFFFE04 -@1341 DFC00115 -@1342 DF000015 -@1343 D839883A -@1344 D0A00917 -@1345 10000326 -@1346 D0A00917 -@1347 103EE83A -@1348 00000106 -@1349 D0A01104 -@134A E037883A -@134B DFC00117 -@134C DF000017 -@134D DEC00204 -@134E F800283A -@134F DEFFFB04 -@1350 DFC00415 -@1351 DF000315 -@1352 DC000215 -@1353 DF000304 -@1354 E13FFE15 -@1355 E0BFFE17 -@1356 10800217 -@1357 10D00034 -@1358 E0BFFE17 -@1359 10C00215 -@135A E03FFD15 -@135B 00002306 -@135C 04000034 -@135D 84181E04 -@135E E0BFFD17 -@135F 01400304 -@1360 1009883A -@1361 00031140 -@1362 8085883A -@1363 10C00017 -@1364 E0BFFE17 -@1365 10800017 -@1366 1880151E -@1367 04000034 -@1368 84181E04 -@1369 E0BFFD17 -@136A 01400304 -@136B 1009883A -@136C 00031140 -@136D 8085883A -@136E 10800204 -@136F 10800017 -@1370 10000B0E -@1371 01400304 -@1372 E13FFD17 -@1373 00031140 -@1374 1007883A -@1375 00800034 -@1376 10981E04 -@1377 1887883A -@1378 E0BFFE17 -@1379 18800226 -@137A 00BFFCC4 -@137B 00000806 -@137C E0BFFD17 -@137D 10800044 -@137E E0BFFD15 -@137F D0A00817 -@1380 1007883A -@1381 E0BFFD17 -@1382 18BFD92E -@1383 0005883A -@1384 E6FFFF04 -@1385 DFC00217 -@1386 DF000117 -@1387 DC000017 -@1388 DEC00304 -@1389 F800283A -@138A DEFFF604 -@138B DFC00915 -@138C DF000815 -@138D DF000804 -@138E E13FFD15 -@138F E17FFE15 -@1390 E1BFFF15 -@1391 00BFFFC4 -@1392 E0BFF915 -@1393 00BFFB44 -@1394 E0BFFA15 -@1395 E03FFB15 -@1396 D1600604 -@1397 E13FFD17 -@1398 000514C0 -@1399 E0BFF815 -@139A E0BFF817 -@139B 1000051E -@139C E13FFD17 -@139D 00051DC0 -@139E E0BFF815 -@139F 00800044 -@13A0 E0BFFB15 -@13A1 E0BFF817 -@13A2 10002B26 -@13A3 E13FF817 -@13A4 00052E40 -@13A5 E0BFF915 -@13A6 E0BFF917 -@13A7 1000030E -@13A8 E0BFF917 -@13A9 E0BFFA15 -@13AA 00002506 -@13AB 01400304 -@13AC E13FF917 -@13AD 00031140 -@13AE 1007883A -@13AF 00800034 -@13B0 10981E04 -@13B1 1885883A -@13B2 E0BFFC15 -@13B3 E0FFFE17 -@13B4 00900034 -@13B5 10BFFFC4 -@13B6 1886703A -@13B7 E0BFFC17 -@13B8 10C00215 -@13B9 E0BFFB17 -@13BA 1000051E -@13BB E13FFC17 -@13BC 0004D3C0 -@13BD E0BFFA15 -@13BE E0BFFA17 -@13BF 10001016 -@13C0 E0BFF817 -@13C1 10800317 -@13C2 10000826 -@13C3 E0BFF817 -@13C4 10800317 -@13C5 E1FFFF17 -@13C6 E1BFFE17 -@13C7 E17FFD17 -@13C8 E13FFC17 -@13C9 103EE83A -@13CA 00000106 -@13CB 0005883A -@13CC E0BFFA15 -@13CD 00000206 -@13CE 00BFFB44 -@13CF E0BFFA15 -@13D0 E0BFFA17 -@13D1 1000090E -@13D2 E13FF917 -@13D3 000379C0 -@13D4 0004D000 -@13D5 1007883A -@13D6 E0BFFA17 -@13D7 0085C83A -@13D8 18800015 -@13D9 00BFFFC4 -@13DA 00000106 -@13DB E0BFF917 -@13DC E037883A -@13DD DFC00117 -@13DE DF000017 -@13DF DEC00204 -@13E0 F800283A -@13E1 DEFFFA04 -@13E2 DF000515 -@13E3 DF000504 -@13E4 E13FFF15 -@13E5 0005303A -@13E6 E0BFFC15 -@13E7 E0FFFC17 -@13E8 00BFFF84 -@13E9 1884703A -@13EA 1001703A -@13EB E0BFFC17 -@13EC E0BFFB15 -@13ED E0BFFF17 -@13EE E0BFFD15 -@13EF E0BFFD17 -@13F0 10800017 -@13F1 E0FFFD17 -@13F2 18C00117 -@13F3 10C00115 -@13F4 E0BFFD17 -@13F5 10800117 -@13F6 E0FFFD17 -@13F7 18C00017 -@13F8 10C00015 -@13F9 E0BFFD17 -@13FA E0FFFD17 -@13FB 10C00115 -@13FC E0BFFD17 -@13FD E0FFFD17 -@13FE 10C00015 -@13FF E0BFFB17 -@1400 E0BFFE15 -@1401 E0BFFE17 -@1402 1001703A -@1403 0001883A -@1404 E037883A -@1405 DF000017 -@1406 DEC00104 -@1407 F800283A -@1408 DEFFFB04 -@1409 DFC00415 -@140A DF000315 -@140B DF000304 -@140C D0A00C17 -@140D E0BFFD15 -@140E D0A01717 -@140F 10800044 -@1410 D0A01715 -@1411 00002E06 -@1412 E0BFFD17 -@1413 10800017 -@1414 E0BFFE15 -@1415 E0BFFD17 -@1416 10800403 -@1417 10803FCC -@1418 10000426 -@1419 D0A01717 -@141A 1000021E -@141B E0BFFD17 -@141C 10000405 -@141D E0BFFD17 -@141E 10800217 -@141F D0E01717 -@1420 18801D36 -@1421 E0BFFD17 -@1422 10800403 -@1423 10803FCC -@1424 1000191E -@1425 E0BFFD17 -@1426 10800317 -@1427 E0FFFD17 -@1428 18C00517 -@1429 1809883A -@142A 103EE83A -@142B E0BFFF15 -@142C E0BFFF17 -@142D 1000031E -@142E E13FFD17 -@142F 0004F840 -@1430 00000D06 -@1431 E0BFFD17 -@1432 10C00217 -@1433 E0BFFF17 -@1434 1887883A -@1435 E0BFFD17 -@1436 10C00215 -@1437 E0BFFD17 -@1438 10C00217 -@1439 D0A01717 -@143A 1880032E -@143B E0BFFD17 -@143C 00C00044 -@143D 10C00405 -@143E E0BFFE17 -@143F E0BFFD15 -@1440 E0FFFD17 -@1441 D0A00C04 -@1442 18BFCF1E -@1443 0001883A +@0FBE 0001883A +@0FBF E037883A +@0FC0 DF000017 +@0FC1 DEC00104 +@0FC2 F800283A +@0FC3 DEFFF804 +@0FC4 DF000715 +@0FC5 DF000704 +@0FC6 E13FFB15 +@0FC7 E0BFFB17 +@0FC8 E0BFF915 +@0FC9 E0BFF917 +@0FCA 10800017 +@0FCB 10800104 +@0FCC 10800037 +@0FCD E0BFFA15 +@0FCE E0BFFA17 +@0FCF 1081000C +@0FD0 10000B26 +@0FD1 E0BFF917 +@0FD2 10800017 +@0FD3 10800104 +@0FD4 1007883A +@0FD5 E0BFF917 +@0FD6 10800817 +@0FD7 10810014 +@0FD8 18800035 +@0FD9 E0BFF917 +@0FDA 10000915 +@0FDB 00000A06 +@0FDC E0BFF917 +@0FDD 10C00917 +@0FDE 00A00034 +@0FDF 10BFFF04 +@0FE0 10C00536 +@0FE1 E0BFF917 +@0FE2 10800917 +@0FE3 10C00044 +@0FE4 E0BFF917 +@0FE5 10C00915 +@0FE6 D0A01617 +@0FE7 E037883A +@0FE8 DF000017 +@0FE9 DEC00104 +@0FEA F800283A +@0FEB DEFFFD04 +@0FEC DF000215 +@0FED DF000204 +@0FEE E13FFE15 +@0FEF E17FFF15 +@0FF0 00000506 +@0FF1 E0BFFF17 +@0FF2 1090000C +@0FF3 10000226 +@0FF4 00BFFD44 +@0FF5 00000B06 +@0FF6 E0BFFE17 +@0FF7 10C00D17 +@0FF8 E0BFFE17 +@0FF9 10800C17 +@0FFA 18800526 +@0FFB E0BFFE17 +@0FFC 10C00917 +@0FFD E0BFFE17 +@0FFE 10800117 +@0FFF 18BFF136 +@1000 0005883A +@1001 E037883A +@1002 DF000017 +@1003 DEC00104 +@1004 F800283A +@1005 DEFFFA04 +@1006 DF000515 +@1007 DF000504 +@1008 E13FFD15 +@1009 E17FFE15 +@100A E1BFFF15 +@100B 00BFF9C4 +@100C E0BFFB15 +@100D E0BFFE17 +@100E 10DA8060 +@100F 1800031E +@1010 109A80A0 +@1011 1000181E +@1012 00002906 +@1013 E0BFFD17 +@1014 10C00117 +@1015 00A00034 +@1016 10BFFFC4 +@1017 18802126 +@1018 E0BFFF17 +@1019 10800017 +@101A E0BFFC15 +@101B E0BFFC17 +@101C 10800090 +@101D 1000061E +@101E E0FFFC17 +@101F 00A00034 +@1020 10BFFFC4 +@1021 18800226 +@1022 E0BFFC17 +@1023 00000206 +@1024 00A00034 +@1025 10BFFF84 +@1026 E0FFFD17 +@1027 18800115 +@1028 E03FFB15 +@1029 00000F06 +@102A E0BFFD17 +@102B 10C00117 +@102C 00A00034 +@102D 10BFFFC4 +@102E 18800C26 +@102F E0BFFD17 +@1030 10C00917 +@1031 E0BFFD17 +@1032 10800117 +@1033 1885803A +@1034 10C03FCC +@1035 E0BFFF17 +@1036 10C00015 +@1037 E03FFB15 +@1038 00000206 +@1039 0001883A +@103A 00000106 +@103B 0001883A +@103C E0BFFB17 +@103D E037883A +@103E DF000017 +@103F DEC00104 +@1040 F800283A +@1041 DEFFF304 +@1042 DFC00C15 +@1043 DF000B15 +@1044 DF000B04 +@1045 E13FFC15 +@1046 E17FFD15 +@1047 E1BFFE15 +@1048 E1FFFF15 +@1049 E0BFFD17 +@104A E0BFF515 +@104B 00004706 +@104C E0BFFC17 +@104D 10800A17 +@104E E0BFF715 +@104F E0BFFC17 +@1050 10800B17 +@1051 E0BFF815 +@1052 E0FFF717 +@1053 E0BFF817 +@1054 18800536 +@1055 E0FFF717 +@1056 E0BFF817 +@1057 1885C83A +@1058 E0BFF615 +@1059 00000406 +@105A 00C20004 +@105B E0BFF817 +@105C 1885C83A +@105D E0BFF615 +@105E E0BFF617 +@105F 10001E26 +@1060 E0FFFE17 +@1061 E0BFF617 +@1062 1880022E +@1063 E0BFFE17 +@1064 E0BFF615 +@1065 E0BFFC17 +@1066 10C00E04 +@1067 E0BFF817 +@1068 1885883A +@1069 E1BFF617 +@106A 100B883A +@106B E13FF517 +@106C 00017900 +@106D E0FFF517 +@106E E0BFF617 +@106F 1885883A +@1070 E0BFF515 +@1071 E0FFFE17 +@1072 E0BFF617 +@1073 1885C83A +@1074 E0BFFE15 +@1075 E0FFF817 +@1076 E0BFF617 +@1077 1885883A +@1078 10C1FFCC +@1079 E0BFFC17 +@107A 10C00B15 +@107B E0BFFE17 +@107C 00BFCF16 +@107D 00000106 +@107E 0001883A +@107F E0FFF517 +@1080 E0BFFD17 +@1081 1880141E +@1082 E0BFFF17 +@1083 1090000C +@1084 1000131E +@1085 0001883A +@1086 E0BFFC17 +@1087 10C00A17 +@1088 E0BFF717 +@1089 1880051E +@108A E0BFFC17 +@108B 10C00917 +@108C E0BFFC17 +@108D 10800117 +@108E 18BFF736 +@108F E0BFFC17 +@1090 10C00A17 +@1091 E0BFF717 +@1092 18800726 +@1093 E0BFFE17 +@1094 00BFB716 +@1095 00000506 +@1096 0001883A +@1097 00000306 +@1098 0001883A +@1099 00000106 +@109A 0001883A +@109B E0FFF517 +@109C E0BFFD17 +@109D 18801826 +@109E 0005303A +@109F E0BFFB15 +@10A0 E0FFFB17 +@10A1 00BFFF84 +@10A2 1884703A +@10A3 1001703A +@10A4 E0BFFB17 +@10A5 E0BFFA15 +@10A6 E0BFFC17 +@10A7 10800817 +@10A8 10C00054 +@10A9 E0BFFC17 +@10AA 10C00815 +@10AB E0BFFC17 +@10AC 10800017 +@10AD 10800104 +@10AE 1007883A +@10AF E0BFFC17 +@10B0 10800817 +@10B1 18800035 +@10B2 E0BFFA17 +@10B3 E0BFF915 +@10B4 E0BFF917 +@10B5 1001703A +@10B6 E0FFF517 +@10B7 E0BFFD17 +@10B8 18800426 +@10B9 E0FFF517 +@10BA E0BFFD17 +@10BB 1885C83A +@10BC 00000606 +@10BD E0BFFF17 +@10BE 1090000C +@10BF 10000226 +@10C0 00BFFD44 +@10C1 00000106 +@10C2 00BFFEC4 +@10C3 E037883A +@10C4 DFC00117 +@10C5 DF000017 +@10C6 DEC00204 +@10C7 F800283A +@10C8 DEFFF304 +@10C9 DFC00C15 +@10CA DF000B15 +@10CB DF000B04 +@10CC E13FFC15 +@10CD E17FFD15 +@10CE E1BFFE15 +@10CF E1FFFF15 +@10D0 E03FF515 +@10D1 E0BFFD17 +@10D2 E0BFF715 +@10D3 00003706 +@10D4 E0BFFC17 +@10D5 10800C17 +@10D6 E0BFF915 +@10D7 E0BFFC17 +@10D8 10800D17 +@10D9 E0BFF515 +@10DA E0FFF917 +@10DB E0BFF517 +@10DC 1880062E +@10DD E0FFF517 +@10DE E0BFF917 +@10DF 1885C83A +@10E0 10BFFFC4 +@10E1 E0BFF615 +@10E2 00000B06 +@10E3 E0BFF517 +@10E4 10000526 +@10E5 00C20004 +@10E6 E0BFF917 +@10E7 1885C83A +@10E8 E0BFF615 +@10E9 00000406 +@10EA 00C1FFC4 +@10EB E0BFF917 +@10EC 1885C83A +@10ED E0BFF615 +@10EE E0BFF617 +@10EF 10001E26 +@10F0 E0FFFE17 +@10F1 E0BFF617 +@10F2 1880022E +@10F3 E0BFFE17 +@10F4 E0BFF615 +@10F5 E0BFFC17 +@10F6 10C20E04 +@10F7 E0BFF917 +@10F8 1885883A +@10F9 E1BFF617 +@10FA E17FFD17 +@10FB 1009883A +@10FC 00017900 +@10FD E0FFFD17 +@10FE E0BFF617 +@10FF 1885883A +@1100 E0BFFD15 +@1101 E0FFFE17 +@1102 E0BFF617 +@1103 1885C83A +@1104 E0BFFE15 +@1105 E0FFF917 +@1106 E0BFF617 +@1107 1885883A +@1108 10C1FFCC +@1109 E0BFFC17 +@110A 10C00C15 +@110B E0BFFE17 +@110C 00BFC716 +@110D 00000106 +@110E 0001883A +@110F 0005303A +@1110 E0BFFB15 +@1111 E0FFFB17 +@1112 00BFFF84 +@1113 1884703A +@1114 1001703A +@1115 E0BFFB17 +@1116 E0BFFA15 +@1117 E0BFFC17 +@1118 10800817 +@1119 10C00094 +@111A E0BFFC17 +@111B 10C00815 +@111C E0BFFC17 +@111D 10800017 +@111E 10800104 +@111F 1007883A +@1120 E0BFFC17 +@1121 10800817 +@1122 18800035 +@1123 E0BFFA17 +@1124 E0BFF815 +@1125 E0BFF817 +@1126 1001703A +@1127 E0BFFE17 +@1128 0080100E +@1129 E0BFFF17 +@112A 1090000C +@112B 1000101E +@112C 0001883A +@112D E0BFFC17 +@112E 10C00D17 +@112F E0BFF517 +@1130 1880051E +@1131 E0BFFC17 +@1132 10C00917 +@1133 E0BFFC17 +@1134 10800117 +@1135 18BFF736 +@1136 E0BFFC17 +@1137 10800917 +@1138 1000051E +@1139 E0BFFE17 +@113A 00BFD016 +@113B 00000306 +@113C 0001883A +@113D 00000106 +@113E 0001883A +@113F E0FFFD17 +@1140 E0BFF717 +@1141 18800426 +@1142 E0FFFD17 +@1143 E0BFF717 +@1144 1885C83A +@1145 00000606 +@1146 E0BFFF17 +@1147 1090000C +@1148 10000226 +@1149 00BFFD44 +@114A 00000106 +@114B 00BFFEC4 +@114C E037883A +@114D DFC00117 +@114E DF000017 +@114F DEC00204 +@1150 F800283A +@1151 DEFFFA04 +@1152 DFC00515 +@1153 DF000415 +@1154 DF000404 +@1155 E13FFF15 +@1156 0007883A +@1157 E0BFFF17 +@1158 10C00035 +@1159 E0BFFF17 +@115A 10800104 +@115B 10800037 +@115C 0005303A +@115D E0BFFD15 +@115E E0FFFD17 +@115F 00BFFF84 +@1160 1884703A +@1161 1001703A +@1162 E0BFFD17 +@1163 E0BFFC15 +@1164 00050240 +@1165 E0BFFC17 +@1166 E0BFFE15 +@1167 E0BFFE17 +@1168 1001703A +@1169 0001883A +@116A E037883A +@116B DFC00117 +@116C DF000017 +@116D DEC00204 +@116E F800283A +@116F DEFFF804 +@1170 DFC00715 +@1171 DF000615 +@1172 DF000604 +@1173 E13FFC15 +@1174 E17FFD15 +@1175 E1BFFE15 +@1176 E1FFFF15 +@1177 E0BFFF17 +@1178 E0BFFB15 +@1179 D0A01617 +@117A 1000021E +@117B E0BFFB17 +@117C D0A01615 +@117D E0BFFC17 +@117E 10800104 +@117F 00C001C4 +@1180 10C00035 +@1181 D8000015 +@1182 E1FFFC17 +@1183 01800034 +@1184 31915104 +@1185 E17FFE17 +@1186 E13FFD17 +@1187 00049040 +@1188 0001883A +@1189 E037883A +@118A DFC00117 +@118B DF000017 +@118C DEC00204 +@118D F800283A +@118E DEFFF504 +@118F DF000A15 +@1190 DF000A04 +@1191 E13FFC15 +@1192 E17FFD15 +@1193 E1BFFE15 +@1194 E1FFFF15 +@1195 E03FF615 +@1196 D0A01617 +@1197 10003C26 +@1198 E0BFFC17 +@1199 10003826 +@119A E0BFFC17 +@119B E0FFFE17 +@119C 10C00315 +@119D E0BFFC17 +@119E E0FFFF17 +@119F 10C00515 +@11A0 0005303A +@11A1 E0BFF915 +@11A2 E0FFF917 +@11A3 00BFFF84 +@11A4 1884703A +@11A5 1001703A +@11A6 E0BFF917 +@11A7 E0BFF815 +@11A8 D0A01717 +@11A9 E0BFF615 +@11AA E0FFFD17 +@11AB E0BFF617 +@11AC 1885883A +@11AD 10C00044 +@11AE E0BFFC17 +@11AF 10C00215 +@11B0 E0BFFC17 +@11B1 10C00217 +@11B2 E0BFF617 +@11B3 1880042E +@11B4 E0BFFC17 +@11B5 00C00044 +@11B6 10C00405 +@11B7 00000206 +@11B8 E0BFFC17 +@11B9 10000405 +@11BA E0BFFC17 +@11BB D0E00C04 +@11BC E0FFFA15 +@11BD E0BFFB15 +@11BE E0BFFB17 +@11BF E0FFFA17 +@11C0 10C00115 +@11C1 E0BFFA17 +@11C2 10C00017 +@11C3 E0BFFB17 +@11C4 10C00015 +@11C5 E0BFFA17 +@11C6 10800017 +@11C7 E0FFFB17 +@11C8 10C00115 +@11C9 E0BFFA17 +@11CA E0FFFB17 +@11CB 10C00015 +@11CC E0BFF817 +@11CD E0BFF715 +@11CE E0BFF717 +@11CF 1001703A +@11D0 0005883A +@11D1 00000306 +@11D2 00BFFA84 +@11D3 00000106 +@11D4 00BFDE84 +@11D5 E037883A +@11D6 DF000017 +@11D7 DEC00104 +@11D8 F800283A +@11D9 DEFFFE04 +@11DA DFC00115 +@11DB DF000015 +@11DC D839883A +@11DD D0A00917 +@11DE 10000326 +@11DF D0A00917 +@11E0 103EE83A +@11E1 00000106 +@11E2 D0A01104 +@11E3 E037883A +@11E4 DFC00117 +@11E5 DF000017 +@11E6 DEC00204 +@11E7 F800283A +@11E8 DEFFFA04 +@11E9 DFC00515 +@11EA DF000415 +@11EB DF000404 +@11EC E13FFE15 +@11ED E17FFF15 +@11EE E0BFFE17 +@11EF 10000326 +@11F0 E0BFFE17 +@11F1 10800217 +@11F2 1000061E +@11F3 00047640 +@11F4 1007883A +@11F5 00800584 +@11F6 18800015 +@11F7 00BFFA84 +@11F8 00001306 +@11F9 E0BFFE17 +@11FA E0FFFF17 +@11FB E0FFFC15 +@11FC E0BFFD15 +@11FD E0BFFD17 +@11FE E0FFFC17 +@11FF 10C00115 +@1200 E0BFFC17 +@1201 10C00017 +@1202 E0BFFD17 +@1203 10C00015 +@1204 E0BFFC17 +@1205 10800017 +@1206 E0FFFD17 +@1207 10C00115 +@1208 E0BFFC17 +@1209 E0FFFD17 +@120A 10C00015 +@120B 0005883A +@120C E037883A +@120D DFC00117 +@120E DF000017 +@120F DEC00204 +@1210 F800283A +@1211 DEFFFD04 +@1212 DFC00215 +@1213 DF000115 +@1214 DF000104 +@1215 00800034 +@1216 1095F604 +@1217 E0BFFF15 +@1218 00000606 +@1219 E0BFFF17 +@121A 10800017 +@121B 103EE83A +@121C E0BFFF17 +@121D 10BFFF04 +@121E E0BFFF15 +@121F E0FFFF17 +@1220 00800034 +@1221 1095F704 +@1222 18BFF62E +@1223 0001883A +@1224 E037883A +@1225 DFC00117 +@1226 DF000017 +@1227 DEC00204 +@1228 F800283A +@1229 DEFFFD04 +@122A DFC00215 +@122B DF000115 +@122C DF000104 +@122D 00800034 +@122E 1095F604 +@122F E0BFFF15 +@1230 00000606 +@1231 E0BFFF17 +@1232 10800017 +@1233 103EE83A +@1234 E0BFFF17 +@1235 10BFFF04 +@1236 E0BFFF15 +@1237 E0FFFF17 +@1238 00800034 +@1239 1095F704 +@123A 18BFF62E +@123B 0001883A +@123C E037883A +@123D DFC00117 +@123E DF000017 +@123F DEC00204 +@1240 F800283A +@1241 DEFFF904 +@1242 DFC00615 +@1243 DF000515 +@1244 DF000504 +@1245 E13FFC15 +@1246 E17FFD15 +@1247 E1BFFE15 +@1248 E1FFFF15 +@1249 E0800217 +@124A D8800015 +@124B E1FFFF17 +@124C E1BFFE17 +@124D E17FFD17 +@124E E13FFC17 +@124F 0004AB40 +@1250 E037883A +@1251 DFC00117 +@1252 DF000017 +@1253 DEC00204 +@1254 F800283A +@1255 DEFFF904 +@1256 DF000615 +@1257 DF000604 +@1258 E13FFE15 +@1259 E17FFF15 +@125A E0BFFF17 +@125B E0BFFA15 +@125C 0005303A +@125D E0BFFB15 +@125E E0FFFB17 +@125F 00BFFF84 +@1260 1884703A +@1261 1001703A +@1262 E0BFFB17 +@1263 E0BFFC15 +@1264 00C00044 +@1265 E0BFFA17 +@1266 1884983A +@1267 1007883A +@1268 D0A01517 +@1269 1884B03A +@126A D0A01515 +@126B D0A01517 +@126C 100170FA +@126D E0BFFC17 +@126E E0BFFD15 +@126F E0BFFD17 +@1270 1001703A +@1271 0005883A +@1272 0001883A +@1273 E037883A +@1274 DF000017 +@1275 DEC00104 +@1276 F800283A +@1277 DEFFF904 +@1278 DF000615 +@1279 DF000604 +@127A E13FFE15 +@127B E17FFF15 +@127C E0BFFF17 +@127D E0BFFA15 +@127E 0005303A +@127F E0BFFB15 +@1280 E0FFFB17 +@1281 00BFFF84 +@1282 1884703A +@1283 1001703A +@1284 E0BFFB17 +@1285 E0BFFC15 +@1286 00C00044 +@1287 E0BFFA17 +@1288 1884983A +@1289 0084303A +@128A 1007883A +@128B D0A01517 +@128C 1884703A +@128D D0A01515 +@128E D0A01517 +@128F 100170FA +@1290 E0BFFC17 +@1291 E0BFFD15 +@1292 E0BFFD17 +@1293 1001703A +@1294 0005883A +@1295 0001883A +@1296 E037883A +@1297 DF000017 +@1298 DEC00104 +@1299 F800283A +@129A DEFFFC04 +@129B DF000315 +@129C DF000304 +@129D E13FFE15 +@129E E17FFF15 +@129F 000530FA +@12A0 E0BFFD15 +@12A1 00C00044 +@12A2 E0BFFF17 +@12A3 1884983A +@12A4 1007883A +@12A5 E0BFFD17 +@12A6 1884703A +@12A7 1004C03A +@12A8 10803FCC +@12A9 E037883A +@12AA DF000017 +@12AB DEC00104 +@12AC F800283A +@12AD DEFFF504 +@12AE DFC00A15 +@12AF DF000915 +@12B0 DF000904 +@12B1 E13FFC15 +@12B2 E17FFD15 +@12B3 E1BFFE15 +@12B4 E1FFFF15 +@12B5 00BFFA84 +@12B6 E0BFF715 +@12B7 E0BFFD17 +@12B8 E0BFF815 +@12B9 E0BFF817 +@12BA 10800808 +@12BB 1000271E +@12BC 0005303A +@12BD E0BFFB15 +@12BE E0FFFB17 +@12BF 00BFFF84 +@12C0 1884703A +@12C1 1001703A +@12C2 E0BFFB17 +@12C3 E0BFFA15 +@12C4 00800034 +@12C5 109CC604 +@12C6 E0FFF817 +@12C7 180690FA +@12C8 10C5883A +@12C9 E0FFFE17 +@12CA 10C00015 +@12CB 00800034 +@12CC 109CC604 +@12CD E0FFF817 +@12CE 180690FA +@12CF 10C5883A +@12D0 10800104 +@12D1 E0FFFF17 +@12D2 10C00015 +@12D3 E0BFFE17 +@12D4 10000526 +@12D5 E0BFF817 +@12D6 100B883A +@12D7 E13FFC17 +@12D8 00049540 +@12D9 00000406 +@12DA E0BFF817 +@12DB 100B883A +@12DC E13FFC17 +@12DD 00049DC0 +@12DE E0BFF715 +@12DF E0BFFA17 +@12E0 E0BFF915 +@12E1 E0BFF917 +@12E2 1001703A +@12E3 E0BFF717 +@12E4 E037883A +@12E5 DFC00117 +@12E6 DF000017 +@12E7 DEC00204 +@12E8 F800283A +@12E9 DEFFF804 +@12EA DFC00715 +@12EB DF000615 +@12EC DC000515 +@12ED DF000604 +@12EE E13FFB15 +@12EF E17FFC15 +@12F0 E1BFFD15 +@12F1 E1FFFE15 +@12F2 E1BFFE17 +@12F3 E17FFD17 +@12F4 E13FFC17 +@12F5 0004E2C0 +@12F6 E0BFFA15 +@12F7 E0BFFA17 +@12F8 10002216 +@12F9 04000034 +@12FA 84182B04 +@12FB E0BFFA17 +@12FC 01400304 +@12FD 1009883A +@12FE 00031180 +@12FF 8085883A +@1300 10C00017 +@1301 E0BFFB17 +@1302 10C00015 +@1303 04000034 +@1304 84182B04 +@1305 E0BFFA17 +@1306 01400304 +@1307 1009883A +@1308 00031180 +@1309 8085883A +@130A 10800104 +@130B 10C00017 +@130C E0BFFB17 +@130D 10C00115 +@130E 04000034 +@130F 84182B04 +@1310 E0BFFA17 +@1311 01400304 +@1312 1009883A +@1313 00031180 +@1314 8085883A +@1315 10800204 +@1316 10C00017 +@1317 E0BFFB17 +@1318 10C00215 +@1319 E13FFA17 +@131A 00037A00 +@131B 0001883A +@131C E6FFFF04 +@131D DFC00217 +@131E DF000117 +@131F DC000017 +@1320 DEC00304 +@1321 F800283A +@1322 DEFFFB04 +@1323 DFC00415 +@1324 DF000315 +@1325 DF000304 +@1326 E13FFD15 +@1327 E17FFE15 +@1328 E1BFFF15 +@1329 01C07FC4 +@132A 01800044 +@132B E17FFD17 +@132C 01000034 +@132D 21182E04 +@132E 0004BA40 +@132F 01C07FC4 +@1330 000D883A +@1331 E17FFE17 +@1332 01000034 +@1333 21182B04 +@1334 0004BA40 +@1335 01C07FC4 +@1336 01800044 +@1337 E17FFF17 +@1338 01000034 +@1339 21183104 +@133A 0004BA40 +@133B 0001883A +@133C E037883A +@133D DFC00117 +@133E DF000017 +@133F DEC00204 +@1340 F800283A +@1341 DEFFFE04 +@1342 DFC00115 +@1343 DF000015 +@1344 D839883A +@1345 D0A00917 +@1346 10000326 +@1347 D0A00917 +@1348 103EE83A +@1349 00000106 +@134A D0A01104 +@134B E037883A +@134C DFC00117 +@134D DF000017 +@134E DEC00204 +@134F F800283A +@1350 DEFFFB04 +@1351 DFC00415 +@1352 DF000315 +@1353 DC000215 +@1354 DF000304 +@1355 E13FFE15 +@1356 E0BFFE17 +@1357 10800217 +@1358 10D00034 +@1359 E0BFFE17 +@135A 10C00215 +@135B E03FFD15 +@135C 00002306 +@135D 04000034 +@135E 84182B04 +@135F E0BFFD17 +@1360 01400304 +@1361 1009883A +@1362 00031180 +@1363 8085883A +@1364 10C00017 +@1365 E0BFFE17 +@1366 10800017 +@1367 1880151E +@1368 04000034 +@1369 84182B04 +@136A E0BFFD17 +@136B 01400304 +@136C 1009883A +@136D 00031180 +@136E 8085883A +@136F 10800204 +@1370 10800017 +@1371 10000B0E +@1372 01400304 +@1373 E13FFD17 +@1374 00031180 +@1375 1007883A +@1376 00800034 +@1377 10982B04 +@1378 1887883A +@1379 E0BFFE17 +@137A 18800226 +@137B 00BFFCC4 +@137C 00000806 +@137D E0BFFD17 +@137E 10800044 +@137F E0BFFD15 +@1380 D0A00817 +@1381 1007883A +@1382 E0BFFD17 +@1383 18BFD92E +@1384 0005883A +@1385 E6FFFF04 +@1386 DFC00217 +@1387 DF000117 +@1388 DC000017 +@1389 DEC00304 +@138A F800283A +@138B DEFFF604 +@138C DFC00915 +@138D DF000815 +@138E DF000804 +@138F E13FFD15 +@1390 E17FFE15 +@1391 E1BFFF15 +@1392 00BFFFC4 +@1393 E0BFF915 +@1394 00BFFB44 +@1395 E0BFFA15 +@1396 E03FFB15 +@1397 D1600604 +@1398 E13FFD17 +@1399 00051500 +@139A E0BFF815 +@139B E0BFF817 +@139C 1000051E +@139D E13FFD17 +@139E 00051E00 +@139F E0BFF815 +@13A0 00800044 +@13A1 E0BFFB15 +@13A2 E0BFF817 +@13A3 10002B26 +@13A4 E13FF817 +@13A5 00052E80 +@13A6 E0BFF915 +@13A7 E0BFF917 +@13A8 1000030E +@13A9 E0BFF917 +@13AA E0BFFA15 +@13AB 00002506 +@13AC 01400304 +@13AD E13FF917 +@13AE 00031180 +@13AF 1007883A +@13B0 00800034 +@13B1 10982B04 +@13B2 1885883A +@13B3 E0BFFC15 +@13B4 E0FFFE17 +@13B5 00900034 +@13B6 10BFFFC4 +@13B7 1886703A +@13B8 E0BFFC17 +@13B9 10C00215 +@13BA E0BFFB17 +@13BB 1000051E +@13BC E13FFC17 +@13BD 0004D400 +@13BE E0BFFA15 +@13BF E0BFFA17 +@13C0 10001016 +@13C1 E0BFF817 +@13C2 10800317 +@13C3 10000826 +@13C4 E0BFF817 +@13C5 10800317 +@13C6 E1FFFF17 +@13C7 E1BFFE17 +@13C8 E17FFD17 +@13C9 E13FFC17 +@13CA 103EE83A +@13CB 00000106 +@13CC 0005883A +@13CD E0BFFA15 +@13CE 00000206 +@13CF 00BFFB44 +@13D0 E0BFFA15 +@13D1 E0BFFA17 +@13D2 1000090E +@13D3 E13FF917 +@13D4 00037A00 +@13D5 0004D040 +@13D6 1007883A +@13D7 E0BFFA17 +@13D8 0085C83A +@13D9 18800015 +@13DA 00BFFFC4 +@13DB 00000106 +@13DC E0BFF917 +@13DD E037883A +@13DE DFC00117 +@13DF DF000017 +@13E0 DEC00204 +@13E1 F800283A +@13E2 DEFFFA04 +@13E3 DF000515 +@13E4 DF000504 +@13E5 E13FFF15 +@13E6 0005303A +@13E7 E0BFFC15 +@13E8 E0FFFC17 +@13E9 00BFFF84 +@13EA 1884703A +@13EB 1001703A +@13EC E0BFFC17 +@13ED E0BFFB15 +@13EE E0BFFF17 +@13EF E0BFFD15 +@13F0 E0BFFD17 +@13F1 10800017 +@13F2 E0FFFD17 +@13F3 18C00117 +@13F4 10C00115 +@13F5 E0BFFD17 +@13F6 10800117 +@13F7 E0FFFD17 +@13F8 18C00017 +@13F9 10C00015 +@13FA E0BFFD17 +@13FB E0FFFD17 +@13FC 10C00115 +@13FD E0BFFD17 +@13FE E0FFFD17 +@13FF 10C00015 +@1400 E0BFFB17 +@1401 E0BFFE15 +@1402 E0BFFE17 +@1403 1001703A +@1404 0001883A +@1405 E037883A +@1406 DF000017 +@1407 DEC00104 +@1408 F800283A +@1409 DEFFFB04 +@140A DFC00415 +@140B DF000315 +@140C DF000304 +@140D D0A00C17 +@140E E0BFFD15 +@140F D0A01717 +@1410 10800044 +@1411 D0A01715 +@1412 00002E06 +@1413 E0BFFD17 +@1414 10800017 +@1415 E0BFFE15 +@1416 E0BFFD17 +@1417 10800403 +@1418 10803FCC +@1419 10000426 +@141A D0A01717 +@141B 1000021E +@141C E0BFFD17 +@141D 10000405 +@141E E0BFFD17 +@141F 10800217 +@1420 D0E01717 +@1421 18801D36 +@1422 E0BFFD17 +@1423 10800403 +@1424 10803FCC +@1425 1000191E +@1426 E0BFFD17 +@1427 10800317 +@1428 E0FFFD17 +@1429 18C00517 +@142A 1809883A +@142B 103EE83A +@142C E0BFFF15 +@142D E0BFFF17 +@142E 1000031E +@142F E13FFD17 +@1430 0004F880 +@1431 00000D06 +@1432 E0BFFD17 +@1433 10C00217 +@1434 E0BFFF17 +@1435 1887883A +@1436 E0BFFD17 +@1437 10C00215 +@1438 E0BFFD17 +@1439 10C00217 +@143A D0A01717 +@143B 1880032E +@143C E0BFFD17 +@143D 00C00044 +@143E 10C00405 +@143F E0BFFE17 +@1440 E0BFFD15 +@1441 E0FFFD17 +@1442 D0A00C04 +@1443 18BFCF1E @1444 0001883A -@1445 E037883A -@1446 DFC00117 -@1447 DF000017 -@1448 DEC00204 -@1449 F800283A -@144A DEFFFF04 -@144B DF000015 -@144C D839883A -@144D 000170FA -@144E 0001883A -@144F E037883A -@1450 DF000017 -@1451 DEC00104 -@1452 F800283A -@1453 DEFFFA04 -@1454 DFC00515 -@1455 DF000415 -@1456 DF000404 -@1457 E13FFE15 -@1458 E17FFF15 -@1459 E0BFFF17 -@145A 10800017 -@145B E0BFFC15 -@145C E13FFE17 -@145D 00004040 -@145E 10800044 -@145F E0BFFD15 -@1460 00000D06 -@1461 E0BFFC17 -@1462 10800217 -@1463 E0FFFD17 -@1464 180D883A -@1465 E17FFE17 -@1466 1009883A -@1467 00054900 -@1468 1000021E -@1469 E0BFFC17 -@146A 00000706 -@146B E0BFFC17 -@146C 10800017 -@146D E0BFFC15 -@146E E0FFFC17 -@146F E0BFFF17 -@1470 18BFF01E -@1471 0005883A -@1472 E037883A -@1473 DFC00117 -@1474 DF000017 -@1475 DEC00204 -@1476 F800283A -@1477 DEFFFB04 -@1478 DFC00415 -@1479 DF000315 -@147A DF000304 -@147B E13FFF15 -@147C D0A00417 -@147D E0BFFD15 -@147E 00003106 -@147F E0BFFD17 -@1480 10800217 -@1481 1009883A -@1482 00004040 -@1483 E0BFFE15 -@1484 E0BFFD17 -@1485 10C00217 -@1486 E0BFFE17 -@1487 10BFFFC4 -@1488 1885883A -@1489 10800003 -@148A 10803FCC -@148B 1080201C -@148C 10BFE004 -@148D 10800BD8 -@148E 1000031E -@148F E0BFFE17 -@1490 10BFFFC4 -@1491 E0BFFE15 -@1492 E0BFFE17 -@1493 E0FFFF17 -@1494 1885883A -@1495 10800003 -@1496 10803FCC -@1497 1080201C -@1498 10BFE004 -@1499 10800BE0 -@149A 1000081E -@149B E0BFFE17 -@149C E0FFFF17 -@149D 1885883A -@149E 10800003 -@149F 10803FCC -@14A0 1080201C -@14A1 10BFE004 -@14A2 10000A1E -@14A3 E0BFFD17 -@14A4 10800217 -@14A5 E0FFFE17 -@14A6 180D883A -@14A7 E17FFF17 -@14A8 1009883A -@14A9 00054900 -@14AA 1000021E -@14AB E0BFFD17 -@14AC 00000706 -@14AD E0BFFD17 -@14AE 10800017 -@14AF E0BFFD15 -@14B0 E0FFFD17 -@14B1 D0A00404 -@14B2 18BFCC1E -@14B3 0005883A -@14B4 E037883A -@14B5 DFC00117 -@14B6 DF000017 -@14B7 DEC00204 -@14B8 F800283A -@14B9 DEFFFA04 -@14BA DFC00515 -@14BB DF000415 -@14BC DC000315 -@14BD DF000404 -@14BE E13FFE15 -@14BF 00BFFA04 -@14C0 E0BFFD15 -@14C1 E03FFC15 -@14C2 00001D06 -@14C3 04000034 -@14C4 84181E04 -@14C5 E0BFFC17 -@14C6 01400304 -@14C7 1009883A -@14C8 00031140 -@14C9 8085883A -@14CA 10800017 -@14CB 1000111E -@14CC 04000034 -@14CD 84181E04 -@14CE E0BFFC17 -@14CF 01400304 -@14D0 1009883A -@14D1 00031140 -@14D2 8085883A -@14D3 E0FFFE17 -@14D4 10C00015 -@14D5 D0E00817 -@14D6 E0BFFC17 -@14D7 1880020E -@14D8 E0BFFC17 -@14D9 D0A00815 -@14DA E0BFFC17 -@14DB E0BFFD15 -@14DC 00000606 -@14DD E0BFFC17 -@14DE 10800044 -@14DF E0BFFC15 -@14E0 E0BFFC17 -@14E1 10800810 -@14E2 103FE01E -@14E3 E0BFFD17 -@14E4 E6FFFF04 -@14E5 DFC00217 -@14E6 DF000117 -@14E7 DC000017 -@14E8 DEC00304 -@14E9 F800283A -@14EA DEFFFE04 -@14EB DF000115 -@14EC DF000104 -@14ED E13FFF15 -@14EE E0BFFF17 -@14EF 10BFFE84 -@14F0 10C00428 -@14F1 18001A1E -@14F2 100690BA -@14F3 00800034 -@14F4 1094F804 -@14F5 1885883A -@14F6 10800017 -@14F7 1000683A -@14F8 00005420 -@14F9 00005420 -@14FA 00005430 -@14FB 00005430 -@14FC 00005430 -@14FD 00005420 -@14FE 00005428 -@14FF 00005430 -@1500 00005420 -@1501 00005420 -@1502 00005430 -@1503 00005420 -@1504 00005428 -@1505 00005430 -@1506 00005430 -@1507 00005420 -@1508 00800044 -@1509 00000306 -@150A 0005883A -@150B 00000106 -@150C 0005883A -@150D E037883A -@150E DF000017 -@150F DEC00104 -@1510 F800283A -@1511 200B883A -@1512 000F883A -@1513 000D883A -@1514 0009883A -@1515 000550C1 -@1516 DEFFFE04 -@1517 000B883A -@1518 DC000015 -@1519 DFC00115 -@151A 2021883A -@151B 00056240 -@151C 00800034 -@151D 109C9604 -@151E 11000017 -@151F 20800F17 -@1520 10000126 -@1521 103EE83A -@1522 8009883A -@1523 00057A40 -@1524 01C000C4 -@1525 3980192E -@1526 2144B03A -@1527 11C4703A -@1528 10000F26 -@1529 20800003 -@152A 28C00003 -@152B 10C0151E -@152C 31BFFF84 -@152D 01FFFFC4 -@152E 00000406 -@152F 20800003 -@1530 28C00003 -@1531 31BFFFC4 -@1532 10C00E1E -@1533 21000044 -@1534 29400044 -@1535 31FFF91E -@1536 0005883A -@1537 F800283A -@1538 20C00017 -@1539 28800017 -@153A 18BFEE1E -@153B 31BFFF04 -@153C 21000104 -@153D 29400104 -@153E 39BFF936 -@153F 303FE91E -@1540 003FF506 -@1541 10C5C83A -@1542 F800283A -@1543 DEFFFA04 -@1544 DC000315 -@1545 04000034 -@1546 841C9604 -@1547 80C00017 -@1548 DC400415 -@1549 DFC00515 -@154A 18805217 -@154B 2023883A -@154C 10003726 -@154D 10C00117 -@154E 010007C4 -@154F 20C00E16 -@1550 1A000044 -@1551 8800221E -@1552 18C00084 -@1553 18C7883A +@1445 0001883A +@1446 E037883A +@1447 DFC00117 +@1448 DF000017 +@1449 DEC00204 +@144A F800283A +@144B DEFFFF04 +@144C DF000015 +@144D D839883A +@144E 000170FA +@144F 0001883A +@1450 E037883A +@1451 DF000017 +@1452 DEC00104 +@1453 F800283A +@1454 DEFFFA04 +@1455 DFC00515 +@1456 DF000415 +@1457 DF000404 +@1458 E13FFE15 +@1459 E17FFF15 +@145A E0BFFF17 +@145B 10800017 +@145C E0BFFC15 +@145D E13FFE17 +@145E 00004080 +@145F 10800044 +@1460 E0BFFD15 +@1461 00000D06 +@1462 E0BFFC17 +@1463 10800217 +@1464 E0FFFD17 +@1465 180D883A +@1466 E17FFE17 +@1467 1009883A +@1468 00054940 +@1469 1000021E +@146A E0BFFC17 +@146B 00000706 +@146C E0BFFC17 +@146D 10800017 +@146E E0BFFC15 +@146F E0FFFC17 +@1470 E0BFFF17 +@1471 18BFF01E +@1472 0005883A +@1473 E037883A +@1474 DFC00117 +@1475 DF000017 +@1476 DEC00204 +@1477 F800283A +@1478 DEFFFB04 +@1479 DFC00415 +@147A DF000315 +@147B DF000304 +@147C E13FFF15 +@147D D0A00417 +@147E E0BFFD15 +@147F 00003106 +@1480 E0BFFD17 +@1481 10800217 +@1482 1009883A +@1483 00004080 +@1484 E0BFFE15 +@1485 E0BFFD17 +@1486 10C00217 +@1487 E0BFFE17 +@1488 10BFFFC4 +@1489 1885883A +@148A 10800003 +@148B 10803FCC +@148C 1080201C +@148D 10BFE004 +@148E 10800BD8 +@148F 1000031E +@1490 E0BFFE17 +@1491 10BFFFC4 +@1492 E0BFFE15 +@1493 E0BFFE17 +@1494 E0FFFF17 +@1495 1885883A +@1496 10800003 +@1497 10803FCC +@1498 1080201C +@1499 10BFE004 +@149A 10800BE0 +@149B 1000081E +@149C E0BFFE17 +@149D E0FFFF17 +@149E 1885883A +@149F 10800003 +@14A0 10803FCC +@14A1 1080201C +@14A2 10BFE004 +@14A3 10000A1E +@14A4 E0BFFD17 +@14A5 10800217 +@14A6 E0FFFE17 +@14A7 180D883A +@14A8 E17FFF17 +@14A9 1009883A +@14AA 00054940 +@14AB 1000021E +@14AC E0BFFD17 +@14AD 00000706 +@14AE E0BFFD17 +@14AF 10800017 +@14B0 E0BFFD15 +@14B1 E0FFFD17 +@14B2 D0A00404 +@14B3 18BFCC1E +@14B4 0005883A +@14B5 E037883A +@14B6 DFC00117 +@14B7 DF000017 +@14B8 DEC00204 +@14B9 F800283A +@14BA DEFFFA04 +@14BB DFC00515 +@14BC DF000415 +@14BD DC000315 +@14BE DF000404 +@14BF E13FFE15 +@14C0 00BFFA04 +@14C1 E0BFFD15 +@14C2 E03FFC15 +@14C3 00001D06 +@14C4 04000034 +@14C5 84182B04 +@14C6 E0BFFC17 +@14C7 01400304 +@14C8 1009883A +@14C9 00031180 +@14CA 8085883A +@14CB 10800017 +@14CC 1000111E +@14CD 04000034 +@14CE 84182B04 +@14CF E0BFFC17 +@14D0 01400304 +@14D1 1009883A +@14D2 00031180 +@14D3 8085883A +@14D4 E0FFFE17 +@14D5 10C00015 +@14D6 D0E00817 +@14D7 E0BFFC17 +@14D8 1880020E +@14D9 E0BFFC17 +@14DA D0A00815 +@14DB E0BFFC17 +@14DC E0BFFD15 +@14DD 00000606 +@14DE E0BFFC17 +@14DF 10800044 +@14E0 E0BFFC15 +@14E1 E0BFFC17 +@14E2 10800810 +@14E3 103FE01E +@14E4 E0BFFD17 +@14E5 E6FFFF04 +@14E6 DFC00217 +@14E7 DF000117 +@14E8 DC000017 +@14E9 DEC00304 +@14EA F800283A +@14EB DEFFFE04 +@14EC DF000115 +@14ED DF000104 +@14EE E13FFF15 +@14EF E0BFFF17 +@14F0 10BFFE84 +@14F1 10C00428 +@14F2 18001A1E +@14F3 100690BA +@14F4 00800034 +@14F5 1094F904 +@14F6 1885883A +@14F7 10800017 +@14F8 1000683A +@14F9 00005424 +@14FA 00005424 +@14FB 00005434 +@14FC 00005434 +@14FD 00005434 +@14FE 00005424 +@14FF 0000542C +@1500 00005434 +@1501 00005424 +@1502 00005424 +@1503 00005434 +@1504 00005424 +@1505 0000542C +@1506 00005434 +@1507 00005434 +@1508 00005424 +@1509 00800044 +@150A 00000306 +@150B 0005883A +@150C 00000106 +@150D 0005883A +@150E E037883A +@150F DF000017 +@1510 DEC00104 +@1511 F800283A +@1512 200B883A +@1513 000F883A +@1514 000D883A +@1515 0009883A +@1516 00055101 +@1517 DEFFFE04 +@1518 000B883A +@1519 DC000015 +@151A DFC00115 +@151B 2021883A +@151C 00056280 +@151D 00800034 +@151E 109CA304 +@151F 11000017 +@1520 20800F17 +@1521 10000126 +@1522 103EE83A +@1523 8009883A +@1524 00057A80 +@1525 01C000C4 +@1526 3980192E +@1527 2144B03A +@1528 11C4703A +@1529 10000F26 +@152A 20800003 +@152B 28C00003 +@152C 10C0151E +@152D 31BFFF84 +@152E 01FFFFC4 +@152F 00000406 +@1530 20800003 +@1531 28C00003 +@1532 31BFFFC4 +@1533 10C00E1E +@1534 21000044 +@1535 29400044 +@1536 31FFF91E +@1537 0005883A +@1538 F800283A +@1539 20C00017 +@153A 28800017 +@153B 18BFEE1E +@153C 31BFFF04 +@153D 21000104 +@153E 29400104 +@153F 39BFF936 +@1540 303FE91E +@1541 003FF506 +@1542 10C5C83A +@1543 F800283A +@1544 DEFFFA04 +@1545 DC000315 +@1546 04000034 +@1547 841CA304 +@1548 80C00017 +@1549 DC400415 +@154A DFC00515 +@154B 18805217 +@154C 2023883A +@154D 10003726 +@154E 10C00117 +@154F 010007C4 +@1550 20C00E16 +@1551 1A000044 +@1552 8800221E +@1553 18C00084 @1554 18C7883A -@1555 12000115 -@1556 10C7883A -@1557 19400015 -@1558 0005883A -@1559 DFC00517 -@155A DC400417 -@155B DC000317 -@155C DEC00604 -@155D F800283A -@155E 00800034 -@155F 10800004 -@1560 10002626 -@1561 01006404 -@1562 D9400015 -@1563 D9800115 -@1564 D9C00215 -@1565 00000000 -@1566 D9400017 -@1567 D9800117 -@1568 D9C00217 -@1569 10001D26 -@156A 81000017 -@156B 10000115 -@156C 02000044 -@156D 22405217 -@156E 0007883A -@156F 12400015 -@1570 20805215 -@1571 10006215 -@1572 10006315 -@1573 883FDE26 -@1574 18C9883A -@1575 2109883A -@1576 1109883A -@1577 21802215 -@1578 01800044 -@1579 12406217 -@157A 30CC983A -@157B 4992B03A -@157C 12406215 -@157D 21C04215 -@157E 01000084 -@157F 893FD21E -@1580 11006317 -@1581 218CB03A -@1582 11806315 -@1583 003FCE06 -@1584 18805304 -@1585 18805215 -@1586 003FC606 -@1587 00BFFFC4 -@1588 003FD006 -@1589 DEFFF504 -@158A DF000915 -@158B DD400615 -@158C DC800315 -@158D DFC00A15 -@158E DDC00815 -@158F DD800715 -@1590 DD000515 -@1591 DCC00415 -@1592 DC400215 -@1593 DC000115 -@1594 D9000015 -@1595 2839883A -@1596 04800044 -@1597 057FFFC4 -@1598 00800034 -@1599 109C9604 -@159A 12000017 -@159B 45005217 -@159C 44C05204 -@159D A0001C26 -@159E A0800117 -@159F 15FFFFC4 -@15A0 B8000D16 -@15A1 14000044 -@15A2 8421883A +@1555 18C7883A +@1556 12000115 +@1557 10C7883A +@1558 19400015 +@1559 0005883A +@155A DFC00517 +@155B DC400417 +@155C DC000317 +@155D DEC00604 +@155E F800283A +@155F 00800034 +@1560 10800004 +@1561 10002626 +@1562 01006404 +@1563 D9400015 +@1564 D9800115 +@1565 D9C00215 +@1566 00000000 +@1567 D9400017 +@1568 D9800117 +@1569 D9C00217 +@156A 10001D26 +@156B 81000017 +@156C 10000115 +@156D 02000044 +@156E 22405217 +@156F 0007883A +@1570 12400015 +@1571 20805215 +@1572 10006215 +@1573 10006315 +@1574 883FDE26 +@1575 18C9883A +@1576 2109883A +@1577 1109883A +@1578 21802215 +@1579 01800044 +@157A 12406217 +@157B 30CC983A +@157C 4992B03A +@157D 12406215 +@157E 21C04215 +@157F 01000084 +@1580 893FD21E +@1581 11006317 +@1582 218CB03A +@1583 11806315 +@1584 003FCE06 +@1585 18805304 +@1586 18805215 +@1587 003FC606 +@1588 00BFFFC4 +@1589 003FD006 +@158A DEFFF504 +@158B DF000915 +@158C DD400615 +@158D DC800315 +@158E DFC00A15 +@158F DDC00815 +@1590 DD800715 +@1591 DD000515 +@1592 DCC00415 +@1593 DC400215 +@1594 DC000115 +@1595 D9000015 +@1596 2839883A +@1597 04800044 +@1598 057FFFC4 +@1599 00800034 +@159A 109CA304 +@159B 12000017 +@159C 45005217 +@159D 44C05204 +@159E A0001C26 +@159F A0800117 +@15A0 15FFFFC4 +@15A1 B8000D16 +@15A2 14000044 @15A3 8421883A -@15A4 84402004 -@15A5 A463883A -@15A6 A421883A -@15A7 E0001E26 -@15A8 80804017 -@15A9 E0801C26 -@15AA BDFFFFC4 -@15AB 843FFF04 -@15AC 8C7FFF04 -@15AD BD7FF91E -@15AE 00800034 -@15AF 10800004 -@15B0 10000926 -@15B1 A0800117 -@15B2 1000301E -@15B3 A0800017 -@15B4 10003226 -@15B5 A009883A -@15B6 98800015 -@15B7 00000000 -@15B8 9D000017 -@15B9 A03FE41E -@15BA DFC00A17 -@15BB DF000917 -@15BC DDC00817 -@15BD DD800717 -@15BE DD400617 -@15BF DD000517 -@15C0 DCC00417 -@15C1 DC800317 -@15C2 DC400217 -@15C3 DC000117 -@15C4 DEC00B04 -@15C5 F800283A -@15C6 A0800117 -@15C7 80C00017 -@15C8 10BFFFC4 -@15C9 15C01426 -@15CA 80000015 -@15CB 183FDE26 -@15CC 95C8983A -@15CD A0806217 -@15CE A5800117 -@15CF 2084703A -@15D0 10000B26 -@15D1 A0806317 -@15D2 2088703A -@15D3 20000C1E -@15D4 89400017 -@15D5 D9000017 -@15D6 183EE83A -@15D7 A0800117 -@15D8 15BFBF1E -@15D9 98800017 -@15DA 153FCF26 -@15DB 003FBC06 -@15DC 183EE83A -@15DD 003FF906 -@15DE A5C00115 -@15DF 003FEB06 -@15E0 89000017 -@15E1 183EE83A -@15E2 003FF406 -@15E3 A0800017 -@15E4 A027883A -@15E5 1029883A -@15E6 003FB606 -@15E7 0005883A -@15E8 003FFB06 -@15E9 DEFFFD04 -@15EA DF000215 -@15EB DF000204 -@15EC E13FFF15 -@15ED 0001883A -@15EE E0BFFF17 -@15EF E0BFFE15 -@15F0 E0BFFE17 -@15F1 10000226 -@15F2 002AF070 -@15F3 00000106 -@15F4 002AF0B0 -@15F5 003FFF06 -@15F6 0A326464 -@15F7 0A64C864 -@15F8 0AC8FA96 -@15F9 0AFAFAFA -@15FA 64616552 -@15FB 00000079 -@15FC 0000000A -@15FD 00000043 -@15FE 7665642F -@15FF 6C756E2F -@1600 0000006C -@1601 7665642F -@1602 61746A2F -@1603 61755F67 -@1604 00007472 -@1605 7665642F -@1606 61746A2F -@1607 61755F67 -@1608 00007472 -@1609 00000000 -@160A 00005B10 -@160B 00005B78 -@160C 00005BE0 -@160D 00000000 -@160E 00000000 -@160F 00000000 -@1610 00000000 -@1611 00000000 -@1612 00000000 -@1613 00000000 -@1614 00000000 -@1615 00000000 -@1616 000057F4 -@1617 00000000 -@1618 00000000 -@1619 00000000 +@15A4 8421883A +@15A5 84402004 +@15A6 A463883A +@15A7 A421883A +@15A8 E0001E26 +@15A9 80804017 +@15AA E0801C26 +@15AB BDFFFFC4 +@15AC 843FFF04 +@15AD 8C7FFF04 +@15AE BD7FF91E +@15AF 00800034 +@15B0 10800004 +@15B1 10000926 +@15B2 A0800117 +@15B3 1000301E +@15B4 A0800017 +@15B5 10003226 +@15B6 A009883A +@15B7 98800015 +@15B8 00000000 +@15B9 9D000017 +@15BA A03FE41E +@15BB DFC00A17 +@15BC DF000917 +@15BD DDC00817 +@15BE DD800717 +@15BF DD400617 +@15C0 DD000517 +@15C1 DCC00417 +@15C2 DC800317 +@15C3 DC400217 +@15C4 DC000117 +@15C5 DEC00B04 +@15C6 F800283A +@15C7 A0800117 +@15C8 80C00017 +@15C9 10BFFFC4 +@15CA 15C01426 +@15CB 80000015 +@15CC 183FDE26 +@15CD 95C8983A +@15CE A0806217 +@15CF A5800117 +@15D0 2084703A +@15D1 10000B26 +@15D2 A0806317 +@15D3 2088703A +@15D4 20000C1E +@15D5 89400017 +@15D6 D9000017 +@15D7 183EE83A +@15D8 A0800117 +@15D9 15BFBF1E +@15DA 98800017 +@15DB 153FCF26 +@15DC 003FBC06 +@15DD 183EE83A +@15DE 003FF906 +@15DF A5C00115 +@15E0 003FEB06 +@15E1 89000017 +@15E2 183EE83A +@15E3 003FF406 +@15E4 A0800017 +@15E5 A027883A +@15E6 1029883A +@15E7 003FB606 +@15E8 0005883A +@15E9 003FFB06 +@15EA DEFFFD04 +@15EB DF000215 +@15EC DF000204 +@15ED E13FFF15 +@15EE 0001883A +@15EF E0BFFF17 +@15F0 E0BFFE15 +@15F1 E0BFFE17 +@15F2 10000226 +@15F3 002AF070 +@15F4 00000106 +@15F5 002AF0B0 +@15F6 003FFF06 +@15F7 00100000 +@15F8 00100000 +@15F9 00050000 +@15FA 00010000 +@15FB 00100000 +@15FC 00200000 +@15FD 00100000 +@15FE 00010000 +@15FF 00150000 +@1600 00250000 +@1601 00200000 +@1602 00010000 +@1603 00250000 +@1604 00250000 +@1605 00250000 +@1606 00010000 +@1607 64616552 +@1608 00000079 +@1609 0000000A +@160A 00000043 +@160B 7665642F +@160C 6C756E2F +@160D 0000006C +@160E 7665642F +@160F 61746A2F +@1610 61755F67 +@1611 00007472 +@1612 7665642F +@1613 61746A2F +@1614 61755F67 +@1615 00007472 +@1616 00000000 +@1617 00005B44 +@1618 00005BAC +@1619 00005C14 @161A 00000000 @161B 00000000 @161C 00000000 @@ -5665,7 +5665,7 @@ @1620 00000000 @1621 00000000 @1622 00000000 -@1623 00000000 +@1623 00005828 @1624 00000000 @1625 00000000 @1626 00000000 @@ -5680,12 +5680,12 @@ @162F 00000000 @1630 00000000 @1631 00000000 -@1632 00000001 +@1632 00000000 @1633 00000000 -@1634 ABCD330E -@1635 E66D1234 -@1636 0005DEEC -@1637 0000000B +@1634 00000000 +@1635 00000000 +@1636 00000000 +@1637 00000000 @1638 00000000 @1639 00000000 @163A 00000000 @@ -5693,12 +5693,12 @@ @163C 00000000 @163D 00000000 @163E 00000000 -@163F 00000000 +@163F 00000001 @1640 00000000 -@1641 00000000 -@1642 00000000 -@1643 00000000 -@1644 00000000 +@1641 ABCD330E +@1642 E66D1234 +@1643 0005DEEC +@1644 0000000B @1645 00000000 @1646 00000000 @1647 00000000 @@ -5906,292 +5906,292 @@ @1711 00000000 @1712 00000000 @1713 00000000 -@1714 00005C48 -@1715 00005C48 -@1716 00005C50 -@1717 00005C50 -@1718 00005C58 -@1719 00005C58 -@171A 00005C60 -@171B 00005C60 -@171C 00005C68 -@171D 00005C68 -@171E 00005C70 -@171F 00005C70 -@1720 00005C78 -@1721 00005C78 -@1722 00005C80 -@1723 00005C80 -@1724 00005C88 -@1725 00005C88 -@1726 00005C90 -@1727 00005C90 -@1728 00005C98 -@1729 00005C98 -@172A 00005CA0 -@172B 00005CA0 -@172C 00005CA8 -@172D 00005CA8 -@172E 00005CB0 -@172F 00005CB0 -@1730 00005CB8 -@1731 00005CB8 -@1732 00005CC0 -@1733 00005CC0 -@1734 00005CC8 -@1735 00005CC8 -@1736 00005CD0 -@1737 00005CD0 -@1738 00005CD8 -@1739 00005CD8 -@173A 00005CE0 -@173B 00005CE0 -@173C 00005CE8 -@173D 00005CE8 -@173E 00005CF0 -@173F 00005CF0 -@1740 00005CF8 -@1741 00005CF8 -@1742 00005D00 -@1743 00005D00 -@1744 00005D08 -@1745 00005D08 -@1746 00005D10 -@1747 00005D10 -@1748 00005D18 -@1749 00005D18 -@174A 00005D20 -@174B 00005D20 -@174C 00005D28 -@174D 00005D28 -@174E 00005D30 -@174F 00005D30 -@1750 00005D38 -@1751 00005D38 -@1752 00005D40 -@1753 00005D40 -@1754 00005D48 -@1755 00005D48 -@1756 00005D50 -@1757 00005D50 -@1758 00005D58 -@1759 00005D58 -@175A 00005D60 -@175B 00005D60 -@175C 00005D68 -@175D 00005D68 -@175E 00005D70 -@175F 00005D70 -@1760 00005D78 -@1761 00005D78 -@1762 00005D80 -@1763 00005D80 -@1764 00005D88 -@1765 00005D88 -@1766 00005D90 -@1767 00005D90 -@1768 00005D98 -@1769 00005D98 -@176A 00005DA0 -@176B 00005DA0 -@176C 00005DA8 -@176D 00005DA8 -@176E 00005DB0 -@176F 00005DB0 -@1770 00005DB8 -@1771 00005DB8 -@1772 00005DC0 -@1773 00005DC0 -@1774 00005DC8 -@1775 00005DC8 -@1776 00005DD0 -@1777 00005DD0 -@1778 00005DD8 -@1779 00005DD8 -@177A 00005DE0 -@177B 00005DE0 -@177C 00005DE8 -@177D 00005DE8 -@177E 00005DF0 -@177F 00005DF0 -@1780 00005DF8 -@1781 00005DF8 -@1782 00005E00 -@1783 00005E00 -@1784 00005E08 -@1785 00005E08 -@1786 00005E10 -@1787 00005E10 -@1788 00005E18 -@1789 00005E18 -@178A 00005E20 -@178B 00005E20 -@178C 00005E28 -@178D 00005E28 -@178E 00005E30 -@178F 00005E30 -@1790 00005E38 -@1791 00005E38 -@1792 00005E40 -@1793 00005E40 -@1794 00005E48 -@1795 00005E48 -@1796 00005E50 -@1797 00005E50 -@1798 00005E58 -@1799 00005E58 -@179A 00005E60 -@179B 00005E60 -@179C 00005E68 -@179D 00005E68 -@179E 00005E70 -@179F 00005E70 -@17A0 00005E78 -@17A1 00005E78 -@17A2 00005E80 -@17A3 00005E80 -@17A4 00005E88 -@17A5 00005E88 -@17A6 00005E90 -@17A7 00005E90 -@17A8 00005E98 -@17A9 00005E98 -@17AA 00005EA0 -@17AB 00005EA0 -@17AC 00005EA8 -@17AD 00005EA8 -@17AE 00005EB0 -@17AF 00005EB0 -@17B0 00005EB8 -@17B1 00005EB8 -@17B2 00005EC0 -@17B3 00005EC0 -@17B4 00005EC8 -@17B5 00005EC8 -@17B6 00005ED0 -@17B7 00005ED0 -@17B8 00005ED8 -@17B9 00005ED8 -@17BA 00005EE0 -@17BB 00005EE0 -@17BC 00005EE8 -@17BD 00005EE8 -@17BE 00005EF0 -@17BF 00005EF0 -@17C0 00005EF8 -@17C1 00005EF8 -@17C2 00005F00 -@17C3 00005F00 -@17C4 00005F08 -@17C5 00005F08 -@17C6 00005F10 -@17C7 00005F10 -@17C8 00005F18 -@17C9 00005F18 -@17CA 00005F20 -@17CB 00005F20 -@17CC 00005F28 -@17CD 00005F28 -@17CE 00005F30 -@17CF 00005F30 -@17D0 00005F38 -@17D1 00005F38 -@17D2 00005F40 -@17D3 00005F40 -@17D4 00005F48 -@17D5 00005F48 -@17D6 00005F50 -@17D7 00005F50 -@17D8 00005F58 -@17D9 00005F58 -@17DA 00005F60 -@17DB 00005F60 -@17DC 00005F68 -@17DD 00005F68 -@17DE 00005F70 -@17DF 00005F70 -@17E0 00005F78 -@17E1 00005F78 -@17E2 00005F80 -@17E3 00005F80 -@17E4 00005F88 -@17E5 00005F88 -@17E6 00005F90 -@17E7 00005F90 -@17E8 00005F98 -@17E9 00005F98 -@17EA 00005FA0 -@17EB 00005FA0 -@17EC 00005FA8 -@17ED 00005FA8 -@17EE 00005FB0 -@17EF 00005FB0 -@17F0 00005FB8 -@17F1 00005FB8 -@17F2 00005FC0 -@17F3 00005FC0 -@17F4 00005FC8 -@17F5 00005FC8 -@17F6 00005FD0 -@17F7 00005FD0 -@17F8 00005FD8 -@17F9 00005FD8 -@17FA 00005FE0 -@17FB 00005FE0 -@17FC 00005FE8 -@17FD 00005FE8 -@17FE 00005FF0 -@17FF 00005FF0 -@1800 00005FF8 -@1801 00005FF8 -@1802 00006000 -@1803 00006000 -@1804 00006008 -@1805 00006008 -@1806 00006010 -@1807 00006010 -@1808 00006018 -@1809 00006018 -@180A 00006020 -@180B 00006020 -@180C 00006028 -@180D 00006028 -@180E 00006030 -@180F 00006030 -@1810 00006038 -@1811 00006038 -@1812 00006040 -@1813 00006040 -@1814 00007270 -@1815 00007270 -@1816 000057F8 -@1817 00000000 -@1818 00000000 -@1819 00000000 -@181A 00003278 -@181B 00000000 -@181C 00000000 -@181D 00000000 -@181E 00006050 -@181F 00000000 -@1820 00000000 -@1821 00006050 -@1822 00000000 -@1823 00000000 -@1824 00006050 +@1714 00000000 +@1715 00000000 +@1716 00000000 +@1717 00000000 +@1718 00000000 +@1719 00000000 +@171A 00000000 +@171B 00000000 +@171C 00000000 +@171D 00000000 +@171E 00000000 +@171F 00000000 +@1720 00000000 +@1721 00005C7C +@1722 00005C7C +@1723 00005C84 +@1724 00005C84 +@1725 00005C8C +@1726 00005C8C +@1727 00005C94 +@1728 00005C94 +@1729 00005C9C +@172A 00005C9C +@172B 00005CA4 +@172C 00005CA4 +@172D 00005CAC +@172E 00005CAC +@172F 00005CB4 +@1730 00005CB4 +@1731 00005CBC +@1732 00005CBC +@1733 00005CC4 +@1734 00005CC4 +@1735 00005CCC +@1736 00005CCC +@1737 00005CD4 +@1738 00005CD4 +@1739 00005CDC +@173A 00005CDC +@173B 00005CE4 +@173C 00005CE4 +@173D 00005CEC +@173E 00005CEC +@173F 00005CF4 +@1740 00005CF4 +@1741 00005CFC +@1742 00005CFC +@1743 00005D04 +@1744 00005D04 +@1745 00005D0C +@1746 00005D0C +@1747 00005D14 +@1748 00005D14 +@1749 00005D1C +@174A 00005D1C +@174B 00005D24 +@174C 00005D24 +@174D 00005D2C +@174E 00005D2C +@174F 00005D34 +@1750 00005D34 +@1751 00005D3C +@1752 00005D3C +@1753 00005D44 +@1754 00005D44 +@1755 00005D4C +@1756 00005D4C +@1757 00005D54 +@1758 00005D54 +@1759 00005D5C +@175A 00005D5C +@175B 00005D64 +@175C 00005D64 +@175D 00005D6C +@175E 00005D6C +@175F 00005D74 +@1760 00005D74 +@1761 00005D7C +@1762 00005D7C +@1763 00005D84 +@1764 00005D84 +@1765 00005D8C +@1766 00005D8C +@1767 00005D94 +@1768 00005D94 +@1769 00005D9C +@176A 00005D9C +@176B 00005DA4 +@176C 00005DA4 +@176D 00005DAC +@176E 00005DAC +@176F 00005DB4 +@1770 00005DB4 +@1771 00005DBC +@1772 00005DBC +@1773 00005DC4 +@1774 00005DC4 +@1775 00005DCC +@1776 00005DCC +@1777 00005DD4 +@1778 00005DD4 +@1779 00005DDC +@177A 00005DDC +@177B 00005DE4 +@177C 00005DE4 +@177D 00005DEC +@177E 00005DEC +@177F 00005DF4 +@1780 00005DF4 +@1781 00005DFC +@1782 00005DFC +@1783 00005E04 +@1784 00005E04 +@1785 00005E0C +@1786 00005E0C +@1787 00005E14 +@1788 00005E14 +@1789 00005E1C +@178A 00005E1C +@178B 00005E24 +@178C 00005E24 +@178D 00005E2C +@178E 00005E2C +@178F 00005E34 +@1790 00005E34 +@1791 00005E3C +@1792 00005E3C +@1793 00005E44 +@1794 00005E44 +@1795 00005E4C +@1796 00005E4C +@1797 00005E54 +@1798 00005E54 +@1799 00005E5C +@179A 00005E5C +@179B 00005E64 +@179C 00005E64 +@179D 00005E6C +@179E 00005E6C +@179F 00005E74 +@17A0 00005E74 +@17A1 00005E7C +@17A2 00005E7C +@17A3 00005E84 +@17A4 00005E84 +@17A5 00005E8C +@17A6 00005E8C +@17A7 00005E94 +@17A8 00005E94 +@17A9 00005E9C +@17AA 00005E9C +@17AB 00005EA4 +@17AC 00005EA4 +@17AD 00005EAC +@17AE 00005EAC +@17AF 00005EB4 +@17B0 00005EB4 +@17B1 00005EBC +@17B2 00005EBC +@17B3 00005EC4 +@17B4 00005EC4 +@17B5 00005ECC +@17B6 00005ECC +@17B7 00005ED4 +@17B8 00005ED4 +@17B9 00005EDC +@17BA 00005EDC +@17BB 00005EE4 +@17BC 00005EE4 +@17BD 00005EEC +@17BE 00005EEC +@17BF 00005EF4 +@17C0 00005EF4 +@17C1 00005EFC +@17C2 00005EFC +@17C3 00005F04 +@17C4 00005F04 +@17C5 00005F0C +@17C6 00005F0C +@17C7 00005F14 +@17C8 00005F14 +@17C9 00005F1C +@17CA 00005F1C +@17CB 00005F24 +@17CC 00005F24 +@17CD 00005F2C +@17CE 00005F2C +@17CF 00005F34 +@17D0 00005F34 +@17D1 00005F3C +@17D2 00005F3C +@17D3 00005F44 +@17D4 00005F44 +@17D5 00005F4C +@17D6 00005F4C +@17D7 00005F54 +@17D8 00005F54 +@17D9 00005F5C +@17DA 00005F5C +@17DB 00005F64 +@17DC 00005F64 +@17DD 00005F6C +@17DE 00005F6C +@17DF 00005F74 +@17E0 00005F74 +@17E1 00005F7C +@17E2 00005F7C +@17E3 00005F84 +@17E4 00005F84 +@17E5 00005F8C +@17E6 00005F8C +@17E7 00005F94 +@17E8 00005F94 +@17E9 00005F9C +@17EA 00005F9C +@17EB 00005FA4 +@17EC 00005FA4 +@17ED 00005FAC +@17EE 00005FAC +@17EF 00005FB4 +@17F0 00005FB4 +@17F1 00005FBC +@17F2 00005FBC +@17F3 00005FC4 +@17F4 00005FC4 +@17F5 00005FCC +@17F6 00005FCC +@17F7 00005FD4 +@17F8 00005FD4 +@17F9 00005FDC +@17FA 00005FDC +@17FB 00005FE4 +@17FC 00005FE4 +@17FD 00005FEC +@17FE 00005FEC +@17FF 00005FF4 +@1800 00005FF4 +@1801 00005FFC +@1802 00005FFC +@1803 00006004 +@1804 00006004 +@1805 0000600C +@1806 0000600C +@1807 00006014 +@1808 00006014 +@1809 0000601C +@180A 0000601C +@180B 00006024 +@180C 00006024 +@180D 0000602C +@180E 0000602C +@180F 00006034 +@1810 00006034 +@1811 0000603C +@1812 0000603C +@1813 00006044 +@1814 00006044 +@1815 0000604C +@1816 0000604C +@1817 00006054 +@1818 00006054 +@1819 0000605C +@181A 0000605C +@181B 00006064 +@181C 00006064 +@181D 0000606C +@181E 0000606C +@181F 00006074 +@1820 00006074 +@1821 000072A4 +@1822 000072A4 +@1823 0000582C +@1824 00000000 @1825 00000000 @1826 00000000 -@1827 00000000 +@1827 0000327C @1828 00000000 @1829 00000000 @182A 00000000 -@182B 00000000 +@182B 00006084 @182C 00000000 @182D 00000000 -@182E 00000000 +@182E 00006084 @182F 00000000 @1830 00000000 -@1831 00000000 +@1831 00006084 @1832 00000000 @1833 00000000 @1834 00000000 @@ -6270,29 +6270,29 @@ @187D 00000000 @187E 00000000 @187F 00000000 -@1880 00005814 +@1880 00000000 @1881 00000000 -@1882 00003B98 -@1883 00003AD8 -@1884 00003B38 +@1882 00000000 +@1883 00000000 +@1884 00000000 @1885 00000000 @1886 00000000 -@1887 00003BE8 -@1888 00021038 -@1889 0000000A +@1887 00000000 +@1888 00000000 +@1889 00000000 @188A 00000000 @188B 00000000 @188C 00000000 -@188D 00000000 +@188D 00005848 @188E 00000000 -@188F 00000000 -@1890 00000000 -@1891 00000000 +@188F 00003B9C +@1890 00003ADC +@1891 00003B3C @1892 00000000 @1893 00000000 -@1894 00000000 -@1895 00000000 -@1896 00000000 +@1894 00003BEC +@1895 00021038 +@1896 0000000A @1897 00000000 @1898 00000000 @1899 00000000 @@ -7316,17 +7316,30 @@ @1C93 00000000 @1C94 00000000 @1C95 00000000 -@1C96 00005824 -@1C97 00005824 -@1C98 FFFFFFFF -@1C99 00020000 -@1C9A 00007268 -@1C9B 00007268 -@1C9C 00006050 -@1C9D 00006050 -@1C9E FFFFFFFF +@1C96 00000000 +@1C97 00000000 +@1C98 00000000 +@1C99 00000000 +@1C9A 00000000 +@1C9B 00000000 +@1C9C 00000000 +@1C9D 00000000 +@1C9E 00000000 @1C9F 00000000 -@1CA0 000073E4 -@1CA1 FFFFFFFF -@1CA2 00007288 -@1CA3 00007288 +@1CA0 00000000 +@1CA1 00000000 +@1CA2 00000000 +@1CA3 00005858 +@1CA4 00005858 +@1CA5 FFFFFFFF +@1CA6 00020000 +@1CA7 0000729C +@1CA8 0000729C +@1CA9 00006084 +@1CAA 00006084 +@1CAB FFFFFFFF +@1CAC 00000000 +@1CAD 00007418 +@1CAE FFFFFFFF +@1CAF 000072BC +@1CB0 000072BC diff --git a/Top/software/semafor/mem_init/hdl_sim/niosII_mem.sym b/Top/software/semafor/mem_init/hdl_sim/niosII_mem.sym index 26c5746..07f6841 100644 --- a/Top/software/semafor/mem_init/hdl_sim/niosII_mem.sym +++ b/Top/software/semafor/mem_init/hdl_sim/niosII_mem.sym @@ -7,163 +7,163 @@ 00000230 T _start 00000244 t alt_after_alt_main 00000248 T main -00000330 T _puts_r -000003f0 T puts -00000404 T strlen -0000049c t __fp_unlock -000004a4 T _cleanup_r -000004b0 t __sinit.part.1 -0000064c t __fp_lock -00000654 T __sfmoreglue -000006cc T __sfp -000007e4 T _cleanup -000007fc T __sinit -0000080c T __sfp_lock_acquire -00000810 T __sfp_lock_release -00000814 T __sinit_lock_acquire -00000818 T __sinit_lock_release -0000081c T __fp_lock_all -00000834 T __fp_unlock_all -0000084c T __sfvwrite_r -00000d14 T _fwalk -00000dd8 T _fwalk_reent -00000e9c T _malloc_r -000016a8 T memchr -0000178c T memcpy -000018d4 T memmove -00001a30 T memset -00001b58 T _realloc_r -000020bc T _sbrk_r -00002110 T __sread -00002164 T __seofread -0000216c T __swrite -000021e8 T __sseek -00002244 T __sclose -0000224c T _write_r -000022ac T __swsetup_r -00002400 T _close_r -00002454 T _fclose_r -00002544 T fclose -00002558 T __sflush_r -00002774 T _fflush_r -000027d0 T fflush -00002800 T _malloc_trim_r -00002924 T _free_r -00002c34 T _lseek_r -00002c94 T __smakebuf_r -00002e50 T _read_r -00002eb0 T _fstat_r -00002f0c T _isatty_r -00002f60 T __divsi3 -00002fe4 T __modsi3 -00003058 T __udivsi3 -000030bc T __umodsi3 -00003114 T __mulsi3 -0000313c t alt_get_errno -00003178 T close -00003250 T alt_dcache_flush -00003278 t alt_dev_null_write -000032a4 t alt_get_errno -000032e0 T fstat -00003398 t alt_get_errno -000033d4 T isatty -00003480 t alt_get_errno -000034bc T lseek -00003598 T alt_main -00003614 T __malloc_lock -00003638 T __malloc_unlock -0000365c t alt_get_errno -00003698 T read -0000379c T alt_release_fd -00003820 T sbrk -000038d0 t alt_get_errno -0000390c T write -00003a0c t alt_dev_reg -00003a40 T alt_irq_init -00003a78 T alt_sys_init -00003ad8 T altera_avalon_jtag_uart_read_fd -00003b38 T altera_avalon_jtag_uart_write_fd -00003b98 T altera_avalon_jtag_uart_close_fd -00003be8 T altera_avalon_jtag_uart_ioctl_fd -00003c3c T altera_avalon_jtag_uart_init -00003cfc t altera_avalon_jtag_uart_irq -00003f08 t altera_avalon_jtag_uart_timeout -00003fa8 T altera_avalon_jtag_uart_close -00004010 T altera_avalon_jtag_uart_ioctl -00004100 T altera_avalon_jtag_uart_read -0000431c T altera_avalon_jtag_uart_write -00004540 t alt_avalon_timer_sc_irq -000045b8 T alt_avalon_timer_sc_init -00004634 T alt_alarm_start -00004760 t alt_get_errno -0000479c T alt_dev_llist_insert -00004840 T _do_ctors -000048a0 T _do_dtors -00004900 T alt_ic_isr_register -00004950 T alt_ic_irq_enable -000049d8 T alt_ic_irq_disable -00004a64 T alt_ic_irq_enabled -00004ab0 T alt_iic_isr_register -00004ba0 t alt_open_fd -00004c84 T alt_io_redirect -00004d00 t alt_get_errno -00004d3c t alt_file_locked -00004e28 T open -00004f84 T alt_alarm_stop -00005020 T alt_tick -00005128 T altera_nios2_gen2_irq_init -0000514c T alt_find_dev -000051dc T alt_find_file -000052e4 T alt_get_fd -000053a8 T alt_exception_cause_generated_bad_addr -00005444 T atexit -00005458 T exit -00005490 T memcmp -0000550c T __register_exitproc -00005624 T __call_exitprocs -000057a4 T _exit -000057d8 A __CTOR_END__ -000057d8 A __CTOR_LIST__ -000057d8 A __DTOR_END__ -000057d8 A __DTOR_LIST__ -000057d8 R divisors -00005824 g impure_data -00005c48 G __malloc_av_ -00006050 G alt_dev_null -00006078 G alt_fd_list -000061f8 g jtag_uart -00007258 G _global_impure_ptr -0000725c G _impure_ptr -00007260 G __malloc_sbrk_base -00007264 G __malloc_trim_threshold -00007268 G alt_fs_list -00007270 G alt_dev_list -00007278 G alt_max_fd -0000727c G alt_errno -00007280 g heap_end -00007284 G alt_priority_mask -00007288 G alt_alarm_list -00007290 A __bss_start -00007290 B __malloc_max_total_mem -00007290 A _edata -00007294 B __malloc_max_sbrked_mem -00007298 B __malloc_top_pad -0000729c B errno -000072a0 B alt_argc -000072a4 B alt_argv -000072a8 B alt_envp -000072ac B alt_irq_active -000072b0 B _alt_tick_rate -000072b4 B _alt_nticks -000072b8 B alt_instruction_exception_handler -000072bc B __malloc_current_mallinfo -000072e4 B alt_irq -000073e4 A __alt_heap_start -000073e4 A __alt_stack_base -000073e4 A __bss_end -000073e4 A _end -000073e4 A end -0000f258 A _gp +00000334 T _puts_r +000003f4 T puts +00000408 T strlen +000004a0 t __fp_unlock +000004a8 T _cleanup_r +000004b4 t __sinit.part.1 +00000650 t __fp_lock +00000658 T __sfmoreglue +000006d0 T __sfp +000007e8 T _cleanup +00000800 T __sinit +00000810 T __sfp_lock_acquire +00000814 T __sfp_lock_release +00000818 T __sinit_lock_acquire +0000081c T __sinit_lock_release +00000820 T __fp_lock_all +00000838 T __fp_unlock_all +00000850 T __sfvwrite_r +00000d18 T _fwalk +00000ddc T _fwalk_reent +00000ea0 T _malloc_r +000016ac T memchr +00001790 T memcpy +000018d8 T memmove +00001a34 T memset +00001b5c T _realloc_r +000020c0 T _sbrk_r +00002114 T __sread +00002168 T __seofread +00002170 T __swrite +000021ec T __sseek +00002248 T __sclose +00002250 T _write_r +000022b0 T __swsetup_r +00002404 T _close_r +00002458 T _fclose_r +00002548 T fclose +0000255c T __sflush_r +00002778 T _fflush_r +000027d4 T fflush +00002804 T _malloc_trim_r +00002928 T _free_r +00002c38 T _lseek_r +00002c98 T __smakebuf_r +00002e54 T _read_r +00002eb4 T _fstat_r +00002f10 T _isatty_r +00002f64 T __divsi3 +00002fe8 T __modsi3 +0000305c T __udivsi3 +000030c0 T __umodsi3 +00003118 T __mulsi3 +00003140 t alt_get_errno +0000317c T close +00003254 T alt_dcache_flush +0000327c t alt_dev_null_write +000032a8 t alt_get_errno +000032e4 T fstat +0000339c t alt_get_errno +000033d8 T isatty +00003484 t alt_get_errno +000034c0 T lseek +0000359c T alt_main +00003618 T __malloc_lock +0000363c T __malloc_unlock +00003660 t alt_get_errno +0000369c T read +000037a0 T alt_release_fd +00003824 T sbrk +000038d4 t alt_get_errno +00003910 T write +00003a10 t alt_dev_reg +00003a44 T alt_irq_init +00003a7c T alt_sys_init +00003adc T altera_avalon_jtag_uart_read_fd +00003b3c T altera_avalon_jtag_uart_write_fd +00003b9c T altera_avalon_jtag_uart_close_fd +00003bec T altera_avalon_jtag_uart_ioctl_fd +00003c40 T altera_avalon_jtag_uart_init +00003d00 t altera_avalon_jtag_uart_irq +00003f0c t altera_avalon_jtag_uart_timeout +00003fac T altera_avalon_jtag_uart_close +00004014 T altera_avalon_jtag_uart_ioctl +00004104 T altera_avalon_jtag_uart_read +00004320 T altera_avalon_jtag_uart_write +00004544 t alt_avalon_timer_sc_irq +000045bc T alt_avalon_timer_sc_init +00004638 T alt_alarm_start +00004764 t alt_get_errno +000047a0 T alt_dev_llist_insert +00004844 T _do_ctors +000048a4 T _do_dtors +00004904 T alt_ic_isr_register +00004954 T alt_ic_irq_enable +000049dc T alt_ic_irq_disable +00004a68 T alt_ic_irq_enabled +00004ab4 T alt_iic_isr_register +00004ba4 t alt_open_fd +00004c88 T alt_io_redirect +00004d04 t alt_get_errno +00004d40 t alt_file_locked +00004e2c T open +00004f88 T alt_alarm_stop +00005024 T alt_tick +0000512c T altera_nios2_gen2_irq_init +00005150 T alt_find_dev +000051e0 T alt_find_file +000052e8 T alt_get_fd +000053ac T alt_exception_cause_generated_bad_addr +00005448 T atexit +0000545c T exit +00005494 T memcmp +00005510 T __register_exitproc +00005628 T __call_exitprocs +000057a8 T _exit +000057dc A __CTOR_END__ +000057dc A __CTOR_LIST__ +000057dc A __DTOR_END__ +000057dc A __DTOR_LIST__ +000057dc R divisors +00005858 g impure_data +00005c7c G __malloc_av_ +00006084 G alt_dev_null +000060ac G alt_fd_list +0000622c g jtag_uart +0000728c G _global_impure_ptr +00007290 G _impure_ptr +00007294 G __malloc_sbrk_base +00007298 G __malloc_trim_threshold +0000729c G alt_fs_list +000072a4 G alt_dev_list +000072ac G alt_max_fd +000072b0 G alt_errno +000072b4 g heap_end +000072b8 G alt_priority_mask +000072bc G alt_alarm_list +000072c4 A __bss_start +000072c4 B __malloc_max_total_mem +000072c4 A _edata +000072c8 B __malloc_max_sbrked_mem +000072cc B __malloc_top_pad +000072d0 B errno +000072d4 B alt_argc +000072d8 B alt_argv +000072dc B alt_envp +000072e0 B alt_irq_active +000072e4 B _alt_tick_rate +000072e8 B _alt_nticks +000072ec B alt_instruction_exception_handler +000072f0 B __malloc_current_mallinfo +00007318 B alt_irq +00007418 A __alt_heap_start +00007418 A __alt_stack_base +00007418 A __bss_end +00007418 A _end +00007418 A end +0000f28c A _gp 00020000 A __alt_data_end 00020000 A __alt_heap_limit 00020000 A __alt_stack_pointer diff --git a/Top/software/semafor/mem_init/niosII_mem.hex b/Top/software/semafor/mem_init/niosII_mem.hex index fef9a87..71b49cf 100644 --- a/Top/software/semafor/mem_init/niosII_mem.hex +++ b/Top/software/semafor/mem_init/niosII_mem.hex @@ -9,709 +9,709 @@ :20003800DAC00C17DB000D17DB400E17DB800F17DBC01017DEC01304EF80083ADEFFF90429 :20004000DFC00615DF000515DF0005040001883A0005313AE0BFFE15E0BFFE17E0BFFB15BD :20004800E03FFD1500800044E0BFFC15E0FFFB17E0BFFC171884703A10001426008000340C -:20005000109CB904E0FFFD17180690FA10C5883A10C0001700800034109CB904E13FFD17BD +:20005000109CC604E0FFFD17180690FA10C5883A10C0001700800034109CC604E13FFD17A3 :20005800200890FA1105883A10800104108000171009883A183EE83A0001883A0005313A3C :20006000E0BFFF15E0BFFF1700000706E0BFFC171085883AE0BFFC15E0BFFD1710800044CB :20006800E0BFFD15003FE106E0BFFB15E0BFFB17103FDB1E0001883A0001883AE037883A9A :20007000DFC00117DF000017DEC00204F800283ADEFFFB04DFC00415DF000315DF00030454 :20007800E13FFF1500BFFFC4E0BFFD15E03FFE15D0A0181710000726D0A01817E0FFFD1761 :20008000E1BFFE17E17FFF171809883A103EE83A00000206003DA03A0005883AE037883A23 -:20008800DFC00117DF000017DEC00204F800283A06C000B4DEC0001406800034D6BC96148B -:2000900000035980003FFF06DEFFFA04DFC00515DF000415DF000404008000B410840804E9 -:20009800E0BFFE15E03FFC1500002106E03FFF1500800104E0BFFD1500001206E0BFFF1709 -:2000A0001008923AE0BFFD17117FFFC4008000341095F604E0FFFC1718C7883A18C7883ACF -:2000A80010C5883A1145883A1080000310803FCC2084B03AE0BFFF15E0BFFD1710BFFFC4D5 -:2000B000E0BFFD15E0BFFD1700BFEC16E0BFFE17E0FFFF1710C00015E0BFFE171080010434 -:2000B800E0BFFE15E0BFFC1710800044E0BFFC15E0BFFC1710800110103FDC1E000325007C -:2000C0000007883A008000B410840D0410C0003500C00044008000B410840C0410C0003598 -:2000C800010000342115FA0400003F00003FFF06DEFFF604DC0007152021883A2809883A67 -:2000D000DC400815DFC009152823883A0000404010C00044D8800115008000341095FC04B2 -:2000D800D880021500800044D880031500800084DC400015D8C00615DEC00415D880051554 -:2000E0008000022680800E1710001426814002172880030B10C8000C1800061E29001917E0 -:2000E80000F7FFC41088001420C6703A2880030D28C01915D98004048009883A000084C044 -:2000F0001000091E00800284DFC00917DC400817DC000717DEC00A04F800283A8009883A6E -:2000F80000007FC0003FE90600BFFFC4003FF60600800034109C9704200B883A11000017AE -:2001000000003301208000CC1000202620800007100020262005883A0000020610C0000726 -:20010800180018261080004410C000CC183FFB1E10C0001701FFBFF439FFBFC400CA303A18 -:2001100001A0207419C7883A31A020041946703A1986703A1800091E1080010410C00017F6 -:2001180019CB883A00C6303A28C6703A1986703A183FF926000001061080004410C00007E8 -:20012000183FFD1E1105C83AF800283A2005883A003FE7060005883AF800283A0005883AE0 -:20012800F800283A01400034294915040000DD81DEFFF70400C00034DFC00815DDC00715BE -:20013000DD800615DD400515DD000415DCC00315DC800215DC400115DC00001518C12904BA -:200138002400011720C00F152080BB0400C000C420C0B9152080BA152000B81505C00204AF -:20014000008001042025883AB80D883A81001704000B883A80000015800001158000021561 -:200148008080030D800019158000038D8000041580000515800006150001A300058000349E -:2001500094400217054000340500003404C00034B5884404AD485B04A5087A049CC89104FB -:20015800858008158540091585000A1584C00B1584000715008002848880030D00800044F8 -:20016000B80D883A89001704000B883A880000158800011588000215880019158880038D5F -:200168008800041588000515880006150001A30094000317008004848C4007158D80081525 -:200170008D4009158D000A158CC00B158080030D0080008480000015800001158000021596 -:20017800800019158080038D800004158000051580000615B80D883A000B883A810017046B -:200180000001A3000080004484000715858008158540091585000A1584C00B1590800E1517 -:20018800DFC00817DDC00717DD800617DD400517DD000417DCC00317DC800217DC400117D4 -:20019000DC000017DEC00904F800283A0005883AF800283ADEFFFC04DC8002152825883AD7 -:20019800DC00001501401A042021883A913FFFC4DFC00315DC400115000311408009883AD9 -:2001A00011401D041023883A0000E9C01021883A1000072611000304100000151480011518 -:2001A8001100021589801A04000B883A0001A3008005883ADFC00317DC800217DC400117CE -:2001B000DC000017DEC00404F800283ADEFFFB04DC00001504000034841C9604DCC0031549 -:2001B8002027883A81000017DFC00415DC80021520800E17DC4001151000021E00004B00E9 -:2001C000810000172480B804047FFFC49100011794000217213FFFC420000A168080030F16 -:2001C80010000C2680C01D040000020618BFE60F10000826213FFFC41C3FFD0418C01A04ED -:2001D000247FFA1E9080001710001D261025883A003FEE0600BFFFC48080038D00800044DA -:2001D8008080030D80001915800000158000021580000115800004158000051580000615B9 -:2001E00001800204000B883A810017040001A3008005883A80000C1580000D15800011153B -:2001E80080001215DFC00417DCC00317DC800217DC400117DC000017DEC00504F800283A43 -:2001F000014001049809883A0000654090800015103FDE1E00800304988000150005883AB6 -:2001F800003FF00600800034109C96041100001701400034294915040000DD8120800E176D -:2002000010000126F800283A00004B01F800283AF800283AF800283AF800283A00800034E5 -:20020800109C97041100001701400034294193040000D14100800034109C970411000017BC -:2002100001400034294127040000D141308002171000672628C0030BDEFFF404DD40071548 -:20021800DD000615DC000215DFC00B15DF000A15DDC00915DD800815DCC00515DC800415BE -:20022000DC4003151880020C2821883A202B883A3029883A10002726288004171000252636 -:200228001880008CA440001710002A2605A000340027883A0025883AB5BF0004980D883AAF -:20023000A809883A90004626900F883AB480022E01E0003439FF000480800917814007172A -:20023800103EE83A00805A0EA0C0021798A7883A90A5C83A1885C83AA0800215103FEF1E6B -:200240000005883ADFC00B17DF000A17DDC00917DD800817DD400717DD000617DCC00517F0 -:20024800DC800417DC400317DC000217DEC00C04F800283A800B883AA809883A00022AC040 -:200250001000EE1E80C0030BA44000171880008C103FD61E1880004C1000421E0039883A73 -:200258000025883A90001A261880800C84C0021710002126982F883A94C096361881200C89 -:200260001000A11E81000017B80D883AE00B883A00018D4080C00217810000179005883ACD -:200268001CE7C83A25CF883A84C0021581C00015A0C00217E0B9883A90A5C83A18A7C83A3E -:20027000A4C00215983FCE2680C0030B903FE61E8F0000178C8001178C400204003FE10645 -:200278008CC000178C8001178C400204003FB3060005883AF800283A810000178080041741 -:2002800011005A3685C0051795C0583600A0003410BFFFC49009883A1480012E1009883A1A -:20028800B80B883A0002F600B80B883A1009883A000311408140071780C00917100F883A05 -:20029000E00D883AA809883A183EE83A00BFD8168080030B108010148080030D00BFFFC4B3 -:20029800003FA8060027883A0011883A0039883A0025883A90001F2640005A26982D883A9F -:2002A00094C0012E902D883A8100001780800417B02F883A81C005171100032E80C00217F0 -:2002A80038C7883A1D801816B1C03E168080091781400717E00D883ADA000115A809883AD4 -:2002B000103EE83A102F883ADA00011700BFE00E9DE7C83A98001F26A0800217E5F9883AE2 -:2002B80095E5C83A15EFC83AA5C00215B83F8426903FE11E8F0000178C8001170011883A1C -:2002C0008C400204003FDB06180D883AE00B883ADA000115D8C0001500018D40D8C000177E -:2002C80080800017800B883AA809883A10C5883A80800015D8C0001500027740D8C000177E -:2002D000DA000117103FC21E182F883A9DE7C83A983FE11E800B883AA809883A000277400F -:2002D800103FBB1E0011883A003FDB0694C0012E9027883A980D883AE00B883A00018D400D -:2002E0008080021780C0001714C5C83A1CC7883A8080021580C00015100043269805883ACA -:2002E800003F8306B00D883AE00B883ADA00011500018D408080021780C00017DA000117E2 -:2002F0001585C83A1DAD883A8080021585800015003FBF06810000179027883A902F883AFF -:2002F800003F6906900D883A01400284E009883A00016A8010003E26108000441727C83AF4 -:2003000002000044003F9D06808005178140041781C0001710A7883A9885883A1026D7FA06 -:20030800396DC83AB10000449885883A1027D07A2485883A980D883A9880022E1027883AC5 -:20031000100D883A18C1000C18001C26300B883AA809883A0000E9C0102F883A1000292636 -:2003180081400417B00D883A1009883A000178C08080030B00FEDFC410C4703A1080201465 -:200320008080030DBD89883A9D8FC83A85C0041584C00515810000159027883A81C0021554 -:20032800902F883A003F3806800B883AA809883A00027740103FB926003F6506A809883AF3 -:200330000001B580102F883A103FEE1E81400417A809883A000292408080030B00FFDFC448 -:200338001884703A00C00304A8C00015003F590694C0004402000044003F600600BFFFC478 -:20034000003F000600800304A88000158080030B003F5006DEFFF704DD000415DFC0081567 -:20034800DDC00715DD800615DD400515DCC00315DC800215DC400115DC0000152500B80402 -:20035000A0002326282B883A002F883A0580004404FFFFC4A4400117A48002178C7FFFC408 -:2003580088000D1694000304948003848080000B8C7FFFC4813FFD04B080042E9080000F89 -:2003600014C00226A83EE83AB8AEB03A84001A0494801A048CFFF51EA5000017A03FED1E11 -:20036800B805883ADFC00817DDC00717DD800617DD400517DD000417DCC00317DC800217A7 -:20037000DC400117DC000017DEC00904F800283A002F883A003FF206DEFFF704DD0004154B -:20037800DFC00815DDC00715DD800615DD400515DCC00315DC800215DC400115DC000015F7 -:200380002500B804A0002326282B883A2027883A002F883A0580004404BFFFC4A440011739 -:20038800A40002178C7FFFC488000C16840003048080000B8C7FFFC4817FFD04B080052E58 -:200390008080008F9809883A14800226A83EE83AB8AEB03A84001A048CBFF51EA50000178B -:20039800A03FEE1EB805883ADFC00817DDC00717DD800617DD400517DD000417DCC0031701 -:2003A000DC800217DC400117DC000017DEC00904F800283A002F883A003FF206DEFFF5049E -:2003A800DC800315DFC00A15DF000915DDC00815DD800715DD400615DD000515DCC00415EF -:2003B000DC400215DC000115288002C400C005842025883A18807F2E047FFE041462703A60 -:2003B8008800A3168940A2360003614000807DC41441E92E8804D27A1000A12600C00104FE -:2003C00018811E368804D1BA12000E4411C00E044209883A04C000342109883A9CD7120458 -:2003C8002109883A9909883A24000117213FFE04240097268080011701BFFF04014003C463 -:2003D0001184703A1447C83A28C007161800920E8400031724008E26808001171184703AE7 -:2003D8001447C83A28FFF90E3809883A018000349C00041731971204320002048201342618 -:2003E00080C0011700BFFF04188E703A3C45C83A00C003C418811F16320005153200041524 -:2003E80010007F0E00807FC411C0FD363806D0FA01C000443080011719400044294B883AE9 -:2003F0001807D0BA294B883A294B883A298B883A38C6983A29C000172A7FFE041886B03A5F -:2003F8008240031581C0021530C001152C0000153C0003152005D0BA01400044288A983A60 -:2004000019406F3628C4703A10000A1E00BFFF04294B883A2088703A28C4703A210001040A -:200408001000041E294B883A28C4703A21000104103FFC2602BFFF04024003C4218000448D -:20041000318D883A318D883A318D883A998D883A333FFE042017883A3180010434000017F6 -:2004180031FFFD0481C0041E0000FB061801030E8400031781C0F826808001171284703AB0 -:200420001447C83A48FFF90E80800317810002178940005481400115208003151100021589 -:200428008463883A9C4005159C40041518800054888001158A0003158A00021588E3883AA6 -:2004300088C000159009883A000363808080020400001B06044004048940253600036140D3 -:20043800008001840100008404C000341085883A9CD712041085883A9885883A14000117E0 -:2004400010FFFE0480C0D92680C001178100031700BFFF041884703A814002178085883AB0 -:2004480010C00117290003152140021518C0005410C001159009883A00036380808002049A -:20045000DFC00A17DF000917DDC00817DD800717DD400617DD000517DCC00417DC80031736 -:20045800DC400217DC000117DEC00B04F800283A00800304908000150005883A003FF006AC -:20046000010020040200100401C00FC4003F61064009883A003F750681000317003FDE0684 -:2004680081C5883A114001179009883A29400054114001150003638080800204003FE00673 -:200470009C00021700BFFF0485800117B0AC703AB4400336B445C83A00C003C418805D1618 -:2004780005C0003400800034109CA604BDDC980415400017B8C0001700BFFFC4858D883ADB -:200480008D6B883A1880EA26AD4403C400BC0004A8AA703AA80B883A9009883AD980001548 -:2004880000020BC01029883A00BFFFC4D9800017A080E826A180A63607000034E71CAF047E -:20049000E0800017A887883AE0C000153500E626B900001700BFFFC42080EE26A185C83AC0 -:2004980010C5883AE0800015A0C001CC1800BC26A0E9C83A00840204A500020410C7C83A78 -:2004A000A545883A1083FFCC18ABC83AA80B883A9009883A00020BC000FFFFC410C0E12637 -:2004A8001505C83A1545883A10800054E0C000179D000215A0800115A8C7883AE0C0001591 -:2004B00084C00E26018003C43580A72E81400117013FFE04B0BFFD041104703A2900004C23 -:2004B8002088B03A81000115014001448089883A21400115214002153080CD360080003454 -:2004C000109CA5041100001720C0012E10C0001500800034109CA404110000179C000217C6 -:2004C80020C0012E10C0001580C0011700BFFF041886703A1C45C83A1C40023600C003C440 -:2004D000188004169009883A000363800005883A003F7B0688C0005480C001158463883AF7 -:2004D800108000549C400215888001159009883A0003638080800204003F710600C0050449 -:2004E00018804A2E00C0150418806E368804D33A12001BC411C01B844209883A003EDD06AF -:2004E8003804D27A00C001041880442E00C00504188081361140170410C016C4294B883A39 -:2004F000294B883A294B883A994B883A2880001701800034297FFE043197120428806526AB -:2004F80001BFFF0410C001171986703A38C0022E1080021728BFFB1E1140031798C0011744 -:2005000081400315808002152C00021514000315003EF80688C0005480C001158463883AA5 -:20050800344005153440041510C000548A0003158A00021588C0011588A3883A88800015E9 -:200510009009883A0003638080800204003F3C0630C00117003EE7065AC00044588000CCCE -:2005180031800204103EFD1E0000240614000317143F251E21000084003EBC068085883A49 -:2005200010C00117810003178140021718C0005410C0011529000315214002159009883A38 -:200528000003638080800204003F25061200170411C016C44209883A003E96063804D1BAD7 -:2005300011400E4410C00E04294B883A003FBC0684FF59260080003410971204140002174F -:2005380000BFFF0480C001171886703A003F910660800217213FFFC41300651E208000CC4D -:20054000633FFE04103FFA1E988001170146303A1884703A98800115294B883A117F283617 -:20054800283F27262886703A5809883A183EC31E294B883A2886703A21000104183FFC2600 -:20055000003EBE0600C05504188012368804D3FA12001E0411C01DC44209883A003E6D0693 -:2005580000C40004003F460600800044A0800115003F74061805D0BA01C0004430C00117C9 -:20056000388E983A2805883A38C6B03A30C00115003F9B0600C1550418801A368804D4BA0A -:2005680012001F4411C01F044209883A003E5906AD400404003F170600C015041880233645 -:200570003804D33A11401BC410C01B84294B883A003F7B069C00021700BFFF0480C00117BE -:200578001886703A003F53063083FFCC103F181E99000217B545883A108000542080011578 -:20058000003F3E0601003F8402001FC401C01F84003E400600800034109C98041500001521 -:20058800003F110600800044002B883A003F1F06814002049009883A00029240008000343E -:20059000109CAF0410C00017003F2C0600C05504188005363804D3FA11401E0410C01DC47B -:20059800294B883A003F560600C15504188005363804D4BA11401F4410C01F04294B883ADE -:2005A000003F4F0601403F8400C01F84003F4C0698800117003FA0068808D0FA208000445C -:2005A8001085883A003E9006208000CC280F883A1000342630BFFFC430001A2620C0000334 -:2005B00029803FCC30C0051E000018061000152620C0000310BFFFC430C0142621000044F7 -:2005B80020C000CC183FF91E020000C44080113610000C2620C0000329403FCC28C00B268A -:2005C00020C0004439803FCC2089883A0000030618C00044197FFFC3314005261805883ACE -:2005C80020FFFB1E0005883AF800283A2005883AF800283A28C03FCC1812923A02FFBFF4DC -:2005D00002A0207448D2B03A4806943A5AFFBFC452A0200448D2B03A20C0001748C6F03A90 -:2005D8001ACD883A00C6303A30C6703A1A86703A183FE01E10BFFF042100010440BFF636C8 -:2005E000003FDB063005883A003FD706DEFFFD04DFC00215DC400115DC00001500C003C48A -:2005E8002005883A1980452E2906B03A18C000CC1800441E347FFC048822D13A28C0010474 -:2005F000234001048820913A2B00020422C00204840005042A800304224003042C21883A41 -:2005F8002811883A200F883A410000171FC0001763C0001739000015538000176FC00015F3 -:200600005BC000154B80001518C0040439C00404420004046B400404630004045AC004045F -:20060800528004044A4004041C3FEF1E89C00044380E913A310003CC02C000C411C7883A40 -:2006100029CB883A5900212E1813883A2811883A200F883A428000174A40010439FFFF04F0 -:200618004ABFFF154200010459FFFA36213FFF042008D0BA318000CC210000442109883AF3 -:200620002109883A1907883A290B883A30000626198D883A29C0000318C00044294000447D -:2006280019FFFFC519BFFB1EDFC00217DC400117DC000017DEC00304F800283A2007883A1E -:20063000003FF2062007883A003FF106200D883A003FEE062005883A29000B2E298F883A6F -:2006380021C0092E2187883A198BC83A3000482639FFFFC43900000318FFFFC419000005AD -:2006400028FFFB1EF800283A00C003C41980412E2886B03A18C000CC1800401E33FFFC048D -:20064800781ED13A28C0010413400104781C913A2B00020412C00204738005042A80030497 -:20065000124003042B9D883A2811883A100F883A4100001739C0040418C00404393FFC1509 -:20065800193FFC176B4004045AC00404693FFC15610000174A40040442000404593FFC158C -:20066000510000176300040452800404493FFC151BBFEF1E790000442008913A328003CC1C -:2006680002C000C41107883A290B883A5A801E2E1813883A2811883A500F883A4100001795 -:200670004A40010439FFFF04493FFF154200010459FFFA36513FFF042008D0BA318000CC73 -:20067800210000442109883A2109883A1907883A290B883A30000B26198D883A29C0000398 -:2006800018C000442940004419FFFFC519BFFB1EF800283A1007883A003FF6061007883A7D -:20068800003FF506F800283A500D883A003FF10620C000CC2005883A1800442631FFFFC461 -:20069000300040262813883A200D883A2007883A000004063A3FFFC431800044380039260D -:20069800400F883A18C00044324000051A0000CC403FF81E010000C421C02D2E29003FCCEE -:2006A000200C923A3108B03A200C943A218CB03A010003C421C0182E3B3FFC046018D13AA2 -:2006A8001A0001041AC002046008913A1A8003041813883A210005041909883A4980001586 -:2006B000418000155980001551800015420004044A4004045AC0040452800404413FF71E13 -:2006B800630000446018913A39C003CC010000C41B07883A21C00E2E1813883A3811883AB2 -:2006C000010000C449800015423FFF044A400104223FFC36393FFF042008D0BA39C000CCDF -:2006C800210000442109883A2109883A1907883A3800052619CF883A1940000518C000446C -:2006D00038FFFD1EF800283AF800283A2007883A300F883A003FC706DEFFF604DC800215C4 -:2006D800DFC00915DF000815DDC00715DD800615DD400515DD000415DCC00315DC40011505 -:2006E000DC0000153025883A2800B726282B883A2029883A00036140A8BFFF17043FFF0466 -:2006E80090C002C401000584ACFFFE041420703A20C0332E047FFE041C62703A8807883A88 -:2006F000880058168C80573680C0300E07000034E7171204E1C002179C09883A2200011733 -:2006F80021C06326017FFF84414A703A214B883A298001173180004C30003F261080004CE3 -:2007000010008326900B883AA009883A0000E9C01025883A10011E26A93FFF1710FFFE0454 -:2007080000BFFF842084703A9885883A1880EE2681BFFF04008009041180B83600C004C4DF -:20071000198096369005883AA807883A1900001711000015190001171100011518C00217FD -:2007180010C00215A80B883AA009883A00029240A009883A000363809005883A0000120666 -:2007200000C004041823883A003FCE06A825883A8445C83A00C003C41880263699800117DB -:200728009C07883A3180004C3420B03A9C000115188001171080005418800115A009883AB2 -:20073000000363809005883ADFC00917DF000817DDC00717DD800617DD400517DD00041749 -:20073800DCC00317DC800217DC400117DC000017DEC00A04F800283A017FFF04414A703A91 -:20074000814D883A30C01F162080031720C00217A825883A3021883A1880031510C00215F8 -:20074800003FDB0600800304A08000150005883A003FE50698C001179C4B883A1100005446 -:2007500018C0004C1C62B03A9C400115290001152885883A10C0011729400204A009883A9B -:2007580018C0005410C0011500029240003FD306017FFF04414A703A898004048151883A26 -:200760004180590E1080004C103F9E1EADBFFE1700BFFF049DADC83AB18001173084703A34 -:200768002000202680AF883AB96F883A21C05F26B8C01C162080031720C0021781BFFF042A -:20077000010009041880031510C00215B0C00217B0800317B48002041880031510C0021520 -:2007780021801B36008004C41180352EA8800017B0800215A8800117B0800315008006C4DB -:2007800011807F36B0800404AD40020400002D06ADBFFE1700BFFF049DADC83AB10001175D -:200788002084703AB03F772680AF883AB8FF7516B0800317B0C0021781BFFF040100090420 -:200790001880031510C00215B480020421BFE52EA80B883A9009883A00018D40B821883A4C -:20079800B027883A003F8A06300B883ADFC00917DF000817DDC00717DD800617DD400517B1 -:2007A000DD000417DCC00317DC800217DC400117DC000017DEC00A040000E9C1A8C000171A -:2007A80090C00015A8C0011790C0011500C006C41980453690800204A8C00204003F63061C -:2007B0009005883AA8C00017B821883AB027883A10C00015A8C0011710C00115A8C0021753 -:2007B80010C00215003F6A069C67883A4445C83AE4C002151080005498800115A8BFFF17F1 -:2007C000A009883A1080004C1462B03AAC7FFF1500036380A805883A003F6B06A80B883AB9 -:2007C8009009883A00018D40003F4E0689000404B93FBC16B0800317B0C0021781BFFF04E4 -:2007D000010009041880031510C00215B480020421804336008004C411803F2EA88000178B -:2007D800B0800215A8800117B0800315008006C411803F36B0800404AD400204A8C0001738 -:2007E00010C00015A8C0011710C00115A8C0021710C00215B447883ABC45C83AE0C00215CF -:2007E8001080005418800115B0800117A009883A1080004C1462B03AB44001150003638080 -:2007F0009005883A003F4006A8C0021790C00215A8C0031790C0031530801126908004043C -:2007F800A8C00404003F190690FFFF1700BFFF04A825883A1884703A80A1883A003F24068B -:20080000A8800217B0800415A8800317B080051531000A26B0800604AD400404003FA90644 -:20080800A900041790800604A8C0060491000415A900051791000515003F0406A8C004179A -:20081000AD400604B0800804B0C00615A8FFFF17B0C00715003F9B069005883A003FC7067E -:20081800A80B883A9009883A00018D40003FC906A8800217B0800415A8800317B0800515FE -:2008200031000726B0800604AD400404003FBB06A009883A000363800005883A003F0A06C4 -:20082800A8C00417AD400604B0800804B0C00615A8FFFF17B0C00715003FB006DEFFFD0453 -:20083000DC00001504000034DC400115841CA7042023883A2809883ADFC0021580000015BF -:200838000003820000FFFFC410C00526DFC00217DC400117DC000017DEC00304F800283A80 -:2008400080C00017183FF92688C00015003FF706DEFFFE04DC0000152821883A2940038F5C -:20084800DFC001150002E5001000071680C014171887883A80C01415DFC00117DC000017E8 -:20085000DEC00204F800283A80C0030B18FBFFCC80C0030DDFC00117DC000017DEC00204C0 -:20085800F800283A0005883AF800283A2880030BDEFFFB04DCC00315DC800215DC4001151A -:20086000DC000015DFC0041510C0400C2821883A2023883A3025883A3827883A1800052628 -:200868002940038F01C00084000D883A0002C3408080030B8140038F10BBFFCC980F883AFC -:20087000900D883A8809883A8080030DDFC00417DCC00317DC800217DC400117DC0000179A -:20087800DEC00504000224C1DEFFFE04DC0000152821883A2940038FDFC001150002C34042 -:2008800000FFFFC410C0082680C0030B8080141518C4001480C0030DDFC00117DC00001737 -:20088800DEC00204F800283A80C0030B18FBFFCC80C0030DDFC00117DC000017DEC0020488 -:20089000F800283A2940038F00024001DEFFFD042805883ADC00001504000034DC40011588 -:20089800300B883A841CA7042023883A380D883A1009883ADFC0021580000015000390C073 -:2008A00000FFFFC410C00526DFC00217DC400117DC000017DEC00304F800283A80C0001746 -:2008A800183FF92688C00015003FF70600800034DEFFFD04109C9704DC4001152023883A11 -:2008B00011000017DC000015DFC002152821883A2000022620800E17100031268080030BCC -:2008B80010C0020C1009883A18000F2680C00417180015261100004C20001C1E1080008C99 -:2008C0001000291E808005178080021518001C260005883ADFC00217DC400117DC0000178E -:2008C800DEC00304F800283A2080040C10002E262080010C10001E1E80C0041720800214F3 -:2008D0008080030D183FEB1E1100A00C01408004217FE826800B883A8809883A0002C940BD -:2008D8008080030B80C00417003FE20680800517800002150085C83A80800615183FE41EC2 -:2008E00080C0030B0005883A1900200C203FE12618C0101480C0030D00BFFFC4003FDD0648 -:2008E80000007FC0003FCD060005883A003FD60681400C17280006268080100428800326A0 -:2008F0008809883A000292408100030B80000C1580C0041700BFF6C41108703A8000011564 -:2008F80080C00015003FD5060080024488800015208010148080030D00BFFFC4003FC5062E -:20090000DEFFFD04DC00001504000034DC400115841CA7042023883A2809883ADFC00215A5 -:20090800800000150003178000FFFFC410C00526DFC00217DC400117DC000017DEC003045F -:20091000F800283A80C00017183FF92688C00015003FF70628003926DEFFFC04DC40011571 -:20091800DC000015DFC00315DC8002152023883A2821883A2000022620800E17100027262A -:200920008080030F1000071E0005883ADFC00317DC800217DC400117DC000017DEC00404AE -:20092800F800283A800B883A8809883A000255801025883A80800B171000042681400717AC -:200930008809883A103EE83A100016168080030B1080200C1000151E81400C172800052664 -:2009380080801004288002268809883A0002924080000C1581401117280003268809883A66 -:200940000002924080001115000080C08000030D000081009005883A003FDC0600007FC015 -:20094800003FD70604BFFFC4003FE806814004178809883A00029240003FE7060005883ACA -:20095000F800283A00800034109C9704200B883A11000017000245412880030BDEFFFB0403 -:20095800DCC00315DC400115DFC00415DC800215DC00001510C0020C2823883A2027883A89 -:200960001800311E28C00117108200142880030D00C04B0E8A000A17400023269C000017B2 -:2009680010C4000C98000015180048268980141710C0010C1800062688C0011788800C177C -:2009700030CDC83A1000022688800F17308DC83A89400717000F883A9809883A403EE83A88 -:2009780000FFFFC410C0442688C0030B8900041788000115197DFFCC8940030D89000015F3 -:2009800018C4000C18002C1E89400C179C0000152800052688801004288002269809883ACE -:200988000002924088000C150005883ADFC00417DCC00317DC800217DC400117DC000017FF -:20099000DEC00504F800283A2C800417903FF6262C000017108000CC2C80001584A1C83A0D -:200998001000131E288005178880021504000316003FED0690A5883A043FEB0E8880091771 -:2009A00089400717800F883A900D883A9809883A103EE83A80A1C83A00BFF61688C0030B89 -:2009A80000BFFFC418C0101488C0030D003FDF060005883A003FEC0688801415003FD206F5 -:2009B00028C00F1700FFB316003FD70689400717000D883A01C000449809883A403EE83A77 -:2009B800100D883A00BFFFC4308014268880030B8A000A17003FAE0698C00017183FBA267A -:2009C0000100074419000626010005841900042688C0030B18C0101488C0030D003FC30607 -:2009C8008880030B88C004178800011510BDFFCC8880030D88C00015003FB30698800017C4 -:2009D000103FEA2600C0074410C0022600C0058410C0031E9C0000150005883A003FB306FB -:2009D80088C0030B3005883A18C0101488C0030D003FAE06DEFFFD04DC000115DFC00215E5 -:2009E0002021883A2000022620800E1710000C262880030F1000051E0005883ADFC0021739 -:2009E800DC000117DEC00304F800283A8009883ADFC00217DC000117DEC003040002558188 -:2009F000D940001500007FC0D9400017003FF0062000052600800034109C9704200B883AE2 -:2009F800110000170002774100800034109C960411000017014000342949DD040000DD81B5 -:200A0000DEFFFB04DCC0031504C00034DC800215DC400115DC000015DFC004152821883AF5 -:200A08009CD712042025883A00036140988002171440011700BFFF0488A2703A8C21C83AB8 -:200A10008403FBC48020D33A0083FFC4843FFFC48020933A1400060E000B883A9009883A4A -:200A180000020BC098C002171C47883A10C00A269009883A000363800005883ADFC0041799 -:200A2000DCC00317DC800217DC400117DC000017DEC00504F800283A040BC83A9009883AF7 -:200A280000020BC000FFFFC410C00D2600C0003418DCAF0418800017990002178C23C83A6F -:200A30008C4000541421C83A244001159009883A1C0000150003638000800044003FE70673 -:200A3800000B883A9009883A00020BC099000217014003C41107C83A28FFDD0E014000344E -:200A4000295C98042940001718C0005420C0011500C000341145C83A18DCAF041880001533 -:200A4800003FD30628004126DEFFFD04DC400115DC0000152023883A2821883ADFC0021520 -:200A50000003614081FFFF1700BFFF840100003481BFFE043884703A21171204308B883A62 -:200A58002A4001172200021700FFFF0448C6703A2A00572628C0011539C0004C3800091EBE -:200A6000823FFE1722400204320DC83A31C002171205883A3A406526320003173A00031571 -:200A680041C0021528CF883A39C0011739C0004C38003A2610C0005430C001153087883A0C -:200A70001880001500C07FC4188019361004D0FA01C000442140011710C0004418C7883ABE -:200A78001005D0BA18C7883A18C7883A1907883A3884983A19C000171A3FFE041144B03A83 -:200A80003200031531C002152080011519800015398003158809883ADFC00217DC40011790 -:200A8800DC000017DEC0030400036381F800283A100AD27A00C0010419404A36100AD1BACC -:200A900028C00E4418C7883A29400E0418C7883A18C7883A1909883A20C0001701C00034DE -:200A9800213FFE0439D7120420C0442601FFFF041940011729CA703A1140022E18C00217E9 -:200AA00020FFFB1E190003173100031530C002152180021519800315003FDE0629C00217ED -:200AA80010C5883A00C0003418D7140438C03B262A000317114000543087883A3A0003158F -:200AB00041C002153140011518800015003FBD0639C0004C10C5883A3800071E81FFFE170A -:200AB80031CDC83A30C003173140021711C5883A28C003151940021510C0005430C0011558 -:200AC00000C0003418DC990418C000172180021510FFC03600800034109CA6041140001773 -:200AC8008809883A00028000003FBA0628C9883A210001172100004C2000391E29C0021768 -:200AD000290003171885883A10C000543900031521C0021530C00115308D883A30800015AD -:200AD800003FAC0600C005041940153628C0170418C7883A294016C4003FB406280BD0BA03 -:200AE00000C0004438800117194A983A2007883A2884B03A38800115003FBB0621800515E5 -:200AE8002180041510C0005431C0031531C0021530C00115308D883A30800015003F9506DB -:200AF00000C0150419400536100AD33A28C01BC418C7883A29401B84003F9C0600C05504E8 -:200AF80019400536100AD3FA28C01E0418C7883A29401DC4003F950600C1550419400536E6 -:200B0000100AD4BA28C01F4418C7883A29401F04003F8E0600C03F8401401F84003F8B06AB -:200B080010C0005430C00115308D883A30800015003F7806DEFFFD042805883ADC000015E4 -:200B100004000034DC400115300B883A841CA7042023883A380D883A1009883ADFC0021576 -:200B18008000001500034BC000FFFFC410C00526DFC00217DC400117DC000017DEC00304D9 -:200B2000F800283A80C00017183FF92688C00015003FF7062880030B10C0008C1800411E6C -:200B2800DEFFEC04DC000F152821883A2940038FDC401015DFC01315DCC01215DC8011158D -:200B30002023883A28001C16D80D883A0002EB0010001816D880011700E0001410BC000C38 -:200B380014C8002010C0372680C0030B18C2001480C0030D00C8000410C0521E8140038F89 -:200B40008809883A0002F0C010004C268080030B80C010C480C00015108000548080030DA3 -:200B48000080004480C004158080051504810004000007068080030B10C0200C18001F1E61 -:200B500004810004108200148080030D0027883A900B883A8809883A0000E9C010002C26A2 -:200B580080C0030B010000342101290489000F1518C0201480C0030D808000158080041574 -:200B60008480051598001A1EDFC01317DCC01217DC801117DC401017DC000F17DEC014047A -:200B6800F800283A288010C428800015288004150080004428800515F800283A04801004A1 -:200B7000003FE00681000A1700C0003418C87A0420FFC51E8080030B048100048480131587 -:200B78001484B03A8080030D0027883A003FD8068140038F8809883A0002F0C0103FE22616 -:200B80008080030B108000548080030D003FDE068080030B10C0800C183FDB1E1080009452 -:200B880080C010C48080030D0080004480C0001580C0041580800515003FD30604810004FC -:200B9000003FC3060027883A04810004003FC006DEFFFD042805883ADC00001504000034D0 -:200B9800DC400115300B883A841CA7042023883A380D883A1009883ADFC002158000001591 -:200BA0000003698000FFFFC410C00526DFC00217DC400117DC000017DEC00304F800283AAE -:200BA80080C00017183FF92688C00015003FF706DEFFFD042805883ADC00001504000034D1 -:200BB000DC400115841CA7042023883A300B883A1009883ADFC002158000001500032E004F -:200BB80000FFFFC410C00526DFC00217DC400117DC000017DEC00304F800283A80C000172B -:200BC000183FF92688C00015003FF706DEFFFD04DC00001504000034DC400115841CA70482 -:200BC8002023883A2809883ADFC002158000001500033D4000FFFFC410C00526DFC00217D5 -:200BD000DC400117DC000017DEC00304F800283A80C00017183FF92688C00015003FF70679 -:200BD80020001B16000F883A28001616200D883A29001A2E0080080400C000440000010690 -:200BE00010000D26294B883A10BFFFC418C7883A293FFB360005883A180007260005883ADD -:200BE80031400236314DC83A10C4B03A1806D07A280AD07A183FFA1E380001260085C83ACD -:200BF000F800283A014BC83A39C0005C003FE7060109C83A01C00044003FE30600C000447F -:200BF800003FEE0620001716000F883A2005883A280012162900162E0180080400C0004457 -:200C00000000010630000A26294B883A31BFFFC418C7883A293FFB36180005261806D07A9F -:200C0800114001361145C83A280AD07A183FFB1E380001260085C83AF800283A014BC83A72 -:200C1000003FEC060109C83A01C00044003FE70600C00044003FF106200D883A2900152EC1 -:200C1800280014160080080400C000440000020610000E2628000516294B883A10BFFFC483 -:200C200018C7883A293FFA36180008260005883A31400236314DC83A10C4B03A1806D07A1F -:200C2800280AD07A183FFA1EF800283A0005883AF800283A00C00044003FF4062005883A22 -:200C30002900122E280011160180080400C000440000020630000C2628000516294B883A78 -:200C380031BFFFC418C7883A293FFA36180006261806D07A114001361145C83A280AD07AA8 -:200C4000183FFB1EF800283AF800283A00C00044003FF7060005883A2000072620C0004CF0 -:200C48002008D07A180001261145883A294B883A203FFA1EF800283AF800283ADEFFFE0483 -:200C5000DFC00115DF000015D839883AD0A0091710000326D0A00917103EE83A0000010638 -:200C5800D0A01104E037883ADFC00117DF000017DEC00204F800283ADEFFFB04DFC00415DF -:200C6000DF000315DF000304E13FFF15E0BFFF171000081601400304E13FFF1700031140AE -:200C68001007883A0080003410981E041885883A000001060005883AE0BFFD15E0BFFD1784 -:200C700010001926E0BFFD17108000171080041710000626E0BFFD171080001710800417CF -:200C7800E13FFD17103EE83A000001060005883AE0BFFE15E13FFF17000379C0E0BFFE1712 -:200C80001000070E000313C01007883AE0BFFE170085C83A1880001500BFFFC40000070609 -:200C88000005883A00000506000313C01007883A008014441880001500BFFFC4E037883AEB -:200C9000DFC00117DF000017DEC00204F800283ADEFFFD04DF000215DF000204E13FFE15AD -:200C9800E17FFF150001883AE037883ADF000017DEC00104F800283ADEFFFC04DF00031565 -:200CA000DF000304E13FFD15E17FFE15E1BFFF15E0BFFF17E037883ADF000017DEC00104CE -:200CA800F800283ADEFFFE04DFC00115DF000015D839883AD0A0091710000326D0A009171E -:200CB000103EE83A00000106D0A01104E037883ADFC00117DF000017DEC00204F800283AA4 -:200CB800DEFFFB04DFC00415DF000315DF000304E13FFE15E17FFF15E0BFFE171000081622 -:200CC00001400304E13FFE17000311401007883A0080003410981E041885883A0000010686 -:200CC8000005883AE0BFFD15E0BFFD1710001026E0BFFD1710800017108008171000072655 -:200CD000E0BFFD171080001710800817E17FFF17E13FFD17103EE83A00000A06E0BFFF171C -:200CD80000C8000410C001150005883A0000050600032A401007883A0080144418800015AD -:200CE00000BFFFC4E037883ADFC00117DF000017DEC00204F800283ADEFFFE04DFC001155A -:200CE800DF000015D839883AD0A0091710000326D0A00917103EE83A00000106D0A01104D0 -:200CF000E037883ADFC00117DF000017DEC00204F800283ADEFFED04DFC01215DF001115C7 -:200CF800DF001104E13FFF15E0BFFF171000081601400304E13FFF17000311401007883A26 -:200D00000080003410981E041885883A000001060005883AE0BFEF15E0BFEF1710000E269C -:200D0800E0BFEF1710800017108008171000021E0080004400000D06E0BFF004100B883A59 -:200D1000E13FFF1700032E00E0BFF1171088002010803FCC00000506000339801007883AC2 -:200D180000801444188000150005883AE037883ADFC00117DF000017DEC00204F800283AEB -:200D2000DEFFFE04DFC00115DF000015D839883AD0A0091710000326D0A00917103EE83A8F -:200D280000000106D0A01104E037883ADFC00117DF000017DEC00204F800283ADEFFF904C1 -:200D3000DFC00615DF000515DF000504E13FFD15E17FFE15E1BFFF15E03FFB15E0BFFD17CD -:200D38001000081601400304E13FFD17000311401007883A0080003410981E041885883AE7 -:200D4000000001060005883AE0BFFC15E0BFFC1710001026E0BFFC17108000171080071716 -:200D480010000926E0BFFC171080001710800717E1BFFF17E17FFE17E13FFC17103EE83A77 -:200D5000E0BFFB150000050600BFDE84E0BFFB150000020600BFEBC4E0BFFB15E0BFFB1723 -:200D58001000070E000348001007883AE0BFFB170085C83A1880001500BFFFC4E0BFFB151C -:200D6000E0BFFB17E037883ADFC00117DF000017DEC00204F800283ADEFFFD04DFC00215AA -:200D6800DF000115DF0001040009883A0003A4000001883A0003A7800180003431960104B2 -:200D7000014000342956010401000034211601040004C840000484000100003421122804D1 -:200D780000054440D0A01217D0E01317D1201417200D883A180B883A1009883A00002480F0 -:200D8000E0BFFF150100004400031780E13FFF1700054580DEFFFE04DF000115DF00010409 -:200D8800E13FFF150001883AE037883ADF000017DEC00104F800283ADEFFFE04DF000115B4 -:200D9000DF000104E13FFF150001883AE037883ADF000017DEC00104F800283ADEFFFE04BD -:200D9800DFC00115DF000015D839883AD0A0091710000326D0A00917103EE83A00000106EF -:200DA000D0A01104E037883ADFC00117DF000017DEC00204F800283ADEFFF904DFC0061596 -:200DA800DF000515DF000504E13FFD15E17FFE15E1BFFF15E0BFFD171000081601400304C8 -:200DB000E13FFD17000311401007883A0080003410981E041885883A000001060005883A17 -:200DB800E0BFFB15E0BFFB1710002226E0BFFB1710800217108000CC108000601000181E77 -:200DC000E0BFFB17108000171080051710001426E0BFFB171080001710800517E0FFFF17CC -:200DC800180D883AE17FFE17E13FFB17103EE83AE0BFFC15E0BFFC171000070E000365C05E -:200DD0001007883AE0BFFC170085C83A1880001500BFFFC400000C06E0BFFC1700000A06EE -:200DD800000365C01007883A008003441880001500000406000365C01007883A00801444A3 -:200DE0001880001500BFFFC4E037883ADFC00117DF000017DEC00204F800283ADEFFFC0463 -:200DE800DFC00315DF000215DC000115DF000204E13FFE15E0BFFE17108000D01000111EE1 -:200DF0000400003484181E04E0BFFE17014003041009883A000311408085883A1080020465 -:200DF800100000150400003484181E04E0BFFE17014003041009883A000311408085883ACE -:200E0000100000150001883AE6FFFF04DFC00217DF000117DC000017DEC00304F800283A61 -:200E0800DEFFF904DF000615DF000604E13FFF150005303AE0BFFE15E0FFFE1700BFFF8482 -:200E10001884703A1001703AE0BFFE17E0BFFB15D0A00A1710C000C400BFFF041884703A31 -:200E1800D0A00A15D0E00A17E0BFFF171887883A008000B41080000410C0062EE0BFFB17C7 -:200E2000E0BFFA15E0BFFA171001703A00BFFFC400000B06D0A00A17E0BFFD15D0E00A17F3 -:200E2800E0BFFF171885883AD0A00A15E0BFFB17E0BFFC15E0BFFC171001703AE0BFFD1786 -:200E3000E037883ADF000017DEC00104F800283ADEFFFE04DFC00115DF000015D839883A7B -:200E3800D0A0091710000326D0A00917103EE83A00000106D0A01104E037883ADFC00117B5 -:200E4000DF000017DEC00204F800283ADEFFF904DFC00615DF000515DF000504E13FFD15F7 -:200E4800E17FFE15E1BFFF15E0BFFD171000081601400304E13FFD17000311401007883AD9 -:200E50000080003410981E041885883A000001060005883AE0BFFB15E0BFFB171000212620 -:200E5800E0BFFB1710800217108000CC10001826E0BFFB1710800017108006171000142627 -:200E6000E0BFFB171080001710800617E0FFFF17180D883AE17FFE17E13FFB17103EE83A7A -:200E6800E0BFFC15E0BFFC171000070E00038D001007883AE0BFFC170085C83A1880001594 -:200E700000BFFFC400000C06E0BFFC1700000A0600038D001007883A00800344188000152F -:200E78000000040600038D001007883A008014441880001500BFFFC4E037883ADFC0011750 -:200E8000DF000017DEC00204F800283ADEFFFD04DFC00215DF000115DF000104E13FFF15BD -:200E8800D1600604E13FFF17000479C0E037883ADFC00117DF000017DEC00204F800283A18 -:200E9000DEFFFD04DFC00215DF000115DF000104E13FFF1500051280008000441001703A8B -:200E98000001883AE037883ADFC00117DF000017DEC00204F800283ADEFFFE04DFC001155F -:200EA000DF000015D839883A01C0FA04000D883A000B883A010000B42104000400045B8053 -:200EA80001800044000B883A01000034211888040003C3C00100003421187E040003A0C0C5 -:200EB0000001883AE037883ADFC00117DF000017DEC00204F800283ADEFFFA04DFC0051547 -:200EB800DF000415DF000404E13FFD15E17FFE15E1BFFF15E0BFFD1710800017E0BFFC15D8 -:200EC000E0BFFC1710C00A04E0BFFD1710800217100F883AE1BFFF17E17FFE171809883A37 -:200EC80000041000E037883ADFC00117DF000017DEC00204F800283ADEFFFA04DFC00515DE -:200ED000DF000415DF000404E13FFD15E17FFE15E1BFFF15E0BFFD1710800017E0BFFC15C0 -:200ED800E0BFFC1710C00A04E0BFFD1710800217100F883AE1BFFF17E17FFE171809883A1F -:200EE000000431C0E037883ADFC00117DF000017DEC00204F800283ADEFFFC04DFC00315E5 -:200EE800DF000215DF000204E13FFF15E0BFFF1710800017E0BFFE15E0BFFE1710C00A043B -:200EF000E0BFFF1710800217100B883A1809883A0003FA80E037883ADFC00117DF000017C1 -:200EF800DEC00204F800283ADEFFFA04DFC00515DF000415DF000404E13FFD15E17FFE15C4 -:200F0000E1BFFF15E0BFFD1710800017E0BFFC15E0BFFC1710800A04E1BFFF17E17FFE1798 -:200F08001009883A00040100E037883ADFC00117DF000017DEC00204F800283ADEFFFA048A -:200F1000DFC00515DF000415DF000404E13FFD15E17FFE15E1BFFF15E0BFFD1700C0004419 -:200F180010C00815E0BFFD1710800017108001041007883AE0BFFD171080081718800035D5 -:200F2000E0BFFE17E0FFFF17D8000015E1FFFD1701800034318F3F04180B883A1009883AAF -:200F280000049000E0BFFD1710000915E0BFFD1710800204D0E01617E1FFFD170180003465 -:200F3000318FC204180B883A1009883A000463401000040EE0FFFD1700A0003410BFFFC439 -:200F3800188001150001883AE037883ADFC00117DF000017DEC00204F800283ADEFFF804CB -:200F4000DF000715DF000704E13FFF15E0BFFF17E0BFFB15E0BFFB1710800017E0BFFC150C -:200F4800E0BFFC171080010410800037E0BFFD15E0BFFD171080C00C10006D26E0BFFD1765 -:200F50001080400C1000352600800074E0BFF915E0BFFB1710800A17108000441081FFCC07 -:200F5800E0BFFE15E0BFFB1710C00B17E0BFFE1718801526E0BFFC1710800037E0BFF91577 -:200F6000E0BFF91710A0000C10001126E0BFFB1710800A17E0FFF9171809883AE0FFFB179A -:200F68001885883A10800E0411000005E0BFFB1710800A171080004410C1FFCCE0BFFB17CF -:200F700010C00A15003FE2060001883A000001060001883AE0BFF91710BFFFEC10000F2610 -:200F7800E0BFFB1710C0081700BFFF841886703AE0BFFB1710C00815E0BFFC17108001044A -:200F80001007883AE0BFFB171080081718800035E0BFFC171080010410800037E0BFFD178A -:200F88001080800C103FBE26E0BFFD171004D43AE0BFFA1500001406E0BFFC17E0FFFB17BA -:200F900018C00D17E13FFB1720C7883A18C20E0418C0000318C03FCC18C0201C18FFE004B1 -:200F980010C00035E0BFFB1710800D171080004410C1FFCCE0BFFB1710C00D15E0BFFA170C -:200FA00010BFFFC4E0BFFA15E0BFFA1710000526E0BFFB1710C00D17E0BFFB1710800C17FD -:200FA80018BFE51EE0BFFA17103F9D26E0BFFB1710C0081700BFFF441886703AE0BFFB17F2 -:200FB00010C00815E0BFFB1710800017108001041007883AE0BFFB17108008171880003541 -:200FB800E0BFFC171080010410800037003F8C060001883A0001883AE037883ADF000017E5 -:200FC000DEC00104F800283ADEFFF804DF000715DF000704E13FFB15E0BFFB17E0BFF915C8 -:200FC800E0BFF917108000171080010410800037E0BFFA15E0BFFA171081000C10000B261B -:200FD000E0BFF91710800017108001041007883AE0BFF9171080081710810014188000356D -:200FD800E0BFF9171000091500000A06E0BFF91710C0091700A0003410BFFF0410C00536BC -:200FE000E0BFF9171080091710C00044E0BFF91710C00915D0A01617E037883ADF00001775 -:200FE800DEC00104F800283ADEFFFD04DF000215DF000204E13FFE15E17FFF150000050681 -:200FF000E0BFFF171090000C1000022600BFFD4400000B06E0BFFE1710C00D17E0BFFE17DB -:200FF80010800C1718800526E0BFFE1710C00917E0BFFE171080011718BFF1360005883A9E -:20100000E037883ADF000017DEC00104F800283ADEFFFA04DF000515DF000504E13FFD1516 -:20100800E17FFE15E1BFFF1500BFF9C4E0BFFB15E0BFFE1710DA80601800031E109A80A0F5 -:201010001000181E00002906E0BFFD1710C0011700A0003410BFFFC418802126E0BFFF17B6 -:2010180010800017E0BFFC15E0BFFC17108000901000061EE0FFFC1700A0003410BFFFC403 -:2010200018800226E0BFFC170000020600A0003410BFFF84E0FFFD1718800115E03FFB1540 -:2010280000000F06E0BFFD1710C0011700A0003410BFFFC418800C26E0BFFD1710C0091725 -:20103000E0BFFD17108001171885803A10C03FCCE0BFFF1710C00015E03FFB150000020642 -:201038000001883A000001060001883AE0BFFB17E037883ADF000017DEC00104F800283A8E -:20104000DEFFF304DFC00C15DF000B15DF000B04E13FFC15E17FFD15E1BFFE15E1FFFF15C5 -:20104800E0BFFD17E0BFF51500004706E0BFFC1710800A17E0BFF715E0BFFC1710800B176D -:20105000E0BFF815E0FFF717E0BFF81718800536E0FFF717E0BFF8171885C83AE0BFF61582 -:201058000000040600C20004E0BFF8171885C83AE0BFF615E0BFF61710001E26E0FFFE17BD -:20106000E0BFF6171880022EE0BFFE17E0BFF615E0BFFC1710C00E04E0BFF8171885883AFD -:20106800E1BFF617100B883AE13FF517000178C0E0FFF517E0BFF6171885883AE0BFF515DA -:20107000E0FFFE17E0BFF6171885C83AE0BFFE15E0FFF817E0BFF6171885883A10C1FFCCDA -:20107800E0BFFC1710C00B15E0BFFE1700BFCF16000001060001883AE0FFF517E0BFFD17F6 -:201080001880141EE0BFFF171090000C1000131E0001883AE0BFFC1710C00A17E0BFF717D1 -:201088001880051EE0BFFC1710C00917E0BFFC171080011718BFF736E0BFFC1710C00A17EA -:20109000E0BFF71718800726E0BFFE1700BFB716000005060001883A000003060001883AF4 -:20109800000001060001883AE0FFF517E0BFFD17188018260005303AE0BFFB15E0FFFB17EB -:2010A00000BFFF841884703A1001703AE0BFFB17E0BFFA15E0BFFC171080081710C0005409 -:2010A800E0BFFC1710C00815E0BFFC1710800017108001041007883AE0BFFC171080081761 -:2010B00018800035E0BFFA17E0BFF915E0BFF9171001703AE0FFF517E0BFFD17188004262C -:2010B800E0FFF517E0BFFD171885C83A00000606E0BFFF171090000C1000022600BFFD4436 -:2010C0000000010600BFFEC4E037883ADFC00117DF000017DEC00204F800283ADEFFF30430 -:2010C800DFC00C15DF000B15DF000B04E13FFC15E17FFD15E1BFFE15E1FFFF15E03FF515E8 -:2010D000E0BFFD17E0BFF71500003706E0BFFC1710800C17E0BFF915E0BFFC1710800D17ED -:2010D800E0BFF515E0FFF917E0BFF5171880062EE0FFF517E0BFF9171885C83A10BFFFC41E -:2010E000E0BFF61500000B06E0BFF5171000052600C20004E0BFF9171885C83AE0BFF61591 -:2010E8000000040600C1FFC4E0BFF9171885C83AE0BFF615E0BFF61710001E26E0FFFE176E -:2010F000E0BFF6171880022EE0BFFE17E0BFF615E0BFFC1710C20E04E0BFF9171885883A6A -:2010F800E1BFF617E17FFD171009883A000178C0E0FFFD17E0BFF6171885883AE0BFFD15F4 -:20110000E0FFFE17E0BFF6171885C83AE0BFFE15E0FFF917E0BFF6171885883A10C1FFCC48 -:20110800E0BFFC1710C00C15E0BFFE1700BFC716000001060001883A0005303AE0BFFB15EC -:20111000E0FFFB1700BFFF841884703A1001703AE0BFFB17E0BFFA15E0BFFC1710800817CB -:2011180010C00094E0BFFC1710C00815E0BFFC1710800017108001041007883AE0BFFC173B -:201120001080081718800035E0BFFA17E0BFF815E0BFF8171001703AE0BFFE170080100E1C -:20112800E0BFFF171090000C1000101E0001883AE0BFFC1710C00D17E0BFF5171880051E39 -:20113000E0BFFC1710C00917E0BFFC171080011718BFF736E0BFFC17108009171000051E0A -:20113800E0BFFE1700BFD016000003060001883A000001060001883AE0FFFD17E0BFF71708 -:2011400018800426E0FFFD17E0BFF7171885C83A00000606E0BFFF171090000C10000226E9 -:2011480000BFFD440000010600BFFEC4E037883ADFC00117DF000017DEC00204F800283A7B -:20115000DEFFFA04DFC00515DF000415DF000404E13FFF150007883AE0BFFF1710C0003555 -:20115800E0BFFF1710800104108000370005303AE0BFFD15E0FFFD1700BFFF841884703ACB -:201160001001703AE0BFFD17E0BFFC1500050200E0BFFC17E0BFFE15E0BFFE171001703A77 -:201168000001883AE037883ADFC00117DF000017DEC00204F800283ADEFFF804DFC007158C -:20117000DF000615DF000604E13FFC15E17FFD15E1BFFE15E1FFFF15E0BFFF17E0BFFB15CE -:20117800D0A016171000021EE0BFFB17D0A01615E0BFFC171080010400C001C410C000356D -:20118000D8000015E1FFFC170180003431915004E17FFE17E13FFD17000490000001883AA4 -:20118800E037883ADFC00117DF000017DEC00204F800283ADEFFF504DF000A15DF000A0402 -:20119000E13FFC15E17FFD15E1BFFE15E1FFFF15E03FF615D0A0161710003C26E0BFFC170A -:2011980010003826E0BFFC17E0FFFE1710C00315E0BFFC17E0FFFF1710C005150005303A3B -:2011A000E0BFF915E0FFF91700BFFF841884703A1001703AE0BFF917E0BFF815D0A0171757 -:2011A800E0BFF615E0FFFD17E0BFF6171885883A10C00044E0BFFC1710C00215E0BFFC1720 -:2011B00010C00217E0BFF6171880042EE0BFFC1700C0004410C0040500000206E0BFFC1777 -:2011B80010000405E0BFFC17D0E00C04E0FFFA15E0BFFB15E0BFFB17E0FFFA1710C0011568 -:2011C000E0BFFA1710C00017E0BFFB1710C00015E0BFFA1710800017E0FFFB1710C00115B4 -:2011C800E0BFFA17E0FFFB1710C00015E0BFF817E0BFF715E0BFF7171001703A0005883AF9 -:2011D0000000030600BFFA840000010600BFDE84E037883ADF000017DEC00104F800283AC5 -:2011D800DEFFFE04DFC00115DF000015D839883AD0A0091710000326D0A00917103EE83AD3 -:2011E00000000106D0A01104E037883ADFC00117DF000017DEC00204F800283ADEFFFA0404 -:2011E800DFC00515DF000415DF000404E13FFE15E17FFF15E0BFFE1710000326E0BFFE1707 -:2011F000108002171000061E000476001007883A008005841880001500BFFA8400001306A3 -:2011F800E0BFFE17E0FFFF17E0FFFC15E0BFFD15E0BFFD17E0FFFC1710C00115E0BFFC1750 -:2012000010C00017E0BFFD1710C00015E0BFFC1710800017E0FFFD1710C00115E0BFFC176B -:20120800E0FFFD1710C000150005883AE037883ADFC00117DF000017DEC00204F800283AA3 -:20121000DEFFFD04DFC00215DF000115DF000104008000341095F504E0BFFF150000060640 -:20121800E0BFFF1710800017103EE83AE0BFFF1710BFFF04E0BFFF15E0FFFF170080003407 -:201220001095F60418BFF62E0001883AE037883ADFC00117DF000017DEC00204F800283ACD -:20122800DEFFFD04DFC00215DF000115DF000104008000341095F504E0BFFF150000060628 -:20123000E0BFFF1710800017103EE83AE0BFFF1710BFFF04E0BFFF15E0FFFF1700800034EF -:201238001095F60418BFF62E0001883AE037883ADFC00117DF000017DEC00204F800283AB5 -:20124000DEFFF904DFC00615DF000515DF000504E13FFC15E17FFD15E1BFFE15E1FFFF15CF -:20124800E0800217D8800015E1FFFF17E1BFFE17E17FFD17E13FFC170004AB00E037883AC6 -:20125000DFC00117DF000017DEC00204F800283ADEFFF904DF000615DF000604E13FFE15E3 -:20125800E17FFF15E0BFFF17E0BFFA150005303AE0BFFB15E0FFFB1700BFFF841884703A08 -:201260001001703AE0BFFB17E0BFFC1500C00044E0BFFA171884983A1007883AD0A01517BB -:201268001884B03AD0A01515D0A01517100170FAE0BFFC17E0BFFD15E0BFFD171001703A5E -:201270000005883A0001883AE037883ADF000017DEC00104F800283ADEFFF904DF00061534 -:20127800DF000604E13FFE15E17FFF15E0BFFF17E0BFFA150005303AE0BFFB15E0FFFB1754 -:2012800000BFFF841884703A1001703AE0BFFB17E0BFFC1500C00044E0BFFA171884983A88 -:201288000084303A1007883AD0A015171884703AD0A01515D0A01517100170FAE0BFFC173A -:20129000E0BFFD15E0BFFD171001703A0005883A0001883AE037883ADF000017DEC0010423 -:20129800F800283ADEFFFC04DF000315DF000304E13FFE15E17FFF15000530FAE0BFFD159B -:2012A00000C00044E0BFFF171884983A1007883AE0BFFD171884703A1004C03A10803FCC8C -:2012A800E037883ADF000017DEC00104F800283ADEFFF504DFC00A15DF000915DF000904DD -:2012B000E13FFC15E17FFD15E1BFFE15E1FFFF1500BFFA84E0BFF715E0BFFD17E0BFF8158D -:2012B800E0BFF817108008081000271E0005303AE0BFFB15E0FFFB1700BFFF841884703ADC -:2012C0001001703AE0BFFB17E0BFFA1500800034109CB904E0FFF817180690FA10C5883AAA -:2012C800E0FFFE1710C0001500800034109CB904E0FFF817180690FA10C5883A108001044E -:2012D000E0FFFF1710C00015E0BFFE1710000526E0BFF817100B883AE13FFC1700049500DE -:2012D80000000406E0BFF817100B883AE13FFC1700049D80E0BFF715E0BFFA17E0BFF91505 -:2012E000E0BFF9171001703AE0BFF717E037883ADFC00117DF000017DEC00204F800283A53 -:2012E800DEFFF804DFC00715DF000615DC000515DF000604E13FFB15E17FFC15E1BFFD1526 -:2012F000E1FFFE15E1BFFE17E17FFD17E13FFC170004E280E0BFFA15E0BFFA171000221683 -:2012F8000400003484181E04E0BFFA17014003041009883A000311408085883A10C000170B -:20130000E0BFFB1710C000150400003484181E04E0BFFA17014003041009883A000311401A -:201308008085883A1080010410C00017E0BFFB1710C001150400003484181E04E0BFFA1745 -:20131000014003041009883A000311408085883A1080020410C00017E0BFFB1710C002156A -:20131800E13FFA17000379C00001883AE6FFFF04DFC00217DF000117DC000017DEC0030456 -:20132000F800283ADEFFFB04DFC00415DF000315DF000304E13FFD15E17FFE15E1BFFF1589 -:2013280001C07FC401800044E17FFD1701000034211821040004BA0001C07FC4000D883A44 -:20133000E17FFE170100003421181E040004BA0001C07FC401800044E17FFF170100003466 -:20133800211824040004BA000001883AE037883ADFC00117DF000017DEC00204F800283A2F -:20134000DEFFFE04DFC00115DF000015D839883AD0A0091710000326D0A00917103EE83A69 -:2013480000000106D0A01104E037883ADFC00117DF000017DEC00204F800283ADEFFFB0499 -:20135000DFC00415DF000315DC000215DF000304E13FFE15E0BFFE171080021710D0003451 -:20135800E0BFFE1710C00215E03FFD15000023060400003484181E04E0BFFD17014003048F -:201360001009883A000311408085883A10C00017E0BFFE17108000171880151E0400003432 -:2013680084181E04E0BFFD17014003041009883A000311408085883A108002041080001779 -:2013700010000B0E01400304E13FFD17000311401007883A0080003410981E041887883AAC -:20137800E0BFFE171880022600BFFCC400000806E0BFFD1710800044E0BFFD15D0A008178D -:201380001007883AE0BFFD1718BFD92E0005883AE6FFFF04DFC00217DF000117DC00001792 -:20138800DEC00304F800283ADEFFF604DFC00915DF000815DF000804E13FFD15E17FFE1526 -:20139000E1BFFF1500BFFFC4E0BFF91500BFFB44E0BFFA15E03FFB15D1600604E13FFD1710 -:20139800000514C0E0BFF815E0BFF8171000051EE13FFD1700051DC0E0BFF8150080004449 -:2013A000E0BFFB15E0BFF81710002B26E13FF81700052E40E0BFF915E0BFF9171000030E50 -:2013A800E0BFF917E0BFFA150000250601400304E13FF917000311401007883A0080003444 -:2013B00010981E041885883AE0BFFC15E0FFFE170090003410BFFFC41886703AE0BFFC1700 -:2013B80010C00215E0BFFB171000051EE13FFC170004D3C0E0BFFA15E0BFFA1710001016EC -:2013C000E0BFF8171080031710000826E0BFF81710800317E1FFFF17E1BFFE17E17FFD1700 -:2013C800E13FFC17103EE83A000001060005883AE0BFFA150000020600BFFB44E0BFFA1532 -:2013D000E0BFFA171000090EE13FF917000379C00004D0001007883AE0BFFA170085C83AD6 -:2013D8001880001500BFFFC400000106E0BFF917E037883ADFC00117DF000017DEC00204E6 -:2013E000F800283ADEFFFA04DF000515DF000504E13FFF150005303AE0BFFC15E0FFFC1792 -:2013E80000BFFF841884703A1001703AE0BFFC17E0BFFB15E0BFFF17E0BFFD15E0BFFD1728 -:2013F00010800017E0FFFD1718C0011710C00115E0BFFD1710800117E0FFFD1718C0001730 -:2013F80010C00015E0BFFD17E0FFFD1710C00115E0BFFD17E0FFFD1710C00015E0BFFB1728 -:20140000E0BFFE15E0BFFE171001703A0001883AE037883ADF000017DEC00104F800283A1C -:20140800DEFFFB04DFC00415DF000315DF000304D0A00C17E0BFFD15D0A01717108000449D -:20141000D0A0171500002E06E0BFFD1710800017E0BFFE15E0BFFD171080040310803FCCFB -:2014180010000426D0A017171000021EE0BFFD1710000405E0BFFD1710800217D0E01717A6 -:2014200018801D36E0BFFD171080040310803FCC1000191EE0BFFD1710800317E0FFFD1745 -:2014280018C005171809883A103EE83AE0BFFF15E0BFFF171000031EE13FFD170004F84054 -:2014300000000D06E0BFFD1710C00217E0BFFF171887883AE0BFFD1710C00215E0BFFD178A -:2014380010C00217D0A017171880032EE0BFFD1700C0004410C00405E0BFFE17E0BFFD154F -:20144000E0FFFD17D0A00C0418BFCF1E0001883A0001883AE037883ADFC00117DF00001749 -:20144800DEC00204F800283ADEFFFF04DF000015D839883A000170FA0001883AE037883AD8 -:20145000DF000017DEC00104F800283ADEFFFA04DFC00515DF000415DF000404E13FFE15E3 -:20145800E17FFF15E0BFFF1710800017E0BFFC15E13FFE170000404010800044E0BFFD15BA -:2014600000000D06E0BFFC1710800217E0FFFD17180D883AE17FFE171009883A0005490086 -:201468001000021EE0BFFC1700000706E0BFFC1710800017E0BFFC15E0FFFC17E0BFFF17C5 -:2014700018BFF01E0005883AE037883ADFC00117DF000017DEC00204F800283ADEFFFB0450 -:20147800DFC00415DF000315DF000304E13FFF15D0A00417E0BFFD1500003106E0BFFD1765 -:20148000108002171009883A00004040E0BFFE15E0BFFD1710C00217E0BFFE1710BFFFC4B4 -:201488001885883A1080000310803FCC1080201C10BFE00410800BD81000031EE0BFFE17E0 -:2014900010BFFFC4E0BFFE15E0BFFE17E0FFFF171885883A1080000310803FCC1080201CF6 -:2014980010BFE00410800BE01000081EE0BFFE17E0FFFF171885883A1080000310803FCC9A -:2014A0001080201C10BFE00410000A1EE0BFFD1710800217E0FFFE17180D883AE17FFF17C8 -:2014A8001009883A000549001000021EE0BFFD1700000706E0BFFD1710800017E0BFFD1500 -:2014B000E0FFFD17D0A0040418BFCC1E0005883AE037883ADFC00117DF000017DEC00204FF -:2014B800F800283ADEFFFA04DFC00515DF000415DC000315DF000404E13FFE1500BFFA0463 -:2014C000E0BFFD15E03FFC1500001D060400003484181E04E0BFFC17014003041009883A3D -:2014C800000311408085883A108000171000111E0400003484181E04E0BFFC170140030413 -:2014D0001009883A000311408085883AE0FFFE1710C00015D0E00817E0BFFC171880020E04 -:2014D800E0BFFC17D0A00815E0BFFC17E0BFFD1500000606E0BFFC1710800044E0BFFC1510 -:2014E000E0BFFC1710800810103FE01EE0BFFD17E6FFFF04DFC00217DF000117DC00001708 -:2014E800DEC00304F800283ADEFFFE04DF000115DF000104E13FFF15E0BFFF1710BFFE84F3 -:2014F00010C0042818001A1E100690BA008000341094F8041885883A108000171000683A24 -:2014F8000000542000005420000054300000543000005430000054200000542800005430EC -:201500000000542000005420000054300000542000005428000054300000543000005420F3 -:2015080000800044000003060005883A000001060005883AE037883ADF000017DEC00104EF -:20151000F800283A200B883A000F883A000D883A0009883A000550C1DEFFFE04000B883A47 -:20151800DC000015DFC001152021883A0005624000800034109C96041100001720800F177B -:2015200010000126103EE83A8009883A00057A4001C000C43980192E2144B03A11C4703AA7 -:2015280010000F262080000328C0000310C0151E31BFFF8401FFFFC40000040620800003EA -:2015300028C0000331BFFFC410C00E1E210000442940004431FFF91E0005883AF800283A87 -:2015380020C000172880001718BFEE1E31BFFF04210001042940010439BFF936303FE91ED6 -:20154000003FF50610C5C83AF800283ADEFFFA04DC00031504000034841C960480C0001788 -:20154800DC400415DFC00515188052172023883A1000372610C00117010007C420C00E166A -:201550001A0000448800221E18C0008418C7883A18C7883A1200011510C7883A1940001588 -:201558000005883ADFC00517DC400417DC000317DEC00604F800283A00800034108000047A -:201560001000262601006404D9400015D9800115D9C0021500000000D9400017D9800117B8 -:20156800D9C0021710001D26810000171000011502000044224052170007883A124000155F -:20157000208052151000621510006315883FDE2618C9883A2109883A1109883A2180221537 -:20157800018000441240621730CC983A4992B03A1240621521C0421501000084893FD21EF2 -:2015800011006317218CB03A11806315003FCE061880530418805215003FC60600BFFFC492 -:20158800003FD006DEFFF504DF000915DD400615DC800315DFC00A15DDC00815DD800715BE -:20159000DD000515DCC00415DC400215DC000115D90000152839883A04800044057FFFC44A -:2015980000800034109C9604120000174500521744C05204A0001C26A080011715FFFFC417 -:2015A000B8000D16140000448421883A8421883A84402004A463883AA421883AE0001E26CE -:2015A80080804017E0801C26BDFFFFC4843FFF048C7FFF04BD7FF91E00800034108000043C -:2015B00010000926A08001171000301EA080001710003226A009883A98800015000000000F -:2015B8009D000017A03FE41EDFC00A17DF000917DDC00817DD800717DD400617DD00051755 -:2015C000DCC00417DC800317DC400217DC000117DEC00B04F800283AA080011780C000171F -:2015C80010BFFFC415C0142680000015183FDE2695C8983AA0806217A58001172084703A1F -:2015D00010000B26A08063172088703A20000C1E89400017D9000017183EE83AA080011704 -:2015D80015BFBF1E98800017153FCF26003FBC06183EE83A003FF906A5C00115003FEB0668 -:2015E00089000017183EE83A003FF406A0800017A027883A1029883A003FB6060005883A1D -:2015E800003FFB06DEFFFD04DF000215DF000204E13FFF150001883AE0BFFF17E0BFFE158C -:2015F000E0BFFE1710000226002AF07000000106002AF0B0003FFF060A3264640A64C864B2 -:2015F8000AC8FA960AFAFAFA64616552000000790000000A000000437665642F6C756E2F4B -:201600000000006C7665642F61746A2F61755F67000074727665642F61746A2F61755F6788 -:20160800000074720000000000005B1000005B7800005BE000000000000000000000000063 -:20161000000000000000000000000000000000000000000000000000000057F4000000006F -:201618000000000000000000000000000000000000000000000000000000000000000000B2 -:201620000000000000000000000000000000000000000000000000000000000000000000AA +:20008800DFC00117DF000017DEC00204F800283A06C000B4DEC0001406800034D6BCA3147E +:20009000000359C0003FFF06DEFFFA04DFC00515DF000415DF000404008000B410840804A9 +:20009800E0BFFE15E03FFC1500002206E03FFF1500800104E0BFFD1500001306E0BFFF1707 +:2000A0001008923AE0BFFD17117FFFC4008000341095F704E0FFFC1718C7883A18C7883ACE +:2000A8001947883A18C7883A18C7883A10C5883A108000172084B03AE0BFFF15E0BFFD17A2 +:2000B00010BFFFC4E0BFFD15E0BFFD1700BFEB16E0BFFE17E0FFFF1710C00015E0BFFE1738 +:2000B80010800104E0BFFE15E0BFFC1710800044E0BFFC15E0BFFC1710800110103FDB1E10 +:2000C000000325400007883A008000B410840D0410C0003500C00044008000B410840C0435 +:2000C80010C00035010000342116070400003F40003FFF06DEFFF604DC0007152021883A07 +:2000D0002809883ADC400815DFC009152823883A0000408010C00044D88001150080003424 +:2000D80010960904D880021500800044D880031500800084DC400015D8C00615DEC0041513 +:2000E000D88005158000022680800E1710001426814002172880030B10C8000C1800061EC7 +:2000E8002900191700F7FFC41088001420C6703A2880030D28C01915D98004048009883A2F +:2000F000000085001000091E00800284DFC00917DC400817DC000717DEC00A04F800283A34 +:2000F8008009883A00008000003FE90600BFFFC4003FF60600800034109CA404200B883A3D +:200100001100001700003341208000CC1000202620800007100020262005883A0000020695 +:2001080010C00007180018261080004410C000CC183FFB1E10C0001701FFBFF439FFBFC475 +:2001100000CA303A01A0207419C7883A31A020041946703A1986703A1800091E10800104A9 +:2001180010C0001719CB883A00C6303A28C6703A1986703A183FF9260000010610800044D8 +:2001200010C00007183FFD1E1105C83AF800283A2005883A003FE7060005883AF800283AD0 +:200128000005883AF800283A01400034294916040000DDC1DEFFF70400C00034DFC008156F +:20013000DDC00715DD800615DD400515DD000415DCC00315DC800215DC400115DC00001507 +:2001380018C12A042400011720C00F152080BB0400C000C420C0B9152080BA152000B81573 +:2001400005C00204008001042025883AB80D883A81001704000B883A80000015800001152D +:20014800800002158080030D800019158000038D8000041580000515800006150001A34080 +:200150000580003494400217054000340500003404C00034B5884504AD485C04A5087B0438 +:200158009CC89204858008158540091585000A1584C00B1584000715008002848880030DC2 +:2001600000800044B80D883A89001704000B883A8800001588000115880002158800191533 +:200168008880038D8800041588000515880006150001A34094000317008004848C40071577 +:200170008D8008158D4009158D000A158CC00B158080030D00800084800000158000011503 +:2001780080000215800019158080038D800004158000051580000615B80D883A000B883A70 +:20018000810017040001A3400080004484000715858008158540091585000A1584C00B156E +:2001880090800E15DFC00817DDC00717DD800617DD400517DD000417DCC00317DC800217D5 +:20019000DC400117DC000017DEC00904F800283A0005883AF800283ADEFFFC04DC800215B2 +:200198002825883ADC00001501401A042021883A913FFFC4DFC00315DC40011500031180D5 +:2001A0008009883A11401D041023883A0000EA001021883A10000726110003041000001536 +:2001A800148001151100021589801A04000B883A0001A3408005883ADFC00317DC80021718 +:2001B000DC400117DC000017DEC00404F800283ADEFFFB04DC00001504000034841CA304BC +:2001B800DCC003152027883A81000017DFC00415DC80021520800E17DC4001151000021E80 +:2001C00000004B40810000172480B804047FFFC49100011794000217213FFFC420000A169D +:2001C8008080030F10000C2680C01D040000020618BFE60F10000826213FFFC41C3FFD04D1 +:2001D00018C01A04247FFA1E9080001710001D261025883A003FEE0600BFFFC48080038DA8 +:2001D800008000448080030D80001915800000158000021580000115800004158000051590 +:2001E0008000061501800204000B883A810017040001A3408005883A80000C1580000D1506 +:2001E8008000111580001215DFC00417DCC00317DC800217DC400117DC000017DEC00504F7 +:2001F000F800283A014001049809883A0000658090800015103FDE1E0080030498800015E3 +:2001F8000005883A003FF00600800034109CA3041100001701400034294916040000DDC11D +:2002000020800E1710000126F800283A00004B41F800283AF800283AF800283AF800283A94 +:2002080000800034109CA4041100001701400034294194040000D18100800034109CA404D5 +:200210001100001701400034294128040000D181308002171000672628C0030BDEFFF40418 +:20021800DD400715DD000615DC000215DFC00B15DF000A15DDC00915DD800815DCC00515FA +:20022000DC800415DC4003151880020C2821883A202B883A3029883A10002726288004171C +:20022800100025261880008CA440001710002A2605A000340027883A0025883AB5BF0004BB +:20023000980D883AA809883A90004626900F883AB480022E01E0003439FF000480800917A2 +:2002380081400717103EE83A00805A0EA0C0021798A7883A90A5C83A1885C83AA0800215E8 +:20024000103FEF1E0005883ADFC00B17DF000A17DDC00917DD800817DD400717DD0006174C +:20024800DCC00517DC800417DC400317DC000217DEC00C04F800283A800B883AA809883A74 +:2002500000022B001000EE1E80C0030BA44000171880008C103FD61E1880004C1000421E41 +:200258000039883A0025883A90001A261880800C84C0021710002126982F883A94C0963653 +:200260001881200C1000A11E81000017B80D883AE00B883A00018D8080C00217810000171F +:200268009005883A1CE7C83A25CF883A84C0021581C00015A0C00217E0B9883A90A5C83AA8 +:2002700018A7C83AA4C00215983FCE2680C0030B903FE61E8F0000178C8001178C400204AA +:20027800003FE1068CC000178C8001178C400204003FB3060005883AF800283A8100001736 +:200280008080041711005A3685C0051795C0583600A0003410BFFFC49009883A1480012EDA +:200288001009883AB80B883A0002F640B80B883A1009883A000311808140071780C009178B +:20029000100F883AE00D883AA809883A183EE83A00BFD8168080030B108010148080030D54 +:2002980000BFFFC4003FA8060027883A0011883A0039883A0025883A90001F2640005A26A4 +:2002A000982D883A94C0012E902D883A8100001780800417B02F883A81C005171100032EC2 +:2002A80080C0021738C7883A1D801816B1C03E168080091781400717E00D883ADA000115EE +:2002B000A809883A103EE83A102F883ADA00011700BFE00E9DE7C83A98001F26A08002170F +:2002B800E5F9883A95E5C83A15EFC83AA5C00215B83F8426903FE11E8F0000178C8001174F +:2002C0000011883A8C400204003FDB06180D883AE00B883ADA000115D8C0001500018D801A +:2002C800D8C0001780800017800B883AA809883A10C5883A80800015D8C00015000277803E +:2002D000D8C00017DA000117103FC21E182F883A9DE7C83A983FE11E800B883AA809883A19 +:2002D80000027780103FBB1E0011883A003FDB0694C0012E9027883A980D883AE00B883AE2 +:2002E00000018D808080021780C0001714C5C83A1CC7883A8080021580C00015100043261B +:2002E8009805883A003F8306B00D883AE00B883ADA00011500018D808080021780C0001735 +:2002F000DA0001171585C83A1DAD883A8080021585800015003FBF06810000179027883A8E +:2002F800902F883A003F6906900D883A01400284E009883A00016AC010003E261080004473 +:200300001727C83A02000044003F9D06808005178140041781C0001710A7883A9885883ACD +:200308001026D7FA396DC83AB10000449885883A1027D07A2485883A980D883A9880022EB7 +:200310001027883A100D883A18C1000C18001C26300B883AA809883A0000EA00102F883A5B +:200318001000292681400417B00D883A1009883A000179008080030B00FEDFC410C4703A89 +:20032000108020148080030DBD89883A9D8FC83A85C0041584C00515810000159027883AE8 +:2003280081C00215902F883A003F3806800B883AA809883A00027780103FB926003F6506CE +:20033000A809883A0001B5C0102F883A103FEE1E81400417A809883A000292808080030BF7 +:2003380000FFDFC41884703A00C00304A8C00015003F590694C0004402000044003F600658 +:2003400000BFFFC4003F000600800304A88000158080030B003F5006DEFFF704DD000415A1 +:20034800DFC00815DDC00715DD800615DD400515DCC00315DC800215DC400115DC00001527 +:200350002500B804A0002326282B883A002F883A0580004404FFFFC4A4400117A4800217F5 +:200358008C7FFFC488000D1694000304948003848080000B8C7FFFC4813FFD04B080042EDA +:200360009080000F14C00226A83EE83AB8AEB03A84001A0494801A048CFFF51EA5000017DC +:20036800A03FED1EB805883ADFC00817DDC00717DD800617DD400517DD000417DCC0031732 +:20037000DC800217DC400117DC000017DEC00904F800283A002F883A003FF206DEFFF704CC +:20037800DD000415DFC00815DDC00715DD800615DD400515DCC00315DC800215DC400115F2 +:20038000DC0000152500B804A0002326282B883A2027883A002F883A0580004404BFFFC444 +:20038800A4400117A40002178C7FFFC488000C16840003048080000B8C7FFFC4817FFD04BF +:20039000B080052E8080008F9809883A14800226A83EE83AB8AEB03A84001A048CBFF51EE4 +:20039800A5000017A03FEE1EB805883ADFC00817DDC00717DD800617DD400517DD000417FB +:2003A000DCC00317DC800217DC400117DC000017DEC00904F800283A002F883A003FF206BE +:2003A800DEFFF504DC800315DFC00A15DF000915DDC00815DD800715DD400615DD000515CE +:2003B000DCC00415DC400215DC000115288002C400C005842025883A18807F2E047FFE04CB +:2003B8001462703A8800A3168940A2360003618000807DC41441E92E8804D27A1000A12663 +:2003C00000C0010418811E368804D1BA12000E4411C00E044209883A04C000342109883A1C +:2003C8009CD71F042109883A9909883A24000117213FFE04240097268080011701BFFF04D5 +:2003D000014003C41184703A1447C83A28C007161800920E8400031724008E26808001171E +:2003D8001184703A1447C83A28FFF90E3809883A018000349C00041731971F0432000204A9 +:2003E0008201342680C0011700BFFF04188E703A3C45C83A00C003C418811F163200051592 +:2003E8003200041510007F0E00807FC411C0FD363806D0FA01C000443080011719400044D4 +:2003F000294B883A1807D0BA294B883A294B883A298B883A38C6983A29C000172A7FFE04B1 +:2003F8001886B03A8240031581C0021530C001152C0000153C0003152005D0BA014000445C +:20040000288A983A19406F3628C4703A10000A1E00BFFF04294B883A2088703A28C4703AAC +:20040800210001041000041E294B883A28C4703A21000104103FFC2602BFFF04024003C44C +:2004100021800044318D883A318D883A318D883A998D883A333FFE042017883A318001045C +:200418003400001731FFFD0481C0041E0000FB061801030E8400031781C0F82680800117A5 +:200420001284703A1447C83A48FFF90E808003178100021789400054814001152080031571 +:20042800110002158463883A9C4005159C40041518800054888001158A0003158A000215AB +:2004300088E3883A88C000159009883A000363C08080020400001B0604400404894025360A +:2004380000036180008001840100008404C000341085883A9CD71F041085883A9885883A1B +:200440001400011710FFFE0480C0D92680C001178100031700BFFF041884703A814002174B +:200448008085883A10C00117290003152140021518C0005410C001159009883A000363C099 +:2004500080800204DFC00A17DF000917DDC00817DD800717DD400617DD000517DCC00417A6 +:20045800DC800317DC400217DC000117DEC00B04F800283A00800304908000150005883A6B +:20046000003FF006010020040200100401C00FC4003F61064009883A003F75068100031772 +:20046800003FDE0681C5883A114001179009883A2940005411400115000363C08080020435 +:20047000003FE0069C00021700BFFF0485800117B0AC703AB4400336B445C83A00C003C4FE +:2004780018805D1605C0003400800034109CB304BDDCA50415400017B8C0001700BFFFC48A +:20048000858D883A8D6B883A1880EA26AD4403C400BC0004A8AA703AA80B883A9009883AE2 +:20048800D980001500020C001029883A00BFFFC4D9800017A080E826A180A6360700003485 +:20049000E71CBC04E0800017A887883AE0C000153500E626B900001700BFFFC42080EE2625 +:20049800A185C83A10C5883AE0800015A0C001CC1800BC26A0E9C83A00840204A500020429 +:2004A00010C7C83AA545883A1083FFCC18ABC83AA80B883A9009883A00020C0000FFFFC4F4 +:2004A80010C0E1261505C83A1545883A10800054E0C000179D000215A0800115A8C7883A6F +:2004B000E0C0001584C00E26018003C43580A72E81400117013FFE04B0BFFD041104703AE3 +:2004B8002900004C2088B03A81000115014001448089883A21400115214002153080CD3693 +:2004C00000800034109CB2041100001720C0012E10C0001500800034109CB10411000017AD +:2004C8009C00021720C0012E10C0001580C0011700BFFF041886703A1C45C83A1C40023612 +:2004D00000C003C4188004169009883A000363C00005883A003F7B0688C0005480C00115D9 +:2004D8008463883A108000549C400215888001159009883A000363C080800204003F710629 +:2004E00000C0050418804A2E00C0150418806E368804D33A12001BC411C01B844209883A07 +:2004E800003EDD063804D27A00C001041880442E00C00504188081361140170410C016C44E +:2004F000294B883A294B883A294B883A994B883A2880001701800034297FFE0431971F049B +:2004F8002880652601BFFF0410C001171986703A38C0022E1080021728BFFB1E1140031781 +:2005000098C0011781400315808002152C00021514000315003EF80688C0005480C00115DE +:200508008463883A344005153440041510C000548A0003158A00021588C0011588A3883A5D +:20051000888000159009883A000363C080800204003F3C0630C00117003EE7065AC0004415 +:20051800588000CC31800204103EFD1E0000240614000317143F251E21000084003EBC066C +:200520008085883A10C00117810003178140021718C0005410C001152900031521400215CC +:200528009009883A000363C080800204003F25061200170411C016C44209883A003E960603 +:200530003804D1BA11400E4410C00E04294B883A003FBC0684FF59260080003410971F04A8 +:200538001400021700BFFF0480C001171886703A003F910660800217213FFFC41300651E8C +:20054000208000CC633FFE04103FFA1E988001170146303A1884703A98800115294B883A99 +:20054800117F2836283F27262886703A5809883A183EC31E294B883A2886703A210001048B +:20055000183FFC26003EBE0600C05504188012368804D3FA12001E0411C01DC44209883ACB +:20055800003E6D0600C40004003F460600800044A0800115003F74061805D0BA01C0004420 +:2005600030C00117388E983A2805883A38C6B03A30C00115003F9B0600C1550418801A361C +:200568008804D4BA12001F4411C01F044209883A003E5906AD400404003F170600C015041C +:20057000188023363804D33A11401BC410C01B84294B883A003F7B069C00021700BFFF0425 +:2005780080C001171886703A003F53063083FFCC103F181E99000217B545883A10800054D6 +:2005800020800115003F3E0601003F8402001FC401C01F84003E400600800034109CA50488 +:2005880015000015003F110600800044002B883A003F1F06814002049009883A0002928088 +:2005900000800034109CBC0410C00017003F2C0600C05504188005363804D3FA11401E046B +:2005980010C01DC4294B883A003F560600C15504188005363804D4BA11401F4410C01F0463 +:2005A000294B883A003F4F0601403F8400C01F84003F4C0698800117003FA0068808D0FA0A +:2005A800208000441085883A003E9006208000CC280F883A1000342630BFFFC430001A2633 +:2005B00020C0000329803FCC30C0051E000018061000152620C0000310BFFFC430C0142679 +:2005B8002100004420C000CC183FF91E020000C44080113610000C2620C0000329403FCC3E +:2005C00028C00B2620C0004439803FCC2089883A0000030618C00044197FFFC33140052694 +:2005C8001805883A20FFFB1E0005883AF800283A2005883AF800283A28C03FCC1812923AB1 +:2005D00002FFBFF402A0207448D2B03A4806943A5AFFBFC452A0200448D2B03A20C0001714 +:2005D80048C6F03A1ACD883A00C6303A30C6703A1A86703A183FE01E10BFFF0421000104BB +:2005E00040BFF636003FDB063005883A003FD706DEFFFD04DFC00215DC400115DC000015E6 +:2005E80000C003C42005883A1980452E2906B03A18C000CC1800441E347FFC048822D13ADA +:2005F00028C00104234001048820913A2B00020422C00204840005042A8003042240030463 +:2005F8002C21883A2811883A200F883A410000171FC0001763C00017390000155380001728 +:200600006FC000155BC000154B80001518C0040439C00404420004046B400404630004043D +:200608005AC00404528004044A4004041C3FEF1E89C00044380E913A310003CC02C000C4B8 +:2006100011C7883A29CB883A5900212E1813883A2811883A200F883A428000174A40010491 +:2006180039FFFF044ABFFF154200010459FFFA36213FFF042008D0BA318000CC21000044A4 +:200620002109883A2109883A1907883A290B883A30000626198D883A29C0000318C000443E +:200628002940004419FFFFC519BFFB1EDFC00217DC400117DC000017DEC00304F800283A5A +:200630002007883A003FF2062007883A003FF106200D883A003FEE062005883A29000B2E00 +:20063800298F883A21C0092E2187883A198BC83A3000482639FFFFC43900000318FFFFC451 +:200640001900000528FFFB1EF800283A00C003C41980412E2886B03A18C000CC1800401EA1 +:2006480033FFFC04781ED13A28C0010413400104781C913A2B00020412C002047380050416 +:200650002A800304124003042B9D883A2811883A100F883A4100001739C0040418C00404E1 +:20065800393FFC15193FFC176B4004045AC00404693FFC15610000174A40040442000404AC +:20066000593FFC15510000176300040452800404493FFC151BBFEF1E790000442008913AF4 +:20066800328003CC02C000C41107883A290B883A5A801E2E1813883A2811883A500F883A6C +:20067000410000174A40010439FFFF04493FFF154200010459FFFA36513FFF042008D0BA98 +:20067800318000CC210000442109883A2109883A1907883A290B883A30000B26198D883A07 +:2006800029C0000318C000442940004419FFFFC519BFFB1EF800283A1007883A003FF6066A +:200688001007883A003FF506F800283A500D883A003FF10620C000CC2005883A180044267B +:2006900031FFFFC4300040262813883A200D883A2007883A000004063A3FFFC431800044B1 +:2006980038003926400F883A18C00044324000051A0000CC403FF81E010000C421C02D2E8B +:2006A00029003FCC200C923A3108B03A200C943A218CB03A010003C421C0182E3B3FFC04F1 +:2006A8006018D13A1A0001041AC002046008913A1A8003041813883A210005041909883AE1 +:2006B00049800015418000155980001551800015420004044A4004045AC0040452800404CA +:2006B800413FF71E630000446018913A39C003CC010000C41B07883A21C00E2E1813883A28 +:2006C0003811883A010000C449800015423FFF044A400104223FFC36393FFF042008D0BA99 +:2006C80039C000CC210000442109883A2109883A1907883A3800052619CF883A19400005C3 +:2006D00018C0004438FFFD1EF800283AF800283A2007883A300F883A003FC706DEFFF6041B +:2006D800DC800215DFC00915DF000815DDC00715DD800615DD400515DD000415DCC00315C4 +:2006E000DC400115DC0000153025883A2800B726282B883A2029883A00036180A8BFFF173A +:2006E800043FFF0490C002C401000584ACFFFE041420703A20C0332E047FFE041C62703A93 +:2006F0008807883A880058168C80573680C0300E07000034E7171F04E1C002179C09883A0F +:2006F8002200011721C06326017FFF84414A703A214B883A298001173180004C30003F2685 +:200700001080004C10008326900B883AA009883A0000EA001025883A10011E26A93FFF1748 +:2007080010FFFE0400BFFF842084703A9885883A1880EE2681BFFF04008009041180B83656 +:2007100000C004C4198096369005883AA807883A1900001711000015190001171100011566 +:2007180018C0021710C00215A80B883AA009883A00029280A009883A000363C09005883A0D +:200720000000120600C004041823883A003FCE06A825883A8445C83A00C003C418802636F4 +:20072800998001179C07883A3180004C3420B03A9C000115188001171080005418800115EC +:20073000A009883A000363C09005883ADFC00917DF000817DDC00717DD800617DD40051796 +:20073800DD000417DCC00317DC800217DC400117DC000017DEC00A04F800283A017FFF04CE +:20074000414A703A814D883A30C01F162080031720C00217A825883A3021883A18800315AA +:2007480010C00215003FDB0600800304A08000150005883A003FE50698C001179C4B883AC4 +:200750001100005418C0004C1C62B03A9C400115290001152885883A10C0011729400204A1 +:20075800A009883A18C0005410C0011500029280003FD306017FFF04414A703A898004040F +:200760008151883A4180590E1080004C103F9E1EADBFFE1700BFFF049DADC83AB1800117FE +:200768003084703A2000202680AF883AB96F883A21C05F26B8C01C162080031720C002170F +:2007700081BFFF04010009041880031510C00215B0C00217B0800317B480020418800315C4 +:2007780010C0021521801B36008004C41180352EA8800017B0800215A8800117B08003153E +:20078000008006C411807F36B0800404AD40020400002D06ADBFFE1700BFFF049DADC83ADC +:20078800B10001172084703AB03F772680AF883AB8FF7516B0800317B0C0021781BFFF0465 +:20079000010009041880031510C00215B480020421BFE52EA80B883A9009883A00018D8099 +:20079800B821883AB027883A003F8A06300B883ADFC00917DF000817DDC00717DD8006174F +:2007A000DD400517DD000417DCC00317DC800217DC400117DC000017DEC00A040000EA011F +:2007A800A8C0001790C00015A8C0011790C0011500C006C41980453690800204A8C0020445 +:2007B000003F63069005883AA8C00017B821883AB027883A10C00015A8C0011710C001152C +:2007B800A8C0021710C00215003F6A069C67883A4445C83AE4C002151080005498800115ED +:2007C000A8BFFF17A009883A1080004C1462B03AAC7FFF15000363C0A805883A003F6B0671 +:2007C800A80B883A9009883A00018D80003F4E0689000404B93FBC16B0800317B0C0021772 +:2007D00081BFFF04010009041880031510C00215B480020421804336008004C411803F2E87 +:2007D800A8800017B0800215A8800117B0800315008006C411803F36B0800404AD40020478 +:2007E000A8C0001710C00015A8C0011710C00115A8C0021710C00215B447883ABC45C83A07 +:2007E800E0C002151080005418800115B0800117A009883A1080004C1462B03AB4400115AF +:2007F000000363C09005883A003F4006A8C0021790C00215A8C0031790C00315308011262E +:2007F80090800404A8C00404003F190690FFFF1700BFFF04A825883A1884703A80A1883ADC +:20080000003F2406A8800217B0800415A8800317B080051531000A26B0800604AD400404C9 +:20080800003FA906A900041790800604A8C0060491000415A900051791000515003F04062F +:20081000A8C00417AD400604B0800804B0C00615A8FFFF17B0C00715003F9B069005883A07 +:20081800003FC706A80B883A9009883A00018D80003FC906A8800217B0800415A8800317FC +:20082000B080051531000726B0800604AD400404003FBB06A009883A000363C00005883A89 +:20082800003F0A06A8C00417AD400604B0800804B0C00615A8FFFF17B0C00715003FB006E2 +:20083000DEFFFD04DC00001504000034DC400115841CB4042023883A2809883ADFC0021569 +:20083800800000150003824000FFFFC410C00526DFC00217DC400117DC000017DEC0030405 +:20084000F800283A80C00017183FF92688C00015003FF706DEFFFE04DC0000152821883AFD +:200848002940038FDFC001150002E5401000071680C014171887883A80C01415DFC00117A0 +:20085000DC000017DEC00204F800283A80C0030B18FBFFCC80C0030DDFC00117DC00001771 +:20085800DEC00204F800283A0005883AF800283A2880030BDEFFFB04DCC00315DC800215A8 +:20086000DC400115DC000015DFC0041510C0400C2821883A2023883A3025883A3827883A39 +:20086800180005262940038F01C00084000D883A0002C3808080030B8140038F10BBFFCCE2 +:20087000980F883A900D883A8809883A8080030DDFC00417DCC00317DC800217DC40011724 +:20087800DC000017DEC0050400022501DEFFFE04DC0000152821883A2940038FDFC0011513 +:200880000002C38000FFFFC410C0082680C0030B8080141518C4001480C0030DDFC00117E5 +:20088800DC000017DEC00204F800283A80C0030B18FBFFCC80C0030DDFC00117DC00001739 +:20089000DEC00204F800283A2940038F00024041DEFFFD042805883ADC00001504000034D6 +:20089800DC400115300B883A841CB4042023883A380D883A1009883ADFC002158000001587 +:2008A0000003910000FFFFC410C00526DFC00217DC400117DC000017DEC00304F800283A09 +:2008A80080C00017183FF92688C00015003FF70600800034DEFFFD04109CA404DC400115B2 +:2008B0002023883A11000017DC000015DFC002152821883A2000022620800E1710003126D5 +:2008B8008080030B10C0020C1009883A18000F2680C00417180015261100004C20001C1EA7 +:2008C0001080008C1000291E808005178080021518001C260005883ADFC00217DC40011765 +:2008C800DC000017DEC00304F800283A2080040C10002E262080010C10001E1E80C00417B6 +:2008D000208002148080030D183FEB1E1100A00C01408004217FE826800B883A8809883A12 +:2008D8000002C9808080030B80C00417003FE20680800517800002150085C83A80800615D0 +:2008E000183FE41E80C0030B0005883A1900200C203FE12618C0101480C0030D00BFFFC411 +:2008E800003FDD0600008000003FCD060005883A003FD60681400C1728000626808010040E +:2008F000288003268809883A000292808100030B80000C1580C0041700BFF6C41108703AE9 +:2008F8008000011580C00015003FD5060080024488800015208010148080030D00BFFFC4A2 +:20090000003FC506DEFFFD04DC00001504000034DC400115841CB4042023883A2809883A44 +:20090800DFC0021580000015000317C000FFFFC410C00526DFC00217DC400117DC0000170E +:20091000DEC00304F800283A80C00017183FF92688C00015003FF70628003926DEFFFC04FE +:20091800DC400115DC000015DFC00315DC8002152023883A2821883A2000022620800E1755 +:20092000100027268080030F1000071E0005883ADFC00317DC800217DC400117DC000017F7 +:20092800DEC00404F800283A800B883A8809883A000255C01025883A80800B1710000426A5 +:20093000814007178809883A103EE83A100016168080030B1080200C1000151E81400C17D8 +:200938002800052680801004288002268809883A0002928080000C15814011172800032626 +:200940008809883A0002928080001115000081008000030D000081409005883A003FDC0640 +:2009480000008000003FD70604BFFFC4003FE806814004178809883A00029280003FE706D1 +:200950000005883AF800283A00800034109CA404200B883A11000017000245812880030BCB +:20095800DEFFFB04DCC00315DC400115DFC00415DC800215DC00001510C0020C2823883AB6 +:200960002027883A1800311E28C00117108200142880030D00C04B0E8A000A17400023265C +:200968009C00001710C4000C98000015180048268980141710C0010C1800062688C00117F4 +:2009700088800C1730CDC83A1000022688800F17308DC83A89400717000F883A9809883AFD +:20097800403EE83A00FFFFC410C0442688C0030B8900041788000115197DFFCC8940030DF1 +:200980008900001518C4000C18002C1E89400C179C00001528000526888010042880022693 +:200988009809883A0002928088000C150005883ADFC00417DCC00317DC800217DC4001174F +:20099000DC000017DEC00504F800283A2C800417903FF6262C000017108000CC2C80001541 +:2009980084A1C83A1000131E288005178880021504000316003FED0690A5883A043FEB0E72 +:2009A0008880091789400717800F883A900D883A9809883A103EE83A80A1C83A00BFF616B7 +:2009A80088C0030B00BFFFC418C0101488C0030D003FDF060005883A003FEC0688801415B6 +:2009B000003FD20628C00F1700FFB316003FD70689400717000D883A01C000449809883A00 +:2009B800403EE83A100D883A00BFFFC4308014268880030B8A000A17003FAE0698C0001711 +:2009C000183FBA260100074419000626010005841900042688C0030B18C0101488C0030DD8 +:2009C800003FC3068880030B88C004178800011510BDFFCC8880030D88C00015003FB306EB +:2009D00098800017103FEA2600C0074410C0022600C0058410C0031E9C0000150005883AC4 +:2009D800003FB30688C0030B3005883A18C0101488C0030D003FAE06DEFFFD04DC000115A3 +:2009E000DFC002152021883A2000022620800E1710000C262880030F1000051E0005883A3B +:2009E800DFC00217DC000117DEC00304F800283A8009883ADFC00217DC000117DEC00304A8 +:2009F000000255C1D940001500008000D9400017003FF0062000052600800034109CA40469 +:2009F800200B883A110000170002778100800034109CA30411000017014000342949DE04D8 +:200A00000000DDC1DEFFFB04DCC0031504C00034DC800215DC400115DC000015DFC0041562 +:200A08002821883A9CD71F042025883A00036180988002171440011700BFFF0488A2703A0F +:200A10008C21C83A8403FBC48020D33A0083FFC4843FFFC48020933A1400060E000B883AF6 +:200A18009009883A00020C0098C002171C47883A10C00A269009883A000363C00005883A77 +:200A2000DFC00417DCC00317DC800217DC400117DC000017DEC00504F800283A040BC83A98 +:200A28009009883A00020C0000FFFFC410C00D2600C0003418DCBC04188000179900021777 +:200A30008C23C83A8C4000541421C83A244001159009883A1C000015000363C000800044AE +:200A3800003FE706000B883A9009883A00020C0099000217014003C41107C83A28FFDD0E56 +:200A400001400034295CA5042940001718C0005420C0011500C000341145C83A18DCBC0451 +:200A480018800015003FD30628004126DEFFFD04DC400115DC0000152023883A2821883A29 +:200A5000DFC002150003618081FFFF1700BFFF840100003481BFFE043884703A21171F04DC +:200A5800308B883A2A4001172200021700FFFF0448C6703A2A00572628C0011539C0004CA0 +:200A60003800091E823FFE1722400204320DC83A31C002171205883A3A4065263200031764 +:200A68003A00031541C0021528CF883A39C0011739C0004C38003A2610C0005430C0011533 +:200A70003087883A1880001500C07FC4188019361004D0FA01C000442140011710C00044E6 +:200A780018C7883A1005D0BA18C7883A18C7883A1907883A3884983A19C000171A3FFE0421 +:200A80001144B03A3200031531C002152080011519800015398003158809883ADFC0021785 +:200A8800DC400117DC000017DEC00304000363C1F800283A100AD27A00C0010419404A36FD +:200A9000100AD1BA28C00E4418C7883A29400E0418C7883A18C7883A1909883A20C000172E +:200A980001C00034213FFE0439D71F0420C0442601FFFF041940011729CA703A1140022ED8 +:200AA00018C0021720FFFB1E190003173100031530C002152180021519800315003FDE06FE +:200AA80029C0021710C5883A00C0003418D7210438C03B262A000317114000543087883AD2 +:200AB0003A00031541C002153140011518800015003FBD0639C0004C10C5883A3800071E4D +:200AB80081FFFE1731CDC83A30C003173140021711C5883A28C003151940021510C00054C9 +:200AC00030C0011500C0003418DCA60418C000172180021510FFC03600800034109CB304BB +:200AC800114000178809883A00028040003FBA0628C9883A210001172100004C2000391EC2 +:200AD00029C00217290003171885883A10C000543900031521C0021530C00115308D883A70 +:200AD80030800015003FAC0600C005041940153628C0170418C7883A294016C4003FB406FB +:200AE000280BD0BA00C0004438800117194A983A2007883A2884B03A38800115003FBB06E3 +:200AE800218005152180041510C0005431C0031531C0021530C00115308D883A30800015FA +:200AF000003F950600C0150419400536100AD33A28C01BC418C7883A29401B84003F9C0627 +:200AF80000C0550419400536100AD3FA28C01E0418C7883A29401DC4003F950600C1550461 +:200B000019400536100AD4BA28C01F4418C7883A29401F04003F8E0600C03F8401401F84E7 +:200B0800003F8B0610C0005430C00115308D883A30800015003F7806DEFFFD042805883A05 +:200B1000DC00001504000034DC400115300B883A841CB4042023883A380D883A1009883A2E +:200B1800DFC002158000001500034C0000FFFFC410C00526DFC00217DC400117DC00001787 +:200B2000DEC00304F800283A80C00017183FF92688C00015003FF7062880030B10C0008C3E +:200B28001800411EDEFFEC04DC000F152821883A2940038FDC401015DFC01315DCC0121598 +:200B3000DC8011152023883A28001C16D80D883A0002EB4010001816D880011700E000144E +:200B380010BC000C14C8002010C0372680C0030B18C2001480C0030D00C8000410C0521E04 +:200B40008140038F8809883A0002F10010004C268080030B80C010C480C00015108000541F +:200B48008080030D0080004480C004158080051504810004000007068080030B10C0200CA6 +:200B500018001F1E04810004108200148080030D0027883A900B883A8809883A0000EA006E +:200B580010002C2680C0030B0100003421012A0489000F1518C0201480C0030D808000152A +:200B6000808004158480051598001A1EDFC01317DCC01217DC801117DC401017DC000F1717 +:200B6800DEC01404F800283A288010C428800015288004150080004428800515F800283A83 +:200B700004801004003FE00681000A1700C0003418C87B0420FFC51E8080030B048100041A +:200B7800848013151484B03A8080030D0027883A003FD8068140038F8809883A0002F10000 +:200B8000103FE2268080030B108000548080030D003FDE068080030B10C0800C183FDB1E1F +:200B88001080009480C010C48080030D0080004480C0001580C0041580800515003FD30661 +:200B900004810004003FC3060027883A04810004003FC006DEFFFD042805883ADC0000157F +:200B980004000034DC400115300B883A841CB4042023883A380D883A1009883ADFC00215E1 +:200BA00080000015000369C000FFFFC410C00526DFC00217DC400117DC000017DEC0030433 +:200BA800F800283A80C00017183FF92688C00015003FF706DEFFFD042805883ADC000015AF +:200BB00004000034DC400115841CB4042023883A300B883A1009883ADFC00215800000153B +:200BB80000032E4000FFFFC410C00526DFC00217DC400117DC000017DEC00304F800283A11 +:200BC00080C00017183FF92688C00015003FF706DEFFFD04DC00001504000034DC40011576 +:200BC800841CB4042023883A2809883ADFC002158000001500033D8000FFFFC410C00526F5 +:200BD000DFC00217DC400117DC000017DEC00304F800283A80C00017183FF92688C00015FD +:200BD800003FF70620001B16000F883A28001616200D883A29001A2E0080080400C000445B +:200BE0000000010610000D26294B883A10BFFFC418C7883A293FFB360005883A180007269D +:200BE8000005883A31400236314DC83A10C4B03A1806D07A280AD07A183FFA1E380001268D +:200BF0000085C83AF800283A014BC83A39C0005C003FE7060109C83A01C00044003FE306FC +:200BF80000C00044003FEE0620001716000F883A2005883A280012162900162E0180080457 +:200C000000C000440000010630000A26294B883A31BFFFC418C7883A293FFB361800052603 +:200C08001806D07A114001361145C83A280AD07A183FFB1E380001260085C83AF800283A58 +:200C1000014BC83A003FEC060109C83A01C00044003FE70600C00044003FF106200D883ADF +:200C18002900152E280014160080080400C000440000020610000E2628000516294B883AA9 +:200C200010BFFFC418C7883A293FFA36180008260005883A31400236314DC83A10C4B03AF5 +:200C28001806D07A280AD07A183FFA1EF800283A0005883AF800283A00C00044003FF406A1 +:200C30002005883A2900122E280011160180080400C000440000020630000C2628000516C7 +:200C3800294B883A31BFFFC418C7883A293FFA36180006261806D07A114001361145C83AEE +:200C4000280AD07A183FFB1EF800283AF800283A00C00044003FF7060005883A20000726A0 +:200C480020C0004C2008D07A180001261145883A294B883A203FFA1EF800283AF800283A36 +:200C5000DEFFFE04DFC00115DF000015D839883AD0A0091710000326D0A00917103EE83A60 +:200C580000000106D0A01104E037883ADFC00117DF000017DEC00204F800283ADEFFFB0490 +:200C6000DFC00415DF000315DF000304E13FFF15E0BFFF171000081601400304E13FFF174A +:200C6800000311801007883A0080003410982B041885883A000001060005883AE0BFFD1596 +:200C7000E0BFFD1710001926E0BFFD17108000171080041710000626E0BFFD1710800017C7 +:200C780010800417E13FFD17103EE83A000001060005883AE0BFFE15E13FFF1700037A00DA +:200C8000E0BFFE171000070E000314001007883AE0BFFE170085C83A1880001500BFFFC421 +:200C8800000007060005883A00000506000314001007883A008014441880001500BFFFC476 +:200C9000E037883ADFC00117DF000017DEC00204F800283ADEFFFD04DF000215DF00020407 +:200C9800E13FFE15E17FFF150001883AE037883ADF000017DEC00104F800283ADEFFFC0429 +:200CA000DF000315DF000304E13FFD15E17FFE15E1BFFF15E0BFFF17E037883ADF0000177A +:200CA800DEC00104F800283ADEFFFE04DFC00115DF000015D839883AD0A00917100003260B +:200CB000D0A00917103EE83A00000106D0A01104E037883ADFC00117DF000017DEC002046E +:200CB800F800283ADEFFFB04DFC00415DF000315DF000304E13FFE15E17FFF15E0BFFE17F6 +:200CC0001000081601400304E13FFE17000311801007883A0080003410982B041885883A12 +:200CC800000001060005883AE0BFFD15E0BFFD1710001026E0BFFD1710800017108008178B +:200CD00010000726E0BFFD171080001710800817E17FFF17E13FFD17103EE83A00000A0694 +:200CD800E0BFFF1700C8000410C001150005883A0000050600032A801007883A0080144465 +:200CE0001880001500BFFFC4E037883ADFC00117DF000017DEC00204F800283ADEFFFE0462 +:200CE800DFC00115DF000015D839883AD0A0091710000326D0A00917103EE83A00000106A0 +:200CF000D0A01104E037883ADFC00117DF000017DEC00204F800283ADEFFED04DFC0121547 +:200CF800DF001115DF001104E13FFF15E0BFFF171000081601400304E13FFF1700031180BA +:200D00001007883A0080003410982B041885883A000001060005883AE0BFEF15E0BFEF17FA +:200D080010000E26E0BFEF1710800017108008171000021E0080004400000D06E0BFF004F2 +:200D1000100B883AE13FFF1700032E40E0BFF1171088002010803FCC00000506000339C03E +:200D18001007883A00801444188000150005883AE037883ADFC00117DF000017DEC002046C +:200D2000F800283ADEFFFE04DFC00115DF000015D839883AD0A0091710000326D0A00917A5 +:200D2800103EE83A00000106D0A01104E037883ADFC00117DF000017DEC00204F800283A2B +:200D3000DEFFF904DFC00615DF000515DF000504E13FFD15E17FFE15E1BFFF15E03FFB15A6 +:200D3800E0BFFD171000081601400304E13FFD17000311801007883A0080003410982B0446 +:200D40001885883A000001060005883AE0BFFC15E0BFFC1710001026E0BFFC171080001765 +:200D48001080071710000926E0BFFC171080001710800717E1BFFF17E17FFE17E13FFC1739 +:200D5000103EE83AE0BFFB150000050600BFDE84E0BFFB150000020600BFEBC4E0BFFB1564 +:200D5800E0BFFB171000070E000348401007883AE0BFFB170085C83A1880001500BFFFC4DA +:200D6000E0BFFB15E0BFFB17E037883ADFC00117DF000017DEC00204F800283ADEFFFD04B1 +:200D6800DFC00215DF000115DF0001040009883A0003A4400001883A0003A7C00180003448 +:200D700031960E040140003429560E040100003421160E040004C8800004844001000034BD +:200D78002112290400054480D0A01217D0E01317D1201417200D883A180B883A1009883AF4 +:200D800000002480E0BFFF1501000044000317C0E13FFF17000545C0DEFFFE04DF000115C9 +:200D8800DF000104E13FFF150001883AE037883ADF000017DEC00104F800283ADEFFFE04C5 +:200D9000DF000115DF000104E13FFF150001883AE037883ADF000017DEC00104F800283AA7 +:200D9800DEFFFE04DFC00115DF000015D839883AD0A0091710000326D0A00917103EE83A17 +:200DA00000000106D0A01104E037883ADFC00117DF000017DEC00204F800283ADEFFF90449 +:200DA800DFC00615DF000515DF000504E13FFD15E17FFE15E1BFFF15E0BFFD171000081656 +:200DB00001400304E13FFD17000311801007883A0080003410982B041885883A0000010649 +:200DB8000005883AE0BFFB15E0BFFB1710002226E0BFFB1710800217108000CC10800060F6 +:200DC0001000181EE0BFFB17108000171080051710001426E0BFFB1710800017108005177B +:200DC800E0FFFF17180D883AE17FFE17E13FFB17103EE83AE0BFFC15E0BFFC171000070E91 +:200DD000000366001007883AE0BFFC170085C83A1880001500BFFFC400000C06E0BFFC1795 +:200DD80000000A06000366001007883A008003441880001500000406000366001007883AE9 +:200DE000008014441880001500BFFFC4E037883ADFC00117DF000017DEC00204F800283A68 +:200DE800DEFFFC04DFC00315DF000215DC000115DF000204E13FFE15E0BFFE17108000D043 +:200DF0001000111E0400003484182B04E0BFFE17014003041009883A000311808085883A6F +:200DF80010800204100000150400003484182B04E0BFFE17014003041009883A00031180B2 +:200E00008085883A100000150001883AE6FFFF04DFC00217DF000117DC000017DEC00304F4 +:200E0800F800283ADEFFF904DF000615DF000604E13FFF150005303AE0BFFE15E0FFFE176A +:200E100000BFFF841884703A1001703AE0BFFE17E0BFFB15D0A00A1710C000C400BFFF0435 +:200E18001884703AD0A00A15D0E00A17E0BFFF171887883A008000B41080000410C0062E32 +:200E2000E0BFFB17E0BFFA15E0BFFA171001703A00BFFFC400000B06D0A00A17E0BFFD1513 +:200E2800D0E00A17E0BFFF171885883AD0A00A15E0BFFB17E0BFFC15E0BFFC171001703A68 +:200E3000E0BFFD17E037883ADF000017DEC00104F800283ADEFFFE04DFC00115DF0000159B +:200E3800D839883AD0A0091710000326D0A00917103EE83A00000106D0A01104E037883A99 +:200E4000DFC00117DF000017DEC00204F800283ADEFFF904DFC00615DF000515DF00050472 +:200E4800E13FFD15E17FFE15E1BFFF15E0BFFD171000081601400304E13FFD170003118040 +:200E50001007883A0080003410982B041885883A000001060005883AE0BFFB15E0BFFB1791 +:200E580010002126E0BFFB1710800217108000CC10001826E0BFFB1710800017108006171A +:200E600010001426E0BFFB171080001710800617E0FFFF17180D883AE17FFE17E13FFB17A0 +:200E6800103EE83AE0BFFC15E0BFFC171000070E00038D401007883AE0BFFC170085C83A91 +:200E70001880001500BFFFC400000C06E0BFFC1700000A0600038D401007883A00800344EF +:200E7800188000150000040600038D401007883A008014441880001500BFFFC4E037883A1A +:200E8000DFC00117DF000017DEC00204F800283ADEFFFD04DFC00215DF000115DF0001043A +:200E8800E13FFF15D1600604E13FFF1700047A00E037883ADFC00117DF000017DEC00204FD +:200E9000F800283ADEFFFD04DFC00215DF000115DF000104E13FFF15000512C000800044AC +:200E98001001703A0001883AE037883ADFC00117DF000017DEC00204F800283ADEFFFE0459 +:200EA000DFC00115DF000015D839883A01C0FA04000D883A000B883A010000B4210400047D +:200EA80000045BC001800044000B883A01000034211895040003C4000100003421188B04AE +:200EB0000003A1000001883AE037883ADFC00117DF000017DEC00204F800283ADEFFFA045C +:200EB800DFC00515DF000415DF000404E13FFD15E17FFE15E1BFFF15E0BFFD1710800017CF +:200EC000E0BFFC15E0BFFC1710C00A04E0BFFD1710800217100F883AE1BFFF17E17FFE176A +:200EC8001809883A00041040E037883ADFC00117DF000017DEC00204F800283ADEFFFA0474 +:200ED000DFC00515DF000415DF000404E13FFD15E17FFE15E1BFFF15E0BFFD1710800017B7 +:200ED800E0BFFC15E0BFFC1710C00A04E0BFFD1710800217100F883AE1BFFF17E17FFE1752 +:200EE0001809883A00043200E037883ADFC00117DF000017DEC00204F800283ADEFFFC0478 +:200EE800DFC00315DF000215DF000204E13FFF15E0BFFF1710800017E0BFFE15E0BFFE1762 +:200EF00010C00A04E0BFFF1710800217100B883A1809883A0003FAC0E037883ADFC0011799 +:200EF800DF000017DEC00204F800283ADEFFFA04DFC00515DF000415DF000404E13FFD1541 +:200F0000E17FFE15E1BFFF15E0BFFD1710800017E0BFFC15E0BFFC1710800A04E1BFFF179A +:200F0800E17FFE171009883A00040140E037883ADFC00117DF000017DEC00204F800283AB0 +:200F1000DEFFFA04DFC00515DF000415DF000404E13FFD15E17FFE15E1BFFF15E0BFFD1742 +:200F180000C0004410C00815E0BFFD1710800017108001041007883AE0BFFD17108008179E +:200F200018800035E0BFFE17E0FFFF17D8000015E1FFFD1701800034318F4004180B883ABC +:200F28001009883A00049040E0BFFD1710000915E0BFFD1710800204D0E01617E1FFFD17FF +:200F300001800034318FC304180B883A1009883A000463801000040EE0FFFD1700A00034D5 +:200F380010BFFFC4188001150001883AE037883ADFC00117DF000017DEC00204F800283A12 +:200F4000DEFFF804DF000715DF000704E13FFF15E0BFFF17E0BFFB15E0BFFB1710800017E3 +:200F4800E0BFFC15E0BFFC171080010410800037E0BFFD15E0BFFD171080C00C10006D2668 +:200F5000E0BFFD171080400C1000352600800074E0BFF915E0BFFB1710800A1710800044B0 +:200F58001081FFCCE0BFFE15E0BFFB1710C00B17E0BFFE1718801526E0BFFC1710800037C8 +:200F6000E0BFF915E0BFF91710A0000C10001126E0BFFB1710800A17E0FFF9171809883ADE +:200F6800E0FFFB171885883A10800E0411000005E0BFFB1710800A171080004410C1FFCC8F +:200F7000E0BFFB1710C00A15003FE2060001883A000001060001883AE0BFF91710BFFFECA4 +:200F780010000F26E0BFFB1710C0081700BFFF841886703AE0BFFB1710C00815E0BFFC179A +:200F8000108001041007883AE0BFFB171080081718800035E0BFFC171080010410800037A8 +:200F8800E0BFFD171080800C103FBE26E0BFFD171004D43AE0BFFA1500001406E0BFFC17F8 +:200F9000E0FFFB1718C00D17E13FFB1720C7883A18C20E0418C0000318C03FCC18C0201CBB +:200F980018FFE00410C00035E0BFFB1710800D171080004410C1FFCCE0BFFB1710C00D15C1 +:200FA000E0BFFA1710BFFFC4E0BFFA15E0BFFA1710000526E0BFFB1710C00D17E0BFFB1700 +:200FA80010800C1718BFE51EE0BFFA17103F9D26E0BFFB1710C0081700BFFF441886703AF0 +:200FB000E0BFFB1710C00815E0BFFB1710800017108001041007883AE0BFFB17108008175D +:200FB80018800035E0BFFC171080010410800037003F8C060001883A0001883AE037883A0E +:200FC000DF000017DEC00104F800283ADEFFF804DF000715DF000704E13FFB15E0BFFB177F +:200FC800E0BFF915E0BFF917108000171080010410800037E0BFFA15E0BFFA171081000CAF +:200FD00010000B26E0BFF91710800017108001041007883AE0BFF9171080081710810014F9 +:200FD80018800035E0BFF9171000091500000A06E0BFF91710C0091700A0003410BFFF04FA +:200FE00010C00536E0BFF9171080091710C00044E0BFF91710C00915D0A01617E037883A60 +:200FE800DF000017DEC00104F800283ADEFFFD04DF000215DF000204E13FFE15E17FFF1596 +:200FF00000000506E0BFFF171090000C1000022600BFFD4400000B06E0BFFE1710C00D1784 +:200FF800E0BFFE1710800C1718800526E0BFFE1710C00917E0BFFE171080011718BFF136B1 +:201000000005883AE037883ADF000017DEC00104F800283ADEFFFA04DF000515DF00050481 +:20100800E13FFD15E17FFE15E1BFFF1500BFF9C4E0BFFB15E0BFFE1710DA80601800031E8D +:20101000109A80A01000181E00002906E0BFFD1710C0011700A0003410BFFFC418802126A1 +:20101800E0BFFF1710800017E0BFFC15E0BFFC17108000901000061EE0FFFC1700A00034E0 +:2010200010BFFFC418800226E0BFFC170000020600A0003410BFFF84E0FFFD1718800115DD +:20102800E03FFB1500000F06E0BFFD1710C0011700A0003410BFFFC418800C26E0BFFD17E6 +:2010300010C00917E0BFFD17108001171885803A10C03FCCE0BFFF1710C00015E03FFB155A +:20103800000002060001883A000001060001883AE0BFFB17E037883ADF000017DEC00104E0 +:20104000F800283ADEFFF304DFC00C15DF000B15DF000B04E13FFC15E17FFD15E1BFFE155F +:20104800E1FFFF15E0BFFD17E0BFF51500004706E0BFFC1710800A17E0BFF715E0BFFC172B +:2010500010800B17E0BFF815E0FFF717E0BFF81718800536E0FFF717E0BFF8171885C83A7A +:20105800E0BFF6150000040600C20004E0BFF8171885C83AE0BFF615E0BFF61710001E2607 +:20106000E0FFFE17E0BFF6171880022EE0BFFE17E0BFF615E0BFFC1710C00E04E0BFF81768 +:201068001885883AE1BFF617100B883AE13FF51700017900E0FFF517E0BFF6171885883AE3 +:20107000E0BFF515E0FFFE17E0BFF6171885C83AE0BFFE15E0FFF817E0BFF6171885883ACD +:2010780010C1FFCCE0BFFC1710C00B15E0BFFE1700BFCF16000001060001883AE0FFF5170D +:20108000E0BFFD171880141EE0BFFF171090000C1000131E0001883AE0BFFC1710C00A17CB +:20108800E0BFF7171880051EE0BFFC1710C00917E0BFFC171080011718BFF736E0BFFC172E +:2010900010C00A17E0BFF71718800726E0BFFE1700BFB716000005060001883A00000306C6 +:201098000001883A000001060001883AE0FFF517E0BFFD17188018260005303AE0BFFB1519 +:2010A000E0FFFB1700BFFF841884703A1001703AE0BFFB17E0BFFA15E0BFFC17108008173C +:2010A80010C00054E0BFFC1710C00815E0BFFC1710800017108001041007883AE0BFFC17EC +:2010B0001080081718800035E0BFFA17E0BFF915E0BFF9171001703AE0FFF517E0BFFD173F +:2010B80018800426E0FFF517E0BFFD171885C83A00000606E0BFFF171090000C1000022674 +:2010C00000BFFD440000010600BFFEC4E037883ADFC00117DF000017DEC00204F800283A04 +:2010C800DEFFF304DFC00C15DF000B15DF000B04E13FFC15E17FFD15E1BFFE15E1FFFF153D +:2010D000E03FF515E0BFFD17E0BFF71500003706E0BFFC1710800C17E0BFF915E0BFFC1778 +:2010D80010800D17E0BFF515E0FFF917E0BFF5171880062EE0FFF517E0BFF9171885C83AFC +:2010E00010BFFFC4E0BFF61500000B06E0BFF5171000052600C20004E0BFF9171885C83AA9 +:2010E800E0BFF6150000040600C1FFC4E0BFF9171885C83AE0BFF615E0BFF61710001E26B8 +:2010F000E0FFFE17E0BFF6171880022EE0BFFE17E0BFF615E0BFFC1710C20E04E0BFF917D5 +:2010F8001885883AE1BFF617E17FFD171009883A00017900E0FFFD17E0BFF6171885883A05 +:20110000E0BFFD15E0FFFE17E0BFF6171885C83AE0BFFE15E0FFF917E0BFF6171885883A33 +:2011080010C1FFCCE0BFFC1710C00C15E0BFFE1700BFC716000001060001883A0005303AFF +:20111000E0BFFB15E0FFFB1700BFFF841884703A1001703AE0BFFB17E0BFFA15E0BFFC17CB +:201118001080081710C00094E0BFFC1710C00815E0BFFC1710800017108001041007883A3E +:20112000E0BFFC171080081718800035E0BFFA17E0BFF815E0BFF8171001703AE0BFFE1708 +:201128000080100EE0BFFF171090000C1000101E0001883AE0BFFC1710C00D17E0BFF51756 +:201130001880051EE0BFFC1710C00917E0BFFC171080011718BFF736E0BFFC171080091782 +:201138001000051EE0BFFE1700BFD016000003060001883A000001060001883AE0FFFD1782 +:20114000E0BFF71718800426E0FFFD17E0BFF7171885C83A00000606E0BFFF171090000C74 +:201148001000022600BFFD440000010600BFFEC4E037883ADFC00117DF000017DEC002049D +:20115000F800283ADEFFFA04DFC00515DF000415DF000404E13FFF150007883AE0BFFF1700 +:2011580010C00035E0BFFF1710800104108000370005303AE0BFFD15E0FFFD1700BFFF840C +:201160001884703A1001703AE0BFFD17E0BFFC1500050240E0BFFC17E0BFFE15E0BFFE17AC +:201168001001703A0001883AE037883ADFC00117DF000017DEC00204F800283ADEFFF8048C +:20117000DFC00715DF000615DF000604E13FFC15E17FFD15E1BFFE15E1FFFF15E0BFFF17C2 +:20117800E0BFFB15D0A016171000021EE0BFFB17D0A01615E0BFFC171080010400C001C4C3 +:2011800010C00035D8000015E1FFFC170180003431915104E17FFE17E13FFD170004904021 +:201188000001883AE037883ADFC00117DF000017DEC00204F800283ADEFFF504DF000A152C +:20119000DF000A04E13FFC15E17FFD15E1BFFE15E1FFFF15E03FF615D0A0161710003C26CF +:20119800E0BFFC1710003826E0BFFC17E0FFFE1710C00315E0BFFC17E0FFFF1710C00515F8 +:2011A0000005303AE0BFF915E0FFF91700BFFF841884703A1001703AE0BFF917E0BFF81586 +:2011A800D0A01717E0BFF615E0FFFD17E0BFF6171885883A10C00044E0BFFC1710C0021534 +:2011B000E0BFFC1710C00217E0BFF6171880042EE0BFFC1700C0004410C004050000020677 +:2011B800E0BFFC1710000405E0BFFC17D0E00C04E0FFFA15E0BFFB15E0BFFB17E0FFFA179C +:2011C00010C00115E0BFFA1710C00017E0BFFB1710C00015E0BFFA1710800017E0FFFB17B4 +:2011C80010C00115E0BFFA17E0FFFB1710C00015E0BFF817E0BFF715E0BFF7171001703ADA +:2011D0000005883A0000030600BFFA840000010600BFDE84E037883ADF000017DEC0010458 +:2011D800F800283ADEFFFE04DFC00115DF000015D839883AD0A0091710000326D0A00917E9 +:2011E000103EE83A00000106D0A01104E037883ADFC00117DF000017DEC00204F800283A6F +:2011E800DEFFFA04DFC00515DF000415DF000404E13FFE15E17FFF15E0BFFE1710000326E0 +:2011F000E0BFFE17108002171000061E000476401007883A008005841880001500BFFA84C8 +:2011F80000001306E0BFFE17E0FFFF17E0FFFC15E0BFFD15E0BFFD17E0FFFC1710C00115E9 +:20120000E0BFFC1710C00017E0BFFD1710C00015E0BFFC1710800017E0FFFD1710C001156B +:20120800E0BFFC17E0FFFD1710C000150005883AE037883ADFC00117DF000017DEC002044B +:20121000F800283ADEFFFD04DFC00215DF000115DF000104008000341095F604E0BFFF15F1 +:2012180000000606E0BFFF1710800017103EE83AE0BFFF1710BFFF04E0BFFF15E0FFFF17AF +:20122000008000341095F70418BFF62E0001883AE037883ADFC00117DF000017DEC0020472 +:20122800F800283ADEFFFD04DFC00215DF000115DF000104008000341095F604E0BFFF15D9 +:2012300000000606E0BFFF1710800017103EE83AE0BFFF1710BFFF04E0BFFF15E0FFFF1797 +:20123800008000341095F70418BFF62E0001883AE037883ADFC00117DF000017DEC002045A +:20124000F800283ADEFFF904DFC00615DF000515DF000504E13FFC15E17FFD15E1BFFE1569 +:20124800E1FFFF15E0800217D8800015E1FFFF17E1BFFE17E17FFD17E13FFC170004AB406B +:20125000E037883ADFC00117DF000017DEC00204F800283ADEFFF904DF000615DF0006043D +:20125800E13FFE15E17FFF15E0BFFF17E0BFFA150005303AE0BFFB15E0FFFB1700BFFF841B +:201260001884703A1001703AE0BFFB17E0BFFC1500C00044E0BFFA171884983A1007883A11 +:20126800D0A015171884B03AD0A01515D0A01517100170FAE0BFFC17E0BFFD15E0BFFD177D +:201270001001703A0005883A0001883AE037883ADF000017DEC00104F800283ADEFFF90473 +:20127800DF000615DF000604E13FFE15E17FFF15E0BFFF17E0BFFA150005303AE0BFFB154B +:20128000E0FFFB1700BFFF841884703A1001703AE0BFFB17E0BFFC1500C00044E0BFFA1705 +:201288001884983A0084303A1007883AD0A015171884703AD0A01515D0A01517100170FA7E +:20129000E0BFFC17E0BFFD15E0BFFD171001703A0005883A0001883AE037883ADF00001714 +:20129800DEC00104F800283ADEFFFC04DF000315DF000304E13FFE15E17FFF15000530FAA9 +:2012A000E0BFFD1500C00044E0BFFF171884983A1007883AE0BFFD171884703A1004C03A76 +:2012A80010803FCCE037883ADF000017DEC00104F800283ADEFFF504DFC00A15DF0009152E +:2012B000DF000904E13FFC15E17FFD15E1BFFE15E1FFFF1500BFFA84E0BFF715E0BFFD174D +:2012B800E0BFF815E0BFF817108008081000271E0005303AE0BFFB15E0FFFB1700BFFF8476 +:2012C0001884703A1001703AE0BFFB17E0BFFA1500800034109CC604E0FFF817180690FAEE +:2012C80010C5883AE0FFFE1710C0001500800034109CC604E0FFF817180690FA10C5883A3F +:2012D00010800104E0FFFF1710C00015E0BFFE1710000526E0BFF817100B883AE13FFC17E2 +:2012D8000004954000000406E0BFF817100B883AE13FFC1700049DC0E0BFF715E0BFFA1799 +:2012E000E0BFF915E0BFF9171001703AE0BFF717E037883ADFC00117DF000017DEC0020400 +:2012E800F800283ADEFFF804DFC00715DF000615DC000515DF000604E13FFB15E17FFC157E +:2012F000E1BFFD15E1FFFE15E1BFFE17E17FFD17E13FFC170004E2C0E0BFFA15E0BFFA17D9 +:2012F800100022160400003484182B04E0BFFA17014003041009883A000311808085883A5D +:2013000010C00017E0BFFB1710C000150400003484182B04E0BFFA17014003041009883A7A +:20130800000311808085883A1080010410C00017E0BFFB1710C001150400003484182B0454 +:20131000E0BFFA17014003041009883A000311808085883A1080020410C00017E0BFFB1761 +:2013180010C00215E13FFA1700037A000001883AE6FFFF04DFC00217DF000117DC000017D3 +:20132000DEC00304F800283ADEFFFB04DFC00415DF000315DF000304E13FFD15E17FFE1598 +:20132800E1BFFF1501C07FC401800044E17FFD170100003421182E040004BA4001C07FC412 +:20133000000D883AE17FFE170100003421182B040004BA4001C07FC401800044E17FFF177F +:2013380001000034211831040004BA400001883AE037883ADFC00117DF000017DEC0020407 +:20134000F800283ADEFFFE04DFC00115DF000015D839883AD0A0091710000326D0A009177F +:20134800103EE83A00000106D0A01104E037883ADFC00117DF000017DEC00204F800283A05 +:20135000DEFFFB04DFC00415DF000315DC000215DF000304E13FFE15E0BFFE171080021789 +:2013580010D00034E0BFFE1710C00215E03FFD15000023060400003484182B04E0BFFD17B6 +:20136000014003041009883A000311808085883A10C00017E0BFFE17108000171880151EE2 +:201368000400003484182B04E0BFFD17014003041009883A000311808085883A108002049B +:201370001080001710000B0E01400304E13FFD17000311801007883A0080003410982B0419 +:201378001887883AE0BFFE171880022600BFFCC400000806E0BFFD1710800044E0BFFD15BB +:20138000D0A008171007883AE0BFFD1718BFD92E0005883AE6FFFF04DFC00217DF000117F6 +:20138800DC000017DEC00304F800283ADEFFF604DFC00915DF000815DF000804E13FFD15A6 +:20139000E17FFE15E1BFFF1500BFFFC4E0BFF91500BFFB44E0BFFA15E03FFB15D1600604D1 +:20139800E13FFD1700051500E0BFF815E0BFF8171000051EE13FFD1700051E00E0BFF81557 +:2013A00000800044E0BFFB15E0BFF81710002B26E13FF81700052E80E0BFF915E0BFF9176D +:2013A8001000030EE0BFF917E0BFFA150000250601400304E13FF917000311801007883A97 +:2013B0000080003410982B041885883AE0BFFC15E0FFFE170090003410BFFFC41886703AF1 +:2013B800E0BFFC1710C00215E0BFFB171000051EE13FFC170004D400E0BFFA15E0BFFA172F +:2013C00010001016E0BFF8171080031710000826E0BFF81710800317E1FFFF17E1BFFE173E +:2013C800E17FFD17E13FFC17103EE83A000001060005883AE0BFFA150000020600BFFB446C +:2013D000E0BFFA15E0BFFA171000090EE13FF91700037A000004D0401007883AE0BFFA172E +:2013D8000085C83A1880001500BFFFC400000106E0BFF917E037883ADFC00117DF00001703 +:2013E000DEC00204F800283ADEFFFA04DF000515DF000504E13FFF150005303AE0BFFC15E0 +:2013E800E0FFFC1700BFFF841884703A1001703AE0BFFC17E0BFFB15E0BFFF17E0BFFD15E9 +:2013F000E0BFFD1710800017E0FFFD1718C0011710C00115E0BFFD1710800117E0FFFD176C +:2013F80018C0001710C00015E0BFFD17E0FFFD1710C00115E0BFFD17E0FFFD1710C00015EA +:20140000E0BFFB17E0BFFE15E0BFFE171001703A0001883AE037883ADF000017DEC00104C5 +:20140800F800283ADEFFFB04DFC00415DF000315DF000304D0A00C17E0BFFD15D0A0171717 +:2014100010800044D0A0171500002E06E0BFFD1710800017E0BFFE15E0BFFD1710800403C2 +:2014180010803FCC10000426D0A017171000021EE0BFFD1710000405E0BFFD1710800217E9 +:20142000D0E0171718801D36E0BFFD171080040310803FCC1000191EE0BFFD17108003175A +:20142800E0FFFD1718C005171809883A103EE83AE0BFFF15E0BFFF171000031EE13FFD179D +:201430000004F88000000D06E0BFFD1710C00217E0BFFF171887883AE0BFFD1710C00215C1 +:20143800E0BFFD1710C00217D0A017171880032EE0BFFD1700C0004410C00405E0BFFE174D +:20144000E0BFFD15E0FFFD17D0A00C0418BFCF1E0001883A0001883AE037883ADFC001178E +:20144800DF000017DEC00204F800283ADEFFFF04DF000015D839883A000170FA0001883ABB +:20145000E037883ADF000017DEC00104F800283ADEFFFA04DFC00515DF000415DF0004043D +:20145800E13FFE15E17FFF15E0BFFF1710800017E0BFFC15E13FFE170000408010800044F8 +:20146000E0BFFD1500000D06E0BFFC1710800217E0FFFD17180D883AE17FFE171009883A23 +:20146800000549401000021EE0BFFC1700000706E0BFFC1710800017E0BFFC15E0FFFC17EC +:20147000E0BFFF1718BFF01E0005883AE037883ADFC00117DF000017DEC00204F800283A77 +:20147800DEFFFB04DFC00415DF000315DF000304E13FFF15D0A00417E0BFFD15000031063C +:20148000E0BFFD17108002171009883A00004080E0BFFE15E0BFFD1710C00217E0BFFE1753 +:2014880010BFFFC41885883A1080000310803FCC1080201C10BFE00410800BD81000031E02 +:20149000E0BFFE1710BFFFC4E0BFFE15E0BFFE17E0FFFF171885883A1080000310803FCC0E +:201498001080201C10BFE00410800BE01000081EE0BFFE17E0FFFF171885883A1080000369 +:2014A00010803FCC1080201C10BFE00410000A1EE0BFFD1710800217E0FFFE17180D883AA3 +:2014A800E17FFF171009883A000549401000021EE0BFFD1700000706E0BFFD1710800017FB +:2014B000E0BFFD15E0FFFD17D0A0040418BFCC1E0005883AE037883ADFC00117DF000017F2 +:2014B800DEC00204F800283ADEFFFA04DFC00515DF000415DC000315DF000404E13FFE157C +:2014C00000BFFA04E0BFFD15E03FFC1500001D060400003484182B04E0BFFC17014003044E +:2014C8001009883A000311808085883A108000171000111E0400003484182B04E0BFFC1733 +:2014D000014003041009883A000311808085883AE0FFFE1710C00015D0E00817E0BFFC1724 +:2014D8001880020EE0BFFC17D0A00815E0BFFC17E0BFFD1500000606E0BFFC171080004418 +:2014E000E0BFFC15E0BFFC1710800810103FE01EE0BFFD17E6FFFF04DFC00217DF0001174B +:2014E800DC000017DEC00304F800283ADEFFFE04DF000115DF000104E13FFF15E0BFFF1751 +:2014F00010BFFE8410C0042818001A1E100690BA008000341094F9041885883A1080001784 +:2014F8001000683A0000542400005424000054340000543400005434000054240000542CA2 +:2015000000005434000054240000542400005434000054240000542C0000543400005434C3 +:201508000000542400800044000003060005883A000001060005883AE037883ADF0000171A +:20151000DEC00104F800283A200B883A000F883A000D883A0009883A00055101DEFFFE0430 +:20151800000B883ADC000015DFC001152021883A0005628000800034109CA3041100001727 +:2015200020800F1710000126103EE83A8009883A00057A8001C000C43980192E2144B03A20 +:2015280011C4703A10000F262080000328C0000310C0151E31BFFF8401FFFFC4000004060E +:201530002080000328C0000331BFFFC410C00E1E210000442940004431FFF91E0005883A3E +:20153800F800283A20C000172880001718BFEE1E31BFFF04210001042940010439BFF936F2 +:20154000303FE91E003FF50610C5C83AF800283ADEFFFA04DC00031504000034841CA3045C +:2015480080C00017DC400415DFC00515188052172023883A1000372610C00117010007C417 +:2015500020C00E161A0000448800221E18C0008418C7883A18C7883A1200011510C7883AF2 +:20155800194000150005883ADFC00517DC400417DC000317DEC00604F800283A00800034A0 +:20156000108000041000262601006404D9400015D9800115D9C0021500000000D940001795 +:20156800D9800117D9C0021710001D26810000171000011502000044224052170007883A55 +:2015700012400015208052151000621510006315883FDE2618C9883A2109883A1109883AA8 +:2015780021802215018000441240621730CC983A4992B03A1240621521C0421501000084D2 +:20158000893FD21E11006317218CB03A11806315003FCE061880530418805215003FC6065C +:2015880000BFFFC4003FD006DEFFF504DF000915DD400615DC800315DFC00A15DDC00815B5 +:20159000DD800715DD000515DCC00415DC400215DC000115D90000152839883A0480004418 +:20159800057FFFC400800034109CA304120000174500521744C05204A0001C26A08001179A +:2015A00015FFFFC4B8000D16140000448421883A8421883A84402004A463883AA421883A1B +:2015A800E0001E2680804017E0801C26BDFFFFC4843FFF048C7FFF04BD7FF91E00800034AC +:2015B0001080000410000926A08001171000301EA080001710003226A009883A988000157B +:2015B800000000009D000017A03FE41EDFC00A17DF000917DDC00817DD800717DD4006174E +:2015C000DD000517DCC00417DC800317DC400217DC000117DEC00B04F800283AA08001177D +:2015C80080C0001710BFFFC415C0142680000015183FDE2695C8983AA0806217A580011716 +:2015D0002084703A10000B26A08063172088703A20000C1E89400017D9000017183EE83AEE +:2015D800A080011715BFBF1E98800017153FCF26003FBC06183EE83A003FF906A5C0011560 +:2015E000003FEB0689000017183EE83A003FF406A0800017A027883A1029883A003FB606B4 +:2015E8000005883A003FFB06DEFFFD04DF000215DF000204E13FFF150001883AE0BFFF1777 +:2015F000E0BFFE15E0BFFE1710000226002AF07000000106002AF0B0003FFF06001000008E +:2015F800001000000005000000010000001000000020000000100000000100000015000067 +:20160000002500000020000000010000002500000025000000250000000100006461655298 +:20160800000000790000000A000000437665642F6C756E2F0000006C7665642F61746A2FC8 +:2016100061755F67000074727665642F61746A2F61755F67000074720000000000005B443B +:2016180000005BAC00005C140000000000000000000000000000000000000000000000003B +:2016200000000000000000000000000000005828000000000000000000000000000000002A :201628000000000000000000000000000000000000000000000000000000000000000000A2 -:2016300000000000000000000000000100000000ABCD330EE66D12340005DEEC0000000B6D -:20163800000000000000000000000000000000000000000000000000000000000000000092 -:2016400000000000000000000000000000000000000000000000000000000000000000008A +:2016300000000000000000000000000000000000000000000000000000000000000000009A +:20163800000000000000000000000000000000000000000000000000000000000000000191 +:2016400000000000ABCD330EE66D12340005DEEC0000000B0000000000000000000000005E :20164800000000000000000000000000000000000000000000000000000000000000000082 :2016500000000000000000000000000000000000000000000000000000000000000000007A :20165800000000000000000000000000000000000000000000000000000000000000000072 @@ -737,43 +737,43 @@ :2016F8000000000000000000000000000000000000000000000000000000000000000000D2 :201700000000000000000000000000000000000000000000000000000000000000000000C9 :201708000000000000000000000000000000000000000000000000000000000000000000C1 -:201710000000000000000000000000000000000000005C4800005C4800005C5000005C5019 -:2017180000005C5800005C5800005C6000005C6000005C6800005C6800005C7000005C70B1 -:2017200000005C7800005C7800005C8000005C8000005C8800005C8800005C9000005C90A9 -:2017280000005C9800005C9800005CA000005CA000005CA800005CA800005CB000005CB0A1 -:2017300000005CB800005CB800005CC000005CC000005CC800005CC800005CD000005CD099 -:2017380000005CD800005CD800005CE000005CE000005CE800005CE800005CF000005CF091 -:2017400000005CF800005CF800005D0000005D0000005D0800005D0800005D1000005D1083 -:2017480000005D1800005D1800005D2000005D2000005D2800005D2800005D3000005D3079 -:2017500000005D3800005D3800005D4000005D4000005D4800005D4800005D5000005D5071 -:2017580000005D5800005D5800005D6000005D6000005D6800005D6800005D7000005D7069 -:2017600000005D7800005D7800005D8000005D8000005D8800005D8800005D9000005D9061 -:2017680000005D9800005D9800005DA000005DA000005DA800005DA800005DB000005DB059 -:2017700000005DB800005DB800005DC000005DC000005DC800005DC800005DD000005DD051 -:2017780000005DD800005DD800005DE000005DE000005DE800005DE800005DF000005DF049 -:2017800000005DF800005DF800005E0000005E0000005E0800005E0800005E1000005E103B -:2017880000005E1800005E1800005E2000005E2000005E2800005E2800005E3000005E3031 -:2017900000005E3800005E3800005E4000005E4000005E4800005E4800005E5000005E5029 -:2017980000005E5800005E5800005E6000005E6000005E6800005E6800005E7000005E7021 -:2017A00000005E7800005E7800005E8000005E8000005E8800005E8800005E9000005E9019 -:2017A80000005E9800005E9800005EA000005EA000005EA800005EA800005EB000005EB011 -:2017B00000005EB800005EB800005EC000005EC000005EC800005EC800005ED000005ED009 -:2017B80000005ED800005ED800005EE000005EE000005EE800005EE800005EF000005EF001 -:2017C00000005EF800005EF800005F0000005F0000005F0800005F0800005F1000005F10F3 -:2017C80000005F1800005F1800005F2000005F2000005F2800005F2800005F3000005F30E9 -:2017D00000005F3800005F3800005F4000005F4000005F4800005F4800005F5000005F50E1 -:2017D80000005F5800005F5800005F6000005F6000005F6800005F6800005F7000005F70D9 -:2017E00000005F7800005F7800005F8000005F8000005F8800005F8800005F9000005F90D1 -:2017E80000005F9800005F9800005FA000005FA000005FA800005FA800005FB000005FB0C9 -:2017F00000005FB800005FB800005FC000005FC000005FC800005FC800005FD000005FD0C1 -:2017F80000005FD800005FD800005FE000005FE000005FE800005FE800005FF000005FF0B9 -:2018000000005FF800005FF8000060000000600000006008000060080000601000006010AA -:201808000000601800006018000060200000602000006028000060280000603000006030A0 -:20181000000060380000603800006040000060400000727000007270000057F80000000035 -:20181800000000000000000000003278000000000000000000000000000060500000000056 -:20182000000000000000605000000000000000000000605000000000000000000000000048 -:201828000000000000000000000000000000000000000000000000000000000000000000A0 -:20183000000000000000000000000000000000000000000000000000000000000000000098 +:201710000000000000000000000000000000000000000000000000000000000000000000B9 +:201718000000000000000000000000000000000000000000000000000000000000000000B1 +:201720000000000000005C7C00005C7C00005C8400005C8400005C8C00005C8C00005C9479 +:2017280000005C9400005C9C00005C9C00005CA400005CA400005CAC00005CAC00005CB4A1 +:2017300000005CB400005CBC00005CBC00005CC400005CC400005CCC00005CCC00005CD499 +:2017380000005CD400005CDC00005CDC00005CE400005CE400005CEC00005CEC00005CF491 +:2017400000005CF400005CFC00005CFC00005D0400005D0400005D0C00005D0C00005D1484 +:2017480000005D1400005D1C00005D1C00005D2400005D2400005D2C00005D2C00005D3479 +:2017500000005D3400005D3C00005D3C00005D4400005D4400005D4C00005D4C00005D5471 +:2017580000005D5400005D5C00005D5C00005D6400005D6400005D6C00005D6C00005D7469 +:2017600000005D7400005D7C00005D7C00005D8400005D8400005D8C00005D8C00005D9461 +:2017680000005D9400005D9C00005D9C00005DA400005DA400005DAC00005DAC00005DB459 +:2017700000005DB400005DBC00005DBC00005DC400005DC400005DCC00005DCC00005DD451 +:2017780000005DD400005DDC00005DDC00005DE400005DE400005DEC00005DEC00005DF449 +:2017800000005DF400005DFC00005DFC00005E0400005E0400005E0C00005E0C00005E143C +:2017880000005E1400005E1C00005E1C00005E2400005E2400005E2C00005E2C00005E3431 +:2017900000005E3400005E3C00005E3C00005E4400005E4400005E4C00005E4C00005E5429 +:2017980000005E5400005E5C00005E5C00005E6400005E6400005E6C00005E6C00005E7421 +:2017A00000005E7400005E7C00005E7C00005E8400005E8400005E8C00005E8C00005E9419 +:2017A80000005E9400005E9C00005E9C00005EA400005EA400005EAC00005EAC00005EB411 +:2017B00000005EB400005EBC00005EBC00005EC400005EC400005ECC00005ECC00005ED409 +:2017B80000005ED400005EDC00005EDC00005EE400005EE400005EEC00005EEC00005EF401 +:2017C00000005EF400005EFC00005EFC00005F0400005F0400005F0C00005F0C00005F14F4 +:2017C80000005F1400005F1C00005F1C00005F2400005F2400005F2C00005F2C00005F34E9 +:2017D00000005F3400005F3C00005F3C00005F4400005F4400005F4C00005F4C00005F54E1 +:2017D80000005F5400005F5C00005F5C00005F6400005F6400005F6C00005F6C00005F74D9 +:2017E00000005F7400005F7C00005F7C00005F8400005F8400005F8C00005F8C00005F94D1 +:2017E80000005F9400005F9C00005F9C00005FA400005FA400005FAC00005FAC00005FB4C9 +:2017F00000005FB400005FBC00005FBC00005FC400005FC400005FCC00005FCC00005FD4C1 +:2017F80000005FD400005FDC00005FDC00005FE400005FE400005FEC00005FEC00005FF4B9 +:2018000000005FF400005FFC00005FFC00006004000060040000600C0000600C00006014AB +:20180800000060140000601C0000601C00006024000060240000602C0000602C00006034A0 +:20181000000060340000603C0000603C00006044000060440000604C0000604C0000605498 +:20181800000060540000605C0000605C00006064000060640000606C0000606C0000607490 +:2018200000006074000072A4000072A40000582C0000000000000000000000000000327C76 +:201828000000000000000000000000000000608400000000000000000000608400000000D8 +:201830000000000000006084000000000000000000000000000000000000000000000000B4 :20183800000000000000000000000000000000000000000000000000000000000000000090 :20184000000000000000000000000000000000000000000000000000000000000000000088 :20184800000000000000000000000000000000000000000000000000000000000000000080 @@ -783,9 +783,9 @@ :20186800000000000000000000000000000000000000000000000000000000000000000060 :20187000000000000000000000000000000000000000000000000000000000000000000058 :20187800000000000000000000000000000000000000000000000000000000000000000050 -:20188000000058140000000000003B9800003AD800003B38000000000000000000003BE861 -:20188800000210380000000A000000000000000000000000000000000000000000000000EC -:20189000000000000000000000000000000000000000000000000000000000000000000038 +:20188000000000000000000000000000000000000000000000000000000000000000000048 +:201888000000000000000000000000000000000000000000000058480000000000003B9CC9 +:2018900000003ADC00003B3C000000000000000000003BEC000210380000000A0000000030 :20189800000000000000000000000000000000000000000000000000000000000000000030 :2018A000000000000000000000000000000000000000000000000000000000000000000028 :2018A800000000000000000000000000000000000000000000000000000000000000000020 @@ -913,11 +913,11 @@ :201C780000000000000000000000000000000000000000000000000000000000000000004C :201C8000000000000000000000000000000000000000000000000000000000000000000044 :201C880000000000000000000000000000000000000000000000000000000000000000003C -:201C900000000000000000000000000000000000000000000000000000005824000058243C -:201C9800FFFFFFFF0002000000007268000072680000605000006050FFFFFFFF000000001E -:201CA000000073E4FFFFFFFF000072880000728800000000000000000000000000000000DD -:201CA80000000000000000000000000000000000000000000000000000000000000000001C -:201CB000000000000000000000000000000000000000000000000000000000000000000014 +:201C9000000000000000000000000000000000000000000000000000000000000000000034 +:201C980000000000000000000000000000000000000000000000000000000000000000002C +:201CA0000000000000000000000000000000585800005858FFFFFFFF000200000000729CB8 +:201CA8000000729C0000608400006084FFFFFFFF0000000000007418FFFFFFFF000072BC94 +:201CB000000072BC00000000000000000000000000000000000000000000000000000000E6 :201CB80000000000000000000000000000000000000000000000000000000000000000000C :201CC000000000000000000000000000000000000000000000000000000000000000000004 :201CC8000000000000000000000000000000000000000000000000000000000000000000FC diff --git a/Top/software/semafor/sem.c b/Top/software/semafor/sem.c index 4724b3f..e372cdf 100644 --- a/Top/software/semafor/sem.c +++ b/Top/software/semafor/sem.c @@ -5,11 +5,11 @@ #define TIME_SETS 4 #define TIME_STATES 4 -const alt_u8 divisors[TIME_SETS][TIME_STATES] = { - {100,100, 50,10}, - {100,200,100,10}, - {150,250,200,10}, - {250,250,250,10} +const alt_u32 divisors[TIME_SETS][TIME_STATES] = { + {0x100000, 0x100000, 0x50000, 0x10000}, + {0x100000, 0x200000, 0x100000, 0x10000}, + {0x150000, 0x250000, 0x200000, 0x10000}, + {0x250000, 0x250000, 0x250000, 0x10000} }; int main() diff --git a/Top/software/semafor_bsp/settings.bsp b/Top/software/semafor_bsp/settings.bsp index 2872bbc..5f0b7eb 100644 --- a/Top/software/semafor_bsp/settings.bsp +++ b/Top/software/semafor_bsp/settings.bsp @@ -2,8 +2,8 @@ hal default - 31.10.2022 15:40:20 - 1667216420635 + 17.12.2022 15:27:50 + 1671276470966 C:\Software\FPGA\iu3-31m\Lab2\Top\software\semafor_bsp settings.bsp ..\..\niosII.sopcinfo diff --git a/Top/software/semafor_bsp/summary.html b/Top/software/semafor_bsp/summary.html index 88afca7..1e8fb1e 100644 --- a/Top/software/semafor_bsp/summary.html +++ b/Top/software/semafor_bsp/summary.html @@ -22,10 +22,10 @@ BSP Version:default -BSP Generated On:31.10.2022 15:40:20 +BSP Generated On:17.12.2022 15:27:50 -BSP Generated Timestamp:1667216420635 +BSP Generated Timestamp:1671276470966 BSP Generated Location:C:\Software\FPGA\iu3-31m\Lab2\Top\software\semafor_bsp