From 3b13bb116622093d8241b6f7abb9ac45a281f07f Mon Sep 17 00:00:00 2001 From: "Ivan I. Ovchinnikov" Date: Fri, 27 Jan 2023 11:15:11 +0300 Subject: [PATCH] wip lab4, phase inc+sine --- .gitignore | 6 + HDL/IP/sine256.mif | 242 ++++++++++++++++++ HDL/IP/sinelut.qip | 5 + HDL/IP/sinelut.v | 159 ++++++++++++ HDL/IP/sinelut_bb.v | 110 ++++++++ HDL/IP/sinelut_inst.v | 5 + HDL/phacc.sv | 23 ++ HDL/sigdel.sv | 21 ++ Testbench/dec/#dec_tb.sv# | 128 --------- Testbench/sigdel/db/prev_cmp_sigdel.qmsg | 9 - Testbench/sigdel/db/sigdel.(0).cnf.cdb | Bin 1871 -> 0 bytes Testbench/sigdel/db/sigdel.(0).cnf.hdb | Bin 897 -> 0 bytes Testbench/sigdel/db/sigdel.cbx.xml | 5 - Testbench/sigdel/db/sigdel.cmp.rdb | Bin 5888 -> 0 bytes Testbench/sigdel/db/sigdel.cmp_merge.kpt | Bin 207 -> 0 bytes Testbench/sigdel/db/sigdel.db_info | 3 - Testbench/sigdel/db/sigdel.hier_info | 47 ---- Testbench/sigdel/db/sigdel.hif | Bin 505 -> 0 bytes Testbench/sigdel/db/sigdel.lpc.html | 18 -- Testbench/sigdel/db/sigdel.lpc.rdb | Bin 407 -> 0 bytes Testbench/sigdel/db/sigdel.lpc.txt | 5 - Testbench/sigdel/db/sigdel.map.ammdb | Bin 129 -> 0 bytes Testbench/sigdel/db/sigdel.map.bpm | Bin 696 -> 0 bytes Testbench/sigdel/db/sigdel.map.cdb | Bin 3926 -> 0 bytes Testbench/sigdel/db/sigdel.map.hdb | Bin 12093 -> 0 bytes Testbench/sigdel/db/sigdel.map.kpt | Bin 593 -> 0 bytes Testbench/sigdel/db/sigdel.map.logdb | 1 - Testbench/sigdel/db/sigdel.map.qmsg | 12 - Testbench/sigdel/db/sigdel.map.rdb | Bin 1371 -> 0 bytes Testbench/sigdel/db/sigdel.map_bb.cdb | Bin 2106 -> 0 bytes Testbench/sigdel/db/sigdel.map_bb.hdb | Bin 10652 -> 0 bytes Testbench/sigdel/db/sigdel.map_bb.logdb | 1 - Testbench/sigdel/db/sigdel.pre_map.hdb | Bin 11370 -> 0 bytes .../db/sigdel.root_partition.map.reg_db.cdb | Bin 219 -> 0 bytes Testbench/sigdel/db/sigdel.rtlv.hdb | Bin 11279 -> 0 bytes Testbench/sigdel/db/sigdel.rtlv_sg.cdb | Bin 1789 -> 0 bytes Testbench/sigdel/db/sigdel.rtlv_sg_swap.cdb | Bin 204 -> 0 bytes .../sigdel/db/sigdel.sld_design_entry.sci | Bin 225 -> 0 bytes .../sigdel/db/sigdel.sld_design_entry_dsc.sci | Bin 225 -> 0 bytes Testbench/sigdel/db/sigdel.smart_action.txt | 1 - Testbench/sigdel/db/sigdel.tis_db_list.ddb | Bin 238 -> 0 bytes .../sigdel/db/sigdel_partition_pins.json | 81 ------ Testbench/sigdel/inc_lut_tb.sv | 47 ++++ Testbench/sigdel/incremental_db/README | 11 - .../compiled_partitions/sigdel.db_info | 3 - .../sigdel.root_partition.map.cdb | Bin 3564 -> 0 bytes .../sigdel.root_partition.map.dpi | Bin 764 -> 0 bytes .../sigdel.root_partition.map.hbdb.cdb | Bin 1597 -> 0 bytes .../sigdel.root_partition.map.hbdb.hb_info | Bin 46 -> 0 bytes .../sigdel.root_partition.map.hbdb.hdb | Bin 10929 -> 0 bytes .../sigdel.root_partition.map.hbdb.sig | 1 - .../sigdel.root_partition.map.hdb | Bin 10699 -> 0 bytes .../sigdel.root_partition.map.kpt | Bin 597 -> 0 bytes Testbench/sigdel/sigdel.qpf | 30 +++ Testbench/sigdel/sigdel.qsf | 68 +++++ Testbench/sigdel/sine256.mif | 242 ++++++++++++++++++ Top/semafor.qws | Bin 0 -> 619 bytes Top/software/ci_project/.project | 40 +++ .../semafor/.settings/language.settings.xml | 12 - .../.settings/language.settings.xml | 14 - 60 files changed, 998 insertions(+), 352 deletions(-) create mode 100644 HDL/IP/sine256.mif create mode 100644 HDL/IP/sinelut.qip create mode 100644 HDL/IP/sinelut.v create mode 100644 HDL/IP/sinelut_bb.v create mode 100644 HDL/IP/sinelut_inst.v create mode 100644 HDL/phacc.sv create mode 100644 HDL/sigdel.sv delete mode 100644 Testbench/dec/#dec_tb.sv# delete mode 100644 Testbench/sigdel/db/prev_cmp_sigdel.qmsg delete mode 100644 Testbench/sigdel/db/sigdel.(0).cnf.cdb delete mode 100644 Testbench/sigdel/db/sigdel.(0).cnf.hdb delete mode 100644 Testbench/sigdel/db/sigdel.cbx.xml delete mode 100644 Testbench/sigdel/db/sigdel.cmp.rdb delete mode 100644 Testbench/sigdel/db/sigdel.cmp_merge.kpt delete mode 100644 Testbench/sigdel/db/sigdel.db_info delete mode 100644 Testbench/sigdel/db/sigdel.hier_info delete mode 100644 Testbench/sigdel/db/sigdel.hif delete mode 100644 Testbench/sigdel/db/sigdel.lpc.html delete mode 100644 Testbench/sigdel/db/sigdel.lpc.rdb delete mode 100644 Testbench/sigdel/db/sigdel.lpc.txt delete mode 100644 Testbench/sigdel/db/sigdel.map.ammdb delete mode 100644 Testbench/sigdel/db/sigdel.map.bpm delete mode 100644 Testbench/sigdel/db/sigdel.map.cdb delete mode 100644 Testbench/sigdel/db/sigdel.map.hdb delete mode 100644 Testbench/sigdel/db/sigdel.map.kpt delete mode 100644 Testbench/sigdel/db/sigdel.map.logdb delete mode 100644 Testbench/sigdel/db/sigdel.map.qmsg delete mode 100644 Testbench/sigdel/db/sigdel.map.rdb delete mode 100644 Testbench/sigdel/db/sigdel.map_bb.cdb delete mode 100644 Testbench/sigdel/db/sigdel.map_bb.hdb delete mode 100644 Testbench/sigdel/db/sigdel.map_bb.logdb delete mode 100644 Testbench/sigdel/db/sigdel.pre_map.hdb delete mode 100644 Testbench/sigdel/db/sigdel.root_partition.map.reg_db.cdb delete mode 100644 Testbench/sigdel/db/sigdel.rtlv.hdb delete mode 100644 Testbench/sigdel/db/sigdel.rtlv_sg.cdb delete mode 100644 Testbench/sigdel/db/sigdel.rtlv_sg_swap.cdb delete mode 100644 Testbench/sigdel/db/sigdel.sld_design_entry.sci delete mode 100644 Testbench/sigdel/db/sigdel.sld_design_entry_dsc.sci delete mode 100644 Testbench/sigdel/db/sigdel.smart_action.txt delete mode 100644 Testbench/sigdel/db/sigdel.tis_db_list.ddb delete mode 100644 Testbench/sigdel/db/sigdel_partition_pins.json create mode 100644 Testbench/sigdel/inc_lut_tb.sv delete mode 100644 Testbench/sigdel/incremental_db/README delete mode 100644 Testbench/sigdel/incremental_db/compiled_partitions/sigdel.db_info delete mode 100644 Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.cdb delete mode 100644 Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.dpi delete mode 100644 Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.hbdb.cdb delete mode 100644 Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.hbdb.hb_info delete mode 100644 Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.hbdb.hdb delete mode 100644 Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.hbdb.sig delete mode 100644 Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.hdb delete mode 100644 Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.kpt create mode 100644 Testbench/sigdel/sigdel.qpf create mode 100644 Testbench/sigdel/sigdel.qsf create mode 100644 Testbench/sigdel/sine256.mif create mode 100644 Top/semafor.qws create mode 100644 Top/software/ci_project/.project delete mode 100644 Top/software/semafor/.settings/language.settings.xml delete mode 100644 Top/software/semafor_bsp/.settings/language.settings.xml diff --git a/.gitignore b/.gitignore index 8bc9eed..5c7b684 100644 --- a/.gitignore +++ b/.gitignore @@ -2,6 +2,8 @@ *.rpt *.bak .#* +#*.*# +.*~ /db /incremental_db @@ -19,11 +21,15 @@ drivers/ HAL/ Part_test/ .metadata/ +.settings/ RemoteSystemsTempFiles/ aldec/ cadence/ synopsys/ +db/ +incremental_db/ +*.xml /testbenches/*.bak /common_uart/*.bak diff --git a/HDL/IP/sine256.mif b/HDL/IP/sine256.mif new file mode 100644 index 0000000..a5d700e --- /dev/null +++ b/HDL/IP/sine256.mif @@ -0,0 +1,242 @@ +WIDTH = 8; +DEPTH = 256; + +ADDRESS_RADIX = DEC; +DATA_RADIX = HEX; + +CONTENT BEGIN + 0 : 02; + 1 : 05; + 2 : 08; + 3 : 0B; + 4 : 0E; + 5 : 11; + 6 : 14; + 7 : 17; + 8 : 1A; + 9 : 1D; + 10 : 20; + 11 : 23; + 12 : 26; + 13 : 29; + 14 : 2C; + 15 : 2F; + 16 : 32; + 17 : 35; + 18 : 38; + 19 : 3A; + 20 : 3D; + 21 : 40; + 22 : 43; + 23 : 45; + 24 : 48; + 25 : 4A; + 26 : 4D; + 27 : 4F; + 28 : 52; + 29 : 54; + 30 : 56; + 31 : 59; + 32 : 5B; + 33 : 5D; + 34 : 5F; + 35 : 61; + 36 : 63; + 37 : 65; + 38 : 67; + 39 : 69; + 40 : 6A; + 41 : 6C; + 42 : 6E; + 43 : 6F; + 44 : 71; + 45 : 72; + 46 : 73; + 47 : 75; + 48 : 76; + 49 : 77; + 50 : 78; + 51 : 79; + 52 : 7A; + 53 : 7B; + 54 : 7C; + 55 : 7C; + 56 : 7D; + 57 : 7D; + [58..69] : 7E; + 70 : 7D; + 71 : 7D; + 72 : 7C; + 73 : 7C; + 74 : 7B; + 75 : 7A; + 76 : 79; + 77 : 78; + 78 : 77; + 79 : 76; + 80 : 75; + 81 : 73; + 82 : 72; + 83 : 71; + 84 : 6F; + 85 : 6E; + 86 : 6C; + 87 : 6A; + 88 : 69; + 89 : 67; + 90 : 65; + 91 : 63; + 92 : 61; + 93 : 5F; + 94 : 5D; + 95 : 5B; + 96 : 59; + 97 : 56; + 98 : 54; + 99 : 52; + 100 : 4F; + 101 : 4D; + 102 : 4A; + 103 : 48; + 104 : 45; + 105 : 43; + 106 : 40; + 107 : 3D; + 108 : 3A; + 109 : 38; + 110 : 35; + 111 : 32; + 112 : 2F; + 113 : 2C; + 114 : 29; + 115 : 26; + 116 : 23; + 117 : 20; + 118 : 1D; + 119 : 1A; + 120 : 17; + 121 : 14; + 122 : 11; + 123 : 0E; + 124 : 0B; + 125 : 08; + 126 : 05; + 127 : 02; + 128 : FE; + 129 : FB; + 130 : F8; + 131 : F5; + 132 : F2; + 133 : EF; + 134 : EC; + 135 : E9; + 136 : E6; + 137 : E3; + 138 : E0; + 139 : DD; + 140 : DA; + 141 : D7; + 142 : D4; + 143 : D1; + 144 : CE; + 145 : CB; + 146 : C8; + 147 : C6; + 148 : C3; + 149 : C0; + 150 : BD; + 151 : BB; + 152 : B8; + 153 : B6; + 154 : B3; + 155 : B1; + 156 : AE; + 157 : AC; + 158 : AA; + 159 : A7; + 160 : A5; + 161 : A3; + 162 : A1; + 163 : 9F; + 164 : 9D; + 165 : 9B; + 166 : 99; + 167 : 97; + 168 : 96; + 169 : 94; + 170 : 92; + 171 : 91; + 172 : 8F; + 173 : 8E; + 174 : 8D; + 175 : 8B; + 176 : 8A; + 177 : 89; + 178 : 88; + 179 : 87; + 180 : 86; + 181 : 85; + 182 : 84; + 183 : 84; + 184 : 83; + 185 : 83; + [186..197] : 82; + 198 : 83; + 199 : 83; + 200 : 84; + 201 : 84; + 202 : 85; + 203 : 86; + 204 : 87; + 205 : 88; + 206 : 89; + 207 : 8A; + 208 : 8B; + 209 : 8D; + 210 : 8E; + 211 : 8F; + 212 : 91; + 213 : 92; + 214 : 94; + 215 : 96; + 216 : 97; + 217 : 99; + 218 : 9B; + 219 : 9D; + 220 : 9F; + 221 : A1; + 222 : A3; + 223 : A5; + 224 : A7; + 225 : AA; + 226 : AC; + 227 : AE; + 228 : B1; + 229 : B3; + 230 : B6; + 231 : B8; + 232 : BB; + 233 : BD; + 234 : C0; + 235 : C3; + 236 : C6; + 237 : C8; + 238 : CB; + 239 : CE; + 240 : D1; + 241 : D4; + 242 : D7; + 243 : DA; + 244 : DD; + 245 : E0; + 246 : E3; + 247 : E6; + 248 : E9; + 249 : EC; + 250 : EF; + 251 : F2; + 252 : F5; + 253 : F8; + 254 : FB; + 255 : FE; +END; diff --git a/HDL/IP/sinelut.qip b/HDL/IP/sinelut.qip new file mode 100644 index 0000000..02a5cec --- /dev/null +++ b/HDL/IP/sinelut.qip @@ -0,0 +1,5 @@ +set_global_assignment -name IP_TOOL_NAME "ROM: 1-PORT" +set_global_assignment -name IP_TOOL_VERSION "18.1" +set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{Cyclone IV E}" +set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "sinelut.v"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "sinelut_bb.v"] diff --git a/HDL/IP/sinelut.v b/HDL/IP/sinelut.v new file mode 100644 index 0000000..0f449f8 --- /dev/null +++ b/HDL/IP/sinelut.v @@ -0,0 +1,159 @@ +// megafunction wizard: %ROM: 1-PORT% +// GENERATION: STANDARD +// VERSION: WM1.0 +// MODULE: altsyncram + +// ============================================================ +// File Name: sinelut.v +// Megafunction Name(s): +// altsyncram +// +// Simulation Library Files(s): +// altera_mf +// ============================================================ +// ************************************************************ +// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +// +// 18.1.0 Build 625 09/12/2018 SJ Lite Edition +// ************************************************************ + + +//Copyright (C) 2018 Intel Corporation. All rights reserved. +//Your use of Intel Corporation's design tools, logic functions +//and other software and tools, and its AMPP partner logic +//functions, and any output files from any of the foregoing +//(including device programming or simulation files), and any +//associated documentation or information are expressly subject +//to the terms and conditions of the Intel Program License +//Subscription Agreement, the Intel Quartus Prime License Agreement, +//the Intel FPGA IP License Agreement, or other applicable license +//agreement, including, without limitation, that your use is for +//the sole purpose of programming logic devices manufactured by +//Intel and sold by Intel or its authorized distributors. Please +//refer to the applicable agreement for further details. + + +// synopsys translate_off +`timescale 1 ps / 1 ps +// synopsys translate_on +module sinelut ( + address, + clock, + q); + + input [7:0] address; + input clock; + output [7:0] q; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_off +`endif + tri1 clock; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_on +`endif + + wire [7:0] sub_wire0; + wire [7:0] q = sub_wire0[7:0]; + + altsyncram altsyncram_component ( + .address_a (address), + .clock0 (clock), + .q_a (sub_wire0), + .aclr0 (1'b0), + .aclr1 (1'b0), + .address_b (1'b1), + .addressstall_a (1'b0), + .addressstall_b (1'b0), + .byteena_a (1'b1), + .byteena_b (1'b1), + .clock1 (1'b1), + .clocken0 (1'b1), + .clocken1 (1'b1), + .clocken2 (1'b1), + .clocken3 (1'b1), + .data_a ({8{1'b1}}), + .data_b (1'b1), + .eccstatus (), + .q_b (), + .rden_a (1'b1), + .rden_b (1'b1), + .wren_a (1'b0), + .wren_b (1'b0)); + defparam + altsyncram_component.address_aclr_a = "NONE", + altsyncram_component.clock_enable_input_a = "BYPASS", + altsyncram_component.clock_enable_output_a = "BYPASS", + altsyncram_component.init_file = "sine256.mif", + altsyncram_component.intended_device_family = "Cyclone IV E", + altsyncram_component.lpm_hint = "ENABLE_RUNTIME_MOD=NO", + altsyncram_component.lpm_type = "altsyncram", + altsyncram_component.numwords_a = 256, + altsyncram_component.operation_mode = "ROM", + altsyncram_component.outdata_aclr_a = "NONE", + altsyncram_component.outdata_reg_a = "CLOCK0", + altsyncram_component.widthad_a = 8, + altsyncram_component.width_a = 8, + altsyncram_component.width_byteena_a = 1; + + +endmodule + +// ============================================================ +// CNX file retrieval info +// ============================================================ +// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" +// Retrieval info: PRIVATE: AclrAddr NUMERIC "0" +// Retrieval info: PRIVATE: AclrByte NUMERIC "0" +// Retrieval info: PRIVATE: AclrOutput NUMERIC "0" +// Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0" +// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" +// Retrieval info: PRIVATE: BlankMemory NUMERIC "0" +// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" +// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" +// Retrieval info: PRIVATE: Clken NUMERIC "0" +// Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" +// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" +// Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" +// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" +// Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" +// Retrieval info: PRIVATE: JTAG_ID STRING "NONE" +// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" +// Retrieval info: PRIVATE: MIFfilename STRING "sine256.mif" +// Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "256" +// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" +// Retrieval info: PRIVATE: RegAddr NUMERIC "1" +// Retrieval info: PRIVATE: RegOutput NUMERIC "1" +// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" +// Retrieval info: PRIVATE: SingleClock NUMERIC "1" +// Retrieval info: PRIVATE: UseDQRAM NUMERIC "0" +// Retrieval info: PRIVATE: WidthAddr NUMERIC "8" +// Retrieval info: PRIVATE: WidthData NUMERIC "8" +// Retrieval info: PRIVATE: rden NUMERIC "0" +// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all +// Retrieval info: CONSTANT: ADDRESS_ACLR_A STRING "NONE" +// Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" +// Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" +// Retrieval info: CONSTANT: INIT_FILE STRING "sine256.mif" +// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" +// Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO" +// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" +// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "256" +// Retrieval info: CONSTANT: OPERATION_MODE STRING "ROM" +// Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE" +// Retrieval info: CONSTANT: OUTDATA_REG_A STRING "CLOCK0" +// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "8" +// Retrieval info: CONSTANT: WIDTH_A NUMERIC "8" +// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" +// Retrieval info: USED_PORT: address 0 0 8 0 INPUT NODEFVAL "address[7..0]" +// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock" +// Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL "q[7..0]" +// Retrieval info: CONNECT: @address_a 0 0 8 0 address 0 0 8 0 +// Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 +// Retrieval info: CONNECT: q 0 0 8 0 @q_a 0 0 8 0 +// Retrieval info: GEN_FILE: TYPE_NORMAL sinelut.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL sinelut.inc FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL sinelut.cmp FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL sinelut.bsf FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL sinelut_inst.v FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL sinelut_bb.v TRUE +// Retrieval info: LIB_FILE: altera_mf diff --git a/HDL/IP/sinelut_bb.v b/HDL/IP/sinelut_bb.v new file mode 100644 index 0000000..64e01dc --- /dev/null +++ b/HDL/IP/sinelut_bb.v @@ -0,0 +1,110 @@ +// megafunction wizard: %ROM: 1-PORT%VBB% +// GENERATION: STANDARD +// VERSION: WM1.0 +// MODULE: altsyncram + +// ============================================================ +// File Name: sinelut.v +// Megafunction Name(s): +// altsyncram +// +// Simulation Library Files(s): +// altera_mf +// ============================================================ +// ************************************************************ +// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +// +// 18.1.0 Build 625 09/12/2018 SJ Lite Edition +// ************************************************************ + +//Copyright (C) 2018 Intel Corporation. All rights reserved. +//Your use of Intel Corporation's design tools, logic functions +//and other software and tools, and its AMPP partner logic +//functions, and any output files from any of the foregoing +//(including device programming or simulation files), and any +//associated documentation or information are expressly subject +//to the terms and conditions of the Intel Program License +//Subscription Agreement, the Intel Quartus Prime License Agreement, +//the Intel FPGA IP License Agreement, or other applicable license +//agreement, including, without limitation, that your use is for +//the sole purpose of programming logic devices manufactured by +//Intel and sold by Intel or its authorized distributors. Please +//refer to the applicable agreement for further details. + +module sinelut ( + address, + clock, + q); + + input [7:0] address; + input clock; + output [7:0] q; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_off +`endif + tri1 clock; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_on +`endif + +endmodule + +// ============================================================ +// CNX file retrieval info +// ============================================================ +// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" +// Retrieval info: PRIVATE: AclrAddr NUMERIC "0" +// Retrieval info: PRIVATE: AclrByte NUMERIC "0" +// Retrieval info: PRIVATE: AclrOutput NUMERIC "0" +// Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0" +// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" +// Retrieval info: PRIVATE: BlankMemory NUMERIC "0" +// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" +// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" +// Retrieval info: PRIVATE: Clken NUMERIC "0" +// Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" +// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" +// Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" +// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" +// Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" +// Retrieval info: PRIVATE: JTAG_ID STRING "NONE" +// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" +// Retrieval info: PRIVATE: MIFfilename STRING "sine256.mif" +// Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "256" +// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" +// Retrieval info: PRIVATE: RegAddr NUMERIC "1" +// Retrieval info: PRIVATE: RegOutput NUMERIC "1" +// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" +// Retrieval info: PRIVATE: SingleClock NUMERIC "1" +// Retrieval info: PRIVATE: UseDQRAM NUMERIC "0" +// Retrieval info: PRIVATE: WidthAddr NUMERIC "8" +// Retrieval info: PRIVATE: WidthData NUMERIC "8" +// Retrieval info: PRIVATE: rden NUMERIC "0" +// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all +// Retrieval info: CONSTANT: ADDRESS_ACLR_A STRING "NONE" +// Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" +// Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" +// Retrieval info: CONSTANT: INIT_FILE STRING "sine256.mif" +// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" +// Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO" +// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" +// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "256" +// Retrieval info: CONSTANT: OPERATION_MODE STRING "ROM" +// Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE" +// Retrieval info: CONSTANT: OUTDATA_REG_A STRING "CLOCK0" +// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "8" +// Retrieval info: CONSTANT: WIDTH_A NUMERIC "8" +// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" +// Retrieval info: USED_PORT: address 0 0 8 0 INPUT NODEFVAL "address[7..0]" +// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock" +// Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL "q[7..0]" +// Retrieval info: CONNECT: @address_a 0 0 8 0 address 0 0 8 0 +// Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 +// Retrieval info: CONNECT: q 0 0 8 0 @q_a 0 0 8 0 +// Retrieval info: GEN_FILE: TYPE_NORMAL sinelut.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL sinelut.inc FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL sinelut.cmp FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL sinelut.bsf FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL sinelut_inst.v FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL sinelut_bb.v TRUE +// Retrieval info: LIB_FILE: altera_mf diff --git a/HDL/IP/sinelut_inst.v b/HDL/IP/sinelut_inst.v new file mode 100644 index 0000000..0cc87a5 --- /dev/null +++ b/HDL/IP/sinelut_inst.v @@ -0,0 +1,5 @@ +sinelut sinelut_inst ( + .address ( address_sig ), + .clock ( clock_sig ), + .q ( q_sig ) + ); diff --git a/HDL/phacc.sv b/HDL/phacc.sv new file mode 100644 index 0000000..fa1bc47 --- /dev/null +++ b/HDL/phacc.sv @@ -0,0 +1,23 @@ +module phacc + #( + parameter unsigned WIDTH = 14 + ) ( + input logic [7:0] phinc, + input clk, + input reset, + output [7:0] phase + ); + + logic [WIDTH - 1 : 0] sum; + + always_ff @(posedge clk, negedge reset) begin + if (~reset) begin + sum <= 0; + end else begin + sum <= sum + phinc; + end + end + + assign phase = sum[WIDTH - 1 : WIDTH - 8]; + +endmodule diff --git a/HDL/sigdel.sv b/HDL/sigdel.sv new file mode 100644 index 0000000..19ae48f --- /dev/null +++ b/HDL/sigdel.sv @@ -0,0 +1,21 @@ +//top-level module +module sigdel +#( + PHACC_WIDTH = 14 +) ( + //clock and reset + input logic clk, clr_n, + //control slave + input logic [31:0] wr_data, + input logic wr_n, + output logic fout +); + + phacc phacc_inst (.phinc(phinc_val), .clk(clk), .reset(clr_n), .phase(phase)); + defparam phacc_inst.WIDTH = PHACC_WIDTH; + + sinelut sinelut_inst ( + .address (phase), .clock (clk), .q(sine) + ); + +endmodule diff --git a/Testbench/dec/#dec_tb.sv# b/Testbench/dec/#dec_tb.sv# deleted file mode 100644 index 00becc7..0000000 --- a/Testbench/dec/#dec_tb.sv# +++ /dev/null @@ -1,128 +0,0 @@ -`timescale 1 ns/1 ns - -module dec_tb(); - - // Wires and variables to connect to UUT (unit under test) - logic clk, clrn, train; - logic r, y, g; - logic [1:0] div; - logic ctl_wr, ctl_rd; - logic ctl_addr; - logic [31:0] ctl_wrdata; - logic [31:0] ctl_rddata; - logic ram_wr; - logic [3:0] ram_addr; - logic [31:0] ram_wrdata; - - logic [31:0] divisor[3:0] = { - {8'd10, 8'd70, 8'd50, 8'd20}, - {8'd10, 8'd30, 8'd40, 8'd30}, - {8'd10, 8'd30, 8'd10, 8'd100}, - {8'd10, 8'd60, 8'd80, 8'd50} - }; - - // Instantiate UUT - dec my_sem( - .clk(clk), .clrn(clrn), - .ctl_wr(ctl_wr), .ctl_rd(ctl_rd), - .ctl_addr(ctl_addr), .ctl_wrdata(ctl_wrdata), .ctl_rddata(ctl_rddata), - .ram_wr(ram_wr), - .ram_addr(ram_addr), .ram_wrdata(ram_wrdata), - .train(train), .red(r), .yellow(y), .green(g) - ); - - // Clock definition - initial begin - clk = 0; - forever #10 clk = ~clk; - end - - // Divisor and train definition - initial begin - //initial reset - clrn = 0; - div = 0; - train = 0; - //take reset off - @(negedge clk) clrn = 1; - //configure semaphore - for (int i=0; i<4; i++) write_ram_transaction(i,divisor[i]); //write divisor RAM - write_reg_transaction(1,div); //write initial divisor - write_reg_transaction(0,1); //enable semaphore - //run trains - repeat (4) - begin - repeat (10) @(posedge clk); - train=1; - repeat (4) @(posedge clk); - train=0; - wait ({r,y,g}==3'b001); - repeat (10) @(posedge clk); - write_reg_transaction(1,div); - div=div+1; - end - //wait a little - repeat (10) @(posedge clk); - $stop; - end - - //Single register write transaction task - task write_reg_transaction; - //input signals - input [1:0] offs; - input [31:0] val; - //transaction implementation - begin - @(posedge clk); - //assert signals for one clock cycle - ctl_wr = 1; - ctl_addr = offs; - ctl_wrdata = val; - @(posedge clk); - //deassert signals - ctl_wr = 0; - ctl_addr = 'bx; - ctl_wrdata = 'bx; - end - endtask - - //Single register read transaction task - task read_reg_transaction; - //input signals - input [1:0] offs; - output [31:0] val; - //transaction implementation - begin - @(posedge clk); - //assert signals for one clock cycle - ctl_rd = 1; - ctl_addr = offs; - @(posedge clk); - val = ctl_rddata; - //deassert signals - ctl_rd = 0; - ctl_addr = 'bx; - end - endtask - - //RAM write transaction task - task write_ram_transaction; - //input signals - input [1:0] offs; - input [31:0] val; - //transaction implementation - begin - @(posedge clk); - //assert signals for one clock cycle - ram_wr = 1; - ram_addr = offs; - ram_wrdata = val; - @(posedge clk); - //deassert signals - ram_wr = 0; - ram_addr = 'bx; - ram_wrdata = 'bx; - end - endtask - -endmodule diff --git a/Testbench/sigdel/db/prev_cmp_sigdel.qmsg b/Testbench/sigdel/db/prev_cmp_sigdel.qmsg deleted file mode 100644 index 1a6f05d..0000000 --- a/Testbench/sigdel/db/prev_cmp_sigdel.qmsg +++ /dev/null @@ -1,9 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1673520674097 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition " "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1673520674097 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jan 12 13:51:13 2023 " "Processing started: Thu Jan 12 13:51:13 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1673520674097 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1673520674097 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off sigdel -c sigdel " "Command: quartus_map --read_settings_files=on --write_settings_files=off sigdel -c sigdel" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1673520674098 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1673520674253 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1673520674253 ""} -{ "Error" "EVRFX_VERI_SYNTAX_ERROR" "\"\[\"; expecting an operand sigdel.sv(14) " "Verilog HDL syntax error at sigdel.sv(14) near text: \"\[\"; expecting an operand. Check for and fix any syntax errors that appear immediately before or at the specified keyword. The Intel FPGA Knowledge Database contains many articles with specific details on how to resolve this error. Visit the Knowledge Database at https://www.altera.com/support/support-resources/knowledge-base/search.html and search for this specific error message number." { } { { "../../HDL/sigdel.sv" "" { Text "/home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/HDL/sigdel.sv" 14 0 0 } } } 0 10170 "Verilog HDL syntax error at %2!s! near text: %1!s!. Check for and fix any syntax errors that appear immediately before or at the specified keyword. The Intel FPGA Knowledge Database contains many articles with specific details on how to resolve this error. Visit the Knowledge Database at https://www.altera.com/support/support-resources/knowledge-base/search.html and search for this specific error message number." 0 0 "Analysis & Synthesis" 0 -1 1673520680482 ""} -{ "Error" "EVRFX_VERI_DESIGN_UNIT_IGNORED" "sigdel sigdel.sv(1) " "Ignored design unit \"sigdel\" at sigdel.sv(1) due to previous errors" { } { { "../../HDL/sigdel.sv" "" { Text "/home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/HDL/sigdel.sv" 1 0 0 } } } 0 10112 "Ignored design unit \"%1!s!\" at %2!s! due to previous errors" 0 0 "Analysis & Synthesis" 0 -1 1673520680482 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/HDL/sigdel.sv 0 0 " "Found 0 design units, including 0 entities, in source file /home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/HDL/sigdel.sv" { } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1673520680483 ""} -{ "Error" "EQEXE_ERROR_COUNT" "Analysis & Synthesis 2 s 1 Quartus Prime " "Quartus Prime Analysis & Synthesis was unsuccessful. 2 errors, 1 warning" { { "Error" "EQEXE_END_PEAK_VSIZE_MEMORY" "923 " "Peak virtual memory: 923 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1673520680505 ""} { "Error" "EQEXE_END_BANNER_TIME" "Thu Jan 12 13:51:20 2023 " "Processing ended: Thu Jan 12 13:51:20 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1673520680505 ""} { "Error" "EQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1673520680505 ""} { "Error" "EQEXE_ELAPSED_CPU_TIME" "00:00:17 " "Total CPU time (on all processors): 00:00:17" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1673520680505 ""} } { } 0 0 "%6!s! %1!s! was unsuccessful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1673520680505 ""} diff --git a/Testbench/sigdel/db/sigdel.(0).cnf.cdb b/Testbench/sigdel/db/sigdel.(0).cnf.cdb deleted file mode 100644 index 0f55ee16bdd2e3754b51b4d9b6b7e935f5cdd4ae..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1871 zcmV-V2e9}Q000233jqKC0001M0BZm=00011WpZ4R5HZnCJ zFgY(VGA}YPF*qPoN+3*WbY&n#WNCD1Z*D^@00000005o^00000006N90000000000 z002)100000004La>{>}{R6!8#xF+r!ZYYYVh#LfYu6aw|d-F!g45?84-mB`W>RP&|yLE|kZWZAM z!c9#=Qd5In^wvRtoo*4+I;h>sH0O3O#ks}RXBR#1-n=zazS4cQN`h!Tq*KtN3Shk+ z(kbZ8tDrBhg8sY;2J$Kx%&TCiF$GH~Vdd%DwX|i);4+rISDJ~ChF+{2$)_x);*@|<^AE2ye_l1UU32G{hTj&GXkX!!1WmWUgEkSKz zel+Sebu|}MRnCHTW_Kgys4U&FSHtC`=;Fa#*>1=s77^& z{H!0RhF7}$U!EMu#WD)Xj%7KQ);glrj&S1>!{>*KbDgpL@I~oqbB+q~Yzm`!Tx2HY zqBB`e`UQ20vyv1z`_>efI2&zmKKp>xL7`QBc;5zjIH*aWXRGGnLmT8_PaEXnV;kgQ zdmH58Qyb&~|9eBBRo5llBel8@xCc;Z)jWhZhx32GgqW`+yn7S>=-+*Hb~h*hcr#1* ztXf^NTNIC^hqPY0$4F!Uc#%-0rSDwQy+#^m(`?dxxupAZNe|?b9?T^@)PS_)LRv(6 z8Kp(f0`y61NjOhd27Qkbs*La!WYWY9dzY+n!y$h`COHOrgXJ$a^~jzSJ(ct~z4$%C z3Yb>X-$!Sa^jE%FCH<{xR!M(znMTsapr7JmX8LjZM3A8dsNV=3P`|ek56GbM#Aje4 zv;d&xF_H0|gy;`|rL=u93UyjX9rc7xERI2Zn!;AnSilGT1pom5|9AlsWME`SU|?WS zNz%(^1ky}EECj^C?tbxpt|302!6EUkej!1TObi@A;pM9eZGaS588?u2c6W^Tck*&| z4q;}90P?kTcOL;61HvL8c^9YnV0Xt5*LV+4*C59rXOBo0h6

Z^wj{K#D;Li1~pS zq0rCY#g&zz11Q$%xXBCT7LZ}QC~7?Y*%%yvB5Qnh*Q^E7>ws7Qh!LiEhPVd#vNL1= z1@}()@k9?u>tiVL2PxuUU;#=Toomwwq`-Eu0_niuNMBBd1whu(Xmb{rmxO_QgdP3? zKre;(2XTRY#g{~D8Us$yYfR%%6 z1Obo(L6KORSDcximztuGnO7nR_GT%wsSHeoJdlBKhO@tKfMbZKlaFhBkgGe;iLODx J00000|Nq5ka=`!q diff --git a/Testbench/sigdel/db/sigdel.(0).cnf.hdb b/Testbench/sigdel/db/sigdel.(0).cnf.hdb deleted file mode 100644 index c9098efd8def0703f9982baca6302cfe04d135bc..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 897 zcmV-{1AhDz000233jqKC0001M0BZm=00011WpZ4R5HZnCJ zFgY(VGA}YPF*qPoN+3*WbY&n#WNCD1Z*JKK000000006400000003J80000000000 z004ml00000004LaDW z5o`XLO?JjAc<^M0X7`uuOp;Azwq4`L8Ql*5Sa!+ZaUg`|n&fm#1vNe=!RV4)d=X#3~Y;S~pbH3oBOHNwZEd7#E4 zBF^Js=vp2G+z+@2I1e}rI1Si3WI%7U&o_DrAA|DNcwmVKmUv)^2bOqXi3gT=VCztT zaaEBQp+YHF%9K(i>yRYZ!UH)(_T)`mS%+raOePj*2w~FPDP}FEEw(9*G*qcHR2nG# zKJ~U?|D$<7Hh>QTmHdQ6hBiqIZ|n71SUrg$yfEV`U@GY%U*o@MrdBfBa`=Cr@IeMF@H7c5h=4XFy9J<@%;B9ICm1 z16O*6EJE7s_1{(?u1;YMrWAFVVZN3@oRr{d5bWN?AtpeGqMIwlNz>?{8IcK+hMSk? z`^Q6w70_lxHknjV5&VqOlKbw^I!O)y7_@(vM~xQYze*Kr8ILnbrQ-#rDWo>suZdaP z$<6jfafIUlL)=0*RmwI2dHW%l1@*(za=9P&+MTnsb?IDx1V|)7IndBWRF9dX=g1CZ zyz|cjPKy?qF@bgF?ZegAzaAh>QlP^4-Vr`bNV%^w)}`X?U*ZPPWo=ARDQRFPY}Pt% zWqaSFiW9ajT<%P - - - - diff --git a/Testbench/sigdel/db/sigdel.cmp.rdb b/Testbench/sigdel/db/sigdel.cmp.rdb deleted file mode 100644 index d161ef53ba522371d9076b3f9511057760ff6b20..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5888 zcmV+b7ysxK000233jqKC0001M0BZm=00011WpZ4R5HZnCJ zFgY(VGA}YPF*qPoN+3*WbY&n#WNCD1Z*FZ<00000006KR00000001un0000000000 z0000N00000004La>|A?u8#!{flDkWyyf$%?ySy$q-#)x`CM8OiEIYSXp-4*R9w~B3 z%3lA)8j&D5F*(DXhiqM?Qu%uMGWiP0?+0KWAUS$?Z>zF*LW$x4(`W#VMt7sp;13== zc<^WV{0JYWt*r-tjjx)&Sh{m3a(z$q04Jf5;9kuL5^Ei^SvF=VEWSawJ64i{xC? z`~dw^T`i01d@dANC;}PE;8Kpu+i(0h5OFAlKUuHySt!Obbf=z(e19H35p#d)j>IJP zMjU-8wjFOQ{AeZv5&DzpofAmGA_LAxHws0y-R+8{6GR>WHID5R$rDw>@vej)N6R=8 z6L&5{F$w$y-k@|M(14iuft>oTHx=8DU2imx$9x{kOOQt_1AiJgiv^2(^u=AoW`Y#5 z&$BkRoiOxAt`o_z82h7mA-zaXCF;2zT3P5Uha%rEL8)+lCBpdP-{mM0+mWw&6Ukr^ zs*aC*Z|rJnn4W6VcXgLU3;pvz-|c>U5sm_PsraTk4Wwk-PZT;`<*OJZibK&2+=Wal zW}w@og9bh6o>oP(y8(#f*E8ZQmveXIT+F4wH#@QIWX+~Dd?Mbt(G0W))WX%oV6U7= zTqVrmVm|ypwIBMxw~WD8nrZT+*Hf$KBoqtBizm(~iUZL4;%Zy>oIC*>EHPst;lU(M zj9vupZ#Xe-7zOS{9Qi?57NR?s4muym3E0O>KyGm9px8$+XQ23TEF;IAhh?n3+@d;} z`|m_QUM!s8YU7GR;nqX`W2Ig5`TfZ#>4Q--{V&p=t0zdLzre#lh7niTsS{6SsNQV& zx3%?OC_TF6X9I%!qTc}um^DZK(Q8gd^lwxUs>+@DqoCnGP8x3c(^ZfpzqR!h9>3A{!EoU$MX3}>XFLoI zD-V^O^93x@Qt4gbMsn@VWCF8jI)+hL8kwg|HPSq-dd~bRgr@&g^shYVP&_Ncw*TfV z=|}#1YwJ&urBO=*_VLPxW%+U%xQ5cHOlZTla<0=PJE)}QB!LvP$~-?3u)3h$J+O}J z2}gX@?w=~VvCLU9?XYWF_QXqN#{oJ)gjXBW)(14n3FJ_rC28JoqT`Jm#TD@(((ijy z2jjESyyZxf5PdypxUY%11Bm(l$kCP?YmR z!_ZFyXNj8AFmc*U-Af7Q(bZDY$E5cB^kIZcIdUiPBmp6MDxA6R$+8&WRnnmD1YJU* zJ67JQ!uBISf>Hm$ZNnb{VZa{K3js$@N%e}P!Km5YnJWV)7|pKA`PorCq?~&RSKah| zC*XWjd^XJRIUYAMLkEtfMZyH&4D5GqFyAN`X}1{6pWu;#aUtQ{O7}=~(=_xfHN7x$ zyz88iE25T++x5e!l-iTxOh%kp(WjpY-h`2IEQx`?6lfdF0JkD)ZA{-IN&F8OKz?r{ zx*+Mz15`7?e+eg9a%z<*X5Ri8^4aP{y{R{LLbqQuH%H%hGj? z;$fiN+^TfLzb(~GdWa~Y=`Baq!fxG4Yj=r+8^44S{I;mE{&o%gzQ88mJw5K}k*%ov z1(eZ3NtfT{kMaM(f8(PK)~1IOtugT6;AcitFq*+frA=64pPe`L zp(9MSNWPC?3i41^`GcN_r8+zkaFi)q?ooe+6QB+K1+L6Y(Z{-vgs)HQ@a|EnVtIg- zK_=Qvlzt`JIHF7qr$_AYqt0aVXoJ;wBdd`H`NVT)5dQvL`2JitIZ(bo7yfqV!rHpU zqWVXyty7861neU3Dh%m{vdz*$^Zi?c<>djNe~iyS?R_ui{^+e($b}zVi3@CFZP1TW zz*+f~BV8A;+ga6iCxU^lyhbg&62cL}+M zi4<`CB9z>ZXz-=$WzDfA6`G^pUAhRPz+_r5|AW(PwV%;2-Bv5B&+55%^|g2Rq*f%t zzweyXx*;k$eRcz*BsMce|7JfPjc{x0AE&@^x_YTDF^PS4xjtZgbe~IWCxVRcRyhr2YCbx%} zBcc&!@RjJ|Kf;%`VRW8NubMg6fV29EvvB7oCWUNXyBg6eCSGZb1gWcFC!OcM{h)UG z^-1kn?b*rRevJVaNbDd^QyHew_Ho*0NJm@k#Kj38VRRT8jvL{Di*v3+#8ruEs~ytE zghVUwY^SQ8m7_?n9E4#hd(mK~DN}i>fp{Rex;)i;)47M~`}HN7$W4Io;7+3{5NtVE z9-L{|RoRvU%GzBQZ#giL;!pfjgT!?VgCAz{n10+(1-yrbnd!vYt?X0|u@3h3&C1$8U@a{r+c@7m`GiC%Y{PKR1L9oJO;z%mIxX4l{1e`pNKAr;>Z=VY2%&D79%OwN3b53XmgAV z5*K8x)9yA~joz@|JUy!p&U=kvv##Dgpl;iHwpV$&S2@^w`s`pIa^djV{?XC?{_}&~ z!`;1S8jj3dDqa6fUD2plhn@35_k1v{R|nPMNvGGY4s<>C8G^_~u$*`~HVd){F_*a< zJHsrZQ6u3Tv5|hWecq}Lnw_)ZpwnsTm-IfW#ou=qrRp4eJ4ZY=O`5e${`e(AW8|-N zmFwDPJA-DsG3?i>t%ipGA-*bNnUevV$WqjMlBiw%dRXgV4!%4;tLXtW+TE--M~2~w zdX_I&_?l;h_;L#)U#;kwOxdmW22ItiTBp_N>3)5NuTn`o#?BN61xxNSaj3zaE>_YM zesg4$7&lZdYJidz>Omv@7++ayC(XeCEZXfg`ig z4~By`T{GF=Nuy-aZs#?|dfch@>chdy2G&Sx*zVMm!IH_zqX=v6oEdVwYTQ8EJk2R} zbsLJF129OZ)jT^L_B*X}PA=Xf(XN1?Yq!PAMs*bzFa5ArP(J^wvGyS>hrjoKg;1kE0Do!%Rbg#i#c;91A++#WXt2$pw@INVI=5ncYo zL8GH4tzKgNr^@dBfoLD=f(*WLs7t61R9{vB z5`;xFv5smZ{b)zDozV<2MdBsSti05=W9JYPmXVtJ1qGHdNlBLySRHtx0O@+NaC-bp zHtM-={mYW;mIF5&%W@E_+7H;Py1Z~<2kWU9d2Q zV!vc)u?qV$z%l?y2~r(&g02N6nD3GoM7mBi)2*<1_L|`rCSE|l;I>$y7$BfT7tw#T zg0*^q>m@PvG#N&U@RoalAP%#^rrIl)ckuVJ-r6ze-{GakN!4^E4cD*%_7g6D9FiU-7jw^A~a_C(ehi`)|Ewzutg2->I9sM&VA&x!Bv(Y{oKFSxIb<#!v01 z=2~Z;;H!b?30zfDp)ng|8TawRlX5J_pX*9wC!>6+*s)`~fJ1=0B)H0|u!j($Lpy=y zEcPbuNLN#e#wZqAHJoqQz|Sx{>atdH7feIv#7PeL@GigycL9ESBQTe_>GLnY*xwAE zA0zG3y42xKV{=*U8kYy>s=3q2`5F~6vk0iaZ}d*kMo3ow#PLeJisiv~gJL8y{b>I7 zKNY!EiSos)RM0Q-<4}$uh#%iv= zsZ~b_x#l?_9wR4m_8z5&IibW85(_F%#pB9Tv$WOS=bAMj#4B`h z?vWL-SOf*!SS}MKLn`i-_l*MP$diSz0kBd&GJwj?PXg6)JVMS{-+pnirTcu+-t2)4 zGS2h0PCE8|gV&4oZsQ9kbu%wC{A-N0%r-WwY_^)`JZrCXuF?kA^oAm~46P|b+_U6m zjg=^C(gi2u_>W{|swil9Dn50Y1Zl%RC>OZJ8mLo#?Gsqlx&Q#oA;u-~#M-fHS{dc80UWQ5i-~*( z%2P)v&M@n`h~o6Z*teD&m+9F$r`Mz#_LlLK*F)~sljsjyzgQB{UJ=u2dA!|Dm1c>BO=z12VtqdL0 zjrU*=uxT=Q3&9PvSKOY|=eX}u`4Jj#PCZ7oByGDMLUds-;{KIh1Ezhe(^lpeL_!y| z^2e(y=E}rW7##Tg6V!9xPyETG+`(?LJF;^-1^7JN^SllxJGFOUB(Ifuj5l15UHmja zy4tjnf)Jjjhg;{|p!BNk8;H#{qQDibj#TM6?}WmIcSuERgxtZPBy}q#jV@AE3hU6m zN$nzW?936IeQQ=c>kM#@o4ceqoRyB9k+Cj{I>^Sl*z^Xa^W~cKDXPTHQxE1GL`F}J zsk|}YnzA+67dP?_4Np_Q9;+WSK!;Zh$D+1rRU785ReQZRIOLsRCU0!>$N73V@u)X$ zTa!x9w0PR;99LVnt*H1$ud`m~wYXd5+&EjcM(fs%azagS`<;`4-Pa7R(bweS$NRO)R{>|!wW@&C1t6|MQ15-hny-}WV?%^TA!j8qW!UpUWnIk z`pwsGPT_GO&2Se6%o`?mYXOI35y#4;&G$NO)LYJ-k^03DU9YJ5tXB07wYbpKP&0XQ z>lQ^)w?A_yQMx?WyKL)2JI5KT(yJy`u(V~MEEZ=J_+glC<#0O(HIQsHc8-RJ8dXij zM;WW{)&nD}_HjoFsu!|OvZA*K}`sXQL5eJew;q^JPi(>Lz=Gi==wo5g~)GCxm8QN_>jkOX~1 zc_|yUCrAF2ra%&3U{$m-D_FqGAA+T9^<{-eJ_O-YAS7j#Hfk|^4%3s`;bv2jQfx)J z7A?L#AT=@-CYu-HH;ClW$IW~7qc_RIS0!UNV2HwH!p9cPtjte{%Frp@ZTF1$l38c42cU-L{Bq;Uh|YMreDut8Bz4U+rL+DxWlhegejR}B3U|JDf{ zVjVcd*4npdWMwFUlhu-4h%-U%>l%Z;f_;3Da*rP)tLz;}e>hqbJaxU>T$zD~06ya{ zN6|1|+3Q~Sj!KDobfJF;L8z#Blp%39)MAi4c?xMYPl4GtziW`mT&u4=N&Z{?%>#>6 zR^~ZSngbEY8*O*N>`lVG@MHoWpa)X|m{N983*#cYog~h%_us)4W458+4(|&KZ_~S! zDk$SF-6j=q{(=8UR)*SCE06X$!dC-ap;d833bJtZsPk7E4m7aGLLJt8jCd?D?_=W97ZcY zZvtPl`UMhLkXUIN3l~}&{{MKfIsXL3bvINls)WgaM_Vb?53o|G=~G2hRX?GpHjxrn zZI!asya4^HJ5)q0ZnmiB`TKPvCGqdFnN*~vV-qrr+TEMD)7WgKLX^r$3=p5c8ue! znPF}9gAP-sHn^W zq(NqiLREuYiL8>f+++ z0#(Qbws$+npLv{6g)qM$+sVx!0hCSO|LrP}VvqrP2o^99Rjxj+zOH^DJPZmT>5%-R z(m*93=fS)TQ5GB$}kN{M{wM45O7CSU4x-6uG0001ZoTZV$3c@fDMDP8IB_Gf>1x3O3Ab1fEir}?WvubFQ#!V^| z{CJ}QMN|;H&g{Gy*hy_%DYl?1g*mhGAh3yd%9IM}fDCT-8Oh34=Zwdk!Ktgrb@r*Q zf&fdB3Skpu;G>wwDNH-r2pDDqNXH4!k}TyTSkGZ09l}gV=WkgN0ZeM_OVdj2Y-!Pf z>x~~oxJN?0^Gl8r$vY-zmk>x^6W<|@Ds4m4zm$DT3dN6@N20oQ2iAM=!&MP^-u*8{ JbOk79k8F&-UL61c diff --git a/Testbench/sigdel/db/sigdel.db_info b/Testbench/sigdel/db/sigdel.db_info deleted file mode 100644 index 132a34a..0000000 --- a/Testbench/sigdel/db/sigdel.db_info +++ /dev/null @@ -1,3 +0,0 @@ -Quartus_Version = Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition -Version_Index = 486699264 -Creation_Time = Mon Jan 16 21:47:58 2023 diff --git a/Testbench/sigdel/db/sigdel.hier_info b/Testbench/sigdel/db/sigdel.hier_info deleted file mode 100644 index 388f4ea..0000000 --- a/Testbench/sigdel/db/sigdel.hier_info +++ /dev/null @@ -1,47 +0,0 @@ -|sigdel -phinc[0] => Add0.IN14 -phinc[1] => Add0.IN13 -phinc[2] => Add0.IN12 -phinc[3] => Add0.IN11 -phinc[4] => Add0.IN10 -phinc[5] => Add0.IN9 -phinc[6] => Add0.IN8 -phinc[7] => Add0.IN7 -clk => acc[0].CLK -clk => acc[1].CLK -clk => acc[2].CLK -clk => acc[3].CLK -clk => acc[4].CLK -clk => acc[5].CLK -clk => acc[6].CLK -clk => acc[7].CLK -clk => acc[8].CLK -clk => acc[9].CLK -clk => acc[10].CLK -clk => acc[11].CLK -clk => acc[12].CLK -clk => acc[13].CLK -clr_n => acc[0].ACLR -clr_n => acc[1].ACLR -clr_n => acc[2].ACLR -clr_n => acc[3].ACLR -clr_n => acc[4].ACLR -clr_n => acc[5].ACLR -clr_n => acc[6].ACLR -clr_n => acc[7].ACLR -clr_n => acc[8].ACLR -clr_n => acc[9].ACLR -clr_n => acc[10].ACLR -clr_n => acc[11].ACLR -clr_n => acc[12].ACLR -clr_n => acc[13].ACLR -phase[0] <= acc[6].DB_MAX_OUTPUT_PORT_TYPE -phase[1] <= acc[7].DB_MAX_OUTPUT_PORT_TYPE -phase[2] <= acc[8].DB_MAX_OUTPUT_PORT_TYPE -phase[3] <= acc[9].DB_MAX_OUTPUT_PORT_TYPE -phase[4] <= acc[10].DB_MAX_OUTPUT_PORT_TYPE -phase[5] <= acc[11].DB_MAX_OUTPUT_PORT_TYPE -phase[6] <= acc[12].DB_MAX_OUTPUT_PORT_TYPE -phase[7] <= acc[13].DB_MAX_OUTPUT_PORT_TYPE - - diff --git a/Testbench/sigdel/db/sigdel.hif b/Testbench/sigdel/db/sigdel.hif deleted file mode 100644 index c4789328f8e93d055871376cc402aceedff639cc..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 505 zcmVuG0001ZoPAPVZ<{a_eYdp#V5u+LLsCOZTHZ#3lQ0pS0b!4p0VlD> zM`lB$s`S6lK(ba!HNZkX=lb4reDBYe$);6sD@Dmc!le>*1&ybvF-7pX6-5r`rUj9G zZCmh8Ym zo^~TP{ffR#vughdatDk0ATnB?df)IBIJ=n8(Am%sFUTtJVsAA4rb9EPI90q-`jXDP zuT-}(<8&_y4!UDq@~%E-M^RPccYUTpd=I_I#Z%dKZk@HI7OFa*rDmsHT$QLZNayI` zk+aOQEi2pGhRNn>%Gk`bANj+4W&vB*5>>-x#fo>SItlf9g^o{`PC#}s^}UT358WDl z;E3$P1*Xy33B6$Tfw^@!;^YSRRsjhyO>pS>Wc9D&f&@_;zg0A=`@{ z3+Yk11_UJdG|05jaLKLWlAf4kCD(?v&0n4yuL1hcV;BYkTSt4sF%Ic2h_q(x(q}IK vE?y34kAmx&(aq6Q^9s5FD_JIM-OxWRuQCpXQOf&1*X60u)xnp)vQ+uG>pcH? diff --git a/Testbench/sigdel/db/sigdel.lpc.html b/Testbench/sigdel/db/sigdel.lpc.html deleted file mode 100644 index fbc5ab5..0000000 --- a/Testbench/sigdel/db/sigdel.lpc.html +++ /dev/null @@ -1,18 +0,0 @@ - - - - - - - - - - - - - - - - - -
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
diff --git a/Testbench/sigdel/db/sigdel.lpc.rdb b/Testbench/sigdel/db/sigdel.lpc.rdb deleted file mode 100644 index 6da93af138b44a9c5f4848aeb8092d00aeaab24e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 407 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4Lk=o#u6C^(g7=A+t*1_es}N5{pDyEj`Mj%tAzV~ zrS=NF$3(JsZmXBe^p|tqqgu30#A0#3!2I=g!N!f;rn1kUyDi|kVk8iKbbU*G!1b!- zH$!SyF&hLe{kikQ_CEFNTaG?i)w<*)5%6v)Tuu7xELLCd06PM51%B_+D2HOAs03jot`Tzg` diff --git a/Testbench/sigdel/db/sigdel.lpc.txt b/Testbench/sigdel/db/sigdel.lpc.txt deleted file mode 100644 index a463804..0000000 --- a/Testbench/sigdel/db/sigdel.lpc.txt +++ /dev/null @@ -1,5 +0,0 @@ -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Legal Partition Candidates ; -+-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ -; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; -+-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/Testbench/sigdel/db/sigdel.map.ammdb b/Testbench/sigdel/db/sigdel.map.ammdb deleted file mode 100644 index 46055fc4bff834e7f8d7332b55c408fdc3d36685..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 129 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4Lk=o#u6C^(g7=AU?_*uOi&s`HA2}Hj7bRz2_Vk@{~$Jm1porU7;OLm diff --git a/Testbench/sigdel/db/sigdel.map.bpm b/Testbench/sigdel/db/sigdel.map.bpm deleted file mode 100644 index c0ec0ab2c03dba3f1506d7e7b6db44bd3d2c11d3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 696 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4Lk=o#u6C^(g7=ATrThU{Gd)&_^K@nA`yst6+Q+677G;KxCfRp5Koqe>^zf z!7-u3f5rpm&cMY#?z{<#I2>^@?8nJ}%)-L@H-f9p+&N}RbV$vfGw18;@_ofOuQjDc zwx2Sap0Zl&{JM?HKJ5rQb9m9;Yv!NV%&vIBd@a51;@>}Ie{)Tp4R-sjKOgnhJId+F zlAaT6MOhs-erm!Gt_Gi*_3%ottjilVH{017yPHmMzglwYL*3S*Q-M>y$E@VjIQ)Op z;x)@IuHMb3D=V>LmZQH`*yfVZS)m6U<_0-WaNJySPA23m)55TXo4eA_HXVF%fTyYF zhp)q2Swk6ZcP7IGm!7>1?t<^T4WI6*yu2@WuJ~iYOwN3hgo!>US|7_z=JQ^1T1j7l z^Zid96`M~=r6fkODXsE$fFZ4CzV4W26ACJff zN9;HH_{I|kP{urB}*G4gjStD zeEI0ntNfwX#)nxJtoi=7RNB$ZfZ0$!q_iP9pd-Cw`F?qBX6^tEHitgOkH(+9A|x#m c7CA_%9ArLnR8=!9?5L{i%*vObH1+>K0I{ql_5c6? diff --git a/Testbench/sigdel/db/sigdel.map.cdb b/Testbench/sigdel/db/sigdel.map.cdb deleted file mode 100644 index 4f7368cbd2be5a52d1f6d41f0def4a575519aff3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3926 zcmeH~`!^H*AIIHFCaEtHJ}#*!KFuvAW?h7kP}ulMVRm#mPG5J6H{5QT(- z1m}!^P@nLKfY3W?dd5IKpsw1*$bjJ6YDW5oYPx52^z?P~b@hzZuG^?x2|)O$S=BS`=NAlaVokSTjPd7q7X<=qQk)h#k*Et?$x^1zcPuU*>L zA$wR=3g8vNGl=kI4HvqQf#gog+G;(k(;#~EdBp13tzA}EZ2S*+(<{aj7b=eu$3y(t zC}>Y`WCJy=X!BN3nh&kM?gy!!Z|T@EGorl0LIuSn5e8^IFIqZzxph0MV`=$u>g=^o z)m4Y{&3Z|d8VP+=bYkl(xpO;2er2_dA}qEp*;+Wcp!?&5;yYblaabT?2_ypk>CXR%$dZsR(lP$r^VrG3O|SaZJU zq0E!c0h|5KL@l(O9;lrw6ES2_d<_2X!S17sc1?UqN*bzBR&=xtARQe*0Y;pPtLU0G zkprQ2JVtoW?)>f3wR)RiWHIy>;CIE55;4SB8ES&xMHXno-<9Wg@zb82T06SkpUpq~ zk!Z?lwRBv2ALDkDaPBW%vq_kRCJGC~Mp|vuVmLvmCS_}ucv>($CKA*ysrhY_uFy7~ zG9K~pS~ZXX-r%rB`a?l&{D}M6?_lPW!2A_^Vo^DRpLpz!C074;xaN3SNVG1klJN(2 zdh`Yh4s^-znCcbgRoQ^Rx7lP3ZK~pZx)*~}R5nznB>z?Amh&99s+Ao zG+S8yiwIOWLr7BVOG=-;(Sgp9;K1vjE&?}wR|=Q321n3wn!Cx$ypYal-e}jA<=|Hv z1|J5k!uuH6sD4iecb%E+sj|!UFj30DX9M^6Cf7?Q4AQ!>704^(x48@| zk!`MtY3dsfk~S)-rd`E&x-|d^X3^{ENZIWoDv~1k*bGXotKmMULYHgD$-yr^B{+1? zj(K&cpZKwosZHHv$KnVd&BdWGEaUu2k0P?kP`{c|S#`NtJ*@n1g%qRN+{+8*D(yA!p6?cDEOZ;f#Wb+lRAE#ZD_@(0YS z>rP|?B!9KRnJhC>{?;RECSKOfZ2i+-X;3_KVhC#HQ_&3N+~aDoolBj#8qNil;Z56P zU2~)-%Qt>8d}gl$u4TBQgQsxCMe84)GLhY<2Y%O=Y)={9;R75KV~t}t3zTCxB|JE> zmWeDl&E_<@a#1m%XR+YBF`|`sy(q1Q_w822Py`d*de;X*4-9&_RW^gSdZ z9aC@v!3wfIH6!QY(zJxDcemv}$eV*{AXqF}I%OV#(fQ(((^B31@poP2>$}gVQC?_t z4$Kl!-+7(^&1;Dyf!uy9rH{4^M-aX)ouAOjUI35Fw-{L0XDu*2OE{g%$dIYJeUEIiY})Ww;2vV<3g(-Zqt*2V@p(133eO~4Rf5Oge8)8 zD|<7qCV`w?=;lReKlb(#=-L3Z-;mdx)TzGlnA+^$&)wg!f4MUC?QI7O83lz|*v?+9 zwL|4ewFm@VBMV|wMj+Q}dGOBIGkCG|`r+}Y1dLIlheKG8-SDr;So%zD{`3__VJsau zJYKb>oqe0?uXW7vGXuEm8UwFtIPlW$&kCA2T;HVm5u`4Jh?TKqM^@xF-Ipkr-wT(q zOk{WTOO*c*7hFX$I9RO9x#t!{7YKU=f~R7--4X70aQRgb8lxNMgP~~G`+hGpdF&qd zrsn2mYe_(xJC1;F=Uq1F-(k9gLtiH?EXt&jOs`UxIlcy19yC1Cx&!auQDR;Pv@_5BlWM(D8j!XB zDp_0q8~u25WI3JYcZ6C+0+(|pzg(9x-_t$;G&qyU$u4 zZH^ekh16OqtC0#cd^umQWwWrLah$-VPp-ivKSNiO$p&#@wU*>j1J3+>RuSTc#^?Au z7z*u5S}5Qe=(VR=*3&G8cNxj;Y3M*z>v8T~N7Xij>2>M5WDa4*p8B2%G z2gHYBTB(BOl~k_?dN@ceHGP@>i3X`yWVAOd@uD{#uO9g5a5&YI>X{G2ZkDDx0oB<< z{)CaJ_Z^pmoyUxR?n5dW&pm5+#1||re142@!c%gP%mvv2O|B14l2C0$+oGn&K%S7Z%9c`7r*fHMU8MNK!xc-NjiT}O9c#*_N;sH zr8q!?s$s7SBEC8_j5}rh;n0q=eo0C!1hlT~Q2I%F`PHpcnJkX+iw_B<&xLFwOC8e_P=6Gm z1H)qG4ZE$ej__NDy=*ePd(R`<+;;b7kG3m?FyzCm1{U-_EfX~eZ^Yle!e~EAJT8>2@pQRIIRPWWh`>VtexpjTRDhOlZ1egt7_m`B_kf3Wur);Ro(oK@P<@52MOJ(-Qq zdgszFZHXN~o2BR6I~TU%@=F9S4>ADyMWO7|<=r|D+@eU#p6;s3uWVJ!lFB&SllZ9X S=soBw1?lijRl%;y=l>VIPsB0+ diff --git a/Testbench/sigdel/db/sigdel.map.hdb b/Testbench/sigdel/db/sigdel.map.hdb deleted file mode 100644 index 33b95dd29974914b883d34afed9eb73a78d77789..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 12093 zcmaKSWl$Y3vo`MTR-n-0?takX?(R^$xVuBK;_hDDor7yRxWfU8yW0V7-~0afzF&7T zvrqEOW+$0Rb~l;DgMxypL52P&p`h&kHLicCW$EH-zb2CD|JM>!9@>BLKT??WzkM(0Gk;V+ zL#f;k=0=vH#<9kzC@(Q1DrWCTXsx-wWs0(njo#NQnX{-p+ zRPC>cVq`#pVfer=q^b`3-N;Drb{1=B{JVgX=i}1T|IDh54XsDToK^@dP|PtIZIW1V zf9RwrC7owtVThLhi;|*c=HJBE#gbpF@$9SA?y@J`4Laf<5FvbevRbhy6I&BizR6Sya!c zHj*4c^9?~eJ`OW~00tv(f1cBI#n32{&wqeC$AF$wv#~~9U0uW?+j&4KQI$gClg_)n zkNU!nDpJ#LmMOnxy>hS?=8!WorcN+al@Mm$9u{aS_SZ^#p(GCWSHv`$xw^E)_U%I~1k)zV z+H^8$f#vBXtMk9zhW4VoCHX(9c{T}kMqpEqcB3Y7@}<8hl`%x7Xy3ZI*mi7qj5i2z z$r;Wm=`&dRtJ$O{{7xx!>~N5ymvBtu)I7J$+er7CkXiLI5;9KHPW}ZTcks$)nsn1q z7qQeWV@&t1br}byn37{O@Xu#=_!g&(13s%Ad>-<{>tLmR`*ZGxXDRa7!8~c%OCMfA zgv9DMuhiwruha6DaF9_zgLjg&f( zL_3+f%khqH^haRw1!!363|UkQe8AQXZ1L|nzX@h=U8r?6sx7NKucUK5&SYktQE+o; z>4CVqvG9I-LrrN-&Tck6-Q6&Xlq5!^)^xDsAJB@I|B066qH0CIO^*errU+;}OXy>e6d!#9M1oR$mw_NUIVZev7wOqO3ma ztr8Z$i+(7+68Zzz`%>=jSuOqF@ez5c9*a&=tEq10Y1>h!gD(QB9a- zR;9tr+i8dfa?ke4&}AfWv`W%HM?_4Ht~jn3|K)R09L@JRGpr-?RU>o;SsoGlAxX*I z9H4x)yGKU~Od(UlS$ssTsp##pk-9GqV_>jrQSr4u`afASg{uf9F$_Uom$I(dNt zTR_&6Q$ps|`7ErI;E@XK3)x6v1WV##YHFbVlpkHjAD%i(#SZ zs-D^Grg43j-nPFmPUGP$vg9L0akjZ}8U*gGYPt)n3YMzYf~iuu=$iisjems3KSKW> z5vNIT?^Gl3u~c>Ye}m6Il}D$V{|gX4mTa$_YW^?y_D|)`spkKKh1N8mR0tuTYixDk z)alG8V?c+;Tgin*UF3omW@R63n8R8l^Y7U7 zV|VxBM9Z;O&PtdTV9nh-1{7NpjRGET;$Rda>=P<;V(3v4>~0cSz3`=KoM0BUuP|@* za&Q8^sYqn1dP7pbc|v|a8=IMbfBX9cjxM(QMjJsREsdaEr^`DY`&tY_@uhAV7MhSK zGVrb8L7&ZpU;2wX?!b`#Ov9hqSEE)5IMrBV^xk{r090$-jK0Q216U5%9{1T-cm4$z z>tWnO?(^&u>xp!sIi<|3zkAP%6Y|{)jE_x9dmj5K{rky%;uf9Q&Se`dnJwlo-~Rlj zuzKrOZAbDkb&kc)AbRuCL~_-Aud@2n?qK6ax()e8rx)K1u^|MDJ{%Wpt)xNp zAenO`)98 z#rQ@}@CcEcDfsdkf;kBN&tK_21xWAgG(ad{u=A@^MchiUn8Sy!>J0j5rI!L$$?mV$ zfw|HazLOfQJy|2K;Y>}YB|jDXE$DGcN=0m%m{S|eG}g=*c=y%ID;1_``^H7+30c#h z6{6E$H_~4JDM&cx~>`#NP4@~d9GDVDt?1Uq~?4I@AB%@}vj__$6Z zR|D95$?OxH!Sp%O#{K?=^F(Q*UL|Rjdl@;H854WY1q|UdKYb1SOu$cVHLtJc6|`^9 z#!)#58gK;td2`bas$+~H{P<=ovsS-cttIc@+f`a)G010dbDA<^5Rd*!W=#L=uvq%s zghB6Z(#n})z~0lqOg6yX?tL5I@1$!tiaozQoZWWeCh;JYqw4iu@b%|j6e2Z;lXSf2 z9@p55vwOJpknMnTzaK&nd5Lk<9BI{RW9 z$K4V#8Sn{lEO2$&n$C}fz@rztqod!Wl9ut8f4pCuNZtLx+p5p@!EOAeHL(Tgb{XtO zFOL_C%bBWdU*Vy6w)pKOo$Xr39F-$_Ef_B&Ck8{uX~?+oIcqIMn11@Mts%+~TdwYQ z0!+Vd)}`m_z`@P30I~ zIe^TBr4QC8UCN6-+v@C<$4i0djPAJ+d8Ohk<7fA2wGnJ?q!4+bp@YLyH9AAix-B1t zAoLaL0GKcW$ch?jjKTLdt2spBQ12Z-nE;*FMew7`<-x3#uk^NKea?to>CKRU#Yyv2^FgO>ObL{foT*HU5=32<4TT2$JXA4v9s(U?zISsSkvm48fj!K;pS_i6%mJi`I zZt*IViri~i#eG7-#pFT^ z_i|)`$DYw~(c)ua!~66CQ1Q9KJ;hJ(C&SUI7Ol3*O}Ic-6mOdE7ni4M>?f`0fG}|v z!l?!S04N-Y*=Q|>fAj&!cd8D75Ii;uq`(XX6t)=N!`NA=y-VP|knzz# zlCgVBJIV`AG}=l7eDc?ApRhT+R!Ytu0SGxM2d)_Ml}~PpgTmnhCo?9?->AW15!c4R zBX$%6CnCv@8rx8dd$-=V=zR9F(A{IUCuL|__m|K2nQXA{q(4}&uX8}r-m<6>QF{$_ z=!x8U26@vlM}eY${eRWXyVU_g`ip&qqc7Zp5w>7|BSLUsyO$|yPviXqeu&S^5p7>0 z_MwcNf?-Xs%m=}v&x7u@q;NUH3&K;!Alg@YS`9?NSF~R8AGP&YY*TG5uAg;CbpXl8 z<)NY0m06H1cRe-^hbPV;+BSct5S8%|9!1OzEM3!Vi(h-e-`$LhcKGH)L%F@#cEPPA ze_-+i)RbEPV1(x5A^>>N0+7KuC--<+f@{9)1=aDYZ4QPzb8Ms{SO|SgXaU|tkQlb7 zRMRWW2w)vbXdoS0fIAT+i|wi9AN4wTIm$*#;aUruA^E6Ll(JfS&kNY9wb1#n*ow=h!}eLnC^e+%Y00iR&Vg z_@sMkKfr?2&l1B_YyXu<4TYvgS|F))%NY+8&%;3MLB_N1T0HK#|41tThC<47hmGBNGg;Mpltp=`Dk?}aW%crJ z&#wbu0}mv&_cXRAOz2ToZGGOlYs!|E1&8cgFYB*SVB?^&5Vzb1Y0=xyEmU~t_BU}C3Nig1D@4RpY*`k&=%x2ycuL3itm54fDIQ^DTsSpO>1lh&HIkM*t>0oc4a zP-=eW!{Qr2?C1l&bIn6L590+Mj0l|TeDSlk4$v*^VBKCf5~2yT_uX#vGgMUUEw1U} z+}_xlPrJd`bSenKJR<0d35OIi8xC)Y&;0uxK}5OK_*CLZOCopdI1?P-b!@PiuvERI z8)FHJ-A%JO-3i27OJJ#{fXQJEzphLNKA6cX7dEM!*V=~`5)m2N$$ybR5UBZoBi?5`wLP1Cez7x8QZ9*1_=vu^@n z32^mKErZZMlG*P2poRQ5^HJ5`RaW4EgQi#0rdO8{Knm!M?dxoBkg5>M^h(6#JPSfD zAp(Hn2TAfpPzR;Lb9m6Ld&c{gcy9;qwz|&R_UuNolk$Dej1=X1wZhWod`okAM^jTz zJJXuzVY=&c@#4KbP2M(Qc1Ro! zt$tA9(8A{&{m;MDJYAhw4?Mi5Zq^vfcTY%irV}Ss$F!3>1=H^y4}1l)5Y-kBQ9xjj zyzrJ0any$i<$IMVBogLY8*#S|dB_;m&nogGvgu0~i+p3>%&#+_#8pgjGOSW4)4j1f zY&@54d)5bhpO{_xZ8QDXxp(qY*Iu5Hgd!E8)cFBB!1R+!BPuCRQ9>bGw%k@?sw}US z)tq7`yT4HNgu~QSiL%AW-S||7NGkFCvbT0R5p}8$zKIY*zWkgPAXd7QsuW zkN!fj>SkGV*<{m95nDg($G^KTjQ0M__Vg*}5`EjGY;AFSd2>76>D-0_3~{kh)(&J} zyt_g*rFo+UKb4-T8pCll-CpME{_>}nZ)CNWeMn^*zkneoRGKYbi#=2E7e04&ZkbKL zupF+ll=t*Z)9j&@Z>+P{pY?5OJ{L)}^d-iseo=a&&VJpC|xWPcA;`fnz_ zGH$m-8beJ-tLQ85)FIm0*$SlR7U+THZxL&-8Io&ISP=_9khlVDw9(okfaL>V*LpKt z6UZOatIa{Mb~q3K=hb-l;*nK7m|_ger_H&4-&>`%*?PZj2L#H8u4Vb1wO?C4u@SXH zIr_yKDO6?vejG;i1r_I7X--6!OGM4Sd zWLCGOr83{F3r_H^xiI&<*nL5#!|6g>nED2ZlCwVFu=ODQ`{$gHgbBpfvBLp+M?`qS zpy61{n)4oJL)h>QjxJsw4p$HtdD(Bh&If% zC;V<7ir>-kgB|gYja=waVd5WtpU9wTr+lFggVQd;yp1zldLQvjUU~n8Vzkge)T@QP zWmu^B^W)m4KJ>fKWT7~xo72O7feme4pt-FjqwmA>CGyT6SijaxNKGivT>p3$y_BA6 zZO=148bUu=3me=A*PLws3)KjGfRlBjzw`9|&u%PUWK72ile8fq0GexmvguVIa^st{ z=|mu!*GZ@kS%Neonzh{^xI7&AY?=zSw+x-v+ou#kZ%l!3sBH^V74VJ74;FkZ>}i#8 z(*%9E{#LrKe?nR5xQ;O8y1{dm(JA!O8S>)4pk8k^%x(OB_!k%PRC~u4tj74+NxJzX z&@$wCVSSD&e>7bubIz9gr`V@}j;-4FytEKKob7mOW%!@UFZihb5VQdk?OBK}smt)S zU68fWGD%vNI2kua%28&uRwm_C!k`i?n!~Tbwm+vQ)zC^%M<~vgr$LpyR>`8*2zJVa zUBw-xLCbV84xi4wgeuz?tV9i-eLz>{YHyo0?Y!A(`*#|eeAM{1uqw4!b^Dq9XUHH9 zp%a1jlH%(94B*85ZXBGf!sq6F~Vj)L#*XUFZ=xnluBHZ@I8 z`yK%PNw-GDt$HDgOn4_7{>hw1#bl6+8-_=SD?5=GM_ag{>USVdq5O2hp-NW07q3lR z0W32!wk{RB7%E*qo^m%vAN6VH3V$(;l}` zP@MdSXlc^EFz!v==E0iZA}+$E`=DaPV|gS-NhEnbxsSNd(RNAXyJ4!zKKCeo&~AG5 zbe*C0g-oh`*%C2a;=DN%sLj)jd3p~Zs0lOai;s6^r|RXDnkG*+S9k|X4RVQYTUq3d zB23i7Uy7MVsZRa182rS2tVp4ZDLjqd5(jfS06vAzN2Iuk?G4}4L)r9)v_AcMDDpal zx}+>XY-#(8`f0c4@Q8igX!saEyDW`=)1d^}CxX=0btZ!CDbw_-3F^@Dp>sU?elYoz z_8Vh&=~;$tV1s@ivX89KQR#6Z#SaOGX?J3XspU`qBkaWg(-S{HD23%`+o3I5qv+jnW1Gbpci{HpM0%^C zyo3FhBoT(ams8%gg@P~<3j1)w0_c$5eqi}jCOu=7&y<5lI$1b< z#DmP>59#(=D^-cTqKM~b$jyOSpzF-_^V-_rHL~FC`Dl!K2}f8y8c$>-LqSYOd3#}W zCz;`hQ$Nk*boo7;-gC}srl`P~QvYP9t93YV20jNBt(bHvURePn{J99aClS-~q)u zj+({${$zzX+Qv*Q{D{vENfiD8&upXdv^l2W^dT&EREJo23BR@@sD-9RHS*?ROayta zvMap-+>KZWq4&R?3?xpiyxr-!A=7(6icL|Ax zWQt*E?BSU&;f)2ahXwsJkP#9^AwDWEJse8q2*aQYWRh@(E5*<8M`z4jL zbLj@YA}W@9Ts$n ztvV86?BQIJn74a8XGR377(p&PL`l4-2WcrMH4mL6T&fsFk{Hh*n7#=KF2})`D6kef zFj%ySo57mEIU*H%aGE3{lqzE4*(AqQbEsZ*@COl*j_TyB7_KtHa17zE@;p*!y}g&q0e#vu9eHmFU05e|W+u9t<5Y4hY_T#081#Ba3! zj(Yx+Qi=_1vr>MdZWWrh1Lf~IvhY9~EFWF0PGO`TAtZkVtWIjA&Es$@+$KZXA86w#Gn;O#W|W1=Rc0>^$x z4E<<~Fl|W=hL8daB=XN{L(l_I!1n#${6wf4d6QPI%#&UOUITw|=my%VY%cT7-U+)m z-D}zS0WK^|_MH$yF?tBT=WjU;DD$<<2Nc8fyEJX`T>eo91vF=R{_^?`KNJQHBXhK@>sD!z?XNJ{WMho;d! zuZvxLne-BW1;h(q2q3q39zhb`7Wm+KhTw`FDZ|9&KO@9W+vLY+EbDztXN+HEQ!k?1 z=)KM-ow&W-af%)3hk*X`6uJF&%7Wjw8_r``wTU10Zj1F|C;F=3rP#XtDXNcl?8P_u zp?!*jIM6up=5;V7a1h;k(SCU)!FScE^IO1x0~8`XE@ag7DnAUEj}iL8h1UBuL@@2x z;S}`)6{T}o2-L}P|2|`gsCLB#tRBYY(vIzOR|kyS{te|FF(>a_14grOZcn z?gIg^ZKDk{_hO$QEkRufB6I6Ygr3m~Kipu~KSMgl(AF6~PuIJb8Vd8>jL=TWsbCQwwa26dU;Z3Ml1R|qAm=Fo%FJUB*nOmqgIXU`w z5lJC+Do69x6?r0b6DV{v-NOzr*}HjBW*re`6quYkaj(F_!9M(OrZ!9^$tE0uh&Jdy zjeo@LeEXc~!|oHA1hmgE2`D_*{G5YYSxzWR^=8Yi4}f|I8Ad1;UX(W@IOu~rN(e}Q z$>BmVgbBkJz(>h6UU8&K9s{dp0+?jyWhU@PFrWO0QFI+Ilz8r3@C2$ZZ$4-MD90hg zSu~KG?2yzIG{PgL)V_yMSNnyU$zF7Bx2K>r#q_Y(a3CgJ&fUWUCp~~p;TdRpB{2ZL zgdHJK&xX1M-)@K2-14>){M6B3?ga5x;)46_xpcW%(r>Wg43@*%RZcJSdQp1t;3UFc=lgdkHraQkmW za_*WUzSn}{XJ$(HVv;OKt>V`d4cj9qvXSviCG=f>)je&>Xzpt~;Nasp!jp@}`9S!6 z6^{G*x0~YnP6!R!I|ul;k+f+6$h0Ti#05qgYsqN~+cs{|LI2!Sx=>o1i$0%%zD?O* z;Rs&TmF&pD?pPaLtIc7A6^%0>i4;TrpgyuChhHe-672x-JIUohnnYu_!AQ-j3t!(c{xZ;mPURKuba#Z`YXYdmNSKG53Ndl7N?YvT8p?#m~>H(50@dVDGgCC`D%&kL5 zPgmn~x~`?jz4I&o*yKeFui22O7|TH)>T$q~vSN*ww=GI`$L(`uB&j*QO4k(SUTb>6 zhzc{A<{6nIvZ`Ini(7r}!a3#jMAPE<8AhSrhKI#xAO^neads|QkzmC%<=XIx_)Key z3sbpimEI1!-H4F2;S=lFIk{_gp422Vq26g@-@Mu%k~55nA{IZ@{tNatKv?P0NPs@V zKVwsP$RafskCk2fS_1q+c6R6CA;kj7vn@4oxl8p-GHv{kT`y(=_0#8IxS_4lp`Y8E zt&Z}WWLn5dU$gb9P>495_*?Ic~bxdtN zMcI0Jt0VJ8XFGocX9aV*{yF)&h{)!)P2@U-PxMzlP&?9SJshLYL-lu{>3O(gG_R^A zW#kbB!)Tt8nzOCOXJq+%YYTiT68&kJA740z{5DaXlRIa~kz3ZkNbp64cCqo>4#$)! zEJ$^)nAE)UG;pELNg6g%zTrBb$+$n`cCdx74LQFu*hg5WD))YY6h0ms@tvY5n}%W2 zf*nKxEM{3QI8}BbzxZO0-%M))b_XW>^KkWe=+Ad6Jw$B?p*!ZsXNyJemQ!u_8^0rX z8dfyymh4A(@hreQ2O1P<7=Ks&de_oJiJ|%_rJl<)qswKNQb@i*W9l78kELz(GmEx{ zOcBfFqJVdC(F0aZm|RvxjY#MN>YEJiJ67VpoS_%4&||Y%qz)FpLeiM}fGr~GIgXFK z=3Ln5Mxu7cP)khmJa;m=JyrloiV!>Catw4VFYB_%PPNST_#O?sf6@2atUT% zdK{RW9IDThw&t{YEY1vAJu2Zy7@Dz1ZdGY@bMdG6f)6Dmspg$5V@YL!afviSj-NU6 zOh-_k$g@mFAL^bQn&C!y#>a~8aU;IU;1VN`p^eF)=@rnE27I|r$Yd(GKKW(??l-5^u8 zGKtiej~cRq{4zc7GiI_x_OoLD#OUPhH%=TaSNeYKtLB zYSI$8(jHdex=J8 zaf~%mT{V{H$f5={^|Pc3za;(w8gHf1K$Vo}ZyY9tTEeP`=tAXb(0ZXJWAqR; zPV~Ana(tL6RcPQ)#P`w+zy=O7^9x!v0W@;T4?uARuYv==vLH^PWJD{=O*{T&gx%MS z@q#vUn6JNz^Qh?gAWSXm?31PbiQf&L3gKb94E(u{u?s21L0BZ$DKtVPI<3e1Bu&*odgq`&UeJ z7S14TRc5Woz@VKAu(CHa0!Dn*s=do)IbF(cAjeIhvAN$lDH)yWOZtXs=5se6Vbyiq zU98#C-)WuYPcOU`8gs7|TBquA>^Vanty<#E0$(;zsl?|docT5X-2v373whN?6R=1k zrnBD3Dr!y3qAMiuU!mm3hZl58y1zZ16kdOMsfNg;XQ3Yfoz5SIQc?O*Z%Zeq3&+Xx z$S&WN^Q}r5hNdjLqiE4^@<=9Dpgth zsG!T9_0_J^P44So<7XF;gC1U|Enm*%0l5{lk(E~pH~0ecwgTp00@O&d&3b%8SG?&1 zE6HEp^rT@)=nnZm(?t_%2EKC3?x#VIad{w!^xPhAijaw0U~u7CITM$rHqbn=xmiNE z+~AnpptZA^GgTdxty`|V4JQk+hj^5&?L9EGl=dRGU%cG@G&g5hUU+3>PZ*JV#9`W3 zR%42QfwWNK#WDTpP%~Fx63X%*Qs}&6;A>f0H#%6C+(3Z4ySSE~Z#{IfETS#+FTcHr z=nVJf_sDpABPjp0WoGH6Jy%^}zn+#45B!U1q3?W*vEmgWg}sCixq?WaqStoBRcrYT zq`&O-tq;^yv=0={a90{M=0O70H`he-p`X}Cv@zgospzv`-@H`}z9eBa1X z#@5kuzT^fn|2be{$#j`o9ZW`DX<|!sG5Y;XX21u7t{q$^lU6Fpooe?W{($JW&hOl- z#-|k4R7$Im8LGDk;-(~Qpoz-$WJUOhK;}R2-Z`VI!ZudW)33v*8;}G>>7byu*mhQm z`{=Zm1e@fvgslXc1$t0MDl+j?(+k#5u-jszR$|%6=$j$^as;7m*_UwuT@2xvtJVpw(l6}QV>m=b6dV;r zEt+aPqI+;78p`VoO&J`JwB95GVj3#3s3!%70q zUoGUU=Geas8z={eynH(+8o)|4(u&|8X-)>=bw%6{_G=rX<>Hk}qzpC>q2p0r?-BM& zf38r4laY)bv~mu$Gp!v*Y2!KI->k;BVckL74n@1|`#AXo&#&n8I-rPGV%GymJpatX}6-LKp<)HwgkN3T~+UIf4W zvQyX6uEXafglQ!gn=F!jqQi(5c>4sVIT{}W~5F)21 zcO-xsF}AxCkR{BdGRJ`x5XZ8chC-LUq(eikF9=#~KYirmoi(dy^YiWu1;T$hOTgF2 zMHEYO2wlt(w30@}`&##u=p$dYcZ)YuGoo*)hD%WjZqViq>+e*oy#Pw}*H^F;eOg)W zlPeA<4PA@M^2YQ}JvxgO0Gy4O=s+5duTzf<98M()&kt>O=_XMl!@7Ik{O8S|{x+Z3 zwXyGIV4#aF#t2|V;u!v%Gr?sBJfAZ5kU&*n_rw-V>z|L`{pkifz;*ukQ^L$b(ikxD z_gC!}M^dqlnQ8+}ha)3)cy?FR^NSl;(*neo^sj&mkGTl(hG|W6fr>Zxhofo}c`0e$ zM|5DdBu(_%_x@{~FHT>T-Zp5^a1O-{5*RpgQK#K7&^%xLOpu75$n z7E83Qm?hEiBNF9q;#89MxO^&4q*EQ;Q2R-aw4W-eDomS>nXmANffeARJ%)S0m?4-b zi!GdUr~>E@Z{t%phwQH|ff@b5;+Hk-qvbo@Hh=#DBN+z5o}C0NTQohI}#p`_(O PABVFZ=!^gOUr+r%*(e3t diff --git a/Testbench/sigdel/db/sigdel.map.kpt b/Testbench/sigdel/db/sigdel.map.kpt deleted file mode 100644 index 3da6623a8e0944ddec1569d9559ee025d4418291..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 593 zcmV-X0uG0001ZoYh%PkDD+Mz3;CW`3D5X`65!1!%DqWJ+!LSOI1ZQcz3OA z2sKW%k^18cA?ZjRX}nh}k?Z?JJ&yKqCZO#2sZ6d9 z=}O=T1^hV1#vb#R)=yOfd^CEm;7ipE7>#fg!tGH%CNPsz2p0j$K!zB>=lAeITLteD zZHuvDeFL!EsnaGq=+x$$Tv@QEtO&)ZJ7rY5RRSKgGDT0e62W;wa|-CBh)&d#?saaJ zxeO}?mhZKWx7)AyZ5f;&DuAFyXhoC+#E6ABCLqpOh!X?ylsgT?chIC-grFQ*594XnmElX zyK7k4FL4FR-NEct@)qXp3sR)a6A4nZ%=1K~Xqjh4cTDwbLT6r-^!6iLlXm?tWFDGIMx3iFFZc)<6lKVd|BGYU%b!nx@Q-TQ2+IZ|)I)I6(d;{_uxxTIh#Ax14v&-*kxljr}1|x|vBo1iBTy zZutub$lt;v{@k?C4}Wf^)2#uz<+3LObQ4Xt8_?}E&<}wg6%ZU^0YQ(BFmi}T7>};N fIK(S3dUOYo-QPi8w_QHrloQK8h3lK&Kd>gEQo$nM diff --git a/Testbench/sigdel/db/sigdel.map.logdb b/Testbench/sigdel/db/sigdel.map.logdb deleted file mode 100644 index 626799f..0000000 --- a/Testbench/sigdel/db/sigdel.map.logdb +++ /dev/null @@ -1 +0,0 @@ -v1 diff --git a/Testbench/sigdel/db/sigdel.map.qmsg b/Testbench/sigdel/db/sigdel.map.qmsg deleted file mode 100644 index 94d2057..0000000 --- a/Testbench/sigdel/db/sigdel.map.qmsg +++ /dev/null @@ -1,12 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1673883395012 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition " "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1673883395013 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Jan 16 18:36:34 2023 " "Processing started: Mon Jan 16 18:36:34 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1673883395013 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1673883395013 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off sigdel -c sigdel " "Command: quartus_map --read_settings_files=on --write_settings_files=off sigdel -c sigdel" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1673883395013 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1673883395187 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1673883395187 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/HDL/sigdel.sv 1 1 " "Found 1 design units, including 1 entities, in source file /home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/HDL/sigdel.sv" { { "Info" "ISGN_ENTITY_NAME" "1 sigdel " "Found entity 1: sigdel" { } { { "../../HDL/sigdel.sv" "" { Text "/home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/HDL/sigdel.sv" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1673883401066 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1673883401066 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "sigdel_tb.sv 1 1 " "Found 1 design units, including 1 entities, in source file sigdel_tb.sv" { { "Info" "ISGN_ENTITY_NAME" "1 sigdel_tb " "Found entity 1: sigdel_tb" { } { { "sigdel_tb.sv" "" { Text "/home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/Testbench/sigdel/sigdel_tb.sv" 3 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1673883401066 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1673883401066 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "sigdel " "Elaborating entity \"sigdel\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1673883401097 ""} -{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1673883401388 ""} -{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1673883401553 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1673883401553 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "32 " "Implemented 32 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "10 " "Implemented 10 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1673883401619 ""} { "Info" "ICUT_CUT_TM_OPINS" "8 " "Implemented 8 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1673883401619 ""} { "Info" "ICUT_CUT_TM_LCELLS" "14 " "Implemented 14 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1673883401619 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1673883401619 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "1047 " "Peak virtual memory: 1047 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1673883401622 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Jan 16 18:36:41 2023 " "Processing ended: Mon Jan 16 18:36:41 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1673883401622 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1673883401622 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:16 " "Total CPU time (on all processors): 00:00:16" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1673883401622 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1673883401622 ""} diff --git a/Testbench/sigdel/db/sigdel.map.rdb b/Testbench/sigdel/db/sigdel.map.rdb deleted file mode 100644 index f8337212dbc72ef20a1beb239509412db44db8bf..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1371 zcmV-h1*G~E000233jqKC0001M0BZm=00011WpZ4R5HZnCJ zFgY(VGA}YPF*qPoN+3*WbY&n#WNCD1Z*Fr700000002q^00000006N80000000000 z003JB00000004La>{eTE95oc)feOTc5H|r2P|j0Hr0ph~mMHXXyJ<_RO*C|(iasIl zjAvu;*dt$3h9`b8e*w<1H#2)n#dF0-UVDAMbMf){&di;`VDJ%+nnkXh)D=gfDyjAXs(>nKc%}k5polo*9ZU=*a3mR|R zpO79r7d`*r^Fdf)%09-$CAUtf1w3PZ!EKQ2_(_t#aCh%NjvWU6-+Bu&K&->EA;lDg%J&5VX`oslkZ_L7gc`t zm?Cc>Got35Vh>Fz4-xt_dp3cyYzK(WA8&*;jT8l&OAa_w5Gm{3b~zlvYvHai_lT-R zOa?{89JDvZfju5G6Z~6^_8O0M6^mvy_3>)somi-u@)awbH<;^ro5skgCeQ}$EYCuT z$0A`KqcGx6x)@=d5p(afu_J&?a)!YhUSatxFJR?x-JvK(EKU>ZvE+^kX-8=?_zLNB zsU5OuE_Lxc)V$WFg*kd%CltG~d8{w~ooqCxkmC z$_Xa%fM!Es!|3HMqu*{DMO=QHNqWo2lQcs0?#R=icXutY-Y_j|n7{G;6}}Rpem0x+ z`lP-hJKd56z#Bdku)O@z+&#qRz9^7jm6vcI&XE_-nS${#j87gtIDYhSjLq!mLA3cL zPU{4DiHSrmMez`)@yTdBI)ukwV0j%M{Q!qQ9*mC;LPN}-BUc=UCy}gCiQdjHu!E2p zxM20N&%Te_*!4;-BaB>M>gK-W*Vt2^C?{O|1~{odWwnsY4}xQYo!k8gx`Wfj!6g3aOB355(xWRP?Ei!D!wC8ir_Ear@{=h4ypwO= zJiU1iBkb!Ab_-utu=HuSn=&h)-tRVRR$$QC3b5OWv!b(MdW}0Pu)Eu)chP4>qt9i} zfSux=1VQ{S00030|9Ao7W?*CxU|?Wq`e>ue2&9>Sm>YAgfdziSNN6+3jf%Jp05D%1lIR~a%5USe8)!oqts)~(a15k83$DesX3T!n@ z6|!0E3=%-u^!?wif>bF3G0cGwRjxj+zOH^D91IFTDVdP`qe?&;WG&2r5M{w3L7sl@ z@le&A3<*FLTuZdtVGe{D>h2io?ivhrAs5(C=A@S^xPZz*0S9smC~%<4TpU9jVP)a4iTUlunRyj15zB~8W93D5dZ)H|NmnSZ(;xd diff --git a/Testbench/sigdel/db/sigdel.map_bb.cdb b/Testbench/sigdel/db/sigdel.map_bb.cdb deleted file mode 100644 index 8caa87533642c62f0f521c8aa61d64338eb72ea6..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2106 zcmeHI`BM@I6xK-{yEIcbw{4WM)ogV=Fr~C)%hlbAYRy8;15fY_$pZzEjcqpz2h6F( zTO+ky#gjFU)E1pI4e$!V6R$wSKo<~!fOWSY`X`z<^Sxuen?2f+1|iyP1d=<0S75O@IqNl1?a_{Aoq z@8o)lKT_;A`kzTpy6dC<550^(+FAPR97bZ;^&>_b=i9E<5hk6(^70&m#(C4}p??wy zC(hbe#qU07;CGzrvOwH+^2tXo_F*m;qHg|UcMYZ3e4YwKhgyv*+II-|=$C68#^=R`terHzCTR0-HySG`@Ip(jmTOW+@qe(rM-p(Gxk7b zazX~qJC9WqjoDT_MB|;HRA(Y~s z2yInlC{n?P6QOi3EUSxo$C4K52XhJLf&!uR63$f8WSl)M($Gt zscNkThKDr>yl|6@*|1x)M})oG6wyG3M0ALT%FallDV0LZ*rv8)v1#+ROCxx&Q>m7Q zl*UMz^${RNxZJB!J{~*>)~0G!-U^=}q~03Y9Oy-knp6ICMk9{ajYZ?pEic-6_--B_ zwyt1r@xk6&p)&17wssa-0ala>M>K*@F80dcAh}G)XMJcS`<$8v^K>~l+3KQdaZJ0Y z+L{{bmopYst1IKG*S}mr*h@$m+9Le<*UL*f&zU6gEZhz4P6iDgR)XgRy6vG*gs`IQ zBHknp6}B%eqHAT=?&<8ayv-*bOxsrv)`c6GttMl+tt#=fX7n!3`XS8cP20;x+;dLhhLc&DDamHO zw5l}K)(RkZiQs<|6?21KaCM;s*y`*Sx{q*|88}a`ue;saJ-jS{m>B|N`-Jzb2r*W; z(Ci?tL(fuC0@F1LN^$;h&cW?cRV517pGqyVqrQoMMvQ-J){$2%pB;*0dHyP?L!>zW zPEbSaA;#8S9S4pbt~m-I+rY3JyBnx9Pawe$i=wh>m#6>9OM7yJu1Ropf7PoqlMdy4pqq MDKygU{Bxb|UlpfW!vFvP diff --git a/Testbench/sigdel/db/sigdel.map_bb.hdb b/Testbench/sigdel/db/sigdel.map_bb.hdb deleted file mode 100644 index a750c1f4e5d7ba1f1c32b8427957df5e962b7144..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10652 zcmZvgWmFqXu=fdGv{-R3UYt_g?ZGKt+%-V4Qi=yF?yhZt;!q&C21rY=;!g13!GpiO z_kMlP&d$t-J^wv>&g|})A2}KtS}i`{pGQM;`!_`XMI#4q9~Vy#CP6ViK|TQ{1wR*e zdnQq#w@d=!{DMOKLIQ$fO#13fnl8Q$Ov?5yzW>&4$^4%L;PwA1q4^N}U;H0m!1aH5 z0N}{tMSz9!qBaQ$faE0!_lyRwB;kKcKjLxA|7Zm=w|_Mq*<@wb(&vgS_YHrQQYIH3 zfra-C{ToYu?9U@6@@-XnV|*5-&ZmdupRt^Vc+JoEMJxa96$!)I_avjh_C=C6qq(^^ zk6F3G!cLu^rhonVr3V=q0Ym+PgY^9{=Pjb_>fn|!XOr@)VA=|vP;xQzyM}_l!=;ya zo`%5(6{@tw13U#ih7NJ6$JxIOgKQGC7}+#7g3N|GC662o?l*wu3|8GOrggsM1er!= z=__8;H;^mDo|m*C)UbSB4_M}D*zTDh9wc3LCtB#f)8SrjC|#KEVyKgOnkc2xa++R! zP{~{3kk2%pd)DI89c;$*7Cabx;2_Cl>&4Sa+Q^Wapt#H|OK=4$-LiJ3<7B{Lw{y3r z1LO2ieISvHn91Yc6=WyG!QlLw;WlN%x-HC*)TSt~&8jyS)26=|`zzV2;-x6We{66- zljiZ`V0dM@+lhhWuQ(#JmcXk6TdHbhYvb=U8#O(GWVkc&nWicunG4?UC9qN*+?>CP z)rDT`?b(_s)l%j#zZO(5kyBd~P*zwR-7vzEK3$H|JWv<8F|=&-`e6Fn;Ki1IU*Q}# zL?&9I18*UbBuS}IgUP5~9TJ^sK^s*6hyT61)^#2^aASbwy`DJ8hyeNPfGoB=bM5+f zGMVsCsF-@ZjCmX1nL19(thhn2^n`&9zp;yzbe6{Vw6aTIpy8^RS($M`M-`Dnbu$nq zF~Yxca7X(6n!>W4c6_Uc+t{JZ<14W$WlVLQ7NuFw)Ve|4d7Gh&1;4aTnO=)CRYxIp zlovmEa6Q?1^T)c@9jAw3-J!KQh<$RSx%OQZT=0pBCHYB}#L)Vmo1g>V*=J5jNqZHy zeG(L^ln4}`uDSS+-Kh5N_wfpg7lDENM=z_)Y9Wtz8Rv;_@8Na*sX>a3$v_7;*`?ny zw%i=!dl+-3!mYocXEkDUVwHk*m49ez#ufvrnZ_2=))>D6he=yidl)_&4dAjF;d6o(|5Th5<*4AX0hEksdHtZxCb% zBa(P?Gv>#m@zcyuVO5ZAhTcqJ^?>wXE<^WILF38theJXXWiT^1)bw55|9{ROtv?U+ z9{hxxe%4vnE3bn|879Wq>#QHuS?jJ*7JeYy2OlS)so7$qUhA<46V^;Hg1s~AeRc0$ ztIy}>jQ;%hrTWsaYa=+bc+Ti7<~}J=)_kIsF&kPQlPqgq^hzgZ9_-mPkyRg%QRM1Y z%{b2A(lfx*?kal>G^rQj_0mG_4?OKGgqXYnDf$!DP{ce4Y~vIO2btUhbZ{#uhh;t5 zqK^>8L$70oyL05=DP29CvDcVxmNbzKY_@(DTm6K7TL;5$4H7G98SN>10;CiE^WazA z{!PFW7N6Wv-PdW`rBcq`c~!=?_~EF8meo;#q!YAZyYQ~7L6sJAow{YC^_kZnI1fM;^hz+*d18hp1(O!vL2;(FGI*MDHSJKV-VZ26TTwxak^Ss}qP z%N#3vby$$`W%>AqHOU7nVhWB;Af{qbrTJ4nl{?SrZ z2e@_$V&nmPcRON`{UG{i@c8dEdszW3^@mkcuGI&xR}Z`g=+vW^{zrd>_<}phtEUPU zB<&u(6%ECQkPZe8mY%u)CRTj3Mu-K-4u?}vc?J+YJGr-)^WquEtu`{efsX_(z%7|= zMz_WFKHtiqH0wN@cckyeGuY+61j;Z2vPm7sFRr|T2tjujug>_U<4PQp&Uz9 z{kkpj$il3tE_r}>l~IlNy( z@A}+$?4Ha%w5R=7wir(JIaSXSte>JHD$rp!=mi*$KbFduf-Jxc|JiwohkAOwzFBi7 z=`fF6!*K>(fK|bGd=QSf$WVYY5zAuG@sIMFG|IJ(?bh({bSp(CeSNBmYZtt-l$dyK z4=N%RK+IeRmUQrA4tqIfdvtv)I$&CENKxJvSv*b}?iLjT&|byf6{{`b+_x2zDni#6 zWVJ|i;&?lYK{EdbE}PX~k5fRyMtfeA$Wib_?;biSkY84aF>53z}KU2yrl4gR&UaE7hOXF|B z2!~JiDC())PnOqVau@EqItn%k;HLggJY;zmNFkc|q~ix;lEOGQsf< zkQM=BhQsUPZMgBUu5ZZUnL8AqO@$ZPmo7p9{P3gTul9_lDTQ8tW%aS2dEo_6xBNVz z+7|lsWxx8U7$LLMdwMnG3;Ir*OIx}?4r-$AowGL${lzK$#UclFU&6B%BcBkGe5MDu%l_pM2Q4l@;a2fWnY=oWw zo|N$~1(Au}|LIYcvv^_E1rrB;g3^nEN2x05Fz%H~MIDH0;(18b-B>-?+iw0=s!c$uXc7|b=F z1fX~MSGGvaTq37Ga3>f%-T~=jkMwasdj5uM*ds6gnFA8v9$6-YiaLYe*u(+@I07?~ zEPd${GP21WE25X{oSCf^6pG@f=qkKz zVi2?})hZ2t<2F9GCy}3MCnaP7dEgXKx~~aQnTQf|XvkvV4jbe+EBn!ihEHd89J4G^ z56GBH09z_GGeZGilcybN{kza48%r94E!@)Yu=uBDC9koTrd34ytuQkj<}@5c;UQ?7 z>A#`(_zme{TF!2Tj0flEr}oG_07rMXPg`X2FnYnx>|C^W=?zy2^tFOu| zbwV;OYV_v6p1BpC2@&s?Exyogo`#*GV^t5^=j98hH?`2`UYkGljmozt{mHG0i__Fs zbn__}ou5lV60OQIhY|bknw>9>p7`7V;miwNM-6ES?ZuyK_}v*?)XcL>@k-6F~9gH zmlHG(H|DVd4E+*|YAY;|t2P3#biWqK@auq4wg++R#s^9^CZ19;3xee~+B6})1 zii9&$KiE(|kWfG9^{pluL#e-Xt?eA*sRr2f6tR_;l#rLi+@brbTNM7&1WvxeEAbYv zI=s4SCR{dodD!-!4G7F0^F*iXN_X#~JC;EkUbepaF4kRr{k6h6L35{5!;I*wIf#AM zaXwVP=H7*!f(@9r7d|X_+@bCa0;3%mEl0caGN~rLnbKQsWbQpOp5Lh!rS4iKZsl6b zrITP2zf9SlR7_XwO{2S;B`sazJ`#{Ser zBTPenR=1C17u5f|@(v#XOzSCbGu=NbfR6mvJl3G1t(Xp9*;X{h1bn<>sM z%}p&BEw2>7-Z_5o`D&>ug^^H@y(H;+an%C6Qlr7BGNlB^zlV#F(V59%^Err$ItaJ# zE)Cb{k=S?misMH`6#0w7%@mZ}fL|o}0vx^eg^h!PKlBP7zqc2}Yk%(oESgy?a`CJx zO#5BrBL}kMKU0qNi9&heo@qp)F8)~*3O^E6)>q+=vdUp!RCY-V7S{R|FTX2;`%#Vn z+W?%Txvszrg_-xTE@u&225)sOFYX52jt!xJ1*n$xvm9kgX!zWw+YmVd5 z>et*nzpwhes5}mQ=sW*S7aq>|2R;vj%ck~wq7}$8MP?rK?R%B?@V<*WgbjnUwC^2& zeKk)Hm6~P60PQ>b>YHMWyrqXPc6s*i%Kr2B$+K_$@t;4>ZVV;@Hdh|ASP*%R!R`es>oa(YOP;m0jMb_ayGEAb{JU(?q)~EH~ ze%eRhhuBk^z02xvP3zD84c`MW9Jhaz9J>|TphO$5&OHdSqO7j4uyEoM3vksnO?^TC z?jtoHCPqEP%<9DJYcDQ*`{|y2G9u|qqZ+C;Y`CHZAb*otUmf@y`|$?+Wc%y$M;1V~m#^e0x5@-~Hb8%(?nAwn`Rz%*=}Efj`D)XX zWYd#k(-Xr|Vs)(u9ZJvTwUUbtwnc!ghCQ%SPA1N5vTt?D_4-uu<_|zJ=@>Gik?H z3^#avo}*r?IDFHgJRp9Y0%Fab^B{>b*7Q*c6s7e)Gfh3Zpc2b}AWPs(v2%Ipt)TSW~S zVxL7wt2md*r`40>{r4lBscC-Tq$!(13nhILAOrtsB=6%}JDw`23myeqypr4X_3WCB*&xMEbZY$Yu8JsEzYVAX}SS8Yx_HWH$Z;!rjfK2GO)2G3*HTHxXD z_K@4IpMoN?1!(1w76>J||J=G6+|zHbwuN-iMHU;qy=}L-zNS{NtMF+iNbw4uj}HEB z3cvJa5nZzR8LhL;ICOG`$DXIA0({+XX}Z|Wa>=iZxK~0vla!uPmWHw^$TBHBe~1jx zjSNwX4530$(bKmiSX_~$B2|?UP$dL3{1w#`Z7Op8>m*DW!J&lU2)0DRPKJ>fZ4spspOBY^GApcO`M!7}*5UmGgTvIP z=riNTg8~ebK4)&h$e!K-LR-^dJAi1->4f&~+@t1xGR_R8h-#i4y)R<6hC_pmvt-aD zm_5{~J$>te{V@F)*1(Rt zy|Kc#o*t>zK#p%9f0oZg2WLBNfatn?;k&>+=gDvOkum>Z8325=7ev|ESc&!gBZksM zBEV>&t}3#XM51=UPi>2v@hP>w8!;faQVqKg3nE7zRuW$VgC4(?d#T>-|`*gb-x$wEz?zQSRj_|GHqgY)0=8`dj<3hAy$_ z!yPQ=L;Ce9;!|5w!HbfL&Vyjy6~kV%#jb$G0?7Snm&EjJTI(}LLf*lEx~2l)LLC)O z4@?=`^jZ&>xYRj3b}RmPiCF4s?{4Z8?$p^Yl*V`_>B1RFkgp`8Dl?r!8z7zii=tIV z{9*Ccj+Bv$l+lg!AT7z=kFfm_z8QrguMe{|#u$n}D#DJ--qe@EkMqVDf}L>{p(|&GZ-#E^ zzrj&X4BrIm4j$$vgKWNq+c*TVF<=jcV8_`|h1>bZ z2Yn(hI>>{`d4;i{$wg^}m-9o-LeotIS6)PS*-m1|kKqwq?|^LEC(PBj-kljL#-kIu_oNsNjU1i zc(xL;H95gwa^W|*ae?XJ?*ygO6esOz;t3M3 zg1rbYQZU-k8J#Y=lJV?tK-Z@Gt$Ix&2Qh=CS?&NnRwfy{34e9FT2a>GxeoP%MW2hM zzP~z{YcAJ#A@`E+V&tYjTd=KW9WP2yBE1%Cm?Dz$#?b;l8+pb#7}r_TU0SCPMYvFg zpyOeU=p^7UCT$`8e2wqqh$);UTu<+k+10nyUd+M2bMjoMWMURtTEy_&C z8}+P{_E@C_>CfVCOw&%TE3^E<7=Xi%mIMk`?DX$_=)(tbH$exAx)D&F3; zfD2U21}f$S@naWM5Vd)l4lXg&!vz0QeORAgO z?>k{#nvqX+Y8Nnk#K-Zg*0H^HveI5+5t*Xp!dDfKB}^StkM%0AE-A47+NC#N6XSnN zznbPzM*Mqhs+AFLwxvK0v)0(j*0{;muam79^iKV5O%FuOPui*1p8)h*BCzs@RhA8y z{lMuNWY%`wKkHuU%_9)O@rdU&{Hwn>wZAyK-zufwDx=?uyU%XrO+z8q6CwEtR=8{M zGUgS3FJYW5t0qN0)%dIDk0o^#Khvt4B2P8LjPy&IB5q5o6zZ=@kO(BVx;;I()IE*c@=ds7ckNVIcP_zc`va1(`A~BqZ4+V8NAk%~ zs^Zfsv&re0Ud?e$d5Jc_(6L->R&`pbcOYDGpV1u5Y1R{X<@$ttIUPg|I^7Z)TTkEm zSTsVto`b8+c0syydP-q+AAzR}1nifg0X9P-?d z58P%o_2=#l$PpyMcC8SKHNSt$smC1!s?3L2fsS`}k@u_ty>t8e;5LIet7H*Z_o9gM z;xli|+WJo&B^Tlr2v2ocD8N9Ik~Uy8SMQ`HD%4`f!>sqfrP4Pm+_+ z3^n|H*)Aj$GfzTtRGUlf?69$J2Hr&FQG93SQI*YF-ZE}U<&|Ls3AN`i99;z2rq%fo z_1+eot=1Mt^P1bWm0>2&+%M0a3d+VbVH<0DXiewrwbl7({0BKaB!+fO>C7`G1HJMN zR2^u@kPK^zZ*ow+jUmN%#_msNpgrJ;oh%i-x_$yjn8#E}wDv*q?Bf%zE8@pf0$le- zaf$))csR{=W{xnZnT2gI?mt-Gyz@u8m+9+2e=ZBPKz*)n=_e$rUIj4{CERp3^98MybQRHDFzuEtYR$RZ%r3=2cm$2^Cke}i#&SBYx8EGVr3kKozdznHyGMIJz-_HXqvK;`M`bLM zW>X?C$&eoyWv84ep9#owtyr3J78$iKSUHTIKJ%rVON5*&iHnyn04J%GQz$Hxc;Cj} zcD;Ycm*|LGR`{x%p2bMoRLX#RZKE$#6zq2DO6tS%p2i;YBP@h53l$zMuJSE=KW<=n#)VnHb4_D`` z|8dmv+hSq3P@HR`(Q!%a{{FXuj>G`Qb_K;vWVOrq_1?|wnTlLYy#6W~{(h*C1{$8> z{nLmwY^7utmnqOr^#h*}1!lKy3?^MiM#=R4dynr76Rv^o8iEq4O#amNTpa7#zv}|N zDL8bECKw}ERQi%GWl^lbBuOnrsm)h~ams3})T#crVL~hrqY{_fPShV+(U${SQg59+ zbV=q}hW8o7_tgd|nGpM>x$ zzXt&!UUY%_%@71HrP^O%L_Q1d?%&OX6EBrWNb$!W*9bYf7u3Gewn~b$@!LeYQTy1+{g+Vdd4_Y7vzJoa$;_eFOnMmV z0#$>wQ1aI~H1qK!j*owR6(zVqA-^myldqtM{VWsv(}aHxJwF~!i%$zFK@#>F zm9@pql;yL~2olENYtc1horQD?D1s2~tP6hgS+ZSr#u_e5Gn!n803L?YkMIv!v9|RV zH(X`5Jh_SYOUqPb^xcosJQ=Ut?!7!I>KZYFoHnxa{VvG66F+)uz<;@J*5vck|7;$v zQ``nTv+_IFSRCgWny@*QAF(SAhJIRE8y)v>7auxnM5g01+SoNU|E^%Pj-I;2baibm zQ=7i}rh;4=lPIYSeWSV6PWMG8Y2EvYWIj|0Yih1zej240CL$6XI{xj^(#qHs^<`49 zW?(~wP&_-3@$!QB@~PaM;Oj~FSno^ZCyD3MlVX}r7p@)tv}P@^I3%bsJl&M$bwlE9 z6>T*SE-+p@=!vFdq3=ONNS%x}=Ou|5jjC&v>g7vE%wZrCxTZ<_n&*`!N1%S5WT7QQ zr-NZ$ptmv|eCr}6zDufYES~_NixI~a;o5z_(t4! z>hDH^+A{X;VTu}IsLJ3g+1Dy$55K^{QeKpW=OoBKXRjl$f5#XfER2 zCH7ZmL^d0ranR77p926H*>3LShU8(M8fKO|rkoksSRdTyzm-kmz&eh7uTM_;#v!?; zM@xg@&2hE=l@X&?(zre76TXm7{Ax}3q7f`gDz8LF`n_>DG$#jziUCGolYLdMlEakR zJ6hZKs8}A<@;E(N@f;Vw={l($@LoV4UbtuU#j&>45-@_qM(5G5Hc(jjkdN&;nxuW^ zqYckI2kWr=SPwUfD7&VO@M5HVJ|d6`yr1sG(6Cnd@e&)asV!mZ+yq04s#{1LlMKM4 zFQ=Z*n@i%c*Mt|)<#3t`iv>sge+cOEvV1?fG!qz`KN7;APR+QWXGmXg zI^bYULX(MAhY=8t-%A9i^CIlSw`lY82%zkXHy8Wl(biMN+_n&Pu^a6s@6BvRG}%P> zZpnrv-?*<}*AJF@wLoe_sN+fBWf9TXKe=J%Lav}eeek93YQ-i;%^(;r&TDMrgSp>; zAQ!3Hm$6eQN3)UNkLuPf-Ff}vGJ1>Peup1kuBWsJk2J%KG&WNb!e$rn59bwgZR(uP z%Qc<|v&?Z*bD^D|P01Wa4Nlgjkk36w^j;e;6CC)Qxk$8j^19O=0Tcc@h5XTjOl2&E zywV;cbTd`abpX>r8nn+hwn$Gj;ZdLIMLh#na9lIkoPHVCMQw#9=Pw}7qe_<{i`RvT zYW;)Ok?dbtNkJd4SY932py$wFC0XyDEkO!Tc({;Zov4qn{s9dh9@?cm4QtNHVaxVF zUh>lT7WZy8Cl60mzUbm^WS#~m3okSV=Ou)BWLlewF3hinoZ)Fo{DEH6T_zz)V3H4W zu8H%Z<92O3eW11OSxaC2WmEVYK!S{dvZqz&`ug(x^j|+`R)A+A-9DvgZ0!WW%iS&Z zYb;zEI$A!`OLmmj;ycy?HOa9+&NH-~x0Nxns3jvR`~D3Oy>z&US=Cezj>e)>pP$vU zm&s4kFWKMY8gqYG9_8KPF{N?m;r>XoTd#?(BW9P?CKq&BxW4Kp%Fs@D+a@qC^vKGh7>!Nrf3tYmWa>%c#xp^$&hB;LvxeNbE)^lfi!Y<>ea1)Hu2*dSk;Cc{lZ7LEEQe(ILn_k zl7Mf{9GmbIyN)hmR^xrW&mVL{CsX0i`0rtT&Iy1$fqz0z>T6>G3AgD+5O zY?}6)cNrnh`oI1Fi%ioD4e(@%=GG{?INK6J8VbRFm%ESX;a}HM!}WB6a{?7UpMmWb zgavSvZLDE=MxKB4>eQ1{baZa{3RV8J$;wx!Z6G#W7`_{f<%J=-KF#rV5F+#M#@;lu z@<^^+;KZXPlI+WDg{{8W(;0it@Hn=I%55%IB^6J4W%LkX=aC8iBYE|mh|Sc{U2mRm zVoGI^s1W7dmLoK&`|DP>Z0m1+AH&)#wIynk3XB4eMUCiM-eDT@Y|1gH`!4?%an<}d zSkHfD1J`8@q?FHZT@c>K);XU8Xf2=7<^31mRDR@9wOw%1BPBx84dQ)8!J~T!CN*l? z=h!_g?Sl<``Bv~#kn@Ad`c|W}j#2znoNTozpaXncjB3SP#x4SVdq?BdC8fR=SNznj zMfG|-Du+SOUV<{hcP8Nq6@(P}>`O9=VAY>u=}M(W3d_j2#=neL_#@Vbkn>>i+cK`( z;(4up*=WF{Lj14m=X4EqyhpxGZ^Fa7JH`7v5QOE1!_8F#V~Du}6iE+GkFizDDsE3~ z>F7j8s;4$i^QT*C2MW%iEA7cTvdsw4hI#H;2A>i6Gq_W4R>`;Ww5dSPWbBotvL-^l zr*Ai~T7HWioBI8op<+`Rvpc0@?VdbtEO8jWyFspzq}@f0U5xB06Gi+#PxJo+X3_xs diff --git a/Testbench/sigdel/db/sigdel.map_bb.logdb b/Testbench/sigdel/db/sigdel.map_bb.logdb deleted file mode 100644 index 626799f..0000000 --- a/Testbench/sigdel/db/sigdel.map_bb.logdb +++ /dev/null @@ -1 +0,0 @@ -v1 diff --git a/Testbench/sigdel/db/sigdel.pre_map.hdb b/Testbench/sigdel/db/sigdel.pre_map.hdb deleted file mode 100644 index 20167027b5603ca68a9e7ae82612544065aaf4ec..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 11370 zcmV-wES1v~000233jqKC0001M0BZm=00011WpZ4R5HZnCJ zFgY(VGA}YPF*qPoN+3*WbY&n#WNCD1Z*IjT0RR910074(00000002`A0000000000 z003$%00000004La>|G0(97VOBL_|dN0xF6KG#C(sgncJLl%1WO-3*zX8E0lU34Stc zHj4y85;qADK;(@C3{O!3QBi{8J1PPKMy?`6d;ub&g6O^9{e0c)69t9b|F7wqsqU(t z?yer>;nugcRb6%db55PAI#vCg9a5>(^@y(xiLdw7I|0WmXO#3Z4dXw{#rf%2u9lIv zj4UUAMwO2BDu#KMF_M;PP*iDEo>G>duX;?L2l#la^~{sTUl#XR@?a|Sl7~E&Da)m8 z)v{LQvW_^v4Wt}tcOLuss%0t2sZY8xjS1zjVkbn=6orb7nvwc~_}?t{=+G=~=E#QZG(u`6+g0aF z()$q|M7i;ao2nbDS>VGM#zWFcTJmsQoJ>_7l3pz{(W&(^A4bReq*2O}dbUa>ZIo2% z6@^yPNSn%IQ%V~0W*t*iUzW*Zi6xDs^_Tg}Wqq8K{Qa>Nz7;L&OxU1u(z4D}FU!K} zB@dYHBlf7|w+FdAZlXtqaP zeLGCg$BR1tuo(rUYEqJd)GXOq$)e6wj6PXV8UPeOiiRRt-E2sIFSgqB2Mha_iWf=ZgBadayf=M4Q|uH4<*BU*;a! zbQ90pxMP=rrlnbtcw})1%0OL~$pfVS<>rZDohPv1XFg_JAD68=;e_=nrG`W$H4}uj z)#Fo>E7$tz9k<|jZpr~LJ~2UMsmzR5AoDOHEn^b_6X9`~S>~lIHe&U$<7+0RX=dzz zk}e#DNs^py3go(crDy{Q1=n3exT>~$5L|!3#se&MrADyJ+dJMtmNG~buP{r>mmp8d z&TbSHlV14fjb5~Od^n)#Y(&Dxr2%{DO8nk{RlHTRd9);tQ#wC1t(1ctWPzVI!P z?MvFI_9bmp`;s=QeMuYDzNC$6U(!akFKNy8{k!72xWc8EE$fi>W-7fQ^U}p}J(*Z> zJd0#7k#Q-QSTR*wDT$bJ?di=7FCNOw&CDI@>dwqlYq@Uocqc?|WhiM5qJKljy^Etn z9{&K5DWiXrC(He*w;nGY&wJ+YE5N-B8))(8U_le}Zaz%e=PQT{a^W!lcucAj3rpM8JB zRsEciXowggS_--Q(nCdt~1*4a56s$%8tm;XJgT*De{T zqttskt@|s^nX}wJl;hJunY2Cgw5xh7^C)hFvtVal&0rwUXWG8!L1)U_i0B|n-KB0a zm19I62hpkZGB5ecV}Hu}q*3yedbUa>ZBQz8392`hQ=X}uW#p&o!!mg+F{F{SGL>bL zrd8UCmUU`W`2^wo<*o23B}`%ba@;a-ObsI1tj*K7X}e92o9X!z*AHx*QGfXLFYr6` zL%3|!xYHvX5&ToL00iP}#s5&7D3r@EiA3POQVY|CK9kTOe>NEzJ>v~L7n(EY5d19| z%pX#JSZB_f6Hh@Gk(P`Yxm50t_$Zk2)(y9z$i@nM8k0O90gB5X^Fi^Kpy8=RP(90o z0(p<&?icl@`+7d6Y{Mql;Q6S#v^kpK32ci$upbXZeCeuhO~^W4PB09~!&5KXex|s) z&bw*$#~IX=-lzqfbdnE9Pu<9$n)j*oYD&7V8j)7RZAY1Nd1>6;pFYhchH zo=U+9k-J~Qvfi$~`NvGm@0~ku{``q$<9(CI%(n^;B8eQmK80Av4E0EzT)-|`Qv!|=Gx2tDvZ}+@8oqcn==gsTx zp5NQi*U>dsQu|Z2DZk`_8*V@vT_1^J`bk7fBfK68#DVrxUOgNHC>`WLI-f3NvfLdS zgW6t|;9ykPwRg5Pa!x$tmS&$H0;IuEuSKy8%$jEMq49+FOMa=;n}H<9Y#}|6&o;}w zIgeaLFIPpnvl_aw)fRoPVhtCNL_v`&| zxL{Bi$LF8Iq0(YAF`k6v{-(_y57k+qsk1~k!KuH+M=PEE_sHn&4YHpE8h@#7MW2*9 zqpA2zC~gA(14!N(EELNl>AaIEj+AowbTt>ehDmO3HK_7>REA6!!na}rHei779Swe0 z0Ne?O(@um_3pLC_0n37$O{B>!?gtp_I#qURo@@y$8yfu9P_abc1cgoL!$Z+jjA(LE z!vG3G`YEWrH5ZyME>bzF`5@@gfWio=^k}uH(yRF(>^QKrVtt&d2sIysUTWXo2^ZQq zr$d#b=7XdzvxiOsO180O9%tXumG;md0LpbXozFX^bag>RHIM3wf=u;8FunUZ--nrCg5RGE{s7=)AM86?3I7o)!zM*5{DUq&lPu!jMSzv?`UCYZSW)NMw{=_%n zkJv*W1=QXxK4vfY*MRZ@2z~ho_6r$I{Uxep)qIf6e$x&nzXcNt2wi}8H4Mb3!Yu%b z)Z-XBOAYWcW={mivnc>x35T;~gi{MORKuZ!aBLi9P<%8R{6Vqabzwrp$09{NLj=+6PQ8xXT`kDkch*qw8T zi|me#?65{22Bc-jth9%|+a7wBJ@k5e=#K!!U#hcfPVat5jUmXXJ(vXiV}R`j;zL04 zg_Hu%G$Y=pRoe9vz%56{W1%{;MO;g{?`K{OzYG^l$mv+3P4HiZ!`V@U`z8MmptM+Z zNr@N0RHf8>P(S}VwAn$(-?+#wNt53Lx*wG4>UKs(oCVo* z)v4qP3!O!|>H?>$qr=G$l}4O&t~_LMSLu&n&WG#@#X{COAX6+Yf(~xfLT~GsR&l@M*rm z>{u?7t--lgv*lVTomrS3&a(b6Sd^nDU5I=M{WUtjTmhXoS`gO=-x8nW?i$BRDVrUv z4VTk{Ld&?egvF?c<>-`1$S& zqLCariO+0c02C_Ka#{?9a<+zM6i3UMthgV00E|m*B2E-glJ{sKS6Z;7R;g=?aXa|7 z3dIcNhHK3Sx^4yUkiPRP&hb{~GwLcomdWIbwS0E0ZsebVA$HZV&OOL zF7@;Hin8Zu)M{>VE|;r~VAz8D2<~&|26FjaRm|Bxf^R(IQdNa*ezck%s8z544i*=Q z2T1=EU)8z1cnSxgX-;US~Quiq)u8ELUqHSD)F!_zg*0<4fY~Zdg5_FTWF??H*v8 zSpppkSq_n&h587&7hKIFgs%m$hBIUgkBwt-N%i;FcQvJSxtbGGdqFN+PM0$aL=PSh z8p5Vlty;^kYpz``KiEYkjC^oEU8&@T3tZT7AOgimQ53^`3JcqANSdMw*(&cN)UdA= zo__^MNnLj)gs8#D69gPGO`f=UC04zDXU`j5w370HMPfl1dGWTJ3F%OEtGUK$2P=lQni8eDSEMd8U}JFE#H1C*E1a z|)-`Dh$_r?AL-lcl_n7 z7%#%r=X06JV+GKITFT28hjVp*Q_c=!Srv_12dR8!WwbPyuGZI=m_i+sQ0|O;?K?5H z`Fx|Z-YKPWaij=MecVrq&7F>>**VfFtat5Esba2T&B2D&byXnu1mjQw1^2M-0y3Jf z=1SNama^qav5*!9KNSLEP9t(`sDXyVhlmcWBkI`~qr=st=sb2QoJ z3S%%PN3WOfdt&pYW5xMl5&K~=GsthWfW2EGo2g>o4L2>7J|i}BcG*a_Je;jzhnX8o z=doHTRyeag3_i(iVaV}FR4%Szd^JDY&dIk4f37a3d%9^`8ieU^DQWt=Gz+_`J17{AXM0^Ba zl;jQrPaR@TVC~C^E$bL)NHUTxVE2YhBlvGzU5kAVM>hkXBg>>K8JuU@u)-SWVI@zq z(<8b1BWcts@GTI(VkbfwsTke8Yqm^&VGYm4^MnnBDY7(}%g;ct?gRF~AR(NdM|P%xCPG`4x<>Sw?Xx)D)*Su5i`II(AR4 ze<>0A%RsZ^^fg2(2m*8WqJyaQVFkQ5rMyukarHC^T>#oJJydWIvd?Cz}`7LmXv~tr)zK z4eF5-?G-%99(uApbiF9ML7o-u2k!)`Jf?l zF1T@hk(Z2AjG7O^pO+ASKJYtHKJ?FLo!p3f$3m4Y^Fcbi7d$LS(FK6M0{lz7A~%+G zaEme5`YhRW8nXdhxVtEg42)KsN>&F9WtMQ~2_BF}k8%jNacaMpuGS>j@=CEz_VG{Q+>HkINNsgQ_4tg221m?yX~$ zgPITG_CatHFGk{igmI2XVe+B2H0-K&sB(2%RJo=tTt3{623^}0RX)-dE+1_RmyflD z%XQ#lxv*UiDE=(=IGe1IHvq|HFjvU&enGWZ$*40+%?C}@PlAPIi$7%#{fs^Iv-Z%> z0m{!XVmDYnm2?KncwsB$*e&+ZZ&>Slm%Y%t?V$}w&`5k+8@3j{CU2Ei>7)AS; zol*TQf<@W%LZ^g>*=LWI{BB7e2f3$TfjKnujc@<`Q`5Ql?}6kdgb(x9Iy*W>791&s zF^$6FA4cISmmszOX^ngeNc@=|P?Lg#gg$K#<)^kSrOvRwV7Q$i6LAkmir&k54m;rQl26!hglle6|*mIMchy#hcxTfHAh z%fWc1wa^a$iNDkkf^3>U4QlEK0b~ym%izb!;~@Np65_8)h`$rQ=_`|A@WNj{%B5tE;ouCBS|h*qtG_G}hhO(d87&PIjcTGycoPp8y}e71>b_ z$QeV>K)pU0d{Z*`4awl2Oa}i{GWd%} zuK{nlfZYbD-3IA)d!cvOL%(hh-C_^@2B20x=S~1=-uUO7-vaWD^c~vu*mS`eE#TSU zOl~Nb9mI?B!?-5}N@ED}qIcOF+PeYJ2Fr?4eWk&^3VC zjls3{Lf6?tPqc@gWDh+VP&+HFw-f$5KVC~(vtn88*mX-ib;?1I`@9Hno;i-MTe~U>-Y@o(tNjr^K5@-`qN+L;T38g93T-Vs?}5Q=XhNx?j;VOb}BbT0nKulPk(KA%uWJNe~n zC!OrF#&j|uH}RY7txVvHqU`JmN$AT9Mh#U6S(pxho!p1gY1)Y{sz*z@~6_Oj1$Wgk0H zTeWWO>UC>zYz&?*R^EiX5Y&8_ypFCNvwX#5v^T|+pO?E7c#dH{UO${K;x`EKP868kupC@xPTgVlA^^ja=p;J7eEgm~@fx?}M!Xl6~FsMI{ab|3Ek& zWg$Z_Xtuo>GIBG_ z#+?JkCa0F;=ZOzQoiTVC#hKuSA$PLGP)9R_IesuHS>fbzTN>8Qk#sR;W!4F096}Az6A&6gC%c@jrp4`T;*(Wz;@CcH7@SkPD z7kFEtw4h=gYN}68)xU9_co%{yN<04=Oj~oA2U3v^nG18 zo*nj#6y>0m@^1hff8MDURrV|($=tiMjf&pSMSJ@!D*8=8M;xwGPwMOW)!3c#x?UlR zx7xFJ=NTmCjiO^>yLZ6+i z;pez;{7{49ygb=f9`;!Zz@@s0}>c*9ION+rZ=EHt@IvJaCqkYJAbyG^$&5%B5{k;xh0M zP8q*~ZIaKjRytgs5PyXYzQ3vI2Y{!3F*dKt7VJau(M{2*t6X%?Jf+Z+ZDqNuU37Qg zIbkdGHHpw4PNey@E_$xjp8F$-&>saFf5Ep0TN&+RuISD_PcJD4thV!Z*c7H^HeJBBM7LPOQHY34J3)k1Ug@=4<7=<9{WhQ@oMHtje|vo4is|l1Cj9Hk;P)re{Q(Q%O0WII055V7cM;HqJGer`yJqPz^xr@5c`|k=+_bUxf@`-4| zrvSg!0Dm#87GbU*7XaTL@Fhg|^-!ta<6|1wwokQO#@GIDlLCjNB^#qR+;{vz1!I>W5n6Hwlc7|9Oi zMq6IeI0h5%Mgxa^BIVB71bXN9`sPvf=EpdLLZS#_>IZnpNzX624zD;rl0pzcCs7K)~^Uc4|>>u)3hu@}xhg{clR9!9jpWSt^3W zADj?B8+iN9pOXlEh!O4g(?Koo02+U-K3d0y1;IO$!Ml>dyOY6tlEHhE!TSJ@HfH{0 zaCT=$LhlA&XF{Ko2z_p3^y3E29|bzfT-_DAKWV`KUnJ?T2tfY6_zYEM{lp6VM4dB+ytp~Uv>x<&S@W-`7t-Ig4!_O4Zq4%L zb%}VCt)YUczEO$Hvt4hpFZcP)@a)>xq+e4v=3bEc;Sx#eVf^ALe=ePQe!qtAuF5>S zNap#|-!lJlCC~fNEa%UY@@*mJF*LVvYb5hKD|x=6D9ia$2J?@D9-d3&k3^oI!DTsl z9)xu3KeufwAdhV7O#Y){Ttyg27_&eOy_P)asy$4#0wG@I!G?JtnquO$P!&R(wuWdt z!V74;)=c>`$sP*L9$MqVn}39#X}G3y{1E=AfJZS+Y&cU*bq%tQUkcYIk5~;7t_NRI zYt2KG!e8!g4bk*yM*(lHd&FvuTk5B1huCl);XN15qa75Q9&}9}i-k*}XY)YBbHD1` z59iSi3VLCW9^u}Bi+~5x4m~V*w4)m=#6D*s;qKxF;VavtnI>5@G#m+Xoe*8Fzx7< z@D)f~U$j-sqH)@eEqt95QXa(BFlpq7V&8<$@IW33<*~m43E$DTqZ`88!m;96w89|~ zJL+?OdmO1WUr13Y%&i^sLs|}PO|kICiAlIg(NygIG$}{5(nH&PYi&8&XymPm*f$y} zG(Ex#sJ|SRnmvTo_fp!zi_obZ6{jT5JfBuvjzfx;Vp-XmB78_`77K?w&nWItI{WoT zi_s&07jNB1m!gSncY*ErXsL(BR4dSW?pZ3(9Gj-;>aBa%e?e8$pey(4K6_73 zS8lrK%AGs~f8TUqe~Nd!uH!I3IRBn&-XF7X`S}HL0YQpgL`JIB$NdGD`3v^-7idNf z-&{Qy%0pvnF5nklH^+9# zzrXmGo>6!>OVz$LGo7YrtjN;_3K=jK4kg^C&j{0SvF)JHd{8@>J|jFd2m7y4{jYu> zw2!|)TPw|HzzMyS)>>`QX_s!e^_X^_&C`|eVW}B89P)yun6^jA1kxs9_;3)r9n~3x z8#$aJTx>fiu6+JFlwcEz{y@Vrw2;~_?XcJjiAS&rMGdSM+*)bmuktI&Mk7m#+0SS< zNGThi2+||4E#_;EYFo66Zo&4DQnc$Z8bsUnXlI_HO{yN+Q=R#MYyKg%+{7y+h=N217-c|{%n7N*8FhQHHcQAnNXv6Ar*{-+Ei=p zk$#BQ`x-=Z7uw0H_LRx(lp+He^25svPvb<7{KPjzSa26A9HjVie_-hB)PIub{{a91 z|NnRalvZnO6jczFAKET)>TWP50}4kI}@$$3JWnG@3|MQU!wGIcMk2?smIPoaE;2 z-uvBizVo~{%<|Y=#@HKg_W599yJ0MY5f1omJ!&bsW-FS-ji4m+d^QR@RbB5+^n zGprjHakB&da*9ajp^+g$Pn4$>k8%L4WEF7s=bn*oVIrAmGun!PRV)nuuAQEE7?T7= zxc)Z`DNdNxl(@0uKXnQJ3rs{0DKcT!kYqScAId=*1B2aO@4#SxqIb_)0vvs5^q}A= z+%q+Zn6r1WkKy?6*hs0U6?7_P=#-En+V<;#cm?G?py)#-8(;oh3>%+(c;=WeP4vN}#47d(>_-lt{|+Y7SOzni9#kR_P#)!4fNQeC z&5c=3cbi1gOL(I8ZiaoEHZVF+KRx{sZ_zV2n6u>IwZ(HfY@m{l;NerS5K!7ZLy;P+8s z{V?2cN8m;IW^|YP$*ld??#vc2(TOr()S>IB!&H@RJW%OS5G8~SFs0sN)6rtlcgde#Prp4+F~@>~u7(Y)!9sTEM&Aq(+U^cP?AoY|d@uTQmE8#ySgrUc>+|fiYox+osb|MiR zqU-{^Ly>timEAYLUAYKbv@dQfHxNm`Ze>RR(Eh=#Vj)PRta}aFNu-w|P;uo3<~75( zqDa*Y*^vGcJ;aj0ZRDu5!(zcxW{{S_RyuQH# zq=-3!ogEb`&|)klsBoi&)WI@+NDeKL0YJr)y}ezZM!zl2DPO&5*PZm$S%t zbCOAQgq&Og#O2WL@qo}Kawv5e$}XsL(u;l)K&+4l&A1w5L-6bD3(wrddj``$yf)~8 s1uy9sK8egw7V{>+X1fvNBo3wGl=-L&XI&%s7C~9g3%~Y100030|9K2L!VA19-aBJ=ZZ+{nLR=f^8njYLJI%@ diff --git a/Testbench/sigdel/db/sigdel.rtlv.hdb b/Testbench/sigdel/db/sigdel.rtlv.hdb deleted file mode 100644 index 5597348dbe42c9e4ae5160d8fef3b4a749cadfce..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 11279 zcmV+qEb!A5000233jqKC0001M0BZm=00011WpZ4R5HZnCJ zFgY(VGA}YPF*qPoN+3*WbY&n#WNCD1Z*Bo30RR91004a^00000001cr0000000000 z000jx00000004La>|G0(Tt$`cL_|e&5%Ga2G#C(sgn1`H#OdkjnKbF?Zo7LXkNvcn zOa=*rB<2MHMBd25@Dvpg6(uS@R#8z=FtRH`#9aks5f#M!_S^Nf>tjVhA^ZQ;x4P@z zs_J`h-Hw7zeSN3uR-ONxQ>RWnZr#T`Kb1<|gyWSV$EyS7Y0EkDc}RL0hw-1|;evF` zSM$hQ9?U0y9x5HnRSeV2<3U=+wnL>=c}iJ9zUn!79va}SmNQM7U|!sF$%C;>OCIuE z#>|(tRrA{A%QE7EHjr|p-FY75tLCXZBu4T)0$7z+@|H1*Q@i8XukK=Icn|dz(GOTVyB7Pat>2;G+Crz(RPp)4-x#iH+tJX}X2M!~VrEsArB)8RT z-?nOM9TNMe4iGa7k|OMZzpXioS+wB`a5QGI`orc8IaZ8?>YlVD4?Fomwu_VRS4{dPrGP z&vvn-jS@?}qR>hjX;XP_ib+G>EMu(d%RG55v80i-!MtF;ERPeDfADCBZ%4~A6V{eb zT9%p0WnNghyehtV9p`_87$a^6*WFuk3B0zt}P_Vz#-PiM-_{wz(^Df|2j{9wDs zlsskV6o2yDE}1IvGlf6;Fq^O>R_b=l58fzT=aPJOoJV8)LE%s4-;+;sBETU1I7 zi9%{72)s(;RoguXu0LVr0hYQ_BiQ91yWT>UGDsA6 zm?h=&kS1k!uaT5i)ku4ylXgcZHS4M>AZxWSl&Pd#5Sem*WXgfal>Lz@`yx|@HUtdm~BDp{K7q0bXkHDA^@Q-=a11HPf24HPf1HV5T)&)=X<|FEg##3(T};-+BVB z?YA$+mdN%cZB+Y`HmZF|8`Zv~jcQ-gMzt?#quQ6WX8Zn88M-*bWmc~2lJ;gSM?l;>`ylM61 z##L*DJ-8C%7(m0ZhOR518Jycf{5IH}UUmZxww8LNao+BbUSo>e;i8lU^-#~5CJ&hx zg`Y|zaZzF^N5)Ywq#?bui;9tX!PrEj@(9w)^C&cuR*hA8YMM0U$wSSTXLR=_^A6W5G#PD8R8ZbZFa<(-ixSTACa2M_iSoBcevh;9JCmwFj4edT8=TXgQzhAScWAG{2kZ*2w-?wqP^-7c;2-wOWRWn&3+A`Or6~STQ--+p}=~bl*U4zob=T3g&p!e6IqIeoQKbfrs3K5?1#23@ki;YGMEU z1q&BWt(+W~K7QfA$^{GhrhEGOdKdPooaJ*wzNhzThyTX08xFofVFHk*p$^AHm6|Bc z{XG_q2WapRfp;z4|Lw0Ik*$tg-slUUSB`uJ?x#0zI3 zW&@N)M!Xy?qW%)97M_h0N9Z~3&~x3P7r8?(29#DS74gRyY*ym6jH8vV1T?zP{o&FUs4BSwJJa5i5EVGIJ!O( z#rWem9F6d5C=draNO_HLIG`LLhcbmsF`MIx&=}PEssu-%z^%S>ossk6A-A{M{74`T zhS~?&GB9cC$w$Q#Iw<+2Qf~l~9CO9YP$AdK_osYv6_qO};FK{kUMTnxtur4M6Q2xA zQNWoiyJc$iTD;LXAq#@BkHMWSdo4$S!Cord2OVsc@O}sHyRX|g4-VyN&jp<;=?6$+csZwf_IF`~&u4MWHXX(wBK>mIaFTB34P(>CaFfWio= z%y_M&(yM73b`n@xvEipGLQUJyE8OclrWE)%NiS9LB;|~2U zpqytjg+jWVsV%Ci=23?to2kAB2KWW~pNeED9bmxFjqXEXh&vO0A9{ibet$Cf1Avpg zee7r_{QD>j>lE$q4|@1)rqXt4#mbY98XiIh?dIUyKLC{M+EOL$!<}UbWfN}$bWBC}?3t4pi zWvXS>w9RHe?*x-yfC&YJPQZH`9Pz1e3xFc^I9z9i0bW7xi7@bNv%st2aMp})YN3W& zIFu0j##;@Fk4J;w49O<=L^Al2WbmcoaGH(E2hCOolzH}H%jT;mMiXl4-zT|4Hv!7s z33jveNWO-oG1#=;3_O}Y)sza;{m z+7lma>C=G4&t=c@ba&_(?$9&cp%(zk&4t=*fbgMh`GI!|Z?$9gTp;x*?uL_6Cv1$*)SamhvEN?$EJZ%_hJ{K<7*6(g{ zhyE~BUs+qze$`Jx(L!az4&7tXkg#NMM1I1(vYf+!b{WiH6b_Y!GVPDOA{F$ZXJ^!uZFMQlTM0g0c}HcKCXj)v}!2_0;iLtd26wXWf9 zb)BD}t_$K~l^xrofYn>4RU`YV>J9Obij|HA(y?vc2q;Y$dpn7ny!bi!(wpL975j2` z*s-ozcj))rp+5%H%^~LE9zBu$u^Z<|57`?V+2xEp8c4^6S>q0UhdcBfcj!&-(C-6^ zU#h!jUjM;}jlnjkJ(vXi?*O|w;zL04C6pqsG$Y=pRqFKvz#To~u~3~^BhIB<_cN`A zpN0!2V|hZOC6Z zBYz2Goc2|oH2D>v2Scfz-t^d5dQmP@OIP#7#pxya+M;w%S68|)QXWfZ@|6*XyGp+S zb3SBOEERLR?OkB%pj_h)-42^Tli>H~^E?_M4l)XFtb z5hzBAq8O%AnAl#9s3oeHtMNWU9qU>#@~;LdsT+d{A!;!41OZ*9#S=HL#H`mCSsSBO zv#XyB?wldZg-li~MCzF$#&gUV`QoT5i)o`gWcuYbhww%D(M9#fR93_6BZk5?kViK9 zP-tWh$~ib%m4Q`D7+xFpXiRFH9Y!16*Md9xu4%W@#y;(1pD8TKEUVTrsny@Sv|RU^ z10<<+Fz=ZR^!M)VT)&v zY7KoAa}E}?-cSW{Uog57D7c38CXn$$Enmjcu$-$@OT~;Z_~{T3vm23PQw>xcV~A+L zdVZK1odJ#<8?|j_n}&4uY^7AK)`z&7%v6@uOXXUAEWca~U_#Az#8;Cm3bal+GwOK~ zZCI;vrp_13<2AweOz@>%(Z?vh%+X?-FHXRi^j<&TcgE(+ffd(>C9H=<&mh0?BGzuj zT(*XFH->4c^jWc)v&qJCmC;-sE6n^vrhwT>vBKG%VK|f@&R2w4&xy^D)z1uJg+2rq z$Fq%Cx|haerR%klqV2h{nIFV9lO3nAPRrKnT*K9e#I;PZG~Ad~E{x6FKPq_FN?810 zsH}U_qi|!Wkh%!mEuD?U4D30e62~J?H9j$prw-94F!$xfl63+!BpJ&Tv3f(I8G<*i zZooQ+-p#=0$g-Jg7T1|BtT4y(>U@wNU|D;ZvmF-xIMZ4`9$b10L_Ne=MbqN2+Ylk2BOwS7xCVd@K%^#c(a%g-^ZWm+DTh+aU9TvCW<80P^x1>#sZ(Olf?7A_$e|J{HOldt6M-B|pTI z?odAB%xl78sm6=XVTG$N>C;*%S(OmK#$ENb?$EcpLpQiXHvu{iw!;NbI=3{NE7$n) z-B7+LmSlJpPb{_5xq{daNh@n?#o*1PtwlDwD|m`K^i+4~7I)}rfO46)VdMHuQyVv} zpKe+wkgyr+e0SLwxI-^=hrY`ldJ&-ffCjDuysh$pk(#GAYhCOv{1SKQr5?1e+|$%B zkdO*<`J#pl##hIw`Yd@MI=)7Tg`<g%pJLjTpG6+^X;#aO_wnjg9}#|<*}jhYPwp;OvJv3 zx&$oHk7C_flXkf@0ruSquv-&gFH3;EJOTEK1lTKq?MN5Cs#A=v?i8c(TE87mjiHB5qI>#YYf$ciX#lta4D(Hg4|&H}PU5?nfB=coZh@??}b2?Sv}V zbwrixJHq7yov6?a9Z}_j9pUn!j&S*KN4VSwE{+r1O@QL(u*TWujQkjooCfp7Jnt9O zO4Y2|v(&V$t9~3T9Bcducj%|wp`Uh#eg;r}dJ(I^#-(I>xPs@7u#OvTJ}9NyOr~wN z`zLo*J_{&*4&L%Pz&bJ~-qI;XpYIf-FLa907dyr1Rxo;L!(+rv$uw>p&Be+ccl@Mt z1Y?`Ce7SS1zS61c-PS2aU+rAwzSb$7ZU>{zUAEl;ZumKL*slZTIKpmshknCZ-@Dv} z-t7*($64PU&d6`Ot9!4r(C;`S?}Qf}Y#xjnZxJlXWfrH)c$j_ecsV#M$#dKA^dDdj z%>w=%ynkxC7XKBHT!ipp-gyZJ4&79zG1kVJ9IC1=vH^=Wq|75DBFdxt!*xM7kUMt+?kWtz^XVkZ4-SZ@a!Pn zUFkp{h=RTfXmZw`%aUN5*sB3_v(VG2(oIy*jCl|0mv32mLZ6f z=QjNN6XLH;h`$baHr4#tnqQw#@&|x-Z0Z|4rQ>hjf6!g%hXKXUX?OO<1lW%NyBFk^ zCwjZPdeWszIyctc9slLxkAe^1itK6xq>RDls5d2pZ%YRMSTgv>lfgfc41RMm_$QOW zKLt253ir(dJjBj~$iofRh zj#+4wtKfe#L)ALls`_1b=zW0lA(%W~n#Btk>G)N?9~|8J*8|ST@4Hv{L3ilCJL~(9 zyU-s1>eMmUKG%83T;~pbyE}BfJ9Gn}Za%otUFarv=w^55Delly0d=#|7I&ei1IkAd zo3~EX0ZmQY2DdY!%jWir8orJUw+e4}Mt;K?d8ae-pPiBa;*9*JGx9EHMbEf)9%SigTTBb4GsE8TmCJBifl0gBoskhu#rcUl59K zAxXi!s$pI?{^?x&k6-bNs(e17fle1zZJbW$RyMzbff6m)+=LG@zY0fB*HL}_NA=B5 zr;p_etd(Wy?(QS-fwG=njg^<-dly8?LnFdwfUEtK#-2=P#OjUTe8BG(vv>L?U^wHH@Q;&WR{cBFgIN5X05 z090L^FY}8DxgtI_Bfh+W^ZZC1FR<0eO2cA9LglTdZFZ+6HW>#;UUO$;WbYgn_D*Sum!%$`nzDlttcwxlrEHTuPP{gB& z(2EkG^NG;MCPFWcj~;B9tq>orEGNbyq2)Mw>mM55JZni$rS8TFznH-fMe-H4F@7P4 zUs%hjRBD>sDp>53o8mlzQo|IcLbCjfW%xF?edpM{Y$L9@?xfGgww^8m-seh0su%RL`RmM`#q38)uUE|Bt@d2|k5^rZC9zoG4g%nXfpGDl5HMOu4FweNvful- zOC8-k+GDHA@~8+N-1nH^g?0DBh0(T`23u-8EWH{l~=%(0hm{FE#W4A7W}kZ1Cd~ihrAf_`#O` zCjd_M?5*8S`BjO~CpxI!(mZt%;B2^lJT$9h`;zUHU+szSvHu&PcJPzq*In_tHSy6( z#nvW5uLC-X$FEN$eM2JjMxYb)woQqIZ%!uslw|NzlfkzngP)cRemdYueC`b3-N&hS zBvbyK$>3)t(*5j2=yMXG&rMeG^E^2IQG+sg`I7BM*z*DBx)GmH8XnKq()iX0?_e53 zJ3bdAQ{%#9@OLGHUz7}fG2mSG<33rYn63r^8hV@mIUx2V0uH7kKIyWAUmi!QLMq z-4dO;)4ZFGunqe z(cJ^SR#Hydp>Om=_bK$gWIOOjJkc@yRN?*6MChC1qX%2Ux5Y=dz^RW#MsGD-Sbs4R z`ZUaLCiJcG(aPBHrTA#2-d_ef%3{~1`&V4>N~_%#UwftQUjsVAC6-P3+v5vYOm{~z z;a^V%zdw=g4>$-{TI~l8aHZLP>;P8`|41_Uqsibu@!%nw`Zk~YCEy%@+Yhid^zV}C z{(s5fzc=c>4{~K-&8YjehC%X)Xu{V3exm{YT=0(2*N?ZrcLzMmKxe~0-xD9w>^Ard zJn>;WfHwRd3GpxV)DO7uK|>|BgS&W`SG?}8Y|H8K4ai;U_||wTkr=N^$!j@KJ*|I_QD}X zv@B%|f|L)fOjJk2M=Px70v%z*@(EYqUD4sDr=kXiE3Urjd`eNw*v;qIW zk)-coLH`f%KO|htK|_{us)?hQnc*S$u{nyJc!M{zYAS0%>t` zh;bw6<+9{2Q7)vveG~pS_ofZ2Ry8EzQMRTErt)SX5`XP-lYP0)Z-u|EeNFncbYtpo zQa^5`JdFQ%%72&6G{0ZNcUNVaO(fI&*WWVzA|=iH(9Gw*C*|8hOv5#Ia%m*fdnswY zqA2tEQie=p#cntOg0!gDzC z6BL>r9GZL<3zx!?%?A@yY;K3p6Y zY&or~Yn9VRT+LxP*ZbTd+&{P^@IkZ;ZOG9SbI8yf#(_sTL>tC6$kx#6mxC1Hs%s_` z&&Q|(inf^0TK}~{v0~Fo<)PKZA;V#`)Z8K59uA6WQZyb@K?7+Nyh`-Bwu7bz26<>w zM8=EJeq2y94Dn*G(+#DGeF;S+l%g4VpHQaSkfSN4d9p^~&gMrTAN_%QM!nmsg& ziHx6PZWorq2&2t}W=O&jrW5TFJ_BjXEgE-MeOU06q+948Ps38rDhLd_5GE)a1%PUhcYOME6=BuAtz0W zwqjY>o+8|(G>e5po@EqwD2@GUqs2HP$d+@p$NmbBv{B05O6!M@LBhxbihqa;-uo2) zSWGEH3Wb2&rBGX&aEn7` zJjRUKxbGpjBmKkuZahoe5Rnuwt6ue%)C~$`=Vdz%OzQlaJ;F0w{X?^dnxQe(GQt)6 z%TQr;1^zRAv1(i&@+5>F+)Y6{0Z>&(JETK_V{kRXxI)YEm3Bv}Lh21Zzw+#lkZ*9-YVt z-$Lqy6gv+#7Q+{x3iO6IF;{I$I}c$xP|r}zSPX7pbq9y>BiyBS3Da<~ouJT$oK7&^ zB|Nn8^e?0O?}KB|fx!%IYBXQ)CLG7K+G)oK7^=XPyu8d-5)Ex^SjiyM#k# zC=$1vHYoj_H^VO3n9=;S6GjfdAEgcS+K8(u7M`JPAvM=hqEeU!!g*+VXiPO8ov@h3 zRLc;PM%zhTgJ^ZpD6|Z%F5x^hif~P}o~=!{NHXJ5>NPj#3w?OB%Og0GY#1!_q77{X2|X2w4aN zg;`uiX+^9B7S4P%bqXc|EOP;_Hd`{o+%9G{u)J~S&?STo&0%ao4s0&%AAI?pPfH3@ zVph5P3OCgbuDIj_TYUAcccsBLfRI!ka07tnrNN)y8QcWm`Dt+Q&fskTE=hxh`|{9e z_O-MwNQ1$?J8^CV$qU&M06o!iwHqb^FNHbF>y{%M(M7BtRMYh1UNvEN~B`}DbTNE%Wq(%CZNrp!UD7Bh4}ma!Iqyn3PaF97DyOQ*A=YO&~Y z(%N}>V%>Zfw-RR5svD*T>HR!Cfba)B47=Rz`tv+Pd$Q3f+EuXH%%!)n6;F+@smGx3I7XB)Cj9G zVb+mkI8GnRK^g;t-G2YTV1KfA|9S!(KQ?|?@D%Qu8AQz4yV*x@d}Ly*OrX)Jl%X?1 zj%X)nD2YnSeL&HN$2P$r1_$;g`vX~Ad|AI+H?a2s*Z0d?V?veij~r2B8%gSg-sw+J zEFwgOL%OMU!ilOuQ!*1yOj6BF6pObH=YCKJ%&DH8{66w76`(-WWK&{wuYnEnFOXfda+jJB}ktO1Ck)wvZ3nN!Y>&L(R z&_>rHKT+Dd)u+3$&3EN-n9W8K$o80EP1%5vj*7qZgw*_95Z%%i4fV3XGc6+XXiB6OQ zqYhn99j3Z`^Pwu2f+!(ufEo3c9O0U@g}i^F?&3+5jP?n%CdC{hl)+;>8(2FadTx!T z%X2OKNAqSwJF>c!n!?q#^8?5nqbGtJlS~i@4~%W;3$NIPh}xNPqi=-^ukn8pu$tH* zkUHv1{vbNnYWPnHVH$CdJDTaGQ+)c;9^{Hcl%2vmRGGI>*?s-%m5Z=N`{Kq*2O{Oy z?c~?WD>pD(8Ac0%niX~+sU><|rGS1iKk@UkgP2sP5d(lgn|InX(K|f(-2~{_^E<^j zZ&nAWEfn+(79mB9Joal;tU}|k)sV`~2T2_)(}(2H5=jm@9g?X^LFhDef8g_=mE?VP zD)1c2L#zljbtF{}8Eqc+I{-F2`>d{$*MxR@Q~DqNrvihE=_8tQ4DLROE|-b^I%pp$ zLM1SxHdE5WJm?|gcelN*B2(vixyZ!j$YYa=&?Yh?a~O&#WGJK;{Um@${2?o$aW)LU z&c5)>O{@S+1M!BSXA``SOV F|NjLy_^$u} diff --git a/Testbench/sigdel/db/sigdel.rtlv_sg.cdb b/Testbench/sigdel/db/sigdel.rtlv_sg.cdb deleted file mode 100644 index d586076c88d3b4c00ece73886441aa531e75cc6f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1789 zcmV4R5HZnCJ zFgY(VGA}YPF*qPoN+3*WbY&n#WNCD1Z*Eg700000001=w00000008R(0000000000 z008|400000004La>{-2Q6j2c0_#MG7{4V@JFqMdj(ZmlVr%51wBu6~O59CNLieE&D zABBa8MG6ZG3kwSi3kwSi1&b6G79tjc7XAU+Sfx-<5%v82-t6qYUG^^7?A_rlnK1kI z&Agd;GjHC!x0hLt(R^s&UaD!e~ZN;QTwaGCmK+B z@C_IuwGgOj%OsKG3A8kkjEt07w4&1vWE&Sb&q^TFIO~87_*->LLd?MIHZ;fSKueej zTmftZUOsznxNvgIDZCOW8iY~`NcR;qtAfB%8cmZ^(2`Wa)}#trlPcJjRKfP73fkf; z;NF-8EL^-`R*R1~DZsofTpY;{M@UnzXp73g@7Q6IKm%7!YX;JE9P_?{L>b5#B+5W4 zNR)w8kSGJGAW;TVL81(#0xJXGV|{rHd_l`R;8HOUCPFTM2av!T_8IbX(GC=>Iz^@r zENDNBncv3L8uTYJQw{z?*zK4iLd@9&Tnn5H%nS|j{BePl&Ip9lMOtk%yGAx#l0b98 z80cmznL+X(yf>$6i+Vg;*3R<`;iW3JtTIt`Ti&T^TdJ&Ix-oY6mESg2$q=fk_S%`U zs!6rIvMOJ-O<7gC+NP`%rP`)yP%tzDYfvxLREct7ZcOKbcb*Y9!aTDE_|DDOz~W4f ze!awLA!bg%L47gW;%KnPs*+2b7f(!695}yGpgnT3?>v+Wm#$r^??^6tB$va{)xj`9z9FRg88@?KPw2V^2 zG9s^BTbsKFK$@0`7Xx0(8A-;CYo&Wmq8uITpOy^eREB!|m5^Te$+1nqX_@I5)G80g z{`h$<`52wo{01U0rw4%#-8?V17gQ5v&I9keGI#|jS9^T!t<`HDHhCmedRHNwEr&4K$s4~J=u8s~; z|0kJ&HqLw{(7k2#^ad_jONA4nia)mlu_euq0(}fZx_Qsv@W#9Zh&c9`J8EYpm&y0^ zmWZH95k~1KaNLU|wFr>M+bU5g^~-Zza=sP$8L$ZGMQPu`zI_Ku#K?#-ZyvcZ7)R8K zMSfO_`)~1h>ZnRhJQo=`ReP0{^W*u;OMK4Hx577nQ`9eSlv4*h#Wf=ApRS?!8T7s0 zc;aQW54Gai*C#2}Vwthjc#{N95oq>AHo--?z4`6ck_16*0~KR42rD*<+ml zW^1Bh-#@_j4d9QVm7*K;z1QW{tM4ske18XzdF@WC@BFb%A-wKyQ||jtbsTNjcm8CR z=5^mw#`jk#_kC{}-(RNO_wF*jv&N-4=l7TK{YA=s=NYXKu655+?t8yFjyCN3)0F$p z9~BhBzCTI1?r6{o%SC900960cmWb(U}P|0U|_JG zkobuaNHYPk3=liJIK>CMJBGN%2e~@?`vr#tg*u1CyZVI$MKUoc02OR|T=y5IK^mt9 zPd_(*W(E$R{PIH~}=JOzv?T%z;8sbr2uOJ$_C*OKYtfjEgeGx13L}|3uXp}2Rt_FK#G9{ zh|#rz)MC}j304lW5d=UE}AiLODx+zb^!HNPDbR)X{>0Wm)iBlLir!^6-46zg={2n9T?^p8mXG zQ(T^_?&E{10MQ5)E^cmo3=4olN2ASIKn8#?EDj)H>mLA&tPuYoeg+4i+!~+VHEV(N zIv^GRVuVqiKv(+;Fk}D)_fGinL=Q;oV<_?mDH3Gx07^K9ZM?(^q}hO23WyOVfozNq f@DGBfP9bpk9-V8`2vh}jAV^zaaHKB)00960vLQ0n diff --git a/Testbench/sigdel/db/sigdel.rtlv_sg_swap.cdb b/Testbench/sigdel/db/sigdel.rtlv_sg_swap.cdb deleted file mode 100644 index 96b5aa39c006c7afad52df509e597f6a36fc76ef..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 204 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4Lk=o#u6C^(g7=Ah@S zBqW?zzm_wF<&uws4*!vJdVU`dzUxz5_tA0Pxqo#B6&)9zQ~b#I&r$K?zCK1D9vuf$ Z1BOe-xsNQZJUa7b$jm{0mDxNhM>dDM~L4}%0I|SDNB{r; diff --git a/Testbench/sigdel/db/sigdel.sld_design_entry_dsc.sci b/Testbench/sigdel/db/sigdel.sld_design_entry_dsc.sci deleted file mode 100644 index 6849b473edf75a534f5d1379c8d1eeae247c99db..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 225 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4Lk=o#u6C^(g7=A$jm{0mDxNhM>dDM~L4}%0I|SDNB{r; diff --git a/Testbench/sigdel/db/sigdel.smart_action.txt b/Testbench/sigdel/db/sigdel.smart_action.txt deleted file mode 100644 index e04bbcf..0000000 --- a/Testbench/sigdel/db/sigdel.smart_action.txt +++ /dev/null @@ -1 +0,0 @@ -FIT diff --git a/Testbench/sigdel/db/sigdel.tis_db_list.ddb b/Testbench/sigdel/db/sigdel.tis_db_list.ddb deleted file mode 100644 index 9b5e0bfd65530f8dbe31ba9f6df3b390e75ec127..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 238 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4Lk=o#u6C^(g7=AgbRXy zf)8^nnb{B_*Wql_{5W>bqgvU&GIwK}A2G)?H`z8j$NY7AWc&BdpP3RC4Os~cOU_Gl zbbAM_S;Hk{+?^979W?`LOcXMfn)-I)b&aBwv9aDG<~j_~iM z`JJvo_hQ4MBBj-ImDQA0rA?t>5rNX$>RQsOdMaw_D(b3gy3$To(l%lDgQU#^!|s32 zwUGJg?-Er!e}m%&*FXH9ZeRY#PvG1xHENQa62R_g_`N>4D4NF4ZK~{eQ(#&4r=M#x zOa{0jD7#sv08r+y1polxw&Lo0Q)PK?d+9IsUA2Gy`OC$dmqZF~J4th0<<6^4GZU6h z)nJp6y;2=X@cDiCj@96fJ9cB9OwfxB#uRb( zdR^)IzUrlfx70SfaC%7GP-%{S*Y*R<86F1T%C-y9IE1loLFRONeSt|3;0`H*j$LO) zPZlDsADq?c(ED9IW^M!lAqi(2!JH|+0jRXC)~%~->+p#GM2Wlz3S@h8@{GcnEt8!_U?^irUJXnYayx=SlZm;;G(&;2?yop!N|*8DZ_W=jwcZyTNeKf zbA$Y9gyQ&}Be$n}tyEY(baUWeYc=>pN?7g0yRv4n9*gx30pgTaHKo%qQR`ZM5+34w z-aMAA?Y+rJi+ z2K>DQw~sHK8u@&pk)|&xtNiL9vVN~6RS}wm9(xmWPBAD0-jAC?ZIY^{SY5a&vTE@L zUo)-VrG?gm^d-xH(Bk#yDHBliMK9|w+nO*71#B%6xx1m@{S{FoyHEBN6R9tF8{c`E+3J>0`x6 zB<~{JfI^v&2liA;wcy_dhRiu$lLtMKelFQzYXdZVZ=AJ*&q7hQ3|up>*twPof9WzSnfOV zyA_@VFpU|W0IUnrU9a1ZWrJ5`5lA{83jbfJwq=mu17NXqC&f);i;0`WD87iN>qPy&rHeyE}hn2k26@tN!m@g(`zp!tHXJm&Pf7o!z!MxlJc zM(r3iW6Gky9q4%eN0a6S(rBak8}SDHmy629-0=g-Q#Wb0?;wbYrM`F>*`6cisEJkG>*YCh;_wta=T;8-@>r&3_cc$j$n z-iA#2Kx+=(I<0#Mm0jCSuVc93_UIKQ zaeY2s^8gZh`igQ66?*LB>5-uM?u!7dSZB>;%qaC+l!Q2Y@l$lPYT&4sjIeaZ+{Fd6K0DmFw2%UdPvH z=s?crHM%!&{)<>!CVYo{NoLuK-&-DhhyRZKjPY5jJ;D^a^g`J?fd+{Z(zw4E9gIK0 zAHid3HhewOJRDb~kn~>F_<$_`0$h>2OQ^J}U~Wc?jx&s7x^YB7OgoQDaPqSI6<)uc z(iOYJA`FQzlHi@@1K3W7d&E zss=6ZoPppt*UA&W;(P4mLkrIIDfJ6==db__G^gO0!}(ca``$q+;8|WC+`Ih~+$|wZ zLu{8EE!r4`i=v1X5>3<10_gIijdh!qvyL_^&qE}FMLVVbcq}6JIqrIm?!}O{eOV(^ z6G3j*1AIQVYOz2-KmgycadC@q_6){t_R>0s7xad>|L6-?MZ1(|5NugSwOs=xyMsH$ zcs?uaUvRj`)#ATKARb=M`~yHl5cs%C8`Ubd{PIFF2E!6kb>cC}t^TP2qOzh0x7A|H z^C_S(vOBd{=~F)&`1snR$#S74Gf}~RFQ6=zwCE=F^J}a1O1lh_ix>K|)J=QPoh#)d z77(|)cW~~rQPBW|l!Uf}U1CaVugPJBZpI!B>X^wBYP_8JcGJuyPdmUZuS+%ooeN{t zhK`4)nfXKTXo*|O@IE@TdQ=pahtnMb4j>;mxsNR*mox{4%=tnA3Qeuztz(R%m4rY diff --git a/Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.dpi b/Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.dpi deleted file mode 100644 index 96aaa29dbae1b80a34f7862db15f76f799d1334f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 764 zcmVuG0001ZoaI(qZ`v>ve($e{c;0YAxlV36O42SB1r!2XHBFHThFF-G zB@P?Xe*BC>X+lEA9`;ZzLL%oopU?5R_&D(P=b9>897co%Hx;d_Rumj~Y)*pZO=aTT zzi(G=^((kRAtzjLBK=Ac&nu9~5?fL>L-ZAp;CDjYgMEFP{3}|!Dm*E7F`;v%S!*b2 z2WT}=Q?<4-epLE|W5t|H^AMyBWKEM`!Hz0pWGTWZ1Q|5DAV6!ZJ8T1ie9I~qXiex& z@7lK2okDOBvz&d@dhfZ{9}di^+iSTGU$lmL?@rzOdb{3hLm(O1MUl@!?)n?Vef<{% zY4KzSgyJZR9q~LYRV*Y+5+LeIbJZ#kzfVRVL}WR~v>I(8$Wn^U@ZARMw%PAG*3^VR zip9(jQ^d&@mpIH)E^z3M?BS!?b4nefS;T0}4_1NC))=s@=aV2HE4FnB`Dj~X!>ooe zFqjvwalj+cN3+`L{<4;ey-_j?QMl88uy6&zi&PTJ_<8J@PgB#j`oo9PKs+CNt0-RU zv!+^aVdOPBjfS`AXf@QF%@De-by~RIyly~nq@HmcBElnmGB)jZN^kfyvihbWd?#?e zk3hbW5-dfA;PezjpI{Na$nEX+h_lq1Q!nk&JPATlb(6c?lH zOamMV#d^t+lkT%+IG>89UOu2v*X}-$5E?|^=Jhg4v^@+HsAm>r zcJ@{feRF)*nsZJ+>~fud8zfXbw>nvPYjMW>>$SFfcI>DqvWb^DKmGXUuODklZSLRM zv(Gl}y}h1({l3p%uK&JYU;U@*`+d8ry6>mY=fArBGxqPxk1r}d*Ux``|NDby`g{L5 z=Gpx`efsC(v-z?AYVK72?vF38Ir{V8=EqtV^&U=3R z`TQM!rPd!;IDVL~?8E;Bmk+Kj&AYWzbFt*w=Wl10?&j2vIM@{@zUKAaDOcB|eJwk? z>u!tangrMN+}FP5oyrQ^T(vvxZr&lTunmi@v%an}Pc>Z~xi@xmo_QjxcErWkO{IHh zZ<=*=&AoMz+h=cR5?%A6^x&?0zL96M!t$@Lx$PTqkSpxVu7ue4%hsfsuHK$~?XB9H z1Xk^;*bVE-gTpq@x_aC6b(wG&Q0HE~i0ivGS4W)^%vqnQ}q^7OszVh+^ukZW( zy7~6`ee(UEAIk$vn*aYR7;6Ie`Y|~Q$km022$&j5Nxe0h_=7=x5&N6M)!(0Qv-gqW5W%r)}R{i!=Ey2YVQ6WWTXrE{Nem*pBKp>Kts_HMWvo)u}s?Z5t6v77Fp z)h&jvLM%#o%vI+%aQx)-;#z$(=%vt}%mgs|(#j?83A2Q|3 fwNKyAq^c6~Z_~p&--XT^E&H&P>qM-_Bvrj0EsUW AW&i*H diff --git a/Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.hbdb.hdb b/Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.hbdb.hdb deleted file mode 100644 index d831a4ae2864a9b8380fdbff8c8f0f4b5d7e5a4a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10929 zcmaKSWlS7Ew>D1k;w~-jR;0MQ7pJ%uTV!!}iWDhQoW-p`ab3JPEbg$lyDk3p{odr} z{<@juJd@0sXL4pvCQnWd0UR9MZw&Z<77otg-}v+ob*=9tLaxC&p3 zzJh#Wp&0D}^^5m{p9wueqXd8aL=TjVQ=!5Up**E1!vEAJlpzOGQj_guCVV9*&(}LFsz|ry|(Ve9r&YI zosZ9)UoP;WnnKjh+se^$hm7qbP5C|TJdH{GVvIudT!Vd-y?EJ=up^Q8VmNGnDTeiV zL&QG)TZhv7{?-5dgCSfK$-CC%=yXzK0(U6sex>B zs{4z-2D>@4lkL^ri$AoSwUct6%2UM(4o;#Td#jLXavta~D|{i17X3DZWnaF6gZmBi zgez)9-iOoS}BqPYU{?he%l044G>ihSy5j;3m?H#~!*;L(@#=zUSKNlX_bg zKDmeMi0z^jp^hf`qfTL%^$KmOhqY+0Lv}g|snk)Ykm?J{4_Jl&qOs*h#mnuT!Nyab z!;{KOsnF}q%czyO-kbKRc>Ue|iJ$nqb{AGv<@2?v>)9?vmCze#r0_|-I%s$*u)}v| z31_apAom4oBSaArUdS^1cnGv)vaJ(PswplyYLq+Ol`EXY=) z_F$O$8_0$g8)YG3AyA8h7%O#Dslg*JMcMH2OfZ`N@xg1H-5YKIwWdY%jng*ZT zqb^%5+ZYw-O)Q~KPB^51Spcvfs5kpO{XJQ?x}0f4L1^3%gD*D z`HR9zb}tRP62olm94*XRgHGsgB$qk$k4tw11hvU5&%0g!a=Gbjt5f-9CUnX2LbB(Ub>Ml7S(+f}Cq9ENd+9a8hs#;bH(<0Zr$FM7fLea|+I3h%S zL~odzF_dzf#5_80W_TE%~FNc&mL6Zl~3u>YZcZj6-{-fen0!I0t8qW__5-z8D$)-kI3BXGPE`G{Lj*y z{Jm}f5b%Ei-@iT5K*0YAa`(EH|6KkTlr`_LZg_2tof^Mb8V8-gDuxLw8T!=jHWS-m zi7NgI0saco{tA}<3Sayc>alxSWzGH1DmRrb7pHd76W&Rc0Is85JIfgMuOyI6=+({( z@{yI`>qeE|eUkVNJpyZ?U{=ap*cai!pAX37$M(zQQ~#C8uOE~#lZp7KW>b$VGrAE! z2CW#r3<;Athg&5L8blSZG4R{;q zgT=(;M3GfwlF;$AcCN5mth#q*N68pM4iA{`3q_UGB2&I?#8Rk8%`GppNdc!9@s5|29c!1NN;QJcD zPiD#^tb7a<{f@$Xx;#<7+y^$pZMFS9&r5Y$s;Pe@@uJ;~7 zarwC42n?rNW+7}VJJQ)rDX;iBI&4~;myasHbqYq>Y@XDC)1vRD!d zATb$w|1D8YM@?vc_$HKJpTo|8BTANpk-`CUpQh=f?mno3vf?FQ2z!1&|e8ADX&8Ck$w!mAVZ+>Y5R+TwHV z*#~fS7<8W5fMzydy_0ptpJ3XSa7-Aw9(tqz^pq!@ZvQ}^40Y`VcYj5ez?lX-9u_P3 zq@|6@7|-6gUF56zyPsO@$R`D2A4)24N(zPE@DxcaC<;g4C@bJd_!j4VBxeneS3Ro5 z-!l4p4q^fncdv~n<~I0MpeW;3lkKERlMO0o*^_6=qePJrf8xhxH_}Kabu?@Py3~r* z=lc|a%AZ|wcmq9yw_8&-mGeJlnu{$;VJM{>-cH;Lrky0GW@2 zLniKeZc&6RP2t9eS7fr`-F4MZ70mBCQxJ<6tzfd%bcT*O!@DyNJnMvWdxp!^1l~^! zofa+!kfhBUXn#L6lX6flJ)tOT(Y#Y4@_9bbOQO>IH#NDq-R$w0$)C{SP#y*<`ZuOu zkg{FJ`T^!IBB~PBg8lZ`gVZ{U7=$O9ro@Jo?+AATm`Z7MvR@T~+g`~N+ZP8h46}SL zsV+1RYr!cP#E|lnUvV86Cq7FxB2>)sc6vrxrdmlu7f=HKQ1WLP0hprm1@9`<7D3Gq zNO-~c>40tUQ~|Zm6t2ZY(2rYGt>RPXSyXJ|6$qr_UQ+$x%fhd3cFt9K;x*Oft%0x4 zkCDr5%O%TK0xL+#-)a>dnE&D|(99hZ$Y9XTIN(xZ*3lEV2A?Acs;|b@Q7%a-SErIi zARA+NBI7*WO?pU8x=Wp-0HZIbnTRA8E+W)=#W6n#+gB$V&nDqtg_f%Y;t0ApP0w#k z;r4&G&+6L$v|6K-Pk3eUn-ntjG!yU^Xd^t$Zk)6B@Wat`eZs@2mpc+vpk?LY zXSa+w=ke=vnN_Fp&t}9FCl)_dg291&{LZMK2MIzTVtA*ERJB`p>h-Bc^*`kyaoB-0 zET1cFpPt$fYPUex;?YVI6*DhQ@6fD(6ln$1pciSe4rdc53A;-T#(+gEF$6)soYWI( z|E08aduQ$yx#QAcUX%09WQfXH)eS}$Y1+$6 z`gfM=xGbK@ww^r1Ri|bDb=8m!#0hrFiKiTW+XgeTMRh7vH>!paQYelh_aiNdE1W@2 zw<+?X8~-8v1m3bkP+ZExC*++{9Zfl3 zSgt|`+j`WIvy~fjzc$fs#11c)U}W!=^d0^;joW%A-NN!tQQjKJpD6@jt+3G% zDQjbc8yy{D|DAMI=zL)dS3}WTYsP&LJ~>dxSjG94Yk?aRhuxW#!0|TyO&j1SE*aUc#mxSAA| zPkoVRyvYmxaSS*t&lX1nQ+^tsEfp4?iFIEB0|DS#FW)@>jV)kF6aU)O{94Aup5HRt zdC3bQ0?f=2RGFxJzBsLOFn-I{b9R)xs#X`W;~!CO0F5H<`4Qz=ayD4NQ~f90sz}-2 z`}bOWBRs~TUs^v70+=5Apu zE!?o4g7h*#DRSlJ$>-Ob8Gq|=eEkd`f`Av4Q)csn2ljXlfkAy{+&LGf2(ie&Js)cN z#J3q~ah2*a$*h0xqA7Vuu0qyX>4)SND?((>` z;LlU4FvVa1`sPb#E3ipm?We=}`Osa_weI9jHm1!vJNNO~q5b)=r{^BV>ET(&ocnBP zFfG9R_RbpP_foE|l{Df|%Jot?+JzvN2u$p~uG1-HD-g`Pl8d2(6d`1+CSNSAE{x~` zrkKq+Jsnu)h}SUz`!jQ#cODXa#!vO9FKc(#3<9(@)3*0lh}wo=Mdsf6`!&(N&isJ6 zQ(W!~ZB4rO=bcA)!NK|?-y#%w+L;t>@)VPo2FyyR!%5x`z*+2$-56-Qfyam+-9(Yk z4_Bm&z@1%#j}I%8A%*uqRg9V!slBKIlc59cClQvP-*)H~%Yol4Sd7z1N;&D~T1N4| z9L9ckeblnZ24|C$vUU$|BKh(`VFuNW;H_{lie0YTb%Z~)C(&b@uhGsF(|&ou(bb8e zwvaxw()r?e4QlEY7KG>$NQ%ljSN#e;dj$g>)C18L(oaQ=Uyv(zHwD(TABq0)-IW0l zGZ!E3Bk3{%7!_><7=};U|HXqg*5VpNmC9KweS5pJzhz%Ic}Cxp_0!r*Ia#%nPtj&W zy$RGzU%ql`mUd!&Knab`+okEk*N7s0;t+u0WCObvRADd97pU35APCw^V%8CkFj`PQ z+Bi;!GqG5?>W14gWBQu#TD&lY`%}9lFJF|d?G@aSbC~?H`2n{3kGfPB| z#>Sl3`*gnOkv&t8(oi-~)q4Q#g#`jzIwJw^q2#%REtn1dm3+WjgJ!k#OuB~UVR&0l z#xH-HaK{f6gRYJfEIifef37)hCJsjB#5%H{330_w?TdhRW4Y{JI|yA8!#e`HCgDyY z*BqXBuLk*-%8eg}nv$>EN`kP>d=tD;NE!D%M8xvo5|r_vuFT#VlQxyQU(9Qr|M4GoZI-@O6J;%i)Zz8WqDC&W|&panH}FEp5lQimC@D zBzWmx=%1#y&`hx~>g9>S1+ke2aC@wb;*KdJ-?g%2ziot`w z(Jo+O-h$a&0K{y>@w0HB_N)uxRj5~6&$(OJ8=TAW!?APlH_!It6Tjf~5Os7|>EYh1#p&rtGQ z!fKFK!Ch)?Xr{X;;=NdbMdbc`(7QCFZH8yN?gxgT%eyoY#Sx#iaGy8>)F0)%ms6I` zn!_9Pq6EmR*SkSnVs`m~q~JdGBD^}jg4Z_+{ISyYWek5RQ!`nm-@9BWuG*mH%C1s+ z#s#En$sP0HOT{j!>V!NIk^`19adl}E$!9mH!F*$OW5s)!c*NatrqQ%=8aA9>Y*b7! z#ZB_ z&0FhL?CG>snJz_y_Iw&8?;Ur^%=i)QxT!oS^=GH%>h2}Hno2oqo+xOoksc_lKRnr) zv9&?DgI$q`qj+!8BJ%_D>VA5#nOPA694T!hgx1kLLJ5y#SZ5V=%Vw%HY~idAC{B2jeAISx;Nedr`3l@dlL5hRjVw)jz0>Q+IhV<3eOFX}eGd1O(-TdYTPeD;xpf&@uVsd{% z=(U-2V1Pg~K)Jd-VdL2(h12_-0!oQ=lkcxAw6NKEe%r*}!2jzE=nG;ZH7D&h|2VPJ>v)!+R z^XO`%Qc9esg8Sg6ZNw8{^@1m@9$?l=8fErGFBrCb~V z*9<(+dLGOziCq3`15(u=u_-A=pQ-+_s`Y^PyQLm~MUJRlxDGG*tQ;mR!lE`{oVz&A ze0IZ>G`q;#zQZ%4mQYpd=y`VMqORPrN)b>aziz;HdRtaReDAmA1=!9_VEc0C>bk)Q z9@|<^nC4>v4ZP$n4sCDJ2pI*~s?*oE;1C$uxN`V0y>2nP?M1=Qd_iZR~2s2!kYLvRqB1PhPb zj-=IksXe8{x58hOjQWC3=$$29&QJg=@ADn5gNx zSJqzQ8A%R>;(lc8vEQsVk}>LDM#x*2z?b$~kgK`0yHKZI6D03+iPKoGnN`fZ6#Sy^ z8Iw}t5_mCc2I46zIs@6*$r|0UZR*jE`rdx)L|_k?aKuVP>12h{FO?Vm6U_({SdmF{ ztbZ99P$Scosz&RCD1RUpOXI7dWD8MTyvLs$LF8*C(y6` zTlVJD)E+e#Ys>=Tl4$wP?D8qw*0?XB>nSXXUC79HZ5v17uVDN~{(Q<4&vx?XANp-! zEo|A5w5V(1aah$}!Lqhgrg+<5-Y@UF?o^X&7hz|gtmU~%EeL~*{2SH=^@Ve+h_fMm zVr>&ga|yWAPWL7=B#`WSTBmUo;n5d3Ut!)Qwex!KoEJ*izQ9xCVgTDCyi5JLFOCq1 z+D%IQBs>twF6z%)75VdL0hplx?ArNaqov0bWfeU5GNbB^6`2#ZB2XKwob?BQLLuJX zJkF1h?3uL@Ee;B4%w{La$b0R>JhObTYd<`5QaflyR4v@L+{)$n5X7RLZnwVQ$G#Ae z)!x(W5*E~r1tx?x#IF^29%}$+N0FyL$E7;;ZfX_-j{7dLu**49tUYq}q=UEh#AXXd zauMk=((~2|3!1N|cEw_2)=BNc=9a3rNYdHdn9)1sb=_b}&J)iHv_3=TxFq##f3KaV zchdTHSDr%7D5$AOk10hRojo1htSq=Xx092;PyM+J^Guc zdCWyNK8+3dg`Yl&Bx}-=A%TXZ$LuTfqY6UR?E4u>McAcY%W5sbi*b+QJmvXOASnPd zp<63%`8A{k_jhtT0%239PcM^B>9rEAVevH`3!D5Divvi05kqvEs%@F7?Jt#Yis+4< zgwxXuclUC9u6hRHyvM-yn2SdEgyx{pv41Gvm5jrVqJ-g(?4JR4@<9VxVHVEFvSsmV zlE~*@pn0SXcg@hJ{8p;nt`Y4^!8w!XZ~uPKQ;_YV^dwRWhSh5HFp=Sw_)I_=?W?2w zb1CKJzTnoRM}HowNR!wX7{84FcHTMDGXk^|jo^)oLMR+1F&w)AgR++Rvza(X)ms0H zkHZeVSe{b1p&G2lN3_SOPs=Pj*7HN0up!v8$r){yWsPN*UHmg%BCK5C)qu2V z{;iI)PVE6uqdml`(bI;~56MR``HtQW_DbcuvUk|gt4dnXr|oix?-(X~5OvrYmssYY z0l0Rr+yB0Fk}%|`aBe2@jp~F5k87-1Jh(dK%`T*b=xKFfu$}3*IhWn%4Gc8u#zX^sLN3ho3DT?ATz- zbkcI_cgB_MP`br|Q(pHp>ZV3YG4$9oynY!`4!Y7i1Y@xnt2DD;?3h+}iL@RR0jOh8 z+ASa;FTMgD-8_t<-4pG9wFTh%45l7)Cy7QKhk(bF)=*iZUq(dopNfR9`zUXjq}5u_ z$bJW?o2JUd0Bf8aL?%_z4f&NNjJ0*DwlGm3qr~N`gMFUA!^T#~BJq^)it;+L#!Pnc zk!HJ)**=29{;H20-9yFS4QJ3nnY$x91a|mJ89k!!aR-SLZCIyTHp6jhh~kI0U*J@$ zlgo9C7a1UXph#@mKI5F%GKIqvH-4ML%1s}0(YfD%i|13&l@#EU_E36bqRPgPqR7lo zlXT6w!S41k8h*2xgv(v|5-6!YSPCcRPi%{3%2sYvn{P6&IdS|;WOqKTtF6ZrK70Oj zh<(_DskzbP(cQ-ErDJV}Xw-+&7hhY*yKafN+T_Q-GJ!n(pdY|UxvQ!+dts9}e_HY( z!bb2@xjBx50q>_sKtpl52H*N7YsAQ-7Nz@~F9~QY@D2X1r%X*$kdJSGvz2S|o*9P1 zeC=NxC7tUNNVK1$6==ykNt*nrh(6NC|EqV5gGJJY%*eH@gr=I$Sl2t>DdPt|@*fOW z77rW>&A#94?Uz`;d>JZHgHq#h5g``GBDiJypeq4WdkthK`&2d?4V}fXs%-7~6Ytx~ z=7&zOtF^{_=_GrwcPkE`;zT;mXxe+OW9P$h11fj_5EK3!@Fkid8slw>cmo>%xa*G; zy(f4r&J&B0Ar4e z6P}Rt@dqGBU(G?fY>k>Kn!IStZ@qwKOs^)k0hOMGxLd6bzpsQUy9n2GqC-S=!v(?@ zEmg!O-3aUhO>*e&4g$)-gFn$OxEzk8Tx(F(igiH(hfa@E1{*|E=3h@VcO?bXQGHf* zoeafLbxyg3PebNnH&?lgMD8D_fKB>rXrdhtIYIq0=c*oa8509kd&<|h!$+K?w!fU> z2==hN0M>792cPDle+Y<}EX$T_c|w<=YYN=ge<7c756P2X%@8v+~lk$QH~9P}C%JPQ)0) zNul3dp`&`oeQuOVop)VTa|?c;hYuXmK5t!!Be2QxyuhrQn;nx@{ouPP53}oyQ~Y3w z(nzJK5#1zWMxiNCM;_UvdjVS!`h&XBfUzLG*eARZVb8)e#vf3l8Iuv^D}xpr5L)Rf zuR88qMINJyU#pLonQ1#pm+Ptd#P3VZJ2`CQ=RIxHfl7b5C5x9?oh3?NKWB>((TYl` zhNmWAG13~DFnK9)Ga~>ga|>B$39<}<+${K08qW94=yP0vfvm*gmAU;FT*`m)xmX!^ zf7=E2n=oC!6M(SgUyu<`Qq?!H*H{j)cvklcudy9)9seC#FGS;AU#5$raMh%39uW2$ zLMBwVG$L7g_Ljy|E=3<;(XR16tU$nX9pP42st*n|iF5hzLAbq_YA>Q5mAhFt&4S+z z&thDJ4O5$&II~8iQ!j%hlarW&WL(pbc7I6PMo2(kW7DYSfu|_6_baq@Iw{H^Mjqr8 zC=)}v&@f|n8#8}K9qw(cR9Wux{oF}vM5Kclb2oH_nSZvV$U^X!!>I=PUv(|aK9(BLYZp>|hro)!0M2)1yXK^FXM`!nmPKt}Je|cE}2{&HV zA1N*flYv3(^@A$J?4u?w@<-@r+w;}6Q|I+;?YwIpzn8 z;*dS*SXSHxF3LHGv5r>@VXBqM7>Zem{k}Y{EW|SFFsZG`bp^=^K^R*a5a9m=r}lw| zk_^4RHEvo-UlbG5gyb^{CA_<6_spJxZk#Z_0Uu_KS&MBx4?}o>fE4K zL3SIEK#hyC(`JmVzjuI(aUUIZAQsIhdrWV{?w`5sFFmShl9a!oIH>P%Zm&!F)id975Kk$BjlwI-#~#}ck70SuRxb#hgCa7rUE&Mf zimqkbUk_W3C&a(}rs6sr6pXz~{K;u!lD}=pgc7Z*0ud|$dEdH!O>$4-*y_!V^@~hD z0-h(=KAsHl^H2n4see5l02Tif@xjQKKL++q)5JT;Xld<@66na%zzlI|f5&F-3iCM4Ns?Cv$>Y9i z8l%(nH9ni8q z`9Fkwiq@h*MJ&w7!38)RM#%AOi}i(_tl8GeT*rQW=yzx?IeP2o#1;MPyDj$Bbmg`} z)p^o?6rz0*HA{t<1we9a!%D3sMTV6_lbtoXrcxvb)00XOmMZOv#vZ!$V!vQl^*yu& zz-YH$Y5KD8$%4Q~KY~y)*B<7Y2P8?HN3Jz zsv8#fnt0EPdhWzb%W(>g+1Z^NHMhTHmo9mZcvjvuzQQlhfE~QJYu*v*Fvv6L9D3}G z(T3E^C&{XRf1aY7;VWn@wiI1K?>`e}e0DTK=$bN$u+wW2sC|LUe%JIyr*!%eAUVd9 zY$MmkKG@3OWc8ct<+drL5t6hUD@x&vu(X9eS=H^bB*Rx%;XnRbDr%yiKrhl)QoI1! zw%WSfJUx(b{3uJXUNF*;rnR%O!I2lioJpJ>ci!BPFXU#pyPI{uX(J)6QE$nClrO_s z@JU~NFzxX+f{GGuHu~5Wy$CzzC$Z#e~C!xmP3RZ^NZI9 eh>ERy<0Vi)Wv9P{*#N2nbGQ=!*GBsG_WuCn!j#GY diff --git a/Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.hbdb.sig b/Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.hbdb.sig deleted file mode 100644 index af9b8e9..0000000 --- a/Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.hbdb.sig +++ /dev/null @@ -1 +0,0 @@ -7aee213afbf8301ed5eefc8c827f49a3 \ No newline at end of file diff --git a/Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.hdb b/Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.hdb deleted file mode 100644 index 5fd74e7338f0da2d6b721e25311b6b78804908a1..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10699 zcmaL7Wl&sA)Gdqzc(B2Ju%Ln9?iM__26xv%0>cCit_c?0J-7vTcV}=L+}*jn-}~La zw@z2@uC;o1cb&6)*QwQw4+jTVjt>7`!@=3VKiuzD+Y;nv>+D3u!OzOU%1$NeVe4Q) z#mmV<#V)|c!O6zS&cRQmp+NQ3*4>gy+QQcTy{{SN{|<3Z^Z$(m*MsrD_J8V;PygHY zf(` z)o@b5Cg{au17Lkn%S0(63d;t0iDd0*K!&&DTHiW~>|2`4d|HmuBr3|+ueCkq?%vuf z+aC}2PY>71HA-eJ`Hd*tj`0~)WYf8_LUVOofo~72ta1Yok=WL`F39Cx&P=tz0Q;CQ zMZP7_W4}&Ng^qbpM~q=Y1hMr7R49)(S}UR>Ok7sCl{2n23bE7|ac+QU$rwx`E5y_Y zO$0%1gfl|B88dwl%VwC?m}Fe-n1M$+Z9iZ;vkh_{=m2r`%Fc_=!0T&x;Eo{C1k`jK zYxl8hg&$iKfMFiB!{LnJr&(P5VXN8mv(#fazdLz?owLl=0i+nrxz;VB{c(-@#=(mE zucM6eGj9Hj{Qv_X%^-!sxX}qRlc`arty1V?ER`G9Em{<}QaIoGW3HDQx5XrB52LjE zD(-yOYIj;EGcr#=pRZ7dJ)TzZxOyy&@5yvv96cZdAlNP6PKWp3U#9SHGD%o@7)2!- ztDIR5fiVWPr&Z|KK9|=9HR!={D?iyo<7S2Xe%7S)=pu=nrTJMZwz5hhZxdv#@WSVmk!torR68Az?clN%ls3UI7M=P7za)3FsV0v}gTpq4 zRDrxBIjtJSEF%f_U#zRaRu{JVX~LL#yL9Bez(dv3=i7|hx8qyy<|pH_o5-uIrdPfe zzdmT?+-*wj+qQ+D(GxctwLr7y_J1MqYT`_>zwz^}#yyoEnVw;2!PbWjT-zI3KZiAR zaBU~gRrjJuo@@T};EwmP^>X+J;j1Lwv+j3~nCnH@^Bxu=u*n|Trwe@;*gE{m!lj$R z5c#MsvIu0zQ!XuK+LhkFnnN{PYgv>LDk^y;+Y5u}X10v5#HNz8&YO=ruQ)8ZL(BqM zFTJtZM=OJ811hVM5B1^l=qwNY@+m^h%@ z6n<(+;mE)4vgG2}W8341txV`m$r^CoFY^srhrd3}W7S3T0JXn|=vRjp4%k-C+ znAsVc*MBtoi&qM{WgiydRj-kNmVk&ShPmSZ)G%`tI79i$t}}aueeg6`6}~p{b66S! z>8!0-GXQiP`$Jul_V$%fy7Pwzm#SkwEzB!hGL={uS6PR2KCe}5;H*YsB3J%!#q z|9>*RwI2NLsq#*~PbuaqH4y-6ZD|Fa=R9M7H@M}n}Mje%6b$>kb0NIfadAq3{ z1UyG-T|cBR+p4#*6vYGV?9$pbcs{G{`F73OKDIe{cxBOs(?bHTYeVP4Or& zvy*fA`+UY0pH=?vPBA}f2zS1Y=M)DM8*%8=zHs36p)hK6?wq+{W+pwR_vPf3mLO9W zte2^owt5R`@hd;Vc9-G`*W=C#|M?jS%I>kS^JSpB{x*9l6(bY`ood*F?wgvD}|*P(dn1B4;@5J zB)>&3C3V(`f?&Fbxo5*UV(UDQquo`FEyjMLWHa2DXa6;6%W~Lr2u<$hT{$mX zuy6MquM><*NA;61Y;>ClbJkh)oP@C^2iJlxx#yF-2zwW9PwZ`L2F4<#N3HSAUMXPIHOwLzrM}?#zgm z-i6j)*B@9pMMTlGTZ8VDOrJt>@nscc7=6T=;^E+tT_uAV!xq0hLMt;jg(WjO$?`Gh zD!d!%%DShh?)=9++e=b_D38AsC*Q>8?lJEkpWDlTCveb2bldPz%yeaw|L4x=DUmgm zdgHm;Jca>_+2m#MpRunzm{%*PqukvFR-LrmgX=xV0&L>@2IwpX|FC>}5c__l`UDbH zh;1p?^`}X+pa4$&sZS5U253@U<{AciG`0kuo+ltS)%0oW+NnzMo{>DLjVh3I(1*uU zpxRV`R*b-WeiN^%OGE9urJH6~wTQV$m6CDLc9VTOSJyL(>v@Bm+`^I=k8>G|I;3Ji zDwrFW87$OHq!goaeSLHN6}YmHLULwUZ3by&o@unHX<6ua6W?U~V}3k}zPaD}Bot)( z=Vziw%36C6!y}lG<@6{`S71%Z+r{{7xG%DWfopZu@JBmJ16F43*?R;n4z_yx$mupo zVq)Pj^RljZ-Q)L~h}EdmGHLsC|8QoVN$=>A;JUyBk^hCux1Eoe3078`%?prnwK-ks zKh;X?`tx_O2XXnu3yT`$V;qmu0G#e-D*kqYW0QZG{zuet)DEb6?Ky?BQ(6JLdA3R| zSYba)Jg2bOCql*#uduR9ZNiBYeJsKI`XBQNB)YS}W{H87L5M4#{H(^}OZ>g4Jx327 z>Zw<@#uT$}XoN!lnhuVKD!R)_&O+UqQx~%D<0~fX> znKMvqKsiErmWeCqaT^MBwTv^+jDzDLcecX=z1FJQ?`jKMeTIfVM9AC7Umb(chIA{3 zE4{WtR6Z(K#7c6!;sbJUJOdGUCw+(QL)zeA`TuD3N0UGg@QIXBH;;#wK6&EW>qurh zk{lpPDk+RanFh44AZ(L@>#9oK{Ud+c0m!G8{67ochqhS;jvq>L{oS56Y^sZAj%+D! zZ;XaG@m)BF98>@31*x1xc}u@0#0F?z1*u}2v5_AK*&#Vg!`rW>AJromPlwLiz3?h_ zy-+Mn=t}aGWYzx!OKKz|G995n=9FxMJ$SPUAO^l>hCFJtNe)wH9x%rhjNE^PL`%FW>F3NyEJxO#G(_d^*T$*2zSCZ9``(#wdR4B)Aby{+58~3tU@*_DA4h1_Hk+8KYvn=C6u!LpC48 z(|rHzFf|~`J%4GGX@Yo9xmD|`fnRKjIBdw z|JG5)bvHmMRRvRTSh5!o!?Fh3ovZrnZVeIsR#gZHT+4#?Mf_7&36j!K)yRLqH}GK} zyoGgRV1zU7h_X(<*~Zfj0yscoRKb|FdVn8AK=Au!O#wdQiC-vsSP|YHS2TuY#@HBGO_o21aJA<-IJFkO^#Zn~+L3w}pry^b=D@OVu$*sp|5uEQ%R&L!)3(I|2SvXRWS zcM$2^VZBchO>bzEFe(=D%MW3?(6FAI!VlT~yG`=pat^0^F}wCR zPj>@KEGz#-cvn*Bm=c-ibHS~T*#JCj*=l8Mk}&}^_bk`0IYCIqBAjAqS`x!Mp`t6< z7Ll*~Vb&~r#=+$`xw^*P&X>WU9J&tp-nQg%{iTO$N!InwY`qB`vP6f|&STX~9w^qA z>r97F7v<;40g%p#N>$12=%n-~|EFcQoe*iU0X@1bN*fHVTEv*7%ErAWAuraU`O9du z1m@WJZ+DP91H0K8nuji?SMJo063Kdz+EE8mv>GoSI{9fr-lTa2Q>5JGtoAz*u$6%5 z0@z^yl*xfZytBX)0%c~J6PEqey4CubPup=Pq_#kPjRYn}F@f6;s(4IjuI%-0*JFuS zp%4UUUpWKEl0hS6h{4s8TuSt#X!mfioU}Nl;Wf2SEi2XeNLb5|M8^& zqRea-aN^@15)T6tu3Wtm1GGknjnZyyl8``wVKY)9vTma-YfG&Lj9v=O23I5Z8>QT{|5arn`&8VQc@G$;=d|k%(%lk>-=%69 zRSRp{#PH2MV;5=_sgqG9J}JvEBSOJ$zSa1t0KDNuwQOHm-88n1j4_!K%@G<)8`2Hm ze4g(BZlmpD3DbD{SUvI|1a1Jrx>Hpoknw*WbgC|xN6J)rec+tH0#iEU@+k)$s@|4;knajBx2qN=nw{AliRH3%p^~CgFKD%nM zpq0p4Ds@?wVIaXH?mzxpYO@0)3bjP1*^$%+23@Z8Xvac9Ww(r^GQNKy_McrVEEQk@ zyMI9uJkD>$8%E5g7eP5So64~h$IXk0AUK7LLDhsZp_i_cxS!N+ho0(tg2XR11u?bRq z!#VHk$b*A54BgBe@qYd4tjkAY#kea?azxv791ok+*qPLTP0qlav9our^72^*C7HAnn4Iy71mfnDNq!I%vvy1pFh~A ztAzc^`tW`2{c^#KhskXFNQ1LKnQtJ#N5rHX z=Qa(r-`M-;tHXLNBXCV$-Od;Jt8s9yP3!YDFUKXa7Y<*l$s-y-W~_W)@d}DKLvS2s z#IA=5P&^d2^Lpg@BUS6~$IwLh#uaDq(?!-MuQt!z;+~2w$`zL|_9xmx)0dK$V&Vrj zstfdGSpElD)E{2~u*Rxj^}QiyVy91`P7I-$bfKA;#Rt))hQzY zQz<1}9Ltx_QrJb~dFPZ4IBz+G7eojXg?bj{57I0Ouk7dDh3$)4ORu{xvOAJu$c(yhQX`2LCsaFHS<5D>HRA z9DO0usC;Xmx!fIGSdvecd;KE8uh~T`E8)i&`bwXlO!0V2Wm)IJ(M}T(+3) z-1QEU^$n)=4yFa*SA28EL2uKFYE_DQZg0&M!$yDkMf_mSap}o%382DzL}2`}i4o=3 znq22=XSp4R$qcbvlAk^Irr&4<0oU2v=ut%(U+uR9jcH_j4Wn8K2Y-6*_GX73?Myn; z&J+C{NAXB7F`8qq#CziyeMMJz1~S|+a8DgJ(a7XBb%w%NvY%}Ymum&ZjZEhEn+^8m?r){w-+H{nmJ%Wd+4v%glRZSus$DJZ zvZm8j`>1--G05tRcro$-j}S>EI|h2Dly(x18pWv5Cb_5BljtzZq~5_q)|#0|*6#es zIzMDyBwC-JH;M{HaJ23n3lj8M9bQ@Z%*k7C5{i>}$>NT?-&*g>+j!GtlYbz2=+=Tj zr45JYNYIjlA&>0?kpcRJ^6rkO`qzqWDq_7-#0w^j`kxd|sD?JEKg1RH5l^OGhOJ68 zrzpx<=w$v*O5C;J*qv*LJP%VD8AhBv=(KF5%65BeBgw}foqAqBZzjBDd72lWl8N-;mWIgJSUNLkU18yQ!EJv$DcakM{Iv`xzrBUn9|NHFgB;qy*xYgg zr4L46ljJ*-(|i)#J@M_Y1l_d|v`I z-CabP;p026ag>Ppn@U!iUrV|TEs@t^l~G^7A2lkG)NNMLr}SErwTHKrE+Nk-dFd%_ z>+!41M1~&{l9x_Vv`F_Gh8iO5c$ouG&Um6O%o=Jd*`O@sN^dPYk8etVANZsW2XK!uHY&ht&v+giLHkYZBAIk*y5W?au0lc)$d)LvXi)%%y#?Z zxYW^laXs%UdXJ0@O_kMIuuy*C8Fw6Sn~cWypbS-|RhrMic8?UcsB`&F?-^t$NA|rS z+QAg{EMwv4a~Es|zYi1mCIcUxs@n&`e?3ErMr9ZVnZdc}eLAN+c~8Hy|0DmRA_>3X zc<(UTX;YEef5-FpEu7*F9p$M-{P`1TzXY^zn>6XGIgVL`I84WziD;?V^z-bSz4;gk zZYyrg{V3tZnJtc=cI18S`|k##uv2bPy2`k{=LMYv-r@0H1LL#sMn)Ij+wB5_s!;gv zAHL{|=nmB{nUZHH^Je1H1~);Taw-;wQmi#T)kz16fmC(DtnR-Ihbr>8Gl{}~Q4-qw zR7V;lIGikP{Q>2L_0b$B9p7rk;S5p3(dX7hc=2+M}r z`jyR<4(r9y*rY3J@k*O|`2OeR8aboRMY*?Rw5LuA?MR+A{IpX)tNv++-2Sc;sQ}v6 zgX!Dg%j?Io;1ZR({gRKKI+9eC*8P4SrpZ*GeGM5S!1{(Z2i$^I#VHwUm8#;2mm||- zw$w9gPyU_o=%a7${GA2G3AS@L{?| z)gldkNwueTvuV%hny1`YH@ZK53@16rrUNMGprbVgMi~tDl>xl`1wQHsTqoLSq*={D z{Hzs=cfVf22agAyHn$Y0mVdj8lQL!rZAVsh$W&rs!I%vt8)Eh1DKKVEZY9dr?)gXW zc}7@;?w`nW`Yvc@sapK5u6tLDXelv{5~R35Hp##xmeAY5oHqaA=gkismtQz8X@x90 z7Hm%ur%O9*5x3qJG-C(G1&uS)5D^8KoB2P58N(D&lBj!B<-#F1wq8E5X74Mt(~9|Rm(!LM zamf*kd3(?QLb)k}e|2@O%63IOaANeO>r7vx<1e{;hIP@12KA?9e0rNGitVlnRcpFG zq{Ox$tvu+a`bo)s_sJ?O+S;zzN!$}tVe`)^p1t_i&4wap?G=0ex$(p}faBUm7MU{a zv6nPaA1B`?bkU3Af`w=zj~qXQV*G3A-LlswAQU3wc`LSb5tfXr(xtXoB>TMIDc^|_ zo_zUFwJwi@f~YT(s_>#G_=+?0)Lce~Pm@o^pvRPd;c10>P3#}-xkMC(`5*^Ao&_<0 zW&;O{Bg7REr0sCSED_-~hlwZXLvh{DONb|P)WO6!-^7u3#eVp45IZU)*@8LluZ& zPHpm)0a-(;`W_=lR)}+J;onId?pTm-zspSrLfaV(?pHw-8213=&f z%~}BkQQ?KzvVo~ zOQzLDc(LyQU6ql$W8$xl?xNp0GTQj)6bn|W>f>NWh1kL7WBjA%{_=GjAI4ApEO>}! zpM9dxm5P*`m7=ZfAy{+PHv@qrA&Vbnc{55RIO200>aw+-#XD3l4|vRza{k}>?B zrdqNW1+sK&q09ttp^mwJK+fW_8N&u7&P;;6r`Wgk5V?1dotuX-Q<;ROnwQ7U=l#f| zt{t8u1by3VZV}6kyx>{v{T9yKn-@GJ+1^1@8sERDpj=o#Ta|I*lCi;lPJKV9prOs@ zyXRel59NwaqdVP&q+Z{A{xnK}X0+Curj%q@VYmq@YIOrIuEZBST*{n&F=Sjk%C{<| zNt2Ii@&sZ2d+BYpu};fM^tD1)V<}vFJhe)ngT}0*ew>@?u(%(S)+J6#`ex;kHRRgI zhYRRB>to3)+YO_6Q_6E_#Y#4a@ZqB7+E6cIy?90 zhN$=d(>+Bvb!xCcZps)_F!6HR-W&;QOCDOx#s3_-8{2v{bbnNZdRn`bap$ICyX&j- zuykSx^N8);6oDIq*MSoj17M9~>cF>B0ep5tz9Y1<1M<+^iq#CYH5wTKJCW{FF) z2K;OyJEwXH*>leQ_`gm9H55kO!=~5c0WHD%X~H)Dn8GC)lP$Y8BA>>|17p5uwW!9~ z0~S7(;{B)CwUlpZ#1=DY0NotVyba2jXdtNnemf7F3ekvm&U2R2Zf91k2_!u(g#ONT zb^9c3Vs#qC_o4A)O6@<3Vd|oA+2ray)7({W+aqjU7&o^tQt8KUNw~9`70?lA7JGyJ zA*4%qe`@$+I*W+eFt9-j(-4s}YYq%fJE$9dyW&M>Ae34 z7fZu)gCy{;xa806E-6Q+QKsl7f3K>`%~#z2yAd8Ya}UR;lWew)2gj^8hNLfm9R3-n z>si#0^YFvjf5Z9vQg43mvoE)cu&?&%!+bUNbMwpV4i~Ws5m0k z{}k9wMT!S|9jJz?(nT3j=JpR9ufRUwmSrIEhsZpI!kkdp~HTL7WB?KyGtZp)_6a`M_t@>Q=zTkWY-1P#^Vakh*VC zQk~6H*-)ZdE(`(rSIMFp!{7U_Ls5bu`G53>h<-RHex-`)$4xofBfeAqqH%1-3x{Xy z#{pK?#8Bfj$8D^BVW$-J-9*_}4`j^#*_E@hk(y;+(_Jn*6Gc(Uz`?wUrh?i-%Hi^o zNyH<`hhAKCt;UWYd(N{rHK)SMCNEjBEGCFiF#KQWP8BO( z&K^bHMb}w--Bg_owi?nclWE826kpjy?oWebHQY#K{+Zvp4sdwvVLs_!-S!4L_)urU zek$QJF?i01NQ7U`h{L27lK?c+9CVdgQR^sBR{AZ=rQk-y(;$%G%&%pvYV2QM)xy;+ z)allh`-Kmh+|j<(B@9w(aRNo+^o*Ev5wXd!#`|j>$)9EH$G!;F)CZ9xZ8&^;n#cwG zbtU?sBw|LJuMY|1om^Arr$uR9yywnRoUIyL^uCgs$dif*xC3+b0utq%lz z$o^7UNc=Byh4AHM&Iki;h2TbNa+l@SeJPDFQcHXS7|9WB9o@N_&`o04_O1A%4E4PB zif#aHW1@fenBEc~U{PIgrhAxlm@T{Ry$#}x60)NPi{LE<|8%rV%(FE zP!=Fijfc#9Y6Kddi-M-_=hFWD{1Ps?J8veOk*mvyale|7)-OOs8~ZXEL)IJ24*>uG0001ZoYh%PZ<|06z2{eq_6Hbd{e>mlhf2LvJv6G+OI2CeY|@ou zOqX>OOa1W$<6x4IXvRwg9NCY@@@C$fS$n=s>?&GA9PZRYr20Nm4})Eptk!D*`P`#2 zsouv6fddrq=MWluNH3$e#Q=OWdZ*w=F$@?CaNxtIgMNr$BFEs*Jd~dFF@W!1;H$O@ zK1bSSYsK;gV7^tyRkGKyO;@S1V2??58l&!%QSn9z_^p-6W|D>QP8+IQKu6i>M7il+ zr&gKsvTR}gY0Gf4`H8>g-szzL@JfVQL`gslScpRc;)sPfA|Os!h+_hxWFbx{kr}ce zrxZwDv;zX9&qACL5XUS;^a+qN9wbFKQywHmIJ|fxMLEbzOQdKAKMZ;0@nl=?JDD|M zoECOhv9iD73Y5FO*{SF)%-av7NSP-Rq-dGviAd2h&lHiOWu7Y{Ma;bXlN2#CeNmFC zAhQfoQiF&Pe-xL&YDzEyl0s5&0g{9`jb>mnSGW^ zLR@v0xmU;4e`@LUHae-5IE{1fo@T6mcVHb2@E>KpIbR=DFNM7 z(=35*!EA>>x3bqLf9O`u+TpK5`G|+6O>-(GaKeukG9sW9Whr>SJ;oLOS jZ2q}jCtGS@y2Bqfe+7O$cK!(`-gam8NzIp5Uc{{roYq{Dw*Fe{*b)s99ny7$PoTbV`Py`<8PMLPp#|$%#lxQ2? zBQ8;wFT)ZtJKFVL2d{?>h#j%_`MUhO|72P1=#kBPAI9tmc$3_8=FEL(i_uh}?Fxl8 z3R5uiSa{82*eM$7kng4>rSdISpR5*qC0G+|&H@2sZ8o@Fj>1}N+Sd(eeJ)|gV9A7r zsGnG + + ci_project + + + + + + com.altera.sbtgui.project.makefileBuilder + + + + + com.altera.sbtgui.project.makefileBuilder + + + + + org.eclipse.cdt.managedbuilder.core.genmakebuilder + clean,full,incremental, + + + + + org.eclipse.cdt.managedbuilder.core.ScannerConfigBuilder + full,incremental, + + + + + + org.eclipse.cdt.core.cnature + org.eclipse.cdt.managedbuilder.core.managedBuildNature + org.eclipse.cdt.managedbuilder.core.ScannerConfigNature + org.eclipse.cdt.core.ccnature + com.altera.sbtgui.project.SBTGUINature + com.altera.sbtgui.project.SBTGUIAppNature + com.altera.sbtgui.project.SBTGUIManagedNature + + diff --git a/Top/software/semafor/.settings/language.settings.xml b/Top/software/semafor/.settings/language.settings.xml deleted file mode 100644 index 106a2e8..0000000 --- a/Top/software/semafor/.settings/language.settings.xml +++ /dev/null @@ -1,12 +0,0 @@ - - - - - - - - - - - - diff --git a/Top/software/semafor_bsp/.settings/language.settings.xml b/Top/software/semafor_bsp/.settings/language.settings.xml deleted file mode 100644 index a7d17d7..0000000 --- a/Top/software/semafor_bsp/.settings/language.settings.xml +++ /dev/null @@ -1,14 +0,0 @@ - - - - - - - - - - - - - -