From 881e124d27b1e7db6ef8a45270af20eb9d75a5c3 Mon Sep 17 00:00:00 2001 From: "Ivan I. Ovchinnikov" Date: Thu, 22 Dec 2022 22:27:05 +0300 Subject: [PATCH] ram32ok --- HDL/dec.sv | 2 +- Testbench/dec/dec.qws | Bin 1110 -> 619 bytes Top/Semafor_hw.tcl | 6 +- Top/Semafor_hw.tcl~ | 4 +- Top/niosII.qsys | 26 +- Top/niosII.sopcinfo | 28 +- Top/niosII/niosII.html | 16 +- Top/niosII/niosII.xml | 284 +- Top/niosII/synthesis/niosII.debuginfo | 36 +- Top/niosII/synthesis/niosII.qip | 20 +- Top/niosII/synthesis/niosII.v | 2 +- Top/niosII/synthesis/submodules/dec.sv | 2 +- .../submodules/niosII_mm_interconnect_0.v | 4 +- .../niosII_mm_interconnect_0_router.sv | 34 +- Top/niosII/synthesis/submodules/periodram.v | 13 +- Top/niosII/testbench/mentor/msim_setup.tcl | 2 +- Top/niosII/testbench/niosII.html | 14 +- Top/niosII/testbench/niosII_tb.html | 18 +- .../testbench/synopsys/vcs/vcs_setup.sh | 4 +- .../testbench/synopsys/vcsmx/vcsmx_setup.sh | 4 +- Top/niosII_tb.csv | 6 +- .../RUN_ON_HDL_SIMULATOR_ONLY_semafor.elf | Bin 490530 -> 490538 bytes .../RUN_ON_HDL_SIMULATOR_ONLY_semafor.map | 908 +- .../RUN_ON_HDL_SIMULATOR_ONLY_semafor.objdump | 11538 ++++++++------- .../semafor/mem_init/hdl_sim/niosII_mem.dat | 11573 ++++++++-------- .../semafor/mem_init/hdl_sim/niosII_mem.sym | 314 +- Top/software/semafor/mem_init/niosII_mem.hex | 1470 +- Top/software/semafor/sem.c | 18 +- Top/software/semafor_bsp/libhal_bsp.a | Bin 354074 -> 354074 bytes Top/software/semafor_bsp/settings.bsp | 22 +- Top/software/semafor_bsp/summary.html | 12 +- Top/software/semafor_bsp/system.h | 18 +- 32 files changed, 13206 insertions(+), 13192 deletions(-) diff --git a/HDL/dec.sv b/HDL/dec.sv index 7a8262e..f9f5926 100644 --- a/HDL/dec.sv +++ b/HDL/dec.sv @@ -10,7 +10,7 @@ module dec output logic [31:0] ctl_rddata, //memory slave input logic ram_wr, - input logic [1:0] ram_addr, + input logic [3:0] ram_addr, input logic [31:0] ram_wrdata, //external ports input logic train, diff --git a/Testbench/dec/dec.qws b/Testbench/dec/dec.qws index 937c2bae503e198e70cde1b045249fcb8255ea8b..045a66f76c7eeafeba764a56b7a06f33d5350a32 100644 GIT binary patch delta 13 Ucmcb{@tS3VJ|p91X(nqX03eD3oB#j- delta 171 zcmaFOa*bnxemxTd1A`AkHG?Tb3WG6&1%nAgG7zUS=mOc6K#^o1%Mb`tfLNCyk--2c zZVqG_0HF~OCo-e~VIokEF_1Q5sQnKFKr - ]]> + ]]> @@ -421,7 +429,7 @@ start="cpu.data_master" end="jtag_uart.avalon_jtag_slave"> - + - + - + - + diff --git a/Top/niosII.sopcinfo b/Top/niosII.sopcinfo index 692fcde..08e5c97 100644 --- a/Top/niosII.sopcinfo +++ b/Top/niosII.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1671715140 + 1671734311 false true false @@ -2034,7 +2034,7 @@ the requested settings for a module instance. --> java.lang.String - ]]> + ]]> false true false @@ -2705,7 +2705,7 @@ parameters are a RESULT of the module parameters. --> jtag_uart avalon_jtag_slave jtag_uart.avalon_jtag_slave - 135224 + 135272 8 @@ -2713,7 +2713,7 @@ parameters are a RESULT of the module parameters. --> sem ctl_slave sem.ctl_slave - 135216 + 135264 8 @@ -2729,15 +2729,15 @@ parameters are a RESULT of the module parameters. --> sem ram_slave sem.ram_slave - 135200 - 16 + 135168 + 64 false sys_clk_timer s1 sys_clk_timer.s1 - 135168 + 135232 32 @@ -6172,7 +6172,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 16 + 64 true true false @@ -6477,7 +6477,7 @@ parameters are a RESULT of the module parameters. --> ram_addr Input - 2 + 4 address @@ -7349,7 +7349,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x00021038 + 0x00021068 false true true @@ -7400,7 +7400,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x00021030 + 0x00021060 false true true @@ -7502,7 +7502,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x00021020 + 0x00021000 false true true @@ -7553,7 +7553,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x00021000 + 0x00021040 false true true diff --git a/Top/niosII/niosII.html b/Top/niosII/niosII.html index 448fddf..9411a31 100644 --- a/Top/niosII/niosII.html +++ b/Top/niosII/niosII.html @@ -67,7 +67,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - +
2022.12.21.21:02:262022.12.22.22:37:23 Datasheet
@@ -144,7 +144,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord avalon_jtag_slave  - 0x00021038 + 0x00021068 @@ -175,12 +175,12 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord ctl_slave  - 0x00021030 + 0x00021060 ram_slave  - 0x00021020 + 0x00021000 @@ -193,7 +193,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord s1  - 0x00021000 + 0x00021040 @@ -1107,7 +1107,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord dataSlaveMapParam - <address-map><slave name='mem.s2' start='0x0' end='0x20000' type='altera_avalon_onchip_memory2.s2' /><slave name='cpu.debug_mem_slave' start='0x20800' end='0x21000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='sys_clk_timer.s1' start='0x21000' end='0x21020' type='altera_avalon_timer.s1' /><slave name='sem.ram_slave' start='0x21020' end='0x21030' type='sem.ram_slave' /><slave name='sem.ctl_slave' start='0x21030' end='0x21038' type='sem.ctl_slave' /><slave name='jtag_uart.avalon_jtag_slave' start='0x21038' end='0x21040' type='altera_avalon_jtag_uart.avalon_jtag_slave' /></address-map> + <address-map><slave name='mem.s2' start='0x0' end='0x20000' type='altera_avalon_onchip_memory2.s2' /><slave name='cpu.debug_mem_slave' start='0x20800' end='0x21000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='sem.ram_slave' start='0x21000' end='0x21040' type='sem.ram_slave' /><slave name='sys_clk_timer.s1' start='0x21040' end='0x21060' type='altera_avalon_timer.s1' /><slave name='sem.ctl_slave' start='0x21060' end='0x21068' type='sem.ctl_slave' /><slave name='jtag_uart.avalon_jtag_slave' start='0x21068' end='0x21070' type='altera_avalon_jtag_uart.avalon_jtag_slave' /></address-map> tightlyCoupledDataMaster0MapParam @@ -2038,8 +2038,8 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - - + +
generation took 0,00 secondsrendering took 0,05 secondsgeneration took 0,01 secondsrendering took 0,08 seconds
diff --git a/Top/niosII/niosII.xml b/Top/niosII/niosII.xml index 11e36c1..3ace025 100644 --- a/Top/niosII/niosII.xml +++ b/Top/niosII/niosII.xml @@ -1,6 +1,6 @@ - + @@ -607,36 +607,36 @@ niosII" instantiated altera_nios2_gen2 "cpu"]]> queue size: 59 starting:altera_nios2_gen2_unit "submodules/niosII_cpu_cpu" Starting RTL generation for module 'niosII_cpu_cpu' - Generation command is [exec C:/Software/intelFPGA_lite/18.1/quartus/bin64//eperlcmd.exe -I C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9347_6187306962785413670.dir/0037_cpu_gen/ --quartus_bindir=C:/Software/intelFPGA_lite/18.1/quartus/bin64/ --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9347_6187306962785413670.dir/0037_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] - # 2022.12.21 20:02:49 (*) Starting Nios II generation - # 2022.12.21 20:02:49 (*) Checking for plaintext license. - # 2022.12.21 20:02:50 (*) Couldn't query license setup in Quartus directory C:/Software/intelFPGA_lite/18.1/quartus/bin64/ - # 2022.12.21 20:02:50 (*) Defaulting to contents of LM_LICENSE_FILE environment variable - # 2022.12.21 20:02:50 (*) LM_LICENSE_FILE environment variable is empty - # 2022.12.21 20:02:50 (*) Plaintext license not found. - # 2022.12.21 20:02:50 (*) No license required to generate encrypted Nios II/e. - # 2022.12.21 20:02:50 (*) Elaborating CPU configuration settings - # 2022.12.21 20:02:50 (*) Creating all objects for CPU - # 2022.12.21 20:02:52 (*) Generating RTL from CPU objects - # 2022.12.21 20:02:52 (*) Creating plain-text RTL - # 2022.12.21 20:02:52 (*) Done Nios II generation + Generation command is [exec C:/Software/intelFPGA_lite/18.1/quartus/bin64//eperlcmd.exe -I C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9348_4173096401569860192.dir/0009_cpu_gen/ --quartus_bindir=C:/Software/intelFPGA_lite/18.1/quartus/bin64/ --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9348_4173096401569860192.dir/0009_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] + # 2022.12.22 21:37:54 (*) Starting Nios II generation + # 2022.12.22 21:37:54 (*) Checking for plaintext license. + # 2022.12.22 21:37:55 (*) Couldn't query license setup in Quartus directory C:/Software/intelFPGA_lite/18.1/quartus/bin64/ + # 2022.12.22 21:37:55 (*) Defaulting to contents of LM_LICENSE_FILE environment variable + # 2022.12.22 21:37:55 (*) LM_LICENSE_FILE environment variable is empty + # 2022.12.22 21:37:55 (*) Plaintext license not found. + # 2022.12.22 21:37:55 (*) No license required to generate encrypted Nios II/e. + # 2022.12.22 21:37:55 (*) Elaborating CPU configuration settings + # 2022.12.22 21:37:55 (*) Creating all objects for CPU + # 2022.12.22 21:37:57 (*) Generating RTL from CPU objects + # 2022.12.22 21:37:57 (*) Creating plain-text RTL + # 2022.12.22 21:37:58 (*) Done Nios II generation Done RTL generation for module 'niosII_cpu_cpu' cpu" instantiated altera_nios2_gen2_unit "cpu"]]> queue size: 7 starting:altera_avalon_jtag_uart "submodules/niosII_jtag_uart" Starting RTL generation for module 'niosII_jtag_uart' - Generation command is [exec C:/software/intelfpga_lite/18.1/quartus/bin64/perl/bin/perl.exe -I C:/software/intelfpga_lite/18.1/quartus/bin64/perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=niosII_jtag_uart --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9347_6187306962785413670.dir/0031_jtag_uart_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9347_6187306962785413670.dir/0031_jtag_uart_gen//niosII_jtag_uart_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/software/intelfpga_lite/18.1/quartus/bin64/perl/bin/perl.exe -I C:/software/intelfpga_lite/18.1/quartus/bin64/perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=niosII_jtag_uart --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9348_4173096401569860192.dir/0003_jtag_uart_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9348_4173096401569860192.dir/0003_jtag_uart_gen//niosII_jtag_uart_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_jtag_uart' niosII" instantiated altera_avalon_jtag_uart "jtag_uart"]]> queue size: 6 starting:altera_avalon_onchip_memory2 "submodules/niosII_mem" Starting RTL generation for module 'niosII_mem' - Generation command is [exec C:/software/intelfpga_lite/18.1/quartus/bin64/perl/bin/perl.exe -I C:/software/intelfpga_lite/18.1/quartus/bin64/perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=niosII_mem --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9347_6187306962785413670.dir/0032_mem_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9347_6187306962785413670.dir/0032_mem_gen//niosII_mem_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/software/intelfpga_lite/18.1/quartus/bin64/perl/bin/perl.exe -I C:/software/intelfpga_lite/18.1/quartus/bin64/perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=niosII_mem --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9348_4173096401569860192.dir/0004_mem_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9348_4173096401569860192.dir/0004_mem_gen//niosII_mem_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_mem' niosII" instantiated altera_avalon_onchip_memory2 "mem"]]> queue size: 5 starting:sem "submodules/dec" niosII" instantiated sem "sem"]]> queue size: 4 starting:altera_avalon_timer "submodules/niosII_sys_clk_timer" Starting RTL generation for module 'niosII_sys_clk_timer' - Generation command is [exec C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/bin/perl.exe -I C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=niosII_sys_clk_timer --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9347_6187306962785413670.dir/0034_sys_clk_timer_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9347_6187306962785413670.dir/0034_sys_clk_timer_gen//niosII_sys_clk_timer_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/bin/perl.exe -I C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=niosII_sys_clk_timer --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9348_4173096401569860192.dir/0006_sys_clk_timer_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9348_4173096401569860192.dir/0006_sys_clk_timer_gen//niosII_sys_clk_timer_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_sys_clk_timer' niosII" instantiated altera_avalon_timer "sys_clk_timer"]]> queue size: 3 starting:altera_mm_interconnect "submodules/niosII_mm_interconnect_0" @@ -881,57 +881,57 @@ Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.015s - Timing: COM:3/0.052s/0.063s + Timing: ELA:2/0.008s/0.016s + Timing: ELA:1/0.000s + Timing: COM:3/0.070s/0.093s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.015s - Timing: COM:3/0.026s/0.032s + Timing: ELA:1/0.001s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.012s + Timing: COM:3/0.031s/0.042s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.016s - Timing: COM:3/0.025s/0.031s + Timing: ELA:2/0.001s/0.001s + Timing: ELA:1/0.012s + Timing: COM:3/0.027s/0.034s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.016s - Timing: COM:3/0.026s/0.032s + Timing: ELA:1/0.001s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.018s + Timing: COM:3/0.028s/0.031s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s + Timing: ELA:1/0.001s Timing: ELA:2/0.008s/0.016s Timing: ELA:1/0.000s - Timing: COM:3/0.020s/0.032s + Timing: COM:3/0.025s/0.029s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.015s - Timing: COM:3/0.025s/0.047s + Timing: ELA:1/0.016s + Timing: ELA:2/0.001s/0.002s + Timing: ELA:1/0.013s + Timing: COM:3/0.031s/0.042s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.016s - Timing: COM:3/0.026s/0.031s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.006s + Timing: COM:3/0.027s/0.035s 61 modules, 199 connections]]> @@ -1058,7 +1058,7 @@ + value="<address-map><slave name='mem.s2' start='0x0' end='0x20000' type='altera_avalon_onchip_memory2.s2' /><slave name='cpu.debug_mem_slave' start='0x20800' end='0x21000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='sem.ram_slave' start='0x21000' end='0x21040' type='sem.ram_slave' /><slave name='sys_clk_timer.s1' start='0x21040' end='0x21060' type='altera_avalon_timer.s1' /><slave name='sem.ctl_slave' start='0x21060' end='0x21068' type='sem.ctl_slave' /><slave name='jtag_uart.avalon_jtag_slave' start='0x21068' end='0x21070' type='altera_avalon_jtag_uart.avalon_jtag_slave' /></address-map>" /> @@ -1334,19 +1334,19 @@ niosII" instantiated altera_nios2_gen2 "cpu"]]> queue size: 59 starting:altera_nios2_gen2_unit "submodules/niosII_cpu_cpu" Starting RTL generation for module 'niosII_cpu_cpu' - Generation command is [exec C:/Software/intelFPGA_lite/18.1/quartus/bin64//eperlcmd.exe -I C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9347_6187306962785413670.dir/0037_cpu_gen/ --quartus_bindir=C:/Software/intelFPGA_lite/18.1/quartus/bin64/ --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9347_6187306962785413670.dir/0037_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] - # 2022.12.21 20:02:49 (*) Starting Nios II generation - # 2022.12.21 20:02:49 (*) Checking for plaintext license. - # 2022.12.21 20:02:50 (*) Couldn't query license setup in Quartus directory C:/Software/intelFPGA_lite/18.1/quartus/bin64/ - # 2022.12.21 20:02:50 (*) Defaulting to contents of LM_LICENSE_FILE environment variable - # 2022.12.21 20:02:50 (*) LM_LICENSE_FILE environment variable is empty - # 2022.12.21 20:02:50 (*) Plaintext license not found. - # 2022.12.21 20:02:50 (*) No license required to generate encrypted Nios II/e. - # 2022.12.21 20:02:50 (*) Elaborating CPU configuration settings - # 2022.12.21 20:02:50 (*) Creating all objects for CPU - # 2022.12.21 20:02:52 (*) Generating RTL from CPU objects - # 2022.12.21 20:02:52 (*) Creating plain-text RTL - # 2022.12.21 20:02:52 (*) Done Nios II generation + Generation command is [exec C:/Software/intelFPGA_lite/18.1/quartus/bin64//eperlcmd.exe -I C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9348_4173096401569860192.dir/0009_cpu_gen/ --quartus_bindir=C:/Software/intelFPGA_lite/18.1/quartus/bin64/ --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9348_4173096401569860192.dir/0009_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] + # 2022.12.22 21:37:54 (*) Starting Nios II generation + # 2022.12.22 21:37:54 (*) Checking for plaintext license. + # 2022.12.22 21:37:55 (*) Couldn't query license setup in Quartus directory C:/Software/intelFPGA_lite/18.1/quartus/bin64/ + # 2022.12.22 21:37:55 (*) Defaulting to contents of LM_LICENSE_FILE environment variable + # 2022.12.22 21:37:55 (*) LM_LICENSE_FILE environment variable is empty + # 2022.12.22 21:37:55 (*) Plaintext license not found. + # 2022.12.22 21:37:55 (*) No license required to generate encrypted Nios II/e. + # 2022.12.22 21:37:55 (*) Elaborating CPU configuration settings + # 2022.12.22 21:37:55 (*) Creating all objects for CPU + # 2022.12.22 21:37:57 (*) Generating RTL from CPU objects + # 2022.12.22 21:37:57 (*) Creating plain-text RTL + # 2022.12.22 21:37:58 (*) Done Nios II generation Done RTL generation for module 'niosII_cpu_cpu' cpu" instantiated altera_nios2_gen2_unit "cpu"]]> @@ -1390,7 +1390,7 @@ queue size: 7 starting:altera_avalon_jtag_uart "submodules/niosII_jtag_uart" Starting RTL generation for module 'niosII_jtag_uart' - Generation command is [exec C:/software/intelfpga_lite/18.1/quartus/bin64/perl/bin/perl.exe -I C:/software/intelfpga_lite/18.1/quartus/bin64/perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=niosII_jtag_uart --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9347_6187306962785413670.dir/0031_jtag_uart_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9347_6187306962785413670.dir/0031_jtag_uart_gen//niosII_jtag_uart_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/software/intelfpga_lite/18.1/quartus/bin64/perl/bin/perl.exe -I C:/software/intelfpga_lite/18.1/quartus/bin64/perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=niosII_jtag_uart --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9348_4173096401569860192.dir/0003_jtag_uart_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9348_4173096401569860192.dir/0003_jtag_uart_gen//niosII_jtag_uart_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_jtag_uart' niosII" instantiated altera_avalon_jtag_uart "jtag_uart"]]> @@ -1459,7 +1459,7 @@ queue size: 6 starting:altera_avalon_onchip_memory2 "submodules/niosII_mem" Starting RTL generation for module 'niosII_mem' - Generation command is [exec C:/software/intelfpga_lite/18.1/quartus/bin64/perl/bin/perl.exe -I C:/software/intelfpga_lite/18.1/quartus/bin64/perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=niosII_mem --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9347_6187306962785413670.dir/0032_mem_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9347_6187306962785413670.dir/0032_mem_gen//niosII_mem_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/software/intelfpga_lite/18.1/quartus/bin64/perl/bin/perl.exe -I C:/software/intelfpga_lite/18.1/quartus/bin64/perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=niosII_mem --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9348_4173096401569860192.dir/0004_mem_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9348_4173096401569860192.dir/0004_mem_gen//niosII_mem_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_mem' niosII" instantiated altera_avalon_onchip_memory2 "mem"]]> @@ -1533,27 +1533,27 @@ queue size: 4 starting:altera_avalon_timer "submodules/niosII_sys_clk_timer" Starting RTL generation for module 'niosII_sys_clk_timer' - Generation command is [exec C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/bin/perl.exe -I C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=niosII_sys_clk_timer --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9347_6187306962785413670.dir/0034_sys_clk_timer_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9347_6187306962785413670.dir/0034_sys_clk_timer_gen//niosII_sys_clk_timer_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/bin/perl.exe -I C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=niosII_sys_clk_timer --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9348_4173096401569860192.dir/0006_sys_clk_timer_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9348_4173096401569860192.dir/0006_sys_clk_timer_gen//niosII_sys_clk_timer_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_sys_clk_timer' niosII" instantiated altera_avalon_timer "sys_clk_timer"]]> +};set_instance_parameter_value {cpu_instruction_master_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {cpu_instruction_master_agent} {ID} {1};set_instance_parameter_value {cpu_instruction_master_agent} {BURSTWRAP_VALUE} {3};set_instance_parameter_value {cpu_instruction_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {cpu_instruction_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {cpu_instruction_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {cpu_instruction_master_agent} {USE_WRITERESPONSE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_DATA_W} {94};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ID} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ECC_ENABLE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sem_ctl_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sem_ctl_slave_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {sem_ctl_slave_agent} {ST_DATA_W} {94};set_instance_parameter_value {sem_ctl_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sem_ctl_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sem_ctl_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sem_ctl_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sem_ctl_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sem_ctl_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sem_ctl_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sem_ctl_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sem_ctl_slave_agent} {ID} {4};set_instance_parameter_value {sem_ctl_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sem_ctl_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sem_ctl_slave_agent} {ECC_ENABLE} {0};add_instance {sem_ctl_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {cpu_debug_mem_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ST_DATA_W} {94};set_instance_parameter_value {cpu_debug_mem_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {cpu_debug_mem_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {cpu_debug_mem_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {cpu_debug_mem_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ID} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ECC_ENABLE} {0};add_instance {cpu_debug_mem_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sem_ram_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sem_ram_slave_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {sem_ram_slave_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {sem_ram_slave_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {sem_ram_slave_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {sem_ram_slave_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {sem_ram_slave_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {sem_ram_slave_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {sem_ram_slave_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {sem_ram_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sem_ram_slave_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {sem_ram_slave_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {sem_ram_slave_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {sem_ram_slave_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {sem_ram_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sem_ram_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sem_ram_slave_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {sem_ram_slave_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {sem_ram_slave_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {sem_ram_slave_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {sem_ram_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sem_ram_slave_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {sem_ram_slave_agent} {ST_DATA_W} {94};set_instance_parameter_value {sem_ram_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sem_ram_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sem_ram_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sem_ram_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sem_ram_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sem_ram_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sem_ram_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sem_ram_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sem_ram_slave_agent} {ID} {5};set_instance_parameter_value {sem_ram_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sem_ram_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sem_ram_slave_agent} {ECC_ENABLE} {0};add_instance {sem_ram_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sys_clk_timer_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sys_clk_timer_s1_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {sys_clk_timer_s1_agent} {ST_DATA_W} {94};set_instance_parameter_value {sys_clk_timer_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sys_clk_timer_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sys_clk_timer_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sys_clk_timer_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sys_clk_timer_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sys_clk_timer_s1_agent} {ID} {6};set_instance_parameter_value {sys_clk_timer_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {ECC_ENABLE} {0};add_instance {sys_clk_timer_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {mem_s2_agent} {altera_merlin_slave_agent};set_instance_parameter_value {mem_s2_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {mem_s2_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {mem_s2_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {mem_s2_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {mem_s2_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {mem_s2_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {mem_s2_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {mem_s2_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {mem_s2_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {mem_s2_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {mem_s2_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {mem_s2_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {mem_s2_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {mem_s2_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {mem_s2_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {mem_s2_agent} {PKT_DATA_H} {31};set_instance_parameter_value {mem_s2_agent} {PKT_DATA_L} {0};set_instance_parameter_value {mem_s2_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {mem_s2_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {mem_s2_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {mem_s2_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {mem_s2_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {mem_s2_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {mem_s2_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {mem_s2_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {mem_s2_agent} {ST_DATA_W} {94};set_instance_parameter_value {mem_s2_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {mem_s2_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {mem_s2_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {mem_s2_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {mem_s2_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {mem_s2_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {mem_s2_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {mem_s2_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {mem_s2_agent} {ID} {3};set_instance_parameter_value {mem_s2_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {mem_s2_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {mem_s2_agent} {ECC_ENABLE} {0};add_instance {mem_s2_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {mem_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {mem_s1_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {mem_s1_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {mem_s1_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {mem_s1_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {mem_s1_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {mem_s1_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {mem_s1_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {mem_s1_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {mem_s1_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {mem_s1_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {mem_s1_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {mem_s1_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {mem_s1_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {mem_s1_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {mem_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {mem_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {mem_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {mem_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {mem_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {mem_s1_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {mem_s1_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {mem_s1_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {mem_s1_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {mem_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {mem_s1_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {mem_s1_agent} {ST_DATA_W} {94};set_instance_parameter_value {mem_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {mem_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {mem_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {mem_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {mem_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {mem_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {mem_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {mem_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {mem_s1_agent} {ID} {2};set_instance_parameter_value {mem_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {mem_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {mem_s1_agent} {ECC_ENABLE} {0};add_instance {mem_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {router} {altera_merlin_router};set_instance_parameter_value {router} {DESTINATION_ID} {3 0 5 6 4 1 };set_instance_parameter_value {router} {CHANNEL_ID} {100000 000100 001000 010000 000010 000001 };set_instance_parameter_value {router} {TYPE_OF_TRANSACTION} {both both write both both both };set_instance_parameter_value {router} {START_ADDRESS} {0x0 0x20800 0x21000 0x21040 0x21060 0x21068 };set_instance_parameter_value {router} {END_ADDRESS} {0x20000 0x21000 0x21040 0x21060 0x21068 0x21070 };set_instance_parameter_value {router} {NON_SECURED_TAG} {1 1 1 1 1 1 };set_instance_parameter_value {router} {SECURED_RANGE_PAIRS} {0 0 0 0 0 0 };set_instance_parameter_value {router} {SECURED_RANGE_LIST} {0 0 0 0 0 0 };set_instance_parameter_value {router} {SPAN_OFFSET} {};set_instance_parameter_value {router} {PKT_ADDR_H} {53};set_instance_parameter_value {router} {PKT_ADDR_L} {36};set_instance_parameter_value {router} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router} {PKT_TRANS_READ} {57};set_instance_parameter_value {router} {ST_DATA_W} {94};set_instance_parameter_value {router} {ST_CHANNEL_W} {7};set_instance_parameter_value {router} {DECODER_TYPE} {0};set_instance_parameter_value {router} {DEFAULT_CHANNEL} {5};set_instance_parameter_value {router} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_DESTID} {3};set_instance_parameter_value {router} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router} {MEMORY_ALIASING_DECODE} {0};add_instance {router_001} {altera_merlin_router};set_instance_parameter_value {router_001} {DESTINATION_ID} {2 0 };set_instance_parameter_value {router_001} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router_001} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router_001} {START_ADDRESS} {0x0 0x20800 };set_instance_parameter_value {router_001} {END_ADDRESS} {0x20000 0x21000 };set_instance_parameter_value {router_001} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_001} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_001} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_001} {SPAN_OFFSET} {};set_instance_parameter_value {router_001} {PKT_ADDR_H} {53};set_instance_parameter_value {router_001} {PKT_ADDR_L} {36};set_instance_parameter_value {router_001} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_001} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_001} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_001} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_001} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_001} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_001} {ST_DATA_W} {94};set_instance_parameter_value {router_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_001} {DECODER_TYPE} {0};set_instance_parameter_value {router_001} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router_001} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_DESTID} {2};set_instance_parameter_value {router_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_001} {MEMORY_ALIASING_DECODE} {0};add_instance {router_002} {altera_merlin_router};set_instance_parameter_value {router_002} {DESTINATION_ID} {0 };set_instance_parameter_value {router_002} {CHANNEL_ID} {1 };set_instance_parameter_value {router_002} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_002} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_002} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_002} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_002} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_002} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_002} {SPAN_OFFSET} {};set_instance_parameter_value {router_002} {PKT_ADDR_H} {53};set_instance_parameter_value {router_002} {PKT_ADDR_L} {36};set_instance_parameter_value {router_002} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_002} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_002} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_002} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_002} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_002} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_002} {ST_DATA_W} {94};set_instance_parameter_value {router_002} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_002} {DECODER_TYPE} {1};set_instance_parameter_value {router_002} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_002} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_002} {MEMORY_ALIASING_DECODE} {0};add_instance {router_003} {altera_merlin_router};set_instance_parameter_value {router_003} {DESTINATION_ID} {0 };set_instance_parameter_value {router_003} {CHANNEL_ID} {1 };set_instance_parameter_value {router_003} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_003} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_003} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_003} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_003} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_003} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_003} {SPAN_OFFSET} {};set_instance_parameter_value {router_003} {PKT_ADDR_H} {53};set_instance_parameter_value {router_003} {PKT_ADDR_L} {36};set_instance_parameter_value {router_003} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_003} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_003} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_003} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_003} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_003} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_003} {ST_DATA_W} {94};set_instance_parameter_value {router_003} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_003} {DECODER_TYPE} {1};set_instance_parameter_value {router_003} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_003} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_003} {MEMORY_ALIASING_DECODE} {0};add_instance {router_004} {altera_merlin_router};set_instance_parameter_value {router_004} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_004} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_004} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_004} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_004} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_004} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_004} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_004} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_004} {SPAN_OFFSET} {};set_instance_parameter_value {router_004} {PKT_ADDR_H} {53};set_instance_parameter_value {router_004} {PKT_ADDR_L} {36};set_instance_parameter_value {router_004} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_004} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_004} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_004} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_004} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_004} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_004} {ST_DATA_W} {94};set_instance_parameter_value {router_004} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_004} {DECODER_TYPE} {1};set_instance_parameter_value {router_004} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_004} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_004} {MEMORY_ALIASING_DECODE} {0};add_instance {router_005} {altera_merlin_router};set_instance_parameter_value {router_005} {DESTINATION_ID} {0 };set_instance_parameter_value {router_005} {CHANNEL_ID} {1 };set_instance_parameter_value {router_005} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_005} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_005} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_005} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_005} {SPAN_OFFSET} {};set_instance_parameter_value {router_005} {PKT_ADDR_H} {53};set_instance_parameter_value {router_005} {PKT_ADDR_L} {36};set_instance_parameter_value {router_005} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_005} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_005} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_005} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_005} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_005} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_005} {ST_DATA_W} {94};set_instance_parameter_value {router_005} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_005} {DECODER_TYPE} {1};set_instance_parameter_value {router_005} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_005} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_005} {MEMORY_ALIASING_DECODE} {0};add_instance {router_006} {altera_merlin_router};set_instance_parameter_value {router_006} {DESTINATION_ID} {0 };set_instance_parameter_value {router_006} {CHANNEL_ID} {1 };set_instance_parameter_value {router_006} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_006} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_006} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_006} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_006} {SPAN_OFFSET} {};set_instance_parameter_value {router_006} {PKT_ADDR_H} {53};set_instance_parameter_value {router_006} {PKT_ADDR_L} {36};set_instance_parameter_value {router_006} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_006} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_006} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_006} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_006} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_006} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_006} {ST_DATA_W} {94};set_instance_parameter_value {router_006} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_006} {DECODER_TYPE} {1};set_instance_parameter_value {router_006} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_006} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_006} {MEMORY_ALIASING_DECODE} {0};add_instance {router_007} {altera_merlin_router};set_instance_parameter_value {router_007} {DESTINATION_ID} {0 };set_instance_parameter_value {router_007} {CHANNEL_ID} {1 };set_instance_parameter_value {router_007} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_007} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_007} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_007} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_007} {SPAN_OFFSET} {};set_instance_parameter_value {router_007} {PKT_ADDR_H} {53};set_instance_parameter_value {router_007} {PKT_ADDR_L} {36};set_instance_parameter_value {router_007} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_007} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_007} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_007} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_007} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_007} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_007} {ST_DATA_W} {94};set_instance_parameter_value {router_007} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_007} {DECODER_TYPE} {1};set_instance_parameter_value {router_007} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_007} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_007} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_007} {MEMORY_ALIASING_DECODE} {0};add_instance {router_008} {altera_merlin_router};set_instance_parameter_value {router_008} {DESTINATION_ID} {1 };set_instance_parameter_value {router_008} {CHANNEL_ID} {1 };set_instance_parameter_value {router_008} {TYPE_OF_TRANSACTION} {read };set_instance_parameter_value {router_008} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_008} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_008} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_008} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_008} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_008} {SPAN_OFFSET} {};set_instance_parameter_value {router_008} {PKT_ADDR_H} {53};set_instance_parameter_value {router_008} {PKT_ADDR_L} {36};set_instance_parameter_value {router_008} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_008} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_008} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_008} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_008} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_008} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_008} {ST_DATA_W} {94};set_instance_parameter_value {router_008} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_008} {DECODER_TYPE} {1};set_instance_parameter_value {router_008} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_008} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_008} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_008} {DEFAULT_DESTID} {1};set_instance_parameter_value {router_008} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_008} {MEMORY_ALIASING_DECODE} {0};add_instance {cmd_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux} {ST_DATA_W} {94};set_instance_parameter_value {cmd_demux} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_demux} {NUM_OUTPUTS} {6};set_instance_parameter_value {cmd_demux} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_001} {ST_DATA_W} {94};set_instance_parameter_value {cmd_demux_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_demux_001} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_001} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_001} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_001} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_001} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_002} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_002} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_002} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_002} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_002} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_002} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_002} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_003} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_003} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_003} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_003} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_003} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_003} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_003} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_004} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_004} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_004} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_004} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_004} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_004} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_004} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_005} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_005} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_005} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_005} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_005} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_005} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_005} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_006} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_006} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_006} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_006} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_006} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_006} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_006} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_006} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_006} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_001} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_001} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_002} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_002} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_002} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_002} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_002} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_003} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_003} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_003} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_003} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_003} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_004} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_004} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_004} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_004} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_004} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_005} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_005} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_005} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_005} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_005} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_006} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_006} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_006} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_006} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_006} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_mux} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux} {ST_DATA_W} {94};set_instance_parameter_value {rsp_mux} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_mux} {NUM_INPUTS} {6};set_instance_parameter_value {rsp_mux} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {rsp_mux} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux} {ARBITRATION_SHARES} {1 1 1 1 1 1 };set_instance_parameter_value {rsp_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_001} {ST_DATA_W} {94};set_instance_parameter_value {rsp_mux_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_mux_001} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux_001} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_001} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cpu_reset_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {cpu_reset_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {cpu_reset_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {cpu_reset_reset_bridge} {NUM_RESET_OUTPUTS} {1};set_instance_parameter_value {cpu_reset_reset_bridge} {USE_RESET_REQUEST} {0};add_instance {clk_clk_clock_bridge} {altera_clock_bridge};set_instance_parameter_value {clk_clk_clock_bridge} {EXPLICIT_CLOCK_RATE} {50000000};set_instance_parameter_value {clk_clk_clock_bridge} {NUM_CLOCK_OUTPUTS} {1};add_connection {cpu_data_master_translator.avalon_universal_master_0} {cpu_data_master_agent.av} {avalon};set_connection_parameter_value {cpu_data_master_translator.avalon_universal_master_0/cpu_data_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {cpu_data_master_translator.avalon_universal_master_0/cpu_data_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {cpu_data_master_translator.avalon_universal_master_0/cpu_data_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux.src} {cpu_data_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux.src/cpu_data_master_agent.rp} {qsys_mm.response};add_connection {cpu_instruction_master_translator.avalon_universal_master_0} {cpu_instruction_master_agent.av} {avalon};set_connection_parameter_value {cpu_instruction_master_translator.avalon_universal_master_0/cpu_instruction_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {cpu_instruction_master_translator.avalon_universal_master_0/cpu_instruction_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {cpu_instruction_master_translator.avalon_universal_master_0/cpu_instruction_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux_001.src} {cpu_instruction_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux_001.src/cpu_instruction_master_agent.rp} {qsys_mm.response};add_connection {jtag_uart_avalon_jtag_slave_agent.m0} {jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {jtag_uart_avalon_jtag_slave_agent.rf_source} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.out} {jtag_uart_avalon_jtag_slave_agent.rf_sink} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_src} {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux.src} {jtag_uart_avalon_jtag_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux.src/jtag_uart_avalon_jtag_slave_agent.cp} {qsys_mm.command};add_connection {sem_ctl_slave_agent.m0} {sem_ctl_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sem_ctl_slave_agent.m0/sem_ctl_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sem_ctl_slave_agent.m0/sem_ctl_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sem_ctl_slave_agent.m0/sem_ctl_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sem_ctl_slave_agent.rf_source} {sem_ctl_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {sem_ctl_slave_agent_rsp_fifo.out} {sem_ctl_slave_agent.rf_sink} {avalon_streaming};add_connection {sem_ctl_slave_agent.rdata_fifo_src} {sem_ctl_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_001.src} {sem_ctl_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_001.src/sem_ctl_slave_agent.cp} {qsys_mm.command};add_connection {cpu_debug_mem_slave_agent.m0} {cpu_debug_mem_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {cpu_debug_mem_slave_agent.m0/cpu_debug_mem_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {cpu_debug_mem_slave_agent.m0/cpu_debug_mem_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {cpu_debug_mem_slave_agent.m0/cpu_debug_mem_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {cpu_debug_mem_slave_agent.rf_source} {cpu_debug_mem_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {cpu_debug_mem_slave_agent_rsp_fifo.out} {cpu_debug_mem_slave_agent.rf_sink} {avalon_streaming};add_connection {cpu_debug_mem_slave_agent.rdata_fifo_src} {cpu_debug_mem_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_002.src} {cpu_debug_mem_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_002.src/cpu_debug_mem_slave_agent.cp} {qsys_mm.command};add_connection {sem_ram_slave_agent.m0} {sem_ram_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sem_ram_slave_agent.m0/sem_ram_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sem_ram_slave_agent.m0/sem_ram_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sem_ram_slave_agent.m0/sem_ram_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sem_ram_slave_agent.rf_source} {sem_ram_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {sem_ram_slave_agent_rsp_fifo.out} {sem_ram_slave_agent.rf_sink} {avalon_streaming};add_connection {sem_ram_slave_agent.rdata_fifo_src} {sem_ram_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_003.src} {sem_ram_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_003.src/sem_ram_slave_agent.cp} {qsys_mm.command};add_connection {sys_clk_timer_s1_agent.m0} {sys_clk_timer_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sys_clk_timer_s1_agent.m0/sys_clk_timer_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sys_clk_timer_s1_agent.m0/sys_clk_timer_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sys_clk_timer_s1_agent.m0/sys_clk_timer_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sys_clk_timer_s1_agent.rf_source} {sys_clk_timer_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {sys_clk_timer_s1_agent_rsp_fifo.out} {sys_clk_timer_s1_agent.rf_sink} {avalon_streaming};add_connection {sys_clk_timer_s1_agent.rdata_fifo_src} {sys_clk_timer_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_004.src} {sys_clk_timer_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_004.src/sys_clk_timer_s1_agent.cp} {qsys_mm.command};add_connection {mem_s2_agent.m0} {mem_s2_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {mem_s2_agent.m0/mem_s2_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {mem_s2_agent.m0/mem_s2_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {mem_s2_agent.m0/mem_s2_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {mem_s2_agent.rf_source} {mem_s2_agent_rsp_fifo.in} {avalon_streaming};add_connection {mem_s2_agent_rsp_fifo.out} {mem_s2_agent.rf_sink} {avalon_streaming};add_connection {mem_s2_agent.rdata_fifo_src} {mem_s2_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_005.src} {mem_s2_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_005.src/mem_s2_agent.cp} {qsys_mm.command};add_connection {mem_s1_agent.m0} {mem_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {mem_s1_agent.m0/mem_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {mem_s1_agent.m0/mem_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {mem_s1_agent.m0/mem_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {mem_s1_agent.rf_source} {mem_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {mem_s1_agent_rsp_fifo.out} {mem_s1_agent.rf_sink} {avalon_streaming};add_connection {mem_s1_agent.rdata_fifo_src} {mem_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_006.src} {mem_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_006.src/mem_s1_agent.cp} {qsys_mm.command};add_connection {cpu_data_master_agent.cp} {router.sink} {avalon_streaming};preview_set_connection_tag {cpu_data_master_agent.cp/router.sink} {qsys_mm.command};add_connection {router.src} {cmd_demux.sink} {avalon_streaming};preview_set_connection_tag {router.src/cmd_demux.sink} {qsys_mm.command};add_connection {cpu_instruction_master_agent.cp} {router_001.sink} {avalon_streaming};preview_set_connection_tag {cpu_instruction_master_agent.cp/router_001.sink} {qsys_mm.command};add_connection {router_001.src} {cmd_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_001.src/cmd_demux_001.sink} {qsys_mm.command};add_connection {jtag_uart_avalon_jtag_slave_agent.rp} {router_002.sink} {avalon_streaming};preview_set_connection_tag {jtag_uart_avalon_jtag_slave_agent.rp/router_002.sink} {qsys_mm.response};add_connection {router_002.src} {rsp_demux.sink} {avalon_streaming};preview_set_connection_tag {router_002.src/rsp_demux.sink} {qsys_mm.response};add_connection {sem_ctl_slave_agent.rp} {router_003.sink} {avalon_streaming};preview_set_connection_tag {sem_ctl_slave_agent.rp/router_003.sink} {qsys_mm.response};add_connection {router_003.src} {rsp_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_003.src/rsp_demux_001.sink} {qsys_mm.response};add_connection {cpu_debug_mem_slave_agent.rp} {router_004.sink} {avalon_streaming};preview_set_connection_tag {cpu_debug_mem_slave_agent.rp/router_004.sink} {qsys_mm.response};add_connection {router_004.src} {rsp_demux_002.sink} {avalon_streaming};preview_set_connection_tag {router_004.src/rsp_demux_002.sink} {qsys_mm.response};add_connection {sem_ram_slave_agent.rp} {router_005.sink} {avalon_streaming};preview_set_connection_tag {sem_ram_slave_agent.rp/router_005.sink} {qsys_mm.response};add_connection {router_005.src} {rsp_demux_003.sink} {avalon_streaming};preview_set_connection_tag {router_005.src/rsp_demux_003.sink} {qsys_mm.response};add_connection {sys_clk_timer_s1_agent.rp} {router_006.sink} {avalon_streaming};preview_set_connection_tag {sys_clk_timer_s1_agent.rp/router_006.sink} {qsys_mm.response};add_connection {router_006.src} {rsp_demux_004.sink} {avalon_streaming};preview_set_connection_tag {router_006.src/rsp_demux_004.sink} {qsys_mm.response};add_connection {mem_s2_agent.rp} {router_007.sink} {avalon_streaming};preview_set_connection_tag {mem_s2_agent.rp/router_007.sink} {qsys_mm.response};add_connection {router_007.src} {rsp_demux_005.sink} {avalon_streaming};preview_set_connection_tag {router_007.src/rsp_demux_005.sink} {qsys_mm.response};add_connection {mem_s1_agent.rp} {router_008.sink} {avalon_streaming};preview_set_connection_tag {mem_s1_agent.rp/router_008.sink} {qsys_mm.response};add_connection {router_008.src} {rsp_demux_006.sink} {avalon_streaming};preview_set_connection_tag {router_008.src/rsp_demux_006.sink} {qsys_mm.response};add_connection {cmd_demux.src0} {cmd_mux.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src0/cmd_mux.sink0} {qsys_mm.command};add_connection {cmd_demux.src1} {cmd_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src1/cmd_mux_001.sink0} {qsys_mm.command};add_connection {cmd_demux.src2} {cmd_mux_002.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src2/cmd_mux_002.sink0} {qsys_mm.command};add_connection {cmd_demux.src3} {cmd_mux_003.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src3/cmd_mux_003.sink0} {qsys_mm.command};add_connection {cmd_demux.src4} {cmd_mux_004.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src4/cmd_mux_004.sink0} {qsys_mm.command};add_connection {cmd_demux.src5} {cmd_mux_005.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src5/cmd_mux_005.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src0} {cmd_mux_002.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src0/cmd_mux_002.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src1} {cmd_mux_006.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src1/cmd_mux_006.sink0} {qsys_mm.command};add_connection {rsp_demux.src0} {rsp_mux.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src0/rsp_mux.sink0} {qsys_mm.response};add_connection {rsp_demux_001.src0} {rsp_mux.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src0/rsp_mux.sink1} {qsys_mm.response};add_connection {rsp_demux_002.src0} {rsp_mux.sink2} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src0/rsp_mux.sink2} {qsys_mm.response};add_connection {rsp_demux_002.src1} {rsp_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src1/rsp_mux_001.sink0} {qsys_mm.response};add_connection {rsp_demux_003.src0} {rsp_mux.sink3} {avalon_streaming};preview_set_connection_tag {rsp_demux_003.src0/rsp_mux.sink3} {qsys_mm.response};add_connection {rsp_demux_004.src0} {rsp_mux.sink4} {avalon_streaming};preview_set_connection_tag {rsp_demux_004.src0/rsp_mux.sink4} {qsys_mm.response};add_connection {rsp_demux_005.src0} {rsp_mux.sink5} {avalon_streaming};preview_set_connection_tag {rsp_demux_005.src0/rsp_mux.sink5} {qsys_mm.response};add_connection {rsp_demux_006.src0} {rsp_mux_001.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_006.src0/rsp_mux_001.sink1} {qsys_mm.response};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_data_master_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_instruction_master_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sem_ctl_slave_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_debug_mem_slave_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sem_ram_slave_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sys_clk_timer_s1_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s2_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s1_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_data_master_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_instruction_master_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sem_ctl_slave_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sem_ctl_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_debug_mem_slave_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_debug_mem_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sem_ram_slave_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sem_ram_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sys_clk_timer_s1_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sys_clk_timer_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s2_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s2_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s1_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_002.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_003.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_004.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_005.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_006.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_007.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_008.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_demux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_demux_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_002.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_003.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_004.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_005.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_006.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_002.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_003.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_004.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_005.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_006.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_mux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_mux_001.clk_reset} {reset};add_connection {clk_clk_clock_bridge.out_clk} {cpu_data_master_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_instruction_master_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sem_ctl_slave_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_debug_mem_slave_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sem_ram_slave_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sys_clk_timer_s1_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s2_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s1_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_data_master_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_instruction_master_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sem_ctl_slave_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sem_ctl_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_debug_mem_slave_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_debug_mem_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sem_ram_slave_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sem_ram_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sys_clk_timer_s1_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sys_clk_timer_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s2_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s2_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s1_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_002.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_003.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_004.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_005.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_006.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_007.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_008.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_demux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_mux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_demux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_mux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_002.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_002.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_003.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_003.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_004.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_004.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_005.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_005.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_006.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_006.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_reset_reset_bridge.clk} {clock};add_interface {clk_clk} {clock} {slave};set_interface_property {clk_clk} {EXPORT_OF} {clk_clk_clock_bridge.in_clk};add_interface {cpu_reset_reset_bridge_in_reset} {reset} {slave};set_interface_property {cpu_reset_reset_bridge_in_reset} {EXPORT_OF} {cpu_reset_reset_bridge.in_reset};add_interface {cpu_data_master} {avalon} {slave};set_interface_property {cpu_data_master} {EXPORT_OF} {cpu_data_master_translator.avalon_anti_master_0};add_interface {cpu_instruction_master} {avalon} {slave};set_interface_property {cpu_instruction_master} {EXPORT_OF} {cpu_instruction_master_translator.avalon_anti_master_0};add_interface {cpu_debug_mem_slave} {avalon} {master};set_interface_property {cpu_debug_mem_slave} {EXPORT_OF} {cpu_debug_mem_slave_translator.avalon_anti_slave_0};add_interface {jtag_uart_avalon_jtag_slave} {avalon} {master};set_interface_property {jtag_uart_avalon_jtag_slave} {EXPORT_OF} {jtag_uart_avalon_jtag_slave_translator.avalon_anti_slave_0};add_interface {mem_s1} {avalon} {master};set_interface_property {mem_s1} {EXPORT_OF} {mem_s1_translator.avalon_anti_slave_0};add_interface {mem_s2} {avalon} {master};set_interface_property {mem_s2} {EXPORT_OF} {mem_s2_translator.avalon_anti_slave_0};add_interface {sem_ctl_slave} {avalon} {master};set_interface_property {sem_ctl_slave} {EXPORT_OF} {sem_ctl_slave_translator.avalon_anti_slave_0};add_interface {sem_ram_slave} {avalon} {master};set_interface_property {sem_ram_slave} {EXPORT_OF} {sem_ram_slave_translator.avalon_anti_slave_0};add_interface {sys_clk_timer_s1} {avalon} {master};set_interface_property {sys_clk_timer_s1} {EXPORT_OF} {sys_clk_timer_s1_translator.avalon_anti_slave_0};set_module_assignment {interconnect_id.cpu.data_master} {0};set_module_assignment {interconnect_id.cpu.debug_mem_slave} {0};set_module_assignment {interconnect_id.cpu.instruction_master} {1};set_module_assignment {interconnect_id.jtag_uart.avalon_jtag_slave} {1};set_module_assignment {interconnect_id.mem.s1} {2};set_module_assignment {interconnect_id.mem.s2} {3};set_module_assignment {interconnect_id.sem.ctl_slave} {4};set_module_assignment {interconnect_id.sem.ram_slave} {5};set_module_assignment {interconnect_id.sys_clk_timer.s1} {6};" /> Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.015s - Timing: COM:3/0.052s/0.063s + Timing: ELA:2/0.008s/0.016s + Timing: ELA:1/0.000s + Timing: COM:3/0.070s/0.093s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.015s - Timing: COM:3/0.026s/0.032s + Timing: ELA:1/0.001s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.012s + Timing: COM:3/0.031s/0.042s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.016s - Timing: COM:3/0.025s/0.031s + Timing: ELA:2/0.001s/0.001s + Timing: ELA:1/0.012s + Timing: COM:3/0.027s/0.034s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.016s - Timing: COM:3/0.026s/0.032s + Timing: ELA:1/0.001s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.018s + Timing: COM:3/0.028s/0.031s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s + Timing: ELA:1/0.001s Timing: ELA:2/0.008s/0.016s Timing: ELA:1/0.000s - Timing: COM:3/0.020s/0.032s + Timing: COM:3/0.025s/0.029s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.015s - Timing: COM:3/0.025s/0.047s + Timing: ELA:1/0.016s + Timing: ELA:2/0.001s/0.002s + Timing: ELA:1/0.013s + Timing: COM:3/0.031s/0.042s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.016s - Timing: COM:3/0.026s/0.031s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.006s + Timing: COM:3/0.027s/0.035s 61 modules, 199 connections]]> @@ -2364,7 +2364,7 @@ + value="<address-map><slave name='mem.s2' start='0x0' end='0x20000' type='altera_avalon_onchip_memory2.s2' /><slave name='cpu.debug_mem_slave' start='0x20800' end='0x21000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='sem.ram_slave' start='0x21000' end='0x21040' type='sem.ram_slave' /><slave name='sys_clk_timer.s1' start='0x21040' end='0x21060' type='altera_avalon_timer.s1' /><slave name='sem.ctl_slave' start='0x21060' end='0x21068' type='sem.ctl_slave' /><slave name='jtag_uart.avalon_jtag_slave' start='0x21068' end='0x21070' type='altera_avalon_jtag_uart.avalon_jtag_slave' /></address-map>" /> @@ -2605,19 +2605,19 @@ queue size: 59 starting:altera_nios2_gen2_unit "submodules/niosII_cpu_cpu" Starting RTL generation for module 'niosII_cpu_cpu' - Generation command is [exec C:/Software/intelFPGA_lite/18.1/quartus/bin64//eperlcmd.exe -I C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9347_6187306962785413670.dir/0037_cpu_gen/ --quartus_bindir=C:/Software/intelFPGA_lite/18.1/quartus/bin64/ --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9347_6187306962785413670.dir/0037_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] - # 2022.12.21 20:02:49 (*) Starting Nios II generation - # 2022.12.21 20:02:49 (*) Checking for plaintext license. - # 2022.12.21 20:02:50 (*) Couldn't query license setup in Quartus directory C:/Software/intelFPGA_lite/18.1/quartus/bin64/ - # 2022.12.21 20:02:50 (*) Defaulting to contents of LM_LICENSE_FILE environment variable - # 2022.12.21 20:02:50 (*) LM_LICENSE_FILE environment variable is empty - # 2022.12.21 20:02:50 (*) Plaintext license not found. - # 2022.12.21 20:02:50 (*) No license required to generate encrypted Nios II/e. - # 2022.12.21 20:02:50 (*) Elaborating CPU configuration settings - # 2022.12.21 20:02:50 (*) Creating all objects for CPU - # 2022.12.21 20:02:52 (*) Generating RTL from CPU objects - # 2022.12.21 20:02:52 (*) Creating plain-text RTL - # 2022.12.21 20:02:52 (*) Done Nios II generation + Generation command is [exec C:/Software/intelFPGA_lite/18.1/quartus/bin64//eperlcmd.exe -I C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9348_4173096401569860192.dir/0009_cpu_gen/ --quartus_bindir=C:/Software/intelFPGA_lite/18.1/quartus/bin64/ --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9348_4173096401569860192.dir/0009_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] + # 2022.12.22 21:37:54 (*) Starting Nios II generation + # 2022.12.22 21:37:54 (*) Checking for plaintext license. + # 2022.12.22 21:37:55 (*) Couldn't query license setup in Quartus directory C:/Software/intelFPGA_lite/18.1/quartus/bin64/ + # 2022.12.22 21:37:55 (*) Defaulting to contents of LM_LICENSE_FILE environment variable + # 2022.12.22 21:37:55 (*) LM_LICENSE_FILE environment variable is empty + # 2022.12.22 21:37:55 (*) Plaintext license not found. + # 2022.12.22 21:37:55 (*) No license required to generate encrypted Nios II/e. + # 2022.12.22 21:37:55 (*) Elaborating CPU configuration settings + # 2022.12.22 21:37:55 (*) Creating all objects for CPU + # 2022.12.22 21:37:57 (*) Generating RTL from CPU objects + # 2022.12.22 21:37:57 (*) Creating plain-text RTL + # 2022.12.22 21:37:58 (*) Done Nios II generation Done RTL generation for module 'niosII_cpu_cpu' cpu" instantiated altera_nios2_gen2_unit "cpu"]]> @@ -2684,15 +2684,15 @@ <slave id="1" name="jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0" - start="0x0000000000021038" - end="0x00000000000021040" + start="0x0000000000021068" + end="0x00000000000021070" responds="1" user_default="0" /> <slave id="4" name="sem_ctl_slave_translator.avalon_universal_slave_0" - start="0x0000000000021030" - end="0x00000000000021038" + start="0x0000000000021060" + end="0x00000000000021068" responds="1" user_default="0" /> <slave @@ -2705,15 +2705,15 @@ <slave id="5" name="sem_ram_slave_translator.avalon_universal_slave_0" - start="0x0000000000021020" - end="0x00000000000021030" + start="0x0000000000021000" + end="0x00000000000021040" responds="0" user_default="0" /> <slave id="6" name="sys_clk_timer_s1_translator.avalon_universal_slave_0" - start="0x0000000000021000" - end="0x00000000000021020" + start="0x0000000000021040" + end="0x00000000000021060" responds="1" user_default="0" /> <slave @@ -2813,7 +2813,7 @@ + value="0x0,0x20800,0x21000,0x21040,0x21060,0x21068" /> + value="3:100000:0x0:0x20000:both:1:0:0:1,0:000100:0x20800:0x21000:both:1:0:0:1,5:001000:0x21000:0x21040:write:1:0:0:1,6:010000:0x21040:0x21060:both:1:0:0:1,4:000010:0x21060:0x21068:both:1:0:0:1,1:000001:0x21068:0x21070:both:1:0:0:1" /> @@ -2837,8 +2837,8 @@ - - + + @@ -2847,11 +2847,11 @@ + value="0x20000,0x21000,0x21040,0x21060,0x21068,0x21070" /> - + - + com.altera.sopcmodel.ensemble.EClockAdapter @@ -53,7 +53,7 @@ int - 1671642146 + 1671734242 false true true @@ -2110,7 +2110,7 @@ the requested settings for a module instance. --> java.lang.String - ]]> + ]]> false true false @@ -6193,7 +6193,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 16 + 64 true true false @@ -6498,7 +6498,7 @@ parameters are a RESULT of the module parameters. --> ram_addr Input - 2 + 4 address @@ -7399,20 +7399,20 @@ the requested settings for a module instance. --> java.lang.String - + responds="1" user_default="0" /> -};set_instance_parameter_value {cpu_instruction_master_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {cpu_instruction_master_agent} {ID} {1};set_instance_parameter_value {cpu_instruction_master_agent} {BURSTWRAP_VALUE} {3};set_instance_parameter_value {cpu_instruction_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {cpu_instruction_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {cpu_instruction_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {cpu_instruction_master_agent} {USE_WRITERESPONSE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_DATA_W} {94};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ID} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ECC_ENABLE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sem_ctl_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sem_ctl_slave_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {sem_ctl_slave_agent} {ST_DATA_W} {94};set_instance_parameter_value {sem_ctl_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sem_ctl_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sem_ctl_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sem_ctl_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sem_ctl_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sem_ctl_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sem_ctl_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sem_ctl_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sem_ctl_slave_agent} {ID} {4};set_instance_parameter_value {sem_ctl_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sem_ctl_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sem_ctl_slave_agent} {ECC_ENABLE} {0};add_instance {sem_ctl_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {cpu_debug_mem_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ST_DATA_W} {94};set_instance_parameter_value {cpu_debug_mem_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {cpu_debug_mem_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {cpu_debug_mem_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {cpu_debug_mem_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ID} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ECC_ENABLE} {0};add_instance {cpu_debug_mem_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sem_ram_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sem_ram_slave_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {sem_ram_slave_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {sem_ram_slave_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {sem_ram_slave_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {sem_ram_slave_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {sem_ram_slave_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {sem_ram_slave_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {sem_ram_slave_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {sem_ram_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sem_ram_slave_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {sem_ram_slave_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {sem_ram_slave_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {sem_ram_slave_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {sem_ram_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sem_ram_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sem_ram_slave_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {sem_ram_slave_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {sem_ram_slave_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {sem_ram_slave_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {sem_ram_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sem_ram_slave_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {sem_ram_slave_agent} {ST_DATA_W} {94};set_instance_parameter_value {sem_ram_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sem_ram_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sem_ram_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sem_ram_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sem_ram_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sem_ram_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sem_ram_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sem_ram_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sem_ram_slave_agent} {ID} {5};set_instance_parameter_value {sem_ram_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sem_ram_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sem_ram_slave_agent} {ECC_ENABLE} {0};add_instance {sem_ram_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sys_clk_timer_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sys_clk_timer_s1_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {sys_clk_timer_s1_agent} {ST_DATA_W} {94};set_instance_parameter_value {sys_clk_timer_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sys_clk_timer_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sys_clk_timer_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sys_clk_timer_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sys_clk_timer_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sys_clk_timer_s1_agent} {ID} {6};set_instance_parameter_value {sys_clk_timer_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {ECC_ENABLE} {0};add_instance {sys_clk_timer_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {mem_s2_agent} {altera_merlin_slave_agent};set_instance_parameter_value {mem_s2_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {mem_s2_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {mem_s2_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {mem_s2_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {mem_s2_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {mem_s2_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {mem_s2_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {mem_s2_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {mem_s2_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {mem_s2_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {mem_s2_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {mem_s2_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {mem_s2_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {mem_s2_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {mem_s2_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {mem_s2_agent} {PKT_DATA_H} {31};set_instance_parameter_value {mem_s2_agent} {PKT_DATA_L} {0};set_instance_parameter_value {mem_s2_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {mem_s2_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {mem_s2_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {mem_s2_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {mem_s2_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {mem_s2_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {mem_s2_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {mem_s2_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {mem_s2_agent} {ST_DATA_W} {94};set_instance_parameter_value {mem_s2_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {mem_s2_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {mem_s2_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {mem_s2_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {mem_s2_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {mem_s2_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {mem_s2_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {mem_s2_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {mem_s2_agent} {ID} {3};set_instance_parameter_value {mem_s2_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {mem_s2_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {mem_s2_agent} {ECC_ENABLE} {0};add_instance {mem_s2_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {mem_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {mem_s1_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {mem_s1_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {mem_s1_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {mem_s1_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {mem_s1_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {mem_s1_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {mem_s1_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {mem_s1_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {mem_s1_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {mem_s1_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {mem_s1_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {mem_s1_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {mem_s1_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {mem_s1_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {mem_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {mem_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {mem_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {mem_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {mem_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {mem_s1_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {mem_s1_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {mem_s1_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {mem_s1_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {mem_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {mem_s1_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {mem_s1_agent} {ST_DATA_W} {94};set_instance_parameter_value {mem_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {mem_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {mem_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {mem_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {mem_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {mem_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {mem_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {mem_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {mem_s1_agent} {ID} {2};set_instance_parameter_value {mem_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {mem_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {mem_s1_agent} {ECC_ENABLE} {0};add_instance {mem_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {router} {altera_merlin_router};set_instance_parameter_value {router} {DESTINATION_ID} {3 0 6 5 4 1 };set_instance_parameter_value {router} {CHANNEL_ID} {100000 000100 010000 001000 000010 000001 };set_instance_parameter_value {router} {TYPE_OF_TRANSACTION} {both both both write both both };set_instance_parameter_value {router} {START_ADDRESS} {0x0 0x20800 0x21000 0x21020 0x21030 0x21038 };set_instance_parameter_value {router} {END_ADDRESS} {0x20000 0x21000 0x21020 0x21030 0x21038 0x21040 };set_instance_parameter_value {router} {NON_SECURED_TAG} {1 1 1 1 1 1 };set_instance_parameter_value {router} {SECURED_RANGE_PAIRS} {0 0 0 0 0 0 };set_instance_parameter_value {router} {SECURED_RANGE_LIST} {0 0 0 0 0 0 };set_instance_parameter_value {router} {SPAN_OFFSET} {};set_instance_parameter_value {router} {PKT_ADDR_H} {53};set_instance_parameter_value {router} {PKT_ADDR_L} {36};set_instance_parameter_value {router} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router} {PKT_TRANS_READ} {57};set_instance_parameter_value {router} {ST_DATA_W} {94};set_instance_parameter_value {router} {ST_CHANNEL_W} {7};set_instance_parameter_value {router} {DECODER_TYPE} {0};set_instance_parameter_value {router} {DEFAULT_CHANNEL} {5};set_instance_parameter_value {router} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_DESTID} {3};set_instance_parameter_value {router} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router} {MEMORY_ALIASING_DECODE} {0};add_instance {router_001} {altera_merlin_router};set_instance_parameter_value {router_001} {DESTINATION_ID} {2 0 };set_instance_parameter_value {router_001} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router_001} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router_001} {START_ADDRESS} {0x0 0x20800 };set_instance_parameter_value {router_001} {END_ADDRESS} {0x20000 0x21000 };set_instance_parameter_value {router_001} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_001} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_001} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_001} {SPAN_OFFSET} {};set_instance_parameter_value {router_001} {PKT_ADDR_H} {53};set_instance_parameter_value {router_001} {PKT_ADDR_L} {36};set_instance_parameter_value {router_001} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_001} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_001} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_001} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_001} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_001} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_001} {ST_DATA_W} {94};set_instance_parameter_value {router_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_001} {DECODER_TYPE} {0};set_instance_parameter_value {router_001} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router_001} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_DESTID} {2};set_instance_parameter_value {router_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_001} {MEMORY_ALIASING_DECODE} {0};add_instance {router_002} {altera_merlin_router};set_instance_parameter_value {router_002} {DESTINATION_ID} {0 };set_instance_parameter_value {router_002} {CHANNEL_ID} {1 };set_instance_parameter_value {router_002} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_002} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_002} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_002} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_002} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_002} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_002} {SPAN_OFFSET} {};set_instance_parameter_value {router_002} {PKT_ADDR_H} {53};set_instance_parameter_value {router_002} {PKT_ADDR_L} {36};set_instance_parameter_value {router_002} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_002} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_002} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_002} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_002} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_002} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_002} {ST_DATA_W} {94};set_instance_parameter_value {router_002} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_002} {DECODER_TYPE} {1};set_instance_parameter_value {router_002} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_002} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_002} {MEMORY_ALIASING_DECODE} {0};add_instance {router_003} {altera_merlin_router};set_instance_parameter_value {router_003} {DESTINATION_ID} {0 };set_instance_parameter_value {router_003} {CHANNEL_ID} {1 };set_instance_parameter_value {router_003} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_003} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_003} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_003} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_003} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_003} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_003} {SPAN_OFFSET} {};set_instance_parameter_value {router_003} {PKT_ADDR_H} {53};set_instance_parameter_value {router_003} {PKT_ADDR_L} {36};set_instance_parameter_value {router_003} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_003} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_003} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_003} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_003} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_003} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_003} {ST_DATA_W} {94};set_instance_parameter_value {router_003} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_003} {DECODER_TYPE} {1};set_instance_parameter_value {router_003} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_003} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_003} {MEMORY_ALIASING_DECODE} {0};add_instance {router_004} {altera_merlin_router};set_instance_parameter_value {router_004} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_004} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_004} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_004} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_004} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_004} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_004} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_004} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_004} {SPAN_OFFSET} {};set_instance_parameter_value {router_004} {PKT_ADDR_H} {53};set_instance_parameter_value {router_004} {PKT_ADDR_L} {36};set_instance_parameter_value {router_004} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_004} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_004} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_004} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_004} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_004} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_004} {ST_DATA_W} {94};set_instance_parameter_value {router_004} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_004} {DECODER_TYPE} {1};set_instance_parameter_value {router_004} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_004} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_004} {MEMORY_ALIASING_DECODE} {0};add_instance {router_005} {altera_merlin_router};set_instance_parameter_value {router_005} {DESTINATION_ID} {0 };set_instance_parameter_value {router_005} {CHANNEL_ID} {1 };set_instance_parameter_value {router_005} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_005} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_005} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_005} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_005} {SPAN_OFFSET} {};set_instance_parameter_value {router_005} {PKT_ADDR_H} {53};set_instance_parameter_value {router_005} {PKT_ADDR_L} {36};set_instance_parameter_value {router_005} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_005} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_005} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_005} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_005} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_005} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_005} {ST_DATA_W} {94};set_instance_parameter_value {router_005} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_005} {DECODER_TYPE} {1};set_instance_parameter_value {router_005} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_005} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_005} {MEMORY_ALIASING_DECODE} {0};add_instance {router_006} {altera_merlin_router};set_instance_parameter_value {router_006} {DESTINATION_ID} {0 };set_instance_parameter_value {router_006} {CHANNEL_ID} {1 };set_instance_parameter_value {router_006} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_006} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_006} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_006} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_006} {SPAN_OFFSET} {};set_instance_parameter_value {router_006} {PKT_ADDR_H} {53};set_instance_parameter_value {router_006} {PKT_ADDR_L} {36};set_instance_parameter_value {router_006} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_006} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_006} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_006} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_006} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_006} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_006} {ST_DATA_W} {94};set_instance_parameter_value {router_006} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_006} {DECODER_TYPE} {1};set_instance_parameter_value {router_006} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_006} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_006} {MEMORY_ALIASING_DECODE} {0};add_instance {router_007} {altera_merlin_router};set_instance_parameter_value {router_007} {DESTINATION_ID} {0 };set_instance_parameter_value {router_007} {CHANNEL_ID} {1 };set_instance_parameter_value {router_007} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_007} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_007} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_007} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_007} {SPAN_OFFSET} {};set_instance_parameter_value {router_007} {PKT_ADDR_H} {53};set_instance_parameter_value {router_007} {PKT_ADDR_L} {36};set_instance_parameter_value {router_007} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_007} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_007} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_007} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_007} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_007} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_007} {ST_DATA_W} {94};set_instance_parameter_value {router_007} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_007} {DECODER_TYPE} {1};set_instance_parameter_value {router_007} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_007} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_007} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_007} {MEMORY_ALIASING_DECODE} {0};add_instance {router_008} {altera_merlin_router};set_instance_parameter_value {router_008} {DESTINATION_ID} {1 };set_instance_parameter_value {router_008} {CHANNEL_ID} {1 };set_instance_parameter_value {router_008} {TYPE_OF_TRANSACTION} {read };set_instance_parameter_value {router_008} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_008} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_008} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_008} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_008} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_008} {SPAN_OFFSET} {};set_instance_parameter_value {router_008} {PKT_ADDR_H} {53};set_instance_parameter_value {router_008} {PKT_ADDR_L} {36};set_instance_parameter_value {router_008} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_008} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_008} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_008} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_008} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_008} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_008} {ST_DATA_W} {94};set_instance_parameter_value {router_008} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_008} {DECODER_TYPE} {1};set_instance_parameter_value {router_008} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_008} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_008} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_008} {DEFAULT_DESTID} {1};set_instance_parameter_value {router_008} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_008} {MEMORY_ALIASING_DECODE} {0};add_instance {cmd_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux} {ST_DATA_W} {94};set_instance_parameter_value {cmd_demux} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_demux} {NUM_OUTPUTS} {6};set_instance_parameter_value {cmd_demux} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_001} {ST_DATA_W} {94};set_instance_parameter_value {cmd_demux_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_demux_001} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_001} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_001} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_001} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_001} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_002} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_002} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_002} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_002} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_002} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_002} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_002} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_003} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_003} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_003} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_003} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_003} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_003} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_003} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_004} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_004} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_004} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_004} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_004} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_004} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_004} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_005} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_005} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_005} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_005} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_005} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_005} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_005} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_006} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_006} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_006} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_006} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_006} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_006} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_006} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_006} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_006} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_001} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_001} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_002} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_002} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_002} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_002} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_002} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_003} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_003} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_003} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_003} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_003} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_004} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_004} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_004} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_004} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_004} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_005} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_005} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_005} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_005} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_005} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_006} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_006} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_006} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_006} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_006} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_mux} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux} {ST_DATA_W} {94};set_instance_parameter_value {rsp_mux} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_mux} {NUM_INPUTS} {6};set_instance_parameter_value {rsp_mux} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {rsp_mux} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux} {ARBITRATION_SHARES} {1 1 1 1 1 1 };set_instance_parameter_value {rsp_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_001} {ST_DATA_W} {94};set_instance_parameter_value {rsp_mux_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_mux_001} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux_001} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_001} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cpu_reset_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {cpu_reset_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {cpu_reset_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {cpu_reset_reset_bridge} {NUM_RESET_OUTPUTS} {1};set_instance_parameter_value {cpu_reset_reset_bridge} {USE_RESET_REQUEST} {0};add_instance {clk_clk_clock_bridge} {altera_clock_bridge};set_instance_parameter_value {clk_clk_clock_bridge} {EXPLICIT_CLOCK_RATE} {50000000};set_instance_parameter_value {clk_clk_clock_bridge} {NUM_CLOCK_OUTPUTS} {1};add_connection {cpu_data_master_translator.avalon_universal_master_0} {cpu_data_master_agent.av} {avalon};set_connection_parameter_value {cpu_data_master_translator.avalon_universal_master_0/cpu_data_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {cpu_data_master_translator.avalon_universal_master_0/cpu_data_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {cpu_data_master_translator.avalon_universal_master_0/cpu_data_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux.src} {cpu_data_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux.src/cpu_data_master_agent.rp} {qsys_mm.response};add_connection {cpu_instruction_master_translator.avalon_universal_master_0} {cpu_instruction_master_agent.av} {avalon};set_connection_parameter_value {cpu_instruction_master_translator.avalon_universal_master_0/cpu_instruction_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {cpu_instruction_master_translator.avalon_universal_master_0/cpu_instruction_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {cpu_instruction_master_translator.avalon_universal_master_0/cpu_instruction_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux_001.src} {cpu_instruction_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux_001.src/cpu_instruction_master_agent.rp} {qsys_mm.response};add_connection {jtag_uart_avalon_jtag_slave_agent.m0} {jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {jtag_uart_avalon_jtag_slave_agent.rf_source} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.out} {jtag_uart_avalon_jtag_slave_agent.rf_sink} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_src} {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux.src} {jtag_uart_avalon_jtag_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux.src/jtag_uart_avalon_jtag_slave_agent.cp} {qsys_mm.command};add_connection {sem_ctl_slave_agent.m0} {sem_ctl_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sem_ctl_slave_agent.m0/sem_ctl_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sem_ctl_slave_agent.m0/sem_ctl_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sem_ctl_slave_agent.m0/sem_ctl_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sem_ctl_slave_agent.rf_source} {sem_ctl_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {sem_ctl_slave_agent_rsp_fifo.out} {sem_ctl_slave_agent.rf_sink} {avalon_streaming};add_connection {sem_ctl_slave_agent.rdata_fifo_src} {sem_ctl_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_001.src} {sem_ctl_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_001.src/sem_ctl_slave_agent.cp} {qsys_mm.command};add_connection {cpu_debug_mem_slave_agent.m0} {cpu_debug_mem_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {cpu_debug_mem_slave_agent.m0/cpu_debug_mem_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {cpu_debug_mem_slave_agent.m0/cpu_debug_mem_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {cpu_debug_mem_slave_agent.m0/cpu_debug_mem_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {cpu_debug_mem_slave_agent.rf_source} {cpu_debug_mem_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {cpu_debug_mem_slave_agent_rsp_fifo.out} {cpu_debug_mem_slave_agent.rf_sink} {avalon_streaming};add_connection {cpu_debug_mem_slave_agent.rdata_fifo_src} {cpu_debug_mem_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_002.src} {cpu_debug_mem_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_002.src/cpu_debug_mem_slave_agent.cp} {qsys_mm.command};add_connection {sem_ram_slave_agent.m0} {sem_ram_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sem_ram_slave_agent.m0/sem_ram_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sem_ram_slave_agent.m0/sem_ram_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sem_ram_slave_agent.m0/sem_ram_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sem_ram_slave_agent.rf_source} {sem_ram_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {sem_ram_slave_agent_rsp_fifo.out} {sem_ram_slave_agent.rf_sink} {avalon_streaming};add_connection {sem_ram_slave_agent.rdata_fifo_src} {sem_ram_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_003.src} {sem_ram_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_003.src/sem_ram_slave_agent.cp} {qsys_mm.command};add_connection {sys_clk_timer_s1_agent.m0} {sys_clk_timer_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sys_clk_timer_s1_agent.m0/sys_clk_timer_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sys_clk_timer_s1_agent.m0/sys_clk_timer_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sys_clk_timer_s1_agent.m0/sys_clk_timer_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sys_clk_timer_s1_agent.rf_source} {sys_clk_timer_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {sys_clk_timer_s1_agent_rsp_fifo.out} {sys_clk_timer_s1_agent.rf_sink} {avalon_streaming};add_connection {sys_clk_timer_s1_agent.rdata_fifo_src} {sys_clk_timer_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_004.src} {sys_clk_timer_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_004.src/sys_clk_timer_s1_agent.cp} {qsys_mm.command};add_connection {mem_s2_agent.m0} {mem_s2_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {mem_s2_agent.m0/mem_s2_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {mem_s2_agent.m0/mem_s2_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {mem_s2_agent.m0/mem_s2_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {mem_s2_agent.rf_source} {mem_s2_agent_rsp_fifo.in} {avalon_streaming};add_connection {mem_s2_agent_rsp_fifo.out} {mem_s2_agent.rf_sink} {avalon_streaming};add_connection {mem_s2_agent.rdata_fifo_src} {mem_s2_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_005.src} {mem_s2_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_005.src/mem_s2_agent.cp} {qsys_mm.command};add_connection {mem_s1_agent.m0} {mem_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {mem_s1_agent.m0/mem_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {mem_s1_agent.m0/mem_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {mem_s1_agent.m0/mem_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {mem_s1_agent.rf_source} {mem_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {mem_s1_agent_rsp_fifo.out} {mem_s1_agent.rf_sink} {avalon_streaming};add_connection {mem_s1_agent.rdata_fifo_src} {mem_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_006.src} {mem_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_006.src/mem_s1_agent.cp} {qsys_mm.command};add_connection {cpu_data_master_agent.cp} {router.sink} {avalon_streaming};preview_set_connection_tag {cpu_data_master_agent.cp/router.sink} {qsys_mm.command};add_connection {router.src} {cmd_demux.sink} {avalon_streaming};preview_set_connection_tag {router.src/cmd_demux.sink} {qsys_mm.command};add_connection {cpu_instruction_master_agent.cp} {router_001.sink} {avalon_streaming};preview_set_connection_tag {cpu_instruction_master_agent.cp/router_001.sink} {qsys_mm.command};add_connection {router_001.src} {cmd_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_001.src/cmd_demux_001.sink} {qsys_mm.command};add_connection {jtag_uart_avalon_jtag_slave_agent.rp} {router_002.sink} {avalon_streaming};preview_set_connection_tag {jtag_uart_avalon_jtag_slave_agent.rp/router_002.sink} {qsys_mm.response};add_connection {router_002.src} {rsp_demux.sink} {avalon_streaming};preview_set_connection_tag {router_002.src/rsp_demux.sink} {qsys_mm.response};add_connection {sem_ctl_slave_agent.rp} {router_003.sink} {avalon_streaming};preview_set_connection_tag {sem_ctl_slave_agent.rp/router_003.sink} {qsys_mm.response};add_connection {router_003.src} {rsp_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_003.src/rsp_demux_001.sink} {qsys_mm.response};add_connection {cpu_debug_mem_slave_agent.rp} {router_004.sink} {avalon_streaming};preview_set_connection_tag {cpu_debug_mem_slave_agent.rp/router_004.sink} {qsys_mm.response};add_connection {router_004.src} {rsp_demux_002.sink} {avalon_streaming};preview_set_connection_tag {router_004.src/rsp_demux_002.sink} {qsys_mm.response};add_connection {sem_ram_slave_agent.rp} {router_005.sink} {avalon_streaming};preview_set_connection_tag {sem_ram_slave_agent.rp/router_005.sink} {qsys_mm.response};add_connection {router_005.src} {rsp_demux_003.sink} {avalon_streaming};preview_set_connection_tag {router_005.src/rsp_demux_003.sink} {qsys_mm.response};add_connection {sys_clk_timer_s1_agent.rp} {router_006.sink} {avalon_streaming};preview_set_connection_tag {sys_clk_timer_s1_agent.rp/router_006.sink} {qsys_mm.response};add_connection {router_006.src} {rsp_demux_004.sink} {avalon_streaming};preview_set_connection_tag {router_006.src/rsp_demux_004.sink} {qsys_mm.response};add_connection {mem_s2_agent.rp} {router_007.sink} {avalon_streaming};preview_set_connection_tag {mem_s2_agent.rp/router_007.sink} {qsys_mm.response};add_connection {router_007.src} {rsp_demux_005.sink} {avalon_streaming};preview_set_connection_tag {router_007.src/rsp_demux_005.sink} {qsys_mm.response};add_connection {mem_s1_agent.rp} {router_008.sink} {avalon_streaming};preview_set_connection_tag {mem_s1_agent.rp/router_008.sink} {qsys_mm.response};add_connection {router_008.src} {rsp_demux_006.sink} {avalon_streaming};preview_set_connection_tag {router_008.src/rsp_demux_006.sink} {qsys_mm.response};add_connection {cmd_demux.src0} {cmd_mux.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src0/cmd_mux.sink0} {qsys_mm.command};add_connection {cmd_demux.src1} {cmd_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src1/cmd_mux_001.sink0} {qsys_mm.command};add_connection {cmd_demux.src2} {cmd_mux_002.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src2/cmd_mux_002.sink0} {qsys_mm.command};add_connection {cmd_demux.src3} {cmd_mux_003.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src3/cmd_mux_003.sink0} {qsys_mm.command};add_connection {cmd_demux.src4} {cmd_mux_004.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src4/cmd_mux_004.sink0} {qsys_mm.command};add_connection {cmd_demux.src5} {cmd_mux_005.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src5/cmd_mux_005.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src0} {cmd_mux_002.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src0/cmd_mux_002.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src1} {cmd_mux_006.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src1/cmd_mux_006.sink0} {qsys_mm.command};add_connection {rsp_demux.src0} {rsp_mux.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src0/rsp_mux.sink0} {qsys_mm.response};add_connection {rsp_demux_001.src0} {rsp_mux.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src0/rsp_mux.sink1} {qsys_mm.response};add_connection {rsp_demux_002.src0} {rsp_mux.sink2} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src0/rsp_mux.sink2} {qsys_mm.response};add_connection {rsp_demux_002.src1} {rsp_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src1/rsp_mux_001.sink0} {qsys_mm.response};add_connection {rsp_demux_003.src0} {rsp_mux.sink3} {avalon_streaming};preview_set_connection_tag {rsp_demux_003.src0/rsp_mux.sink3} {qsys_mm.response};add_connection {rsp_demux_004.src0} {rsp_mux.sink4} {avalon_streaming};preview_set_connection_tag {rsp_demux_004.src0/rsp_mux.sink4} {qsys_mm.response};add_connection {rsp_demux_005.src0} {rsp_mux.sink5} {avalon_streaming};preview_set_connection_tag {rsp_demux_005.src0/rsp_mux.sink5} {qsys_mm.response};add_connection {rsp_demux_006.src0} {rsp_mux_001.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_006.src0/rsp_mux_001.sink1} {qsys_mm.response};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_data_master_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_instruction_master_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sem_ctl_slave_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_debug_mem_slave_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sem_ram_slave_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sys_clk_timer_s1_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s2_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s1_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_data_master_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_instruction_master_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sem_ctl_slave_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sem_ctl_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_debug_mem_slave_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_debug_mem_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sem_ram_slave_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sem_ram_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sys_clk_timer_s1_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sys_clk_timer_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s2_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s2_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s1_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_002.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_003.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_004.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_005.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_006.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_007.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_008.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_demux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_demux_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_002.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_003.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_004.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_005.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_006.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_002.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_003.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_004.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_005.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_006.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_mux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_mux_001.clk_reset} {reset};add_connection {clk_clk_clock_bridge.out_clk} {cpu_data_master_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_instruction_master_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sem_ctl_slave_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_debug_mem_slave_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sem_ram_slave_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sys_clk_timer_s1_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s2_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s1_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_data_master_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_instruction_master_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sem_ctl_slave_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sem_ctl_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_debug_mem_slave_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_debug_mem_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sem_ram_slave_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sem_ram_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sys_clk_timer_s1_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sys_clk_timer_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s2_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s2_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s1_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_002.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_003.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_004.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_005.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_006.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_007.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_008.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_demux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_mux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_demux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_mux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_002.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_002.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_003.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_003.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_004.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_004.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_005.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_005.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_006.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_006.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_reset_reset_bridge.clk} {clock};add_interface {clk_clk} {clock} {slave};set_interface_property {clk_clk} {EXPORT_OF} {clk_clk_clock_bridge.in_clk};add_interface {cpu_reset_reset_bridge_in_reset} {reset} {slave};set_interface_property {cpu_reset_reset_bridge_in_reset} {EXPORT_OF} {cpu_reset_reset_bridge.in_reset};add_interface {cpu_data_master} {avalon} {slave};set_interface_property {cpu_data_master} {EXPORT_OF} {cpu_data_master_translator.avalon_anti_master_0};add_interface {cpu_instruction_master} {avalon} {slave};set_interface_property {cpu_instruction_master} {EXPORT_OF} {cpu_instruction_master_translator.avalon_anti_master_0};add_interface {cpu_debug_mem_slave} {avalon} {master};set_interface_property {cpu_debug_mem_slave} {EXPORT_OF} {cpu_debug_mem_slave_translator.avalon_anti_slave_0};add_interface {jtag_uart_avalon_jtag_slave} {avalon} {master};set_interface_property {jtag_uart_avalon_jtag_slave} {EXPORT_OF} {jtag_uart_avalon_jtag_slave_translator.avalon_anti_slave_0};add_interface {mem_s1} {avalon} {master};set_interface_property {mem_s1} {EXPORT_OF} {mem_s1_translator.avalon_anti_slave_0};add_interface {mem_s2} {avalon} {master};set_interface_property {mem_s2} {EXPORT_OF} {mem_s2_translator.avalon_anti_slave_0};add_interface {sem_ctl_slave} {avalon} {master};set_interface_property {sem_ctl_slave} {EXPORT_OF} {sem_ctl_slave_translator.avalon_anti_slave_0};add_interface {sem_ram_slave} {avalon} {master};set_interface_property {sem_ram_slave} {EXPORT_OF} {sem_ram_slave_translator.avalon_anti_slave_0};add_interface {sys_clk_timer_s1} {avalon} {master};set_interface_property {sys_clk_timer_s1} {EXPORT_OF} {sys_clk_timer_s1_translator.avalon_anti_slave_0};set_module_assignment {interconnect_id.cpu.data_master} {0};set_module_assignment {interconnect_id.cpu.debug_mem_slave} {0};set_module_assignment {interconnect_id.cpu.instruction_master} {1};set_module_assignment {interconnect_id.jtag_uart.avalon_jtag_slave} {1};set_module_assignment {interconnect_id.mem.s1} {2};set_module_assignment {interconnect_id.mem.s2} {3};set_module_assignment {interconnect_id.sem.ctl_slave} {4};set_module_assignment {interconnect_id.sem.ram_slave} {5};set_module_assignment {interconnect_id.sys_clk_timer.s1} {6};]]> +};set_instance_parameter_value {cpu_instruction_master_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {cpu_instruction_master_agent} {ID} {1};set_instance_parameter_value {cpu_instruction_master_agent} {BURSTWRAP_VALUE} {3};set_instance_parameter_value {cpu_instruction_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {cpu_instruction_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {cpu_instruction_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {cpu_instruction_master_agent} {USE_WRITERESPONSE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_DATA_W} {94};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ID} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ECC_ENABLE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sem_ctl_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sem_ctl_slave_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {sem_ctl_slave_agent} {ST_DATA_W} {94};set_instance_parameter_value {sem_ctl_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sem_ctl_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sem_ctl_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sem_ctl_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sem_ctl_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sem_ctl_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sem_ctl_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sem_ctl_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sem_ctl_slave_agent} {ID} {4};set_instance_parameter_value {sem_ctl_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sem_ctl_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sem_ctl_slave_agent} {ECC_ENABLE} {0};add_instance {sem_ctl_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {cpu_debug_mem_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ST_DATA_W} {94};set_instance_parameter_value {cpu_debug_mem_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {cpu_debug_mem_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {cpu_debug_mem_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {cpu_debug_mem_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ID} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ECC_ENABLE} {0};add_instance {cpu_debug_mem_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sem_ram_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sem_ram_slave_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {sem_ram_slave_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {sem_ram_slave_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {sem_ram_slave_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {sem_ram_slave_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {sem_ram_slave_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {sem_ram_slave_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {sem_ram_slave_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {sem_ram_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sem_ram_slave_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {sem_ram_slave_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {sem_ram_slave_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {sem_ram_slave_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {sem_ram_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sem_ram_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sem_ram_slave_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {sem_ram_slave_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {sem_ram_slave_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {sem_ram_slave_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {sem_ram_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sem_ram_slave_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {sem_ram_slave_agent} {ST_DATA_W} {94};set_instance_parameter_value {sem_ram_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sem_ram_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sem_ram_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sem_ram_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sem_ram_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sem_ram_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sem_ram_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sem_ram_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sem_ram_slave_agent} {ID} {5};set_instance_parameter_value {sem_ram_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sem_ram_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sem_ram_slave_agent} {ECC_ENABLE} {0};add_instance {sem_ram_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sys_clk_timer_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sys_clk_timer_s1_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {sys_clk_timer_s1_agent} {ST_DATA_W} {94};set_instance_parameter_value {sys_clk_timer_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sys_clk_timer_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sys_clk_timer_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sys_clk_timer_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sys_clk_timer_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sys_clk_timer_s1_agent} {ID} {6};set_instance_parameter_value {sys_clk_timer_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {ECC_ENABLE} {0};add_instance {sys_clk_timer_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {mem_s2_agent} {altera_merlin_slave_agent};set_instance_parameter_value {mem_s2_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {mem_s2_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {mem_s2_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {mem_s2_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {mem_s2_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {mem_s2_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {mem_s2_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {mem_s2_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {mem_s2_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {mem_s2_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {mem_s2_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {mem_s2_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {mem_s2_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {mem_s2_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {mem_s2_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {mem_s2_agent} {PKT_DATA_H} {31};set_instance_parameter_value {mem_s2_agent} {PKT_DATA_L} {0};set_instance_parameter_value {mem_s2_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {mem_s2_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {mem_s2_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {mem_s2_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {mem_s2_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {mem_s2_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {mem_s2_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {mem_s2_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {mem_s2_agent} {ST_DATA_W} {94};set_instance_parameter_value {mem_s2_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {mem_s2_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {mem_s2_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {mem_s2_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {mem_s2_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {mem_s2_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {mem_s2_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {mem_s2_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {mem_s2_agent} {ID} {3};set_instance_parameter_value {mem_s2_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {mem_s2_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {mem_s2_agent} {ECC_ENABLE} {0};add_instance {mem_s2_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {mem_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {mem_s1_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {mem_s1_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {mem_s1_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {mem_s1_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {mem_s1_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {mem_s1_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {mem_s1_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {mem_s1_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {mem_s1_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {mem_s1_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {mem_s1_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {mem_s1_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {mem_s1_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {mem_s1_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {mem_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {mem_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {mem_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {mem_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {mem_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {mem_s1_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {mem_s1_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {mem_s1_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {mem_s1_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {mem_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {mem_s1_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {mem_s1_agent} {ST_DATA_W} {94};set_instance_parameter_value {mem_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {mem_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {mem_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {mem_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {mem_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {mem_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {mem_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {mem_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {mem_s1_agent} {ID} {2};set_instance_parameter_value {mem_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {mem_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {mem_s1_agent} {ECC_ENABLE} {0};add_instance {mem_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {router} {altera_merlin_router};set_instance_parameter_value {router} {DESTINATION_ID} {3 0 5 6 4 1 };set_instance_parameter_value {router} {CHANNEL_ID} {100000 000100 001000 010000 000010 000001 };set_instance_parameter_value {router} {TYPE_OF_TRANSACTION} {both both write both both both };set_instance_parameter_value {router} {START_ADDRESS} {0x0 0x20800 0x21000 0x21040 0x21060 0x21068 };set_instance_parameter_value {router} {END_ADDRESS} {0x20000 0x21000 0x21040 0x21060 0x21068 0x21070 };set_instance_parameter_value {router} {NON_SECURED_TAG} {1 1 1 1 1 1 };set_instance_parameter_value {router} {SECURED_RANGE_PAIRS} {0 0 0 0 0 0 };set_instance_parameter_value {router} {SECURED_RANGE_LIST} {0 0 0 0 0 0 };set_instance_parameter_value {router} {SPAN_OFFSET} {};set_instance_parameter_value {router} {PKT_ADDR_H} {53};set_instance_parameter_value {router} {PKT_ADDR_L} {36};set_instance_parameter_value {router} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router} {PKT_TRANS_READ} {57};set_instance_parameter_value {router} {ST_DATA_W} {94};set_instance_parameter_value {router} {ST_CHANNEL_W} {7};set_instance_parameter_value {router} {DECODER_TYPE} {0};set_instance_parameter_value {router} {DEFAULT_CHANNEL} {5};set_instance_parameter_value {router} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_DESTID} {3};set_instance_parameter_value {router} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router} {MEMORY_ALIASING_DECODE} {0};add_instance {router_001} {altera_merlin_router};set_instance_parameter_value {router_001} {DESTINATION_ID} {2 0 };set_instance_parameter_value {router_001} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router_001} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router_001} {START_ADDRESS} {0x0 0x20800 };set_instance_parameter_value {router_001} {END_ADDRESS} {0x20000 0x21000 };set_instance_parameter_value {router_001} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_001} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_001} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_001} {SPAN_OFFSET} {};set_instance_parameter_value {router_001} {PKT_ADDR_H} {53};set_instance_parameter_value {router_001} {PKT_ADDR_L} {36};set_instance_parameter_value {router_001} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_001} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_001} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_001} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_001} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_001} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_001} {ST_DATA_W} {94};set_instance_parameter_value {router_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_001} {DECODER_TYPE} {0};set_instance_parameter_value {router_001} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router_001} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_DESTID} {2};set_instance_parameter_value {router_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_001} {MEMORY_ALIASING_DECODE} {0};add_instance {router_002} {altera_merlin_router};set_instance_parameter_value {router_002} {DESTINATION_ID} {0 };set_instance_parameter_value {router_002} {CHANNEL_ID} {1 };set_instance_parameter_value {router_002} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_002} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_002} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_002} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_002} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_002} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_002} {SPAN_OFFSET} {};set_instance_parameter_value {router_002} {PKT_ADDR_H} {53};set_instance_parameter_value {router_002} {PKT_ADDR_L} {36};set_instance_parameter_value {router_002} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_002} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_002} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_002} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_002} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_002} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_002} {ST_DATA_W} {94};set_instance_parameter_value {router_002} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_002} {DECODER_TYPE} {1};set_instance_parameter_value {router_002} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_002} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_002} {MEMORY_ALIASING_DECODE} {0};add_instance {router_003} {altera_merlin_router};set_instance_parameter_value {router_003} {DESTINATION_ID} {0 };set_instance_parameter_value {router_003} {CHANNEL_ID} {1 };set_instance_parameter_value {router_003} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_003} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_003} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_003} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_003} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_003} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_003} {SPAN_OFFSET} {};set_instance_parameter_value {router_003} {PKT_ADDR_H} {53};set_instance_parameter_value {router_003} {PKT_ADDR_L} {36};set_instance_parameter_value {router_003} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_003} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_003} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_003} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_003} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_003} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_003} {ST_DATA_W} {94};set_instance_parameter_value {router_003} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_003} {DECODER_TYPE} {1};set_instance_parameter_value {router_003} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_003} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_003} {MEMORY_ALIASING_DECODE} {0};add_instance {router_004} {altera_merlin_router};set_instance_parameter_value {router_004} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_004} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_004} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_004} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_004} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_004} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_004} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_004} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_004} {SPAN_OFFSET} {};set_instance_parameter_value {router_004} {PKT_ADDR_H} {53};set_instance_parameter_value {router_004} {PKT_ADDR_L} {36};set_instance_parameter_value {router_004} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_004} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_004} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_004} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_004} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_004} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_004} {ST_DATA_W} {94};set_instance_parameter_value {router_004} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_004} {DECODER_TYPE} {1};set_instance_parameter_value {router_004} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_004} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_004} {MEMORY_ALIASING_DECODE} {0};add_instance {router_005} {altera_merlin_router};set_instance_parameter_value {router_005} {DESTINATION_ID} {0 };set_instance_parameter_value {router_005} {CHANNEL_ID} {1 };set_instance_parameter_value {router_005} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_005} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_005} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_005} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_005} {SPAN_OFFSET} {};set_instance_parameter_value {router_005} {PKT_ADDR_H} {53};set_instance_parameter_value {router_005} {PKT_ADDR_L} {36};set_instance_parameter_value {router_005} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_005} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_005} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_005} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_005} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_005} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_005} {ST_DATA_W} {94};set_instance_parameter_value {router_005} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_005} {DECODER_TYPE} {1};set_instance_parameter_value {router_005} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_005} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_005} {MEMORY_ALIASING_DECODE} {0};add_instance {router_006} {altera_merlin_router};set_instance_parameter_value {router_006} {DESTINATION_ID} {0 };set_instance_parameter_value {router_006} {CHANNEL_ID} {1 };set_instance_parameter_value {router_006} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_006} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_006} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_006} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_006} {SPAN_OFFSET} {};set_instance_parameter_value {router_006} {PKT_ADDR_H} {53};set_instance_parameter_value {router_006} {PKT_ADDR_L} {36};set_instance_parameter_value {router_006} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_006} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_006} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_006} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_006} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_006} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_006} {ST_DATA_W} {94};set_instance_parameter_value {router_006} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_006} {DECODER_TYPE} {1};set_instance_parameter_value {router_006} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_006} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_006} {MEMORY_ALIASING_DECODE} {0};add_instance {router_007} {altera_merlin_router};set_instance_parameter_value {router_007} {DESTINATION_ID} {0 };set_instance_parameter_value {router_007} {CHANNEL_ID} {1 };set_instance_parameter_value {router_007} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_007} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_007} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_007} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_007} {SPAN_OFFSET} {};set_instance_parameter_value {router_007} {PKT_ADDR_H} {53};set_instance_parameter_value {router_007} {PKT_ADDR_L} {36};set_instance_parameter_value {router_007} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_007} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_007} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_007} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_007} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_007} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_007} {ST_DATA_W} {94};set_instance_parameter_value {router_007} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_007} {DECODER_TYPE} {1};set_instance_parameter_value {router_007} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_007} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_007} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_007} {MEMORY_ALIASING_DECODE} {0};add_instance {router_008} {altera_merlin_router};set_instance_parameter_value {router_008} {DESTINATION_ID} {1 };set_instance_parameter_value {router_008} {CHANNEL_ID} {1 };set_instance_parameter_value {router_008} {TYPE_OF_TRANSACTION} {read };set_instance_parameter_value {router_008} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_008} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_008} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_008} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_008} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_008} {SPAN_OFFSET} {};set_instance_parameter_value {router_008} {PKT_ADDR_H} {53};set_instance_parameter_value {router_008} {PKT_ADDR_L} {36};set_instance_parameter_value {router_008} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_008} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_008} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_008} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_008} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_008} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_008} {ST_DATA_W} {94};set_instance_parameter_value {router_008} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_008} {DECODER_TYPE} {1};set_instance_parameter_value {router_008} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_008} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_008} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_008} {DEFAULT_DESTID} {1};set_instance_parameter_value {router_008} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_008} {MEMORY_ALIASING_DECODE} {0};add_instance {cmd_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux} {ST_DATA_W} {94};set_instance_parameter_value {cmd_demux} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_demux} {NUM_OUTPUTS} {6};set_instance_parameter_value {cmd_demux} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_001} {ST_DATA_W} {94};set_instance_parameter_value {cmd_demux_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_demux_001} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_001} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_001} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_001} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_001} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_002} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_002} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_002} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_002} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_002} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_002} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_002} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_003} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_003} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_003} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_003} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_003} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_003} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_003} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_004} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_004} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_004} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_004} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_004} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_004} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_004} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_005} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_005} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_005} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_005} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_005} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_005} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_005} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_006} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_006} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_006} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_006} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_006} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_006} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_006} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_006} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_006} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_001} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_001} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_002} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_002} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_002} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_002} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_002} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_003} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_003} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_003} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_003} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_003} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_004} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_004} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_004} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_004} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_004} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_005} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_005} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_005} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_005} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_005} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_006} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_006} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_006} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_006} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_006} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_mux} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux} {ST_DATA_W} {94};set_instance_parameter_value {rsp_mux} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_mux} {NUM_INPUTS} {6};set_instance_parameter_value {rsp_mux} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {rsp_mux} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux} {ARBITRATION_SHARES} {1 1 1 1 1 1 };set_instance_parameter_value {rsp_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_001} {ST_DATA_W} {94};set_instance_parameter_value {rsp_mux_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_mux_001} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux_001} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_001} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cpu_reset_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {cpu_reset_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {cpu_reset_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {cpu_reset_reset_bridge} {NUM_RESET_OUTPUTS} {1};set_instance_parameter_value {cpu_reset_reset_bridge} {USE_RESET_REQUEST} {0};add_instance {clk_clk_clock_bridge} {altera_clock_bridge};set_instance_parameter_value {clk_clk_clock_bridge} {EXPLICIT_CLOCK_RATE} {50000000};set_instance_parameter_value {clk_clk_clock_bridge} {NUM_CLOCK_OUTPUTS} {1};add_connection {cpu_data_master_translator.avalon_universal_master_0} {cpu_data_master_agent.av} {avalon};set_connection_parameter_value {cpu_data_master_translator.avalon_universal_master_0/cpu_data_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {cpu_data_master_translator.avalon_universal_master_0/cpu_data_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {cpu_data_master_translator.avalon_universal_master_0/cpu_data_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux.src} {cpu_data_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux.src/cpu_data_master_agent.rp} {qsys_mm.response};add_connection {cpu_instruction_master_translator.avalon_universal_master_0} {cpu_instruction_master_agent.av} {avalon};set_connection_parameter_value {cpu_instruction_master_translator.avalon_universal_master_0/cpu_instruction_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {cpu_instruction_master_translator.avalon_universal_master_0/cpu_instruction_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {cpu_instruction_master_translator.avalon_universal_master_0/cpu_instruction_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux_001.src} {cpu_instruction_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux_001.src/cpu_instruction_master_agent.rp} {qsys_mm.response};add_connection {jtag_uart_avalon_jtag_slave_agent.m0} {jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {jtag_uart_avalon_jtag_slave_agent.rf_source} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.out} {jtag_uart_avalon_jtag_slave_agent.rf_sink} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_src} {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux.src} {jtag_uart_avalon_jtag_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux.src/jtag_uart_avalon_jtag_slave_agent.cp} {qsys_mm.command};add_connection {sem_ctl_slave_agent.m0} {sem_ctl_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sem_ctl_slave_agent.m0/sem_ctl_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sem_ctl_slave_agent.m0/sem_ctl_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sem_ctl_slave_agent.m0/sem_ctl_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sem_ctl_slave_agent.rf_source} {sem_ctl_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {sem_ctl_slave_agent_rsp_fifo.out} {sem_ctl_slave_agent.rf_sink} {avalon_streaming};add_connection {sem_ctl_slave_agent.rdata_fifo_src} {sem_ctl_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_001.src} {sem_ctl_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_001.src/sem_ctl_slave_agent.cp} {qsys_mm.command};add_connection {cpu_debug_mem_slave_agent.m0} {cpu_debug_mem_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {cpu_debug_mem_slave_agent.m0/cpu_debug_mem_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {cpu_debug_mem_slave_agent.m0/cpu_debug_mem_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {cpu_debug_mem_slave_agent.m0/cpu_debug_mem_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {cpu_debug_mem_slave_agent.rf_source} {cpu_debug_mem_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {cpu_debug_mem_slave_agent_rsp_fifo.out} {cpu_debug_mem_slave_agent.rf_sink} {avalon_streaming};add_connection {cpu_debug_mem_slave_agent.rdata_fifo_src} {cpu_debug_mem_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_002.src} {cpu_debug_mem_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_002.src/cpu_debug_mem_slave_agent.cp} {qsys_mm.command};add_connection {sem_ram_slave_agent.m0} {sem_ram_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sem_ram_slave_agent.m0/sem_ram_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sem_ram_slave_agent.m0/sem_ram_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sem_ram_slave_agent.m0/sem_ram_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sem_ram_slave_agent.rf_source} {sem_ram_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {sem_ram_slave_agent_rsp_fifo.out} {sem_ram_slave_agent.rf_sink} {avalon_streaming};add_connection {sem_ram_slave_agent.rdata_fifo_src} {sem_ram_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_003.src} {sem_ram_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_003.src/sem_ram_slave_agent.cp} {qsys_mm.command};add_connection {sys_clk_timer_s1_agent.m0} {sys_clk_timer_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sys_clk_timer_s1_agent.m0/sys_clk_timer_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sys_clk_timer_s1_agent.m0/sys_clk_timer_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sys_clk_timer_s1_agent.m0/sys_clk_timer_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sys_clk_timer_s1_agent.rf_source} {sys_clk_timer_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {sys_clk_timer_s1_agent_rsp_fifo.out} {sys_clk_timer_s1_agent.rf_sink} {avalon_streaming};add_connection {sys_clk_timer_s1_agent.rdata_fifo_src} {sys_clk_timer_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_004.src} {sys_clk_timer_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_004.src/sys_clk_timer_s1_agent.cp} {qsys_mm.command};add_connection {mem_s2_agent.m0} {mem_s2_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {mem_s2_agent.m0/mem_s2_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {mem_s2_agent.m0/mem_s2_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {mem_s2_agent.m0/mem_s2_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {mem_s2_agent.rf_source} {mem_s2_agent_rsp_fifo.in} {avalon_streaming};add_connection {mem_s2_agent_rsp_fifo.out} {mem_s2_agent.rf_sink} {avalon_streaming};add_connection {mem_s2_agent.rdata_fifo_src} {mem_s2_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_005.src} {mem_s2_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_005.src/mem_s2_agent.cp} {qsys_mm.command};add_connection {mem_s1_agent.m0} {mem_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {mem_s1_agent.m0/mem_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {mem_s1_agent.m0/mem_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {mem_s1_agent.m0/mem_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {mem_s1_agent.rf_source} {mem_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {mem_s1_agent_rsp_fifo.out} {mem_s1_agent.rf_sink} {avalon_streaming};add_connection {mem_s1_agent.rdata_fifo_src} {mem_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_006.src} {mem_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_006.src/mem_s1_agent.cp} {qsys_mm.command};add_connection {cpu_data_master_agent.cp} {router.sink} {avalon_streaming};preview_set_connection_tag {cpu_data_master_agent.cp/router.sink} {qsys_mm.command};add_connection {router.src} {cmd_demux.sink} {avalon_streaming};preview_set_connection_tag {router.src/cmd_demux.sink} {qsys_mm.command};add_connection {cpu_instruction_master_agent.cp} {router_001.sink} {avalon_streaming};preview_set_connection_tag {cpu_instruction_master_agent.cp/router_001.sink} {qsys_mm.command};add_connection {router_001.src} {cmd_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_001.src/cmd_demux_001.sink} {qsys_mm.command};add_connection {jtag_uart_avalon_jtag_slave_agent.rp} {router_002.sink} {avalon_streaming};preview_set_connection_tag {jtag_uart_avalon_jtag_slave_agent.rp/router_002.sink} {qsys_mm.response};add_connection {router_002.src} {rsp_demux.sink} {avalon_streaming};preview_set_connection_tag {router_002.src/rsp_demux.sink} {qsys_mm.response};add_connection {sem_ctl_slave_agent.rp} {router_003.sink} {avalon_streaming};preview_set_connection_tag {sem_ctl_slave_agent.rp/router_003.sink} {qsys_mm.response};add_connection {router_003.src} {rsp_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_003.src/rsp_demux_001.sink} {qsys_mm.response};add_connection {cpu_debug_mem_slave_agent.rp} {router_004.sink} {avalon_streaming};preview_set_connection_tag {cpu_debug_mem_slave_agent.rp/router_004.sink} {qsys_mm.response};add_connection {router_004.src} {rsp_demux_002.sink} {avalon_streaming};preview_set_connection_tag {router_004.src/rsp_demux_002.sink} {qsys_mm.response};add_connection {sem_ram_slave_agent.rp} {router_005.sink} {avalon_streaming};preview_set_connection_tag {sem_ram_slave_agent.rp/router_005.sink} {qsys_mm.response};add_connection {router_005.src} {rsp_demux_003.sink} {avalon_streaming};preview_set_connection_tag {router_005.src/rsp_demux_003.sink} {qsys_mm.response};add_connection {sys_clk_timer_s1_agent.rp} {router_006.sink} {avalon_streaming};preview_set_connection_tag {sys_clk_timer_s1_agent.rp/router_006.sink} {qsys_mm.response};add_connection {router_006.src} {rsp_demux_004.sink} {avalon_streaming};preview_set_connection_tag {router_006.src/rsp_demux_004.sink} {qsys_mm.response};add_connection {mem_s2_agent.rp} {router_007.sink} {avalon_streaming};preview_set_connection_tag {mem_s2_agent.rp/router_007.sink} {qsys_mm.response};add_connection {router_007.src} {rsp_demux_005.sink} {avalon_streaming};preview_set_connection_tag {router_007.src/rsp_demux_005.sink} {qsys_mm.response};add_connection {mem_s1_agent.rp} {router_008.sink} {avalon_streaming};preview_set_connection_tag {mem_s1_agent.rp/router_008.sink} {qsys_mm.response};add_connection {router_008.src} {rsp_demux_006.sink} {avalon_streaming};preview_set_connection_tag {router_008.src/rsp_demux_006.sink} {qsys_mm.response};add_connection {cmd_demux.src0} {cmd_mux.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src0/cmd_mux.sink0} {qsys_mm.command};add_connection {cmd_demux.src1} {cmd_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src1/cmd_mux_001.sink0} {qsys_mm.command};add_connection {cmd_demux.src2} {cmd_mux_002.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src2/cmd_mux_002.sink0} {qsys_mm.command};add_connection {cmd_demux.src3} {cmd_mux_003.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src3/cmd_mux_003.sink0} {qsys_mm.command};add_connection {cmd_demux.src4} {cmd_mux_004.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src4/cmd_mux_004.sink0} {qsys_mm.command};add_connection {cmd_demux.src5} {cmd_mux_005.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src5/cmd_mux_005.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src0} {cmd_mux_002.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src0/cmd_mux_002.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src1} {cmd_mux_006.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src1/cmd_mux_006.sink0} {qsys_mm.command};add_connection {rsp_demux.src0} {rsp_mux.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src0/rsp_mux.sink0} {qsys_mm.response};add_connection {rsp_demux_001.src0} {rsp_mux.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src0/rsp_mux.sink1} {qsys_mm.response};add_connection {rsp_demux_002.src0} {rsp_mux.sink2} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src0/rsp_mux.sink2} {qsys_mm.response};add_connection {rsp_demux_002.src1} {rsp_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src1/rsp_mux_001.sink0} {qsys_mm.response};add_connection {rsp_demux_003.src0} {rsp_mux.sink3} {avalon_streaming};preview_set_connection_tag {rsp_demux_003.src0/rsp_mux.sink3} {qsys_mm.response};add_connection {rsp_demux_004.src0} {rsp_mux.sink4} {avalon_streaming};preview_set_connection_tag {rsp_demux_004.src0/rsp_mux.sink4} {qsys_mm.response};add_connection {rsp_demux_005.src0} {rsp_mux.sink5} {avalon_streaming};preview_set_connection_tag {rsp_demux_005.src0/rsp_mux.sink5} {qsys_mm.response};add_connection {rsp_demux_006.src0} {rsp_mux_001.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_006.src0/rsp_mux_001.sink1} {qsys_mm.response};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_data_master_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_instruction_master_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sem_ctl_slave_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_debug_mem_slave_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sem_ram_slave_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sys_clk_timer_s1_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s2_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s1_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_data_master_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_instruction_master_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sem_ctl_slave_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sem_ctl_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_debug_mem_slave_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_debug_mem_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sem_ram_slave_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sem_ram_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sys_clk_timer_s1_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sys_clk_timer_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s2_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s2_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s1_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_002.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_003.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_004.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_005.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_006.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_007.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_008.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_demux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_demux_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_002.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_003.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_004.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_005.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_006.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_002.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_003.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_004.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_005.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_006.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_mux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_mux_001.clk_reset} {reset};add_connection {clk_clk_clock_bridge.out_clk} {cpu_data_master_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_instruction_master_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sem_ctl_slave_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_debug_mem_slave_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sem_ram_slave_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sys_clk_timer_s1_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s2_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s1_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_data_master_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_instruction_master_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sem_ctl_slave_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sem_ctl_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_debug_mem_slave_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_debug_mem_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sem_ram_slave_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sem_ram_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sys_clk_timer_s1_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sys_clk_timer_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s2_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s2_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s1_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_002.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_003.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_004.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_005.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_006.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_007.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_008.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_demux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_mux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_demux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_mux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_002.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_002.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_003.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_003.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_004.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_004.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_005.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_005.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_006.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_006.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_reset_reset_bridge.clk} {clock};add_interface {clk_clk} {clock} {slave};set_interface_property {clk_clk} {EXPORT_OF} {clk_clk_clock_bridge.in_clk};add_interface {cpu_reset_reset_bridge_in_reset} {reset} {slave};set_interface_property {cpu_reset_reset_bridge_in_reset} {EXPORT_OF} {cpu_reset_reset_bridge.in_reset};add_interface {cpu_data_master} {avalon} {slave};set_interface_property {cpu_data_master} {EXPORT_OF} {cpu_data_master_translator.avalon_anti_master_0};add_interface {cpu_instruction_master} {avalon} {slave};set_interface_property {cpu_instruction_master} {EXPORT_OF} {cpu_instruction_master_translator.avalon_anti_master_0};add_interface {cpu_debug_mem_slave} {avalon} {master};set_interface_property {cpu_debug_mem_slave} {EXPORT_OF} {cpu_debug_mem_slave_translator.avalon_anti_slave_0};add_interface {jtag_uart_avalon_jtag_slave} {avalon} {master};set_interface_property {jtag_uart_avalon_jtag_slave} {EXPORT_OF} {jtag_uart_avalon_jtag_slave_translator.avalon_anti_slave_0};add_interface {mem_s1} {avalon} {master};set_interface_property {mem_s1} {EXPORT_OF} {mem_s1_translator.avalon_anti_slave_0};add_interface {mem_s2} {avalon} {master};set_interface_property {mem_s2} {EXPORT_OF} {mem_s2_translator.avalon_anti_slave_0};add_interface {sem_ctl_slave} {avalon} {master};set_interface_property {sem_ctl_slave} {EXPORT_OF} {sem_ctl_slave_translator.avalon_anti_slave_0};add_interface {sem_ram_slave} {avalon} {master};set_interface_property {sem_ram_slave} {EXPORT_OF} {sem_ram_slave_translator.avalon_anti_slave_0};add_interface {sys_clk_timer_s1} {avalon} {master};set_interface_property {sys_clk_timer_s1} {EXPORT_OF} {sys_clk_timer_s1_translator.avalon_anti_slave_0};set_module_assignment {interconnect_id.cpu.data_master} {0};set_module_assignment {interconnect_id.cpu.debug_mem_slave} {0};set_module_assignment {interconnect_id.cpu.instruction_master} {1};set_module_assignment {interconnect_id.jtag_uart.avalon_jtag_slave} {1};set_module_assignment {interconnect_id.mem.s1} {2};set_module_assignment {interconnect_id.mem.s2} {3};set_module_assignment {interconnect_id.sem.ctl_slave} {4};set_module_assignment {interconnect_id.sem.ram_slave} {5};set_module_assignment {interconnect_id.sys_clk_timer.s1} {6};]]> false true true @@ -10181,7 +10181,7 @@ parameters are a RESULT of the module parameters. --> sem_ram_slave_address Output - 2 + 4 address @@ -10202,7 +10202,7 @@ parameters are a RESULT of the module parameters. --> ram_slave sem.ram_slave 0 - 16 + 64 @@ -12925,5 +12925,5 @@ parameters are a RESULT of the module parameters. --> 18.1 18.1 625 - 7A31C1D088900000018535A2F788 + 7A31C1D08890000001853B204A2B diff --git a/Top/niosII/synthesis/niosII.qip b/Top/niosII/synthesis/niosII.qip index 55c67ab..c5dc854 100644 --- a/Top/niosII/synthesis/niosII.qip +++ b/Top/niosII/synthesis/niosII.qip @@ -2,7 +2,7 @@ set_global_assignment -entity "niosII" -library "niosII" -name IP_TOOL_NAME "Qsy set_global_assignment -entity "niosII" -library "niosII" -name IP_TOOL_VERSION "18.1" set_global_assignment -entity "niosII" -library "niosII" -name IP_TOOL_ENV "Qsys" set_global_assignment -library "niosII" -name SOPCINFO_FILE [file join $::quartus(qip_path) "../../niosII.sopcinfo"] -set_global_assignment -entity "niosII" -library "niosII" -name SLD_INFO "QSYS_NAME niosII HAS_SOPCINFO 1 GENERATION_ID 1671642146" +set_global_assignment -entity "niosII" -library "niosII" -name SLD_INFO "QSYS_NAME niosII HAS_SOPCINFO 1 GENERATION_ID 1671734242" set_global_assignment -library "niosII" -name MISC_FILE [file join $::quartus(qip_path) "../niosII.cmp"] set_global_assignment -library "niosII" -name SLD_FILE [file join $::quartus(qip_path) "niosII.regmap"] set_global_assignment -library "niosII" -name SLD_FILE [file join $::quartus(qip_path) "niosII.debuginfo"] @@ -16,7 +16,7 @@ set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_DISP set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_REPORT_HIERARCHY "On" set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_INTERNAL "Off" set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_VERSION "MS4w" -set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19HRU5FUkFUSU9OX0lE::MTY3MTY0MjE0Ng==::QXV0byBHRU5FUkFUSU9OX0lE" +set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19HRU5FUkFUSU9OX0lE::MTY3MTczNDI0Mg==::QXV0byBHRU5FUkFUSU9OX0lE" set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBJViBF::QXV0byBERVZJQ0VfRkFNSUxZ" set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::RVA0Q0UxMTVGMjlDNw==::QXV0byBERVZJQ0U=" set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::Nw==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ==" @@ -365,11 +365,11 @@ set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_VERSION "MTguMQ==" set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_DESCRIPTION "Um91dGVzIGNvbW1hbmQgcGFja2V0cyBmcm9tIHRoZSBtYXN0ZXIgdG8gdGhlIHNsYXZlIGFuZCByZXNwb25zZSBwYWNrZXRzIGZyb20gdGhlIHNsYXZlIHRvIHRoZSBtYXN0ZXIu" -set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "REVTVElOQVRJT05fSUQ=::MywwLDYsNSw0LDE=::RGVzdGluYXRpb24gSUQ=" -set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9JRA==::MTAwMDAwLDAwMDEwMCwwMTAwMDAsMDAxMDAwLDAwMDAxMCwwMDAwMDE=::QmluYXJ5IENoYW5uZWwgU3RyaW5n" -set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "VFlQRV9PRl9UUkFOU0FDVElPTg==::Ym90aCxib3RoLGJvdGgsd3JpdGUsYm90aCxib3Ro::VHlwZSBvZiBUcmFuc2FjdGlvbg==" -set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RBUlRfQUREUkVTUw==::MHgwLDB4MjA4MDAsMHgyMTAwMCwweDIxMDIwLDB4MjEwMzAsMHgyMTAzOA==::U3RhcnQgYWRkcmVzc2VzIChpbmNsdXNpdmUp" -set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "RU5EX0FERFJFU1M=::MHgyMDAwMCwweDIxMDAwLDB4MjEwMjAsMHgyMTAzMCwweDIxMDM4LDB4MjEwNDA=::RW5kIGFkZHJlc3NlcyAoZXhjbHVzaXZlKQ==" +set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "REVTVElOQVRJT05fSUQ=::MywwLDUsNiw0LDE=::RGVzdGluYXRpb24gSUQ=" +set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9JRA==::MTAwMDAwLDAwMDEwMCwwMDEwMDAsMDEwMDAwLDAwMDAxMCwwMDAwMDE=::QmluYXJ5IENoYW5uZWwgU3RyaW5n" +set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "VFlQRV9PRl9UUkFOU0FDVElPTg==::Ym90aCxib3RoLHdyaXRlLGJvdGgsYm90aCxib3Ro::VHlwZSBvZiBUcmFuc2FjdGlvbg==" +set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RBUlRfQUREUkVTUw==::MHgwLDB4MjA4MDAsMHgyMTAwMCwweDIxMDQwLDB4MjEwNjAsMHgyMTA2OA==::U3RhcnQgYWRkcmVzc2VzIChpbmNsdXNpdmUp" +set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "RU5EX0FERFJFU1M=::MHgyMDAwMCwweDIxMDAwLDB4MjEwNDAsMHgyMTA2MCwweDIxMDY4LDB4MjEwNzA=::RW5kIGFkZHJlc3NlcyAoZXhjbHVzaXZlKQ==" set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "Tk9OX1NFQ1VSRURfVEFH::MSwxLDEsMSwxLDE=::Tm9uLXNlY3VyZWQgdGFncw==" set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9QQUlSUw==::MCwwLDAsMCwwLDA=::TnVtYmVyIG9mIHNlY3VyZWQgcmFuZ2UgcGFpcnM=" set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9MSVNU::MCwwLDAsMCwwLDA=::U2VjdXJlZCByYW5nZSBwYWlycw==" @@ -383,7 +383,7 @@ set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NTc=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTQ=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Nw==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" -set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MzoxMDAwMDA6MHgwOjB4MjAwMDA6Ym90aDoxOjA6MDoxLDA6MDAwMTAwOjB4MjA4MDA6MHgyMTAwMDpib3RoOjE6MDowOjEsNjowMTAwMDA6MHgyMTAwMDoweDIxMDIwOmJvdGg6MTowOjA6MSw1OjAwMTAwMDoweDIxMDIwOjB4MjEwMzA6d3JpdGU6MTowOjA6MSw0OjAwMDAxMDoweDIxMDMwOjB4MjEwMzg6Ym90aDoxOjA6MDoxLDE6MDAwMDAxOjB4MjEwMzg6MHgyMTA0MDpib3RoOjE6MDowOjE=::U0xBVkVTX0lORk8=" +set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MzoxMDAwMDA6MHgwOjB4MjAwMDA6Ym90aDoxOjA6MDoxLDA6MDAwMTAwOjB4MjA4MDA6MHgyMTAwMDpib3RoOjE6MDowOjEsNTowMDEwMDA6MHgyMTAwMDoweDIxMDQwOndyaXRlOjE6MDowOjEsNjowMTAwMDA6MHgyMTA0MDoweDIxMDYwOmJvdGg6MTowOjA6MSw0OjAwMDAxMDoweDIxMDYwOjB4MjEwNjg6Ym90aDoxOjA6MDoxLDE6MDAwMDAxOjB4MjEwNjg6MHgyMTA3MDpib3RoOjE6MDowOjE=::U0xBVkVTX0lORk8=" set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "REVDT0RFUl9UWVBF::MA==::RGVjb2RlciB0eXBl" set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9DSEFOTkVM::NQ==::RGVmYXVsdCBjaGFubmVs" set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9XUl9DSEFOTkVM::LTE=::RGVmYXVsdCB3ciBjaGFubmVs" @@ -859,7 +859,7 @@ set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_ set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGF0YU1hc3RlckhpZ2hQZXJmb3JtYW5jZUFkZHJXaWR0aA==::MQ==::ZGF0YU1hc3RlckhpZ2hQZXJmb3JtYW5jZUFkZHJXaWR0aA==" set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "aW5zdHJ1Y3Rpb25NYXN0ZXJIaWdoUGVyZm9ybWFuY2VBZGRyV2lkdGg=::MQ==::aW5zdHJ1Y3Rpb25NYXN0ZXJIaWdoUGVyZm9ybWFuY2VBZGRyV2lkdGg=" set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "aW5zdFNsYXZlTWFwUGFyYW0=::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdtZW0uczEnIHN0YXJ0PScweDAnIGVuZD0nMHgyMDAwMCcgdHlwZT0nYWx0ZXJhX2F2YWxvbl9vbmNoaXBfbWVtb3J5Mi5zMScgLz48c2xhdmUgbmFtZT0nY3B1LmRlYnVnX21lbV9zbGF2ZScgc3RhcnQ9JzB4MjA4MDAnIGVuZD0nMHgyMTAwMCcgdHlwZT0nYWx0ZXJhX25pb3MyX2dlbjIuZGVidWdfbWVtX3NsYXZlJyAvPjwvYWRkcmVzcy1tYXA+::aW5zdFNsYXZlTWFwUGFyYW0=" -set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGF0YVNsYXZlTWFwUGFyYW0=::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdtZW0uczInIHN0YXJ0PScweDAnIGVuZD0nMHgyMDAwMCcgdHlwZT0nYWx0ZXJhX2F2YWxvbl9vbmNoaXBfbWVtb3J5Mi5zMicgLz48c2xhdmUgbmFtZT0nY3B1LmRlYnVnX21lbV9zbGF2ZScgc3RhcnQ9JzB4MjA4MDAnIGVuZD0nMHgyMTAwMCcgdHlwZT0nYWx0ZXJhX25pb3MyX2dlbjIuZGVidWdfbWVtX3NsYXZlJyAvPjxzbGF2ZSBuYW1lPSdzeXNfY2xrX3RpbWVyLnMxJyBzdGFydD0nMHgyMTAwMCcgZW5kPScweDIxMDIwJyB0eXBlPSdhbHRlcmFfYXZhbG9uX3RpbWVyLnMxJyAvPjxzbGF2ZSBuYW1lPSdzZW0ucmFtX3NsYXZlJyBzdGFydD0nMHgyMTAyMCcgZW5kPScweDIxMDMwJyB0eXBlPSdzZW0ucmFtX3NsYXZlJyAvPjxzbGF2ZSBuYW1lPSdzZW0uY3RsX3NsYXZlJyBzdGFydD0nMHgyMTAzMCcgZW5kPScweDIxMDM4JyB0eXBlPSdzZW0uY3RsX3NsYXZlJyAvPjxzbGF2ZSBuYW1lPSdqdGFnX3VhcnQuYXZhbG9uX2p0YWdfc2xhdmUnIHN0YXJ0PScweDIxMDM4JyBlbmQ9JzB4MjEwNDAnIHR5cGU9J2FsdGVyYV9hdmFsb25fanRhZ191YXJ0LmF2YWxvbl9qdGFnX3NsYXZlJyAvPjwvYWRkcmVzcy1tYXA+::ZGF0YVNsYXZlTWFwUGFyYW0=" +set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGF0YVNsYXZlTWFwUGFyYW0=::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdtZW0uczInIHN0YXJ0PScweDAnIGVuZD0nMHgyMDAwMCcgdHlwZT0nYWx0ZXJhX2F2YWxvbl9vbmNoaXBfbWVtb3J5Mi5zMicgLz48c2xhdmUgbmFtZT0nY3B1LmRlYnVnX21lbV9zbGF2ZScgc3RhcnQ9JzB4MjA4MDAnIGVuZD0nMHgyMTAwMCcgdHlwZT0nYWx0ZXJhX25pb3MyX2dlbjIuZGVidWdfbWVtX3NsYXZlJyAvPjxzbGF2ZSBuYW1lPSdzZW0ucmFtX3NsYXZlJyBzdGFydD0nMHgyMTAwMCcgZW5kPScweDIxMDQwJyB0eXBlPSdzZW0ucmFtX3NsYXZlJyAvPjxzbGF2ZSBuYW1lPSdzeXNfY2xrX3RpbWVyLnMxJyBzdGFydD0nMHgyMTA0MCcgZW5kPScweDIxMDYwJyB0eXBlPSdhbHRlcmFfYXZhbG9uX3RpbWVyLnMxJyAvPjxzbGF2ZSBuYW1lPSdzZW0uY3RsX3NsYXZlJyBzdGFydD0nMHgyMTA2MCcgZW5kPScweDIxMDY4JyB0eXBlPSdzZW0uY3RsX3NsYXZlJyAvPjxzbGF2ZSBuYW1lPSdqdGFnX3VhcnQuYXZhbG9uX2p0YWdfc2xhdmUnIHN0YXJ0PScweDIxMDY4JyBlbmQ9JzB4MjEwNzAnIHR5cGU9J2FsdGVyYV9hdmFsb25fanRhZ191YXJ0LmF2YWxvbl9qdGFnX3NsYXZlJyAvPjwvYWRkcmVzcy1tYXA+::ZGF0YVNsYXZlTWFwUGFyYW0=" set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "Y2xvY2tGcmVxdWVuY3k=::NTAwMDAwMDA=::Y2xvY2tGcmVxdWVuY3k=" set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGV2aWNlRmFtaWx5TmFtZQ==::Q3ljbG9uZSBJViBF::ZGV2aWNlRmFtaWx5TmFtZQ==" set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "aW50ZXJuYWxJcnFNYXNrU3lzdGVtSW5mbw==::Mw==::aW50ZXJuYWxJcnFNYXNrU3lzdGVtSW5mbw==" @@ -1042,7 +1042,7 @@ set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPON set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGF0YU1hc3RlckhpZ2hQZXJmb3JtYW5jZUFkZHJXaWR0aA==::MQ==::ZGF0YU1hc3RlckhpZ2hQZXJmb3JtYW5jZUFkZHJXaWR0aA==" set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "aW5zdHJ1Y3Rpb25NYXN0ZXJIaWdoUGVyZm9ybWFuY2VBZGRyV2lkdGg=::MQ==::aW5zdHJ1Y3Rpb25NYXN0ZXJIaWdoUGVyZm9ybWFuY2VBZGRyV2lkdGg=" set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "aW5zdFNsYXZlTWFwUGFyYW0=::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdtZW0uczEnIHN0YXJ0PScweDAnIGVuZD0nMHgyMDAwMCcgdHlwZT0nYWx0ZXJhX2F2YWxvbl9vbmNoaXBfbWVtb3J5Mi5zMScgLz48c2xhdmUgbmFtZT0nY3B1LmRlYnVnX21lbV9zbGF2ZScgc3RhcnQ9JzB4MjA4MDAnIGVuZD0nMHgyMTAwMCcgdHlwZT0nYWx0ZXJhX25pb3MyX2dlbjIuZGVidWdfbWVtX3NsYXZlJyAvPjwvYWRkcmVzcy1tYXA+::aW5zdFNsYXZlTWFwUGFyYW0=" -set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGF0YVNsYXZlTWFwUGFyYW0=::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdtZW0uczInIHN0YXJ0PScweDAnIGVuZD0nMHgyMDAwMCcgdHlwZT0nYWx0ZXJhX2F2YWxvbl9vbmNoaXBfbWVtb3J5Mi5zMicgLz48c2xhdmUgbmFtZT0nY3B1LmRlYnVnX21lbV9zbGF2ZScgc3RhcnQ9JzB4MjA4MDAnIGVuZD0nMHgyMTAwMCcgdHlwZT0nYWx0ZXJhX25pb3MyX2dlbjIuZGVidWdfbWVtX3NsYXZlJyAvPjxzbGF2ZSBuYW1lPSdzeXNfY2xrX3RpbWVyLnMxJyBzdGFydD0nMHgyMTAwMCcgZW5kPScweDIxMDIwJyB0eXBlPSdhbHRlcmFfYXZhbG9uX3RpbWVyLnMxJyAvPjxzbGF2ZSBuYW1lPSdzZW0ucmFtX3NsYXZlJyBzdGFydD0nMHgyMTAyMCcgZW5kPScweDIxMDMwJyB0eXBlPSdzZW0ucmFtX3NsYXZlJyAvPjxzbGF2ZSBuYW1lPSdzZW0uY3RsX3NsYXZlJyBzdGFydD0nMHgyMTAzMCcgZW5kPScweDIxMDM4JyB0eXBlPSdzZW0uY3RsX3NsYXZlJyAvPjxzbGF2ZSBuYW1lPSdqdGFnX3VhcnQuYXZhbG9uX2p0YWdfc2xhdmUnIHN0YXJ0PScweDIxMDM4JyBlbmQ9JzB4MjEwNDAnIHR5cGU9J2FsdGVyYV9hdmFsb25fanRhZ191YXJ0LmF2YWxvbl9qdGFnX3NsYXZlJyAvPjwvYWRkcmVzcy1tYXA+::ZGF0YVNsYXZlTWFwUGFyYW0=" +set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGF0YVNsYXZlTWFwUGFyYW0=::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdtZW0uczInIHN0YXJ0PScweDAnIGVuZD0nMHgyMDAwMCcgdHlwZT0nYWx0ZXJhX2F2YWxvbl9vbmNoaXBfbWVtb3J5Mi5zMicgLz48c2xhdmUgbmFtZT0nY3B1LmRlYnVnX21lbV9zbGF2ZScgc3RhcnQ9JzB4MjA4MDAnIGVuZD0nMHgyMTAwMCcgdHlwZT0nYWx0ZXJhX25pb3MyX2dlbjIuZGVidWdfbWVtX3NsYXZlJyAvPjxzbGF2ZSBuYW1lPSdzZW0ucmFtX3NsYXZlJyBzdGFydD0nMHgyMTAwMCcgZW5kPScweDIxMDQwJyB0eXBlPSdzZW0ucmFtX3NsYXZlJyAvPjxzbGF2ZSBuYW1lPSdzeXNfY2xrX3RpbWVyLnMxJyBzdGFydD0nMHgyMTA0MCcgZW5kPScweDIxMDYwJyB0eXBlPSdhbHRlcmFfYXZhbG9uX3RpbWVyLnMxJyAvPjxzbGF2ZSBuYW1lPSdzZW0uY3RsX3NsYXZlJyBzdGFydD0nMHgyMTA2MCcgZW5kPScweDIxMDY4JyB0eXBlPSdzZW0uY3RsX3NsYXZlJyAvPjxzbGF2ZSBuYW1lPSdqdGFnX3VhcnQuYXZhbG9uX2p0YWdfc2xhdmUnIHN0YXJ0PScweDIxMDY4JyBlbmQ9JzB4MjEwNzAnIHR5cGU9J2FsdGVyYV9hdmFsb25fanRhZ191YXJ0LmF2YWxvbl9qdGFnX3NsYXZlJyAvPjwvYWRkcmVzcy1tYXA+::ZGF0YVNsYXZlTWFwUGFyYW0=" set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "Y2xvY2tGcmVxdWVuY3k=::NTAwMDAwMDA=::Y2xvY2tGcmVxdWVuY3k=" set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGV2aWNlRmFtaWx5TmFtZQ==::Q3ljbG9uZSBJViBF::ZGV2aWNlRmFtaWx5TmFtZQ==" set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "aW50ZXJuYWxJcnFNYXNrU3lzdGVtSW5mbw==::Mw==::aW50ZXJuYWxJcnFNYXNrU3lzdGVtSW5mbw==" diff --git a/Top/niosII/synthesis/niosII.v b/Top/niosII/synthesis/niosII.v index 9d473d7..5ac864a 100644 --- a/Top/niosII/synthesis/niosII.v +++ b/Top/niosII/synthesis/niosII.v @@ -44,7 +44,7 @@ module niosII ( wire [3:0] mm_interconnect_0_cpu_debug_mem_slave_byteenable; // mm_interconnect_0:cpu_debug_mem_slave_byteenable -> cpu:debug_mem_slave_byteenable wire mm_interconnect_0_cpu_debug_mem_slave_write; // mm_interconnect_0:cpu_debug_mem_slave_write -> cpu:debug_mem_slave_write wire [31:0] mm_interconnect_0_cpu_debug_mem_slave_writedata; // mm_interconnect_0:cpu_debug_mem_slave_writedata -> cpu:debug_mem_slave_writedata - wire [1:0] mm_interconnect_0_sem_ram_slave_address; // mm_interconnect_0:sem_ram_slave_address -> sem:ram_addr + wire [3:0] mm_interconnect_0_sem_ram_slave_address; // mm_interconnect_0:sem_ram_slave_address -> sem:ram_addr wire mm_interconnect_0_sem_ram_slave_write; // mm_interconnect_0:sem_ram_slave_write -> sem:ram_wr wire [31:0] mm_interconnect_0_sem_ram_slave_writedata; // mm_interconnect_0:sem_ram_slave_writedata -> sem:ram_wrdata wire mm_interconnect_0_sys_clk_timer_s1_chipselect; // mm_interconnect_0:sys_clk_timer_s1_chipselect -> sys_clk_timer:chipselect diff --git a/Top/niosII/synthesis/submodules/dec.sv b/Top/niosII/synthesis/submodules/dec.sv index 7a8262e..f9f5926 100644 --- a/Top/niosII/synthesis/submodules/dec.sv +++ b/Top/niosII/synthesis/submodules/dec.sv @@ -10,7 +10,7 @@ module dec output logic [31:0] ctl_rddata, //memory slave input logic ram_wr, - input logic [1:0] ram_addr, + input logic [3:0] ram_addr, input logic [31:0] ram_wrdata, //external ports input logic train, diff --git a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0.v b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0.v index 3f697ec..89434ce 100644 --- a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0.v +++ b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0.v @@ -55,7 +55,7 @@ module niosII_mm_interconnect_0 ( output wire sem_ctl_slave_read, // .read input wire [31:0] sem_ctl_slave_readdata, // .readdata output wire [31:0] sem_ctl_slave_writedata, // .writedata - output wire [1:0] sem_ram_slave_address, // sem_ram_slave.address + output wire [3:0] sem_ram_slave_address, // sem_ram_slave.address output wire sem_ram_slave_write, // .write output wire [31:0] sem_ram_slave_writedata, // .writedata output wire [2:0] sys_clk_timer_s1_address, // sys_clk_timer_s1.address @@ -846,7 +846,7 @@ module niosII_mm_interconnect_0 ( ); altera_merlin_slave_translator #( - .AV_ADDRESS_W (2), + .AV_ADDRESS_W (4), .AV_DATA_W (32), .UAV_DATA_W (32), .AV_BURSTCOUNT_W (1), diff --git a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_router.sv b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_router.sv index 1d9d063..1ae7b5c 100644 --- a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_router.sv +++ b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_router.sv @@ -136,16 +136,16 @@ module niosII_mm_interconnect_0_router // ------------------------------------------------------- localparam PAD0 = log2ceil(64'h20000 - 64'h0); localparam PAD1 = log2ceil(64'h21000 - 64'h20800); - localparam PAD2 = log2ceil(64'h21020 - 64'h21000); - localparam PAD3 = log2ceil(64'h21030 - 64'h21020); - localparam PAD4 = log2ceil(64'h21038 - 64'h21030); - localparam PAD5 = log2ceil(64'h21040 - 64'h21038); + localparam PAD2 = log2ceil(64'h21040 - 64'h21000); + localparam PAD3 = log2ceil(64'h21060 - 64'h21040); + localparam PAD4 = log2ceil(64'h21068 - 64'h21060); + localparam PAD5 = log2ceil(64'h21070 - 64'h21068); // ------------------------------------------------------- // Work out which address bits are significant based on the // address range of the slaves. If the required width is too // large or too small, we use the address field width instead. // ------------------------------------------------------- - localparam ADDR_RANGE = 64'h21040; + localparam ADDR_RANGE = 64'h21070; localparam RANGE_ADDR_WIDTH = log2ceil(ADDR_RANGE); localparam OPTIMIZED_ADDR_H = (RANGE_ADDR_WIDTH > PKT_ADDR_W) || (RANGE_ADDR_WIDTH == 0) ? @@ -210,26 +210,26 @@ module niosII_mm_interconnect_0_router src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 0; end - // ( 0x21000 .. 0x21020 ) - if ( {address[RG:PAD2],{PAD2{1'b0}}} == 18'h21000 ) begin - src_channel = 7'b010000; - src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 6; - end - - // ( 0x21020 .. 0x21030 ) - if ( {address[RG:PAD3],{PAD3{1'b0}}} == 18'h21020 && write_transaction ) begin + // ( 0x21000 .. 0x21040 ) + if ( {address[RG:PAD2],{PAD2{1'b0}}} == 18'h21000 && write_transaction ) begin src_channel = 7'b001000; src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 5; end - // ( 0x21030 .. 0x21038 ) - if ( {address[RG:PAD4],{PAD4{1'b0}}} == 18'h21030 ) begin + // ( 0x21040 .. 0x21060 ) + if ( {address[RG:PAD3],{PAD3{1'b0}}} == 18'h21040 ) begin + src_channel = 7'b010000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 6; + end + + // ( 0x21060 .. 0x21068 ) + if ( {address[RG:PAD4],{PAD4{1'b0}}} == 18'h21060 ) begin src_channel = 7'b000010; src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 4; end - // ( 0x21038 .. 0x21040 ) - if ( {address[RG:PAD5],{PAD5{1'b0}}} == 18'h21038 ) begin + // ( 0x21068 .. 0x21070 ) + if ( {address[RG:PAD5],{PAD5{1'b0}}} == 18'h21068 ) begin src_channel = 7'b000001; src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 1; end diff --git a/Top/niosII/synthesis/submodules/periodram.v b/Top/niosII/synthesis/submodules/periodram.v index b0a05bc..f7f7363 100644 --- a/Top/niosII/synthesis/submodules/periodram.v +++ b/Top/niosII/synthesis/submodules/periodram.v @@ -92,6 +92,13 @@ module periodram ( altsyncram_component.clock_enable_input_a = "BYPASS", altsyncram_component.clock_enable_input_b = "BYPASS", altsyncram_component.clock_enable_output_b = "BYPASS", +`ifdef NO_PLI + altsyncram_component.init_file = "periodram.rif" +`else + altsyncram_component.init_file = "periodram.hex" +`endif +, + altsyncram_component.init_file_layout = "PORT_B", altsyncram_component.intended_device_family = "Cyclone IV E", altsyncram_component.lpm_type = "altsyncram", altsyncram_component.numwords_a = 16, @@ -120,7 +127,7 @@ endmodule // Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "0" // Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0" // Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" -// Retrieval info: PRIVATE: BlankMemory NUMERIC "1" +// Retrieval info: PRIVATE: BlankMemory NUMERIC "0" // Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" // Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "0" // Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" @@ -145,7 +152,7 @@ endmodule // Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" // Retrieval info: PRIVATE: MEMSIZE NUMERIC "512" // Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0" -// Retrieval info: PRIVATE: MIFfilename STRING "" +// Retrieval info: PRIVATE: MIFfilename STRING "periodram.hex" // Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "2" // Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "0" // Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "0" @@ -178,6 +185,8 @@ endmodule // Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" // Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_B STRING "BYPASS" // Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_B STRING "BYPASS" +// Retrieval info: CONSTANT: INIT_FILE STRING "periodram.hex" +// Retrieval info: CONSTANT: INIT_FILE_LAYOUT STRING "PORT_B" // Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" // Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" // Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "16" diff --git a/Top/niosII/testbench/mentor/msim_setup.tcl b/Top/niosII/testbench/mentor/msim_setup.tcl index 3ac8fe5..8c23f8d 100644 --- a/Top/niosII/testbench/mentor/msim_setup.tcl +++ b/Top/niosII/testbench/mentor/msim_setup.tcl @@ -94,7 +94,7 @@ # within the Quartus project, and generate a unified # script which supports all the Altera IP within the design. # ---------------------------------------- -# ACDS 18.1 625 win32 2022.12.21.21:02:08 +# ACDS 18.1 625 win32 2022.12.22.22:39:16 # ---------------------------------------- # Initialize variables diff --git a/Top/niosII/testbench/niosII.html b/Top/niosII/testbench/niosII.html index c8465d3..2395075 100644 --- a/Top/niosII/testbench/niosII.html +++ b/Top/niosII/testbench/niosII.html @@ -67,7 +67,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - +
2022.12.21.21:01:242022.12.22.22:38:31 Datasheet
@@ -144,7 +144,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord avalon_jtag_slave  - 0x00021038 + 0x00021068 @@ -175,12 +175,12 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord ctl_slave  - 0x00021030 + 0x00021060 ram_slave  - 0x00021020 + 0x00021000 @@ -193,7 +193,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord s1  - 0x00021000 + 0x00021040 @@ -1107,7 +1107,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord dataSlaveMapParam - <address-map><slave name='mem.s2' start='0x0' end='0x20000' type='altera_avalon_onchip_memory2.s2' /><slave name='cpu.debug_mem_slave' start='0x20800' end='0x21000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='sys_clk_timer.s1' start='0x21000' end='0x21020' type='altera_avalon_timer.s1' /><slave name='sem.ram_slave' start='0x21020' end='0x21030' type='sem.ram_slave' /><slave name='sem.ctl_slave' start='0x21030' end='0x21038' type='sem.ctl_slave' /><slave name='jtag_uart.avalon_jtag_slave' start='0x21038' end='0x21040' type='altera_avalon_jtag_uart.avalon_jtag_slave' /></address-map> + <address-map><slave name='mem.s2' start='0x0' end='0x20000' type='altera_avalon_onchip_memory2.s2' /><slave name='cpu.debug_mem_slave' start='0x20800' end='0x21000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='sem.ram_slave' start='0x21000' end='0x21040' type='sem.ram_slave' /><slave name='sys_clk_timer.s1' start='0x21040' end='0x21060' type='altera_avalon_timer.s1' /><slave name='sem.ctl_slave' start='0x21060' end='0x21068' type='sem.ctl_slave' /><slave name='jtag_uart.avalon_jtag_slave' start='0x21068' end='0x21070' type='altera_avalon_jtag_uart.avalon_jtag_slave' /></address-map> tightlyCoupledDataMaster0MapParam @@ -2039,7 +2039,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - +
generation took 0,00 secondsrendering took 0,08 secondsrendering took 0,04 seconds
diff --git a/Top/niosII/testbench/niosII_tb.html b/Top/niosII/testbench/niosII_tb.html index b53ebcd..565cdfd 100644 --- a/Top/niosII/testbench/niosII_tb.html +++ b/Top/niosII/testbench/niosII_tb.html @@ -67,7 +67,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - +
2022.12.21.21:01:332022.12.22.22:38:42 Datasheet
@@ -144,7 +144,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord avalon_jtag_slave  - 0x00021038 + 0x00021068 @@ -175,12 +175,12 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord ctl_slave  - 0x00021030 + 0x00021060 ram_slave  - 0x00021020 + 0x00021000 @@ -193,7 +193,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord s1  - 0x00021000 + 0x00021040 @@ -211,7 +211,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - + @@ -1323,7 +1323,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - + @@ -2359,8 +2359,8 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord
AUTO_GENERATION_ID16716420931671734322
AUTO_UNIQUE_ID
dataSlaveMapParam<address-map><slave name='mem.s2' start='0x0' end='0x20000' type='altera_avalon_onchip_memory2.s2' /><slave name='cpu.debug_mem_slave' start='0x20800' end='0x21000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='sys_clk_timer.s1' start='0x21000' end='0x21020' type='altera_avalon_timer.s1' /><slave name='sem.ram_slave' start='0x21020' end='0x21030' type='sem.ram_slave' /><slave name='sem.ctl_slave' start='0x21030' end='0x21038' type='sem.ctl_slave' /><slave name='jtag_uart.avalon_jtag_slave' start='0x21038' end='0x21040' type='altera_avalon_jtag_uart.avalon_jtag_slave' /></address-map><address-map><slave name='mem.s2' start='0x0' end='0x20000' type='altera_avalon_onchip_memory2.s2' /><slave name='cpu.debug_mem_slave' start='0x20800' end='0x21000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='sem.ram_slave' start='0x21000' end='0x21040' type='sem.ram_slave' /><slave name='sys_clk_timer.s1' start='0x21040' end='0x21060' type='altera_avalon_timer.s1' /><slave name='sem.ctl_slave' start='0x21060' end='0x21068' type='sem.ctl_slave' /><slave name='jtag_uart.avalon_jtag_slave' start='0x21068' end='0x21070' type='altera_avalon_jtag_uart.avalon_jtag_slave' /></address-map>
tightlyCoupledDataMaster0MapParam
- - + +
generation took 0,00 secondsrendering took 0,06 secondsgeneration took 0,02 secondsrendering took 0,05 seconds
diff --git a/Top/niosII/testbench/synopsys/vcs/vcs_setup.sh b/Top/niosII/testbench/synopsys/vcs/vcs_setup.sh index 2c5d711..b2da50a 100644 --- a/Top/niosII/testbench/synopsys/vcs/vcs_setup.sh +++ b/Top/niosII/testbench/synopsys/vcs/vcs_setup.sh @@ -12,7 +12,7 @@ # or its authorized distributors. Please refer to the applicable # agreement for further details. -# ACDS 18.1 625 win32 2022.12.21.21:02:08 +# ACDS 18.1 625 win32 2022.12.22.22:39:16 # ---------------------------------------- # vcs - auto-generated simulation script @@ -94,7 +94,7 @@ # within the Quartus project, and generate a unified # script which supports all the Altera IP within the design. # ---------------------------------------- -# ACDS 18.1 625 win32 2022.12.21.21:02:08 +# ACDS 18.1 625 win32 2022.12.22.22:39:16 # ---------------------------------------- # initialize variables TOP_LEVEL_NAME="niosII_tb" diff --git a/Top/niosII/testbench/synopsys/vcsmx/vcsmx_setup.sh b/Top/niosII/testbench/synopsys/vcsmx/vcsmx_setup.sh index 1afa210..6682fd9 100644 --- a/Top/niosII/testbench/synopsys/vcsmx/vcsmx_setup.sh +++ b/Top/niosII/testbench/synopsys/vcsmx/vcsmx_setup.sh @@ -12,7 +12,7 @@ # or its authorized distributors. Please refer to the applicable # agreement for further details. -# ACDS 18.1 625 win32 2022.12.21.21:02:08 +# ACDS 18.1 625 win32 2022.12.22.22:39:16 # ---------------------------------------- # vcsmx - auto-generated simulation script @@ -107,7 +107,7 @@ # within the Quartus project, and generate a unified # script which supports all the Altera IP within the design. # ---------------------------------------- -# ACDS 18.1 625 win32 2022.12.21.21:02:08 +# ACDS 18.1 625 win32 2022.12.22.22:39:16 # ---------------------------------------- # initialize variables TOP_LEVEL_NAME="niosII_tb" diff --git a/Top/niosII_tb.csv b/Top/niosII_tb.csv index 12b906e..53bce6a 100644 --- a/Top/niosII_tb.csv +++ b/Top/niosII_tb.csv @@ -1,12 +1,12 @@ -# system info niosII_tb on 2022.12.21.21:02:06 +# system info niosII_tb on 2022.12.22.22:39:13 system_info: name,value DEVICE,EP4CE115F29C7 DEVICE_FAMILY,Cyclone IV E -GENERATION_ID,1671642093 +GENERATION_ID,1671734322 # # -# Files generated for niosII_tb on 2022.12.21.21:02:06 +# Files generated for niosII_tb on 2022.12.22.22:39:13 files: filepath,kind,attributes,module,is_top niosII/testbench/niosII_tb/simulation/niosII_tb.v,VERILOG,,niosII_tb,true diff --git a/Top/software/semafor/RUN_ON_HDL_SIMULATOR_ONLY_semafor.elf b/Top/software/semafor/RUN_ON_HDL_SIMULATOR_ONLY_semafor.elf index 98e2a245d9dd06516579892fbb0c606dddd252d1..44b6fe3bf8a41e931b84226e1e808f56c5167c3a 100644 GIT binary patch delta 33083 zcmbt-4}4VBweH?$CdmY%44RMt0Rn^&G(Z9<5rYJc5HMOyW|F8;ClDamsHjn+jn068 zQDX%U_Qc!Ri8W~2Mhym?RI!XURuqVvt+5x|^p?KHmfF;!zVF-noS8v=_jliW z=l5IZTYK%b*IIk+Kj+Mu$;r1zr+jyG%FY})b=UMul6r0x;?&+vN4*o@?DS@S^4ZYG zJCn1Pw7ucY6jARY5fbh<&8x@%=T+VNe<7}RiR90g3HQgJ{Wc>h`d)@xyyph(qn6m_ z&G_`dP{yfcL&-CR$oOp8kXwdC#wQ1clGBCA{Oq$KwGn*R9x~^iJ@c$+sSwcc zX2{S{WpRwT_U!9qsrlO3GpCn^gh>A5GBNns0g?RCGNBaqbb6Pxjm^mvV*C~}DZM8t zbJZOATl0nV`SM)zcj;HlkhwG?>x>m`FS@TSf7adV+2c0NwHa4HzBi)^=cEaDj*pIK zps6AEl+y7_+Gcu7&4(w9OT=UOOUUQOD$SAU7}1`Wt$=d}0->KQ_@kBlMAh zh{g^eDsR+0Z_*zipE7wG&PylH#JOJaTP80A|Kj9jhWM)a(d4xgOaDut*?e!_9O2D$ zmu^|oc19mfl$y6>UotCtry=sbzs#Gwei^&lBVLk9d+PsMW^1SRMEif0eYOFsf1bKX z=9n|4)ySOwyQfvk4AI~cZX*;*o-BmhUFtr6R_Oy#^ZlH3sC|^P!VnGSMbqylygYqj z(!Nfw`)Tv9(-%xFO=DN)csXpDBJ``g;ZWE4Plukw$fvkpH7}k~jh;U~qbZ|bCu^RJ z=43eY^#ya%%muW0#mqdy9Wyt=-EU{!z{2X>s|io%E=G*M&0Qwt)8^E?sbiv#8amCd z_OHmROhRWKKeq(UesS&+xEfz@z0yDiy3qRWg4G^&ZAn|wPIL3T0&}#W87*#{`xl(A zowrObH=meyr|LaadXm4&+>Px)>zz?^&U}jzygvWCY*KhG3;$NQIOE0aZJ0+nP|D9R zu(*T>g|?dKEShJg7o}qw%_+Jc4fYl-p16FLA>5y04GM8=N!#@NM_i?IGGz3UlKGZUCRvP|S9iJUJq!pu{$#8va2TB%0yOi#`)JD0T0%88nDix*Ge ze91JT9ZT9)X6KEGVtJ?T&c@o;j9_utvZXVGp|5+$GV~+K10P+==KT%JN{lo0)|R3}Eij*3e#IH3cca_b z-p@=C*cehTo(bP`FMjWfs+nfdC6^e{bIf@c&o-a9;`0G)1@oV3w3k3Ng;Y%oE6eN?i)pFA01acN9ASQgz3?P zZV}q%*5h){S<|ED2ba!H6ImRwW$%j>Ny02yagKTMiXET#^NSTXphL@7&YV!XL0x~m zWiDL1L?|oSEkx-C-J8qCn!PKhEGo#_##FdnrIM-D+1eh$KIg@XMAop?eO{;klaz*#^+_?0G z5XM;D>2G9BFCAopFfrS~o3E{2=L&h<=6rvi`RCQe#sasw?BWUL6PGP^iGR72_>;@d zbs?X&$~ZGnaY5ShM~Yw*w*jf%kh!NKCv7k;i1r_;c+V|M`}bbC%m92?6_)76E`KPk za#vC2r@{9#KUwyEsDDcJ^OV0+(=PvEUR`@`%IIU>c^@6fGIOdYnonFhE?F(^FR5DL zH8ZZ7EkpfFuR1EH@UCPu;jZM<&xT?<{hQ{3Yv#d6{WWJB;#=k&*IakG_)oXU`1rt3 zCTPNpgwa6x^CQMPoXmgWo<&_b>`_b9GpE;OdpVS+2`{gkjLEX8t|EJW*7VTJZZQ~) zVhGN_0tvpaQeObMd895qX#o|?snwIQ6iUo{mX9?nuAQqa;ua_tj`_f~*%#1FqEOvl z=vjp;p!dwN!pjEC?dR6#Z?D~vQJRq?GDgvD(9|Sc$e~th-gVt%?BS1HH}mr|??>0o zLl^#j-8D&E*r9&^^CCas@+mxR8U|Y}yGV zb=cGeB=y+T2P6&H6a|tF+H@F5I%?ApkTh)52#_?YDJaCXh#BOu$qOW9*^~n$<=a#U zBo*6K3M7@=v<673wJ883HQKZpNNQ08{~<7>Hk)<=NgXzI0ZBbJ^#Mr(HbsG?gEk!o zl8)Lm1SAdHGy)`zl7cvi>oj?QB(F_bKvIrP`9M;kO~pV`sZHfT(i)p;fuw*Hw0uZ0Z4$`fM5ilA<;p1dNGi3d97tMYQ!S7bu&EJ9+H6zH^+8Mo6hbz&0ZBV;>Hw0u zZ0Z4$`fM5ilA<;p1d+h!-YqxDSrvBkt_#uW zC5Q{?93$Wxy@nXmUw`Yl-d#IA=hZ=*^cfBz4AF%ENHk2+av`#tH6KMCE(2R<8$y`B z-+Iw3?tRXY3&s$S;vNk5L^z6FPzH{gtL~d7)67lxO^Po9?`?Tp<(}loALo@*yQ!}s?I0D4xC@Rb=x6{WhIPWue z;p{Q@Zl9J~mkS+L|EulO#s_90JxE9EY~AXpnYv?IswW?@N+Al&q8*E6iMeUVG}&oB zfOD<+9h?Wuw{T83|A=#=nR@>;nQhL&xy)RJbFH}v=aBip{flL<`5O2x^L?D#OxZ?$ zI?mbVa-18?dYrq>EjZ_!PvU&kd=2Mx^L?C$O!)w9PRBXRT#mEHtj9Um+=6qt`6SLI z=4&`Nn(r%#d~llVF{k6~F_+^!VAdLB=wE;7C7nt9{xz~KF-I60_{loX;{Ktov%jxF1Uq4R{ z_1Ax0redJhS#5bIFnK175n{IV86hM)zZQb&5bR0S!Y%mRbI=^$asHH1)TBd2c8)>) z^xMF6;ClThh|Wnf8#>;TY5ir7?@vXV7LB79u(g|Ni6CxuirBjO84%WobD_M*?Bou&XV83>k0GOJrjdmBkT$e)d^?K$j;lK zIA|_&We(q%MAFF7fEMr$HZS24} z9zxBnOp#r)9pgO&*}af)0-!AN$`SN~5Y)t!W=lUZD)Kr}^B8JwQ8j*}T^YU)efL3f zzpa1Xb|r3y_z*--*y5NpFBc|gX0NKD8If0J-ne(-g9EErx|#q2r0X2p?t>JE6pr3hSpH~D6abdswR z9ba$)qbk2=OAb@=N9w$gyb+5r$<;y0zhgk;i?+@oO1#t=uq6j6X`|!Z*_kZ;BOQby3zvSQNNm<;#=@&Po%0U0S@8wEt zWd9smiH&dh`?nblQ%&#h(#^NuFO$vYxZh0(c1^~TM9(gV**xTb8-^n*TZk2qan5U5 z9>xSyVRj2@Dp9lLv>J@o>=0_MLk;JlW9N`9`%Z$ad5X61s+L_shxy%8wZoq&p&$EN zwV0hfOR#*QYemCmDrE%y_SI86n^H2Q9gKNe|C)c6NSO0y!Y%(AG z`{n5E(Z64ht3vTdQ<8X{oNQkA_ep8fwqbh7&21RG5i|7B`XtW`T())9zgV?>7OE;t z??0AEU;kzQIO37M$EL{Yq&DbfTR&YRC%yQne8wa9SxZvoC9`^GV!Orgi`+(VQ^Ad3 z^kyNiTX5DZ?{MVXsq%G?^jPc9lEtzx@~yMvpFOh9YM(3@%Y18avYfYUAXkXLq4^5X zT-AK8Za(iYYN}&WQ80?~rzqc$AWO4~vgO&Arz3b?SaVKlh}${g|g zaITSsVs=3u?h@gxCRQQxhppv#a)Ru%YV+hA*=lXgla*r+&&J&c6ve!Q)_Zw!vOH@2 zD^E_DIRg2^Xk3Om%sh3Oo5vdy?uZa1xmqI^&XxnI$l=LC*(w)Vw-m{5#~>dc zuaFJs_w_5~#0>9T+;_s~Hrp@m6mlD^hfyWnk!M!QLms1c-eXf`rq#X%m%aALkJreI zF>=6q|7y8X4oBu(BVX{ybnDnUS!|Tdx58)14C~wmdCr)|`9kcILhQc4TC-D5weD$< zTV>-n*2~qh#ky?0TqRFfkFj*h8e%D6owGrnBO5IL29yS^@CKPL4_XH|$SRp_Wp6|m zvaQ=T$~svVIlNKcVq}*U;ocTQDLa$g{A4=r{z>>sV=CE@b?pt(l(p7}H^{pIO<$Fz zG8B3CtMa%@hO9?#mSwWX`sK|sXHj1O-y;19&pzY@6AW&9yr&=k4+`!Ws|0-%7!x3< ztx$qC>)g$9Hx^)Yvz#Y;BY)W}f91;VUEqY1?B?9|{`M@G+f8=ZI^HZFm0giLZ-=Jr zvaY;KPLjv0rn}^0W7;s+c^iM$awck3#CNwm&ya1_gRRgRwDz>h=f-rMr*F6Ky_h=X z=5r69Y4zWOlyq5R?v;zqI*Jg}USx>NC&E;c>zK9XUQGTWYva9g%9!l)@j(l5%(x^H zx<+2wY9ttohH$Gb6W#<$Y3?Ss!)D3n%V}nwyTU5+3&udX{l6CYHRx zZLNM1^L35&*pqU;EVSNuQqGiK>yJ;$3o|>H*=>eI_RZA(kmKHGUHEOeX-rnB{tz^L z`RDzf^_09>$}DSZHzs6*^=7xcc8q7a{*W~BD+$`A@5pfxbB{bAu>n;*Bfl}b^ z3%$H5Y85?;v<+LW&tfT;L=HbIzb&yV-S)iPaB1BNJ8vuTRWY_96xEEnq6z_IIK>G! zpw8G8z?JR_aNSO$HR)eR@su^S4Js$bKZQ~aE@3TymJu-0TPcu6*5Eq(JP`6=MvA~H1lYCrPEycCW&{ zlPtIeY?5m~q#}a#;}$co#F?K2McM@1A3cuU(sMb652641s?(?Kv`Ar8rW#1=lGiaF zWtRVSxd5xD?R9w^esBDt{F;>Q)*lDuyxE;!vAeM119ahRrNZurE9RigW6Q zn}l3?7r0E!>M^+I`I+3qd!EI=ky9qMK=&Q2t3J>S++Kum=IzDI4(q1h$e+lL$kJnS zYAQC&x)0Ix_#vSjlmHro5D|@XQ{~{j&eDW8W zoqiB1DwySC+7SbG?Xd?Vb4TQN0`u>?Oc-w0D?Azagk!j6^2<0I$=i9R+;H7Z3FOJH zF_EMzjZg78z;ag^E9GdUqRO~h%CyKcJ|o?j&1YGzmkc?<@CYeIlEH^su6`+9UU}bm z!>xq_*6*r~-MBBkv&NVv-?gk7m^xv-S7T&ez)$L~f0r`DRrIo>&(GzqZbLd{ekynU zz>pc9r7@c&k@>X}cjmfei^1x=}kJ9kXu$FBxCPlt}tMP%9`y$QF z#?@FV&;0Zb!$2Aiw-}c|H~lW-BqFdT!$GKbm_8wz}EQrjy z*T`~Vd%b9jF~N|X5&wP0l?HC!w1d~T8~-WgK;-HBjgKUz%M%Y6ZP+UF9yG93W=HZL zLcWc%BJ26bjmcI+yYVeq`;6;hRE8q%uNy&W^e$lCq)7M?qe2>83w0@Fm$60~ZHsif z=0}Y_%)wcvaVd>{b+_@1G#buVs@A-37{9=7oMZv=BgZV`h(srzd)&AS@kH{!i7~;T zT-|BRz=b$+&l8kqMgH+E;|g>#vZBklMdC6x@T74rFGa_{jn0=?Z#`xF#%Nrr-M`jt zaEG6|$Ed}=2AFC+wg+SBv1UAtUJpbjK7$%;eYf@)MTX(ITs4bCziW)+4s`f?#y9cN zsHvCp)@Qx>tnnh&^*zrS?_mmF`#j?9v*!01f5L!F-m3;A;_WvA_^=zfWuM_O(2KiY zGBORUzDEa)eyp$+-#0G8h;RQs#;e$R7LcT#zgg3x#@SZRtA^Ve`M%*!O2b;{NDz84 z!KXV;^ecflKW2fOX!>#Q!SgZhXf7z&Llj z^H7<6*onBBt;-=s=I#O{Rm?yStt)?IWLnW5U?pSCJQp?QS%;Coq}C*S%CJ89fw9=| z%dInh1PEE@{RqM#>qeA}(hIGdeq`h!pjTNr zVtv5MGHc44D7mdm-!ynnyou0fJ^Us*l4ZR{@K}F;6Pmf!+=GB}YyCkqh*}S`RARkx z5Gsw}qBa!&r$LWG*Mnt?z7ocQf-pto&Zax- z7^Wl_1Z6@%v6UeJS3Wwh8^FB}3Qn716sZyGp56^3T8qGMC=&gk{}g3m8-N``8TLsj z))Kg7CMshY|6l61z@Q-t!%l!~uVst04E~i-3KNEx#s4-CD!i+V7sZUnWlO#wlOi?; z*eqrn{=e8uYUHBthFB0Y6qjB61zAGi>MKW;L0=1?L$LwEyP(sPN!{RPrQs(GDt0Zw zQTE4t(L_WkR1yv;Hb9_m!ho|lqC`Hzz7*E3BOCocb*DBdsY7S^N?rN>$ z%8i;7RU4b|yiA`B!rUeB%c#?H%rBAlX$8Vz#jYp(NwGm6F(;KK))PuV+61{WRpBEH zD|S6W;Htq@2jJJlXz7F)cju?OMXF7J;Mnzt$(5=Ke`zZEKdO}o+OR)vIPgWo)ij)| z+B6Ux!_~_0N>x!!&~_W*cB|ud8yveqM%)N8#2p^uP7r1jKSvEJabcL4rtGfu0h&Nu z)5N1%Oc=7oMD2Pl;S>n=2y{<3#9WoawYfoHzT$m^CM_oL;WOP3PiZm1jmtB|M7K)* z+BAS3huBnMuM)%OARA(fH~}05C5!vStzt%aG>C#ng}9E1bow>`J_gN`P>gy-b z#O|`Mi5n`%NsmoE$A;dx)xm&HPJKK%u?>((5K6JKB?#Kk7lJ7+HEc{s2)f@&KRcs|;M6ny$hip)+g%5?gv6%l-RMmlR21&7z z!0$WZns8XL8wke~yYV>SgksluaVM4of-a#!u^YPqgIY>BtfkI`?~FyafguIyT8a%{ z?#pR(3QBHer;NLoI}ROjrt(_b%l^NjdG_gF znswZ^qLogI%7sqAIx2cpFze|5lxCea4Jh8JM3n@7>5i-t`alML56OhQr^J=-rE&jJ z{6^eZu z2`imuf2>sq+QYiIhxmmG(bcIyeT1VRy0J%lzm5}LHM=}h?V1g{fF zu3Oc_F0gTHep=J*))Sm&{&2imEPn-1C{~sNWdQBjPpAW_{E-RJzfNEP#RjL&=?l%2 zf{;K0O{oNu(V@gPH78IeDmPZW(TOcFe`%=R213$0gTQXhJ5E{rn-cj5$MCTV_rrug zDAq@49IvE=7Ld*#xi(edBlPiWCK09iU)L zFW$u(cCkZs5f6R!k&Q6f4}?u<2D!wY!7!N|1c=p^4`|RV;pd9Ih6B*41MUJae%K)F zBO4Sx_M<`t@2dp_G)rh!>@^_(y9FPF?`dhgUp|gmvFg_~1U-Dd`0)ASee=cp=3^Iw zdMK}P`pF5!KEYRAtUq;}Te?3r@&5SOpKjG3AERvoxx^i)I4FdD2;Hqi?O`tPGb6WCDHMO>g>@Y=on5sI!_JMda z3*cl+7I)&NEjvv9BxsBX1!GM)_d?2bRXad;w~7PRtNK_;;M8-hlyclaaKNS2q*-TH zI$H6mS;cWsqqsy-%~_UvsjRq8|JJp)OE#x zPR$-fo^sBjJAZs4`n|6vUIK!nA*6rk_S=up3Mo2>Gug<~S90 zr^GNWeR?CPKL+O`AVZXf+u)3A0;_TxlEv8$b{|Z0_JZZ+(+C2~K5#(6reU>bs~xqT zgQBmDymssRpJ7-mQKr@&g&9VQ3n$IAsP=2)^J48WNN5fUw8#mcaFH#Bpu z>&m$6N~d-d7DW&-B#RwlJ3hk+@NN*M4S`9HRoRUgVZKUafB_DxfeOS2D&T~N+XqHB z1Oht(&|?;G;)Iko)%eruaTVtVf?GMLbTWXC9B~I5;tn=A-G>6LGM!)vmngQ9!1;k* zG^dWnN5}&w=PG~I0U~>pEJ8@b43&3w%PBjqopRiNwbMbT%IT$3R$aCBj&+?KOoNwn zkV|a$hRI}u++zEdK$slo2%aLvd%T`*IkzCC$NeDHmP}O38q)U!jU2=v?m+V=f*6+K@pO4U3}VA2~SRJ@iUwe5JDZHe}3VJoH=b+U7LfQzY6k9`Z!y2Zj43p8$Y6!tToS;r%rk%w=epFWx7>rXD56sW_ydbqs$YiO| z{0vx+b!;Zo!a@L~)(M$LRS4eM9Bu)p!>A$XR8|p=f=*i+6r2PlEDaV%L9s=kmIfsZ zG$vI`Bc3v6Y3T9Sv0yfn*#^SY#s^d|IS?TGR?@8vGUW z_oSlM2cUa=%BgmyWCZBA!QAgg;pRN3$YC~y4O{NgCLi<%N-_jNEbX|GRJfwEllPVNQ$cn zOaa0nWPw~Fh}PtEUaoR-!Q6yF2!k-ppFfHQg21mix#Rf4ZVI$t#Hhv}f`P`1|-9NTz`2iqn5-KbbkXaVVMj$FF(w4RU!!rfm>n2Zi)J)s$d zRZVb$@rTJBQWfh7M?tQjxX%+NHv-Zw$ZeaZd=n0XT+||ytNOB@kPm|E&0#VXT22UP zd1IK&ZY?KtX!+(anLaJ=%L(fIz))jY*hf71)l7c2O3+$D8;C(xhso*8txe2awaQ$! z%G_E)ft|VPFgcylwFxN=!WMHn1j2_!0#m7-kn@31L11Ea1vx$5)dVMZ)nRhE$P-!+ z7J+mNa<#gGz&W8Rf?=oEgXk)Ih0nyh0fU<5L|CI@t7n*W3YiQP*aXUaOU7UHfI7k zO_+w0x{AQCR1-2fDCawypcsfn6<}v`RA&Q(d{Bzm-m0Ds$A>S#fEtzc0AqMpv-Igk zTJiDG1JG^)PIlS%9F@{_gnW>COcEqlt}3bsZK`5xi<)4Em3$q;8U~@G1kMV4nk1}I z>^j0`EoDFlK`sFsWEd8-3160xAX73Qgk6cid7|2q@hSN_0*4c32qPe+Lgu8BR}oG* za%O=kK)eL5Pc$WzfmBm6T!+~IHxPTsi**E@xY)OL9G-nD5C84(aI82)*sKXUU4eMI zHpbHxh^K2~cMy4DnlNhdY;9z=IH_@^Vt#ZU0`WX-WF9;!W;NLC8@|gVuxBt9>!8>e z?_VI^Kj-VqpxRyvR0k^woB&w8@yKIKA5xC49?;nGhLj7M$p_1rR9?keLRbY;NziGH zedTi;X?4RD@BfHtAoYMImPa3_`5~23AK?UuKTg2!*VS%cfLPdq@uVs5J_7UQ!9yFU zVOcLisaRJMm^Q}-x91M-zmC93j#C!)krif#ujHhNFkhPv@N=XwX>` z2y6>Lr^pYFK|3+Ad!#OywO3d!%x(r@^2X=J23lo5AZ51mmDLUL@!sI{0}8@EdSEoF z!mcD71SN|*_%&28%mQwZv({yPDf(` zC$N|R(OF$nTuH$)_-_CqJ%rmp>Y1?}zUaj4*bl25Ig00_+q+dzi6EsYU3f{Y*vWEehloWQBWn0u)MUf4%g%wN?Y*rUo{72z1j5Vv}W zdJwBhV_{Tr5D%${s>ac*gag(NMwB2gO)59l1igWW zTGic--dU=-CiEU#9p7W2!f7-D!Xm6@1azNpw^;^rK4p15p$>#wTz8mEqb_)qn_hGZ z*Ee^V%%CoK!en#+RdjR|1f{evnG?F;4U-uO;)EVCghe1|rH9Grcw#+`$Fnvb&#gKh zb{!YA#CW#qc%mwvt)4I$9ZzLEo~?{0hz(l>wAC9X!<=9qFrGpc&(`!X868h--VI}v zxpERx=7Wz~P=bgWCzaNE!YPo-6`6Du+xDO*Ois_3_3>$1O4z7~H>3DVov%};#<&kz_AGH_-y?s^_IA-{H zM60^5)+@D|z}2DT@nuro1KA)bVHoPrya9x?5So-VOj$C)ZMuYyykOd$Dl+t}63*Zn zmC5yV6aqn>7AC{ndlbB3G94f|VF-O%o*pK%PZ#33k4F?-8xIM&XGkIC7r7_HsF0X= za7cJOI3zqC+}e0>$UTGGsr(}MWOQ(q3{J;+JHikpC;{t8u?1YPbgPxfUpLn zt&wZc6$IPLm%6D=aD$IJiC%OZHGp8uL`_U17l!}8GUs3vG-zoSgucxtJu6>1U{ zVyRX34=H0lLhekpVGs&II^ZB#Pwr`33FA7h+W86js$GEKw8P9msYh23zNc6}K^qG= z-KS@5%okUTeQ)Fm!ga24?<17zNC*`m9V0oui7a8aqm%hc2H`Uw!7=O)lhbws1Z~$Jw;PDt4f-9! zt!VT=iC7!1j~h-5*fGWk_zo9@Rsf(suwSgL55}wOo$CE)90lQ`M9_BDQn66kS-U7^ za;?*bS*laDKEm~i^%DXhoo`2%k^!aQ8wdhR^5|k04?wC7FSoj>lbKJLyRcUMn$N0k*nLA#4(&j*nXF_xT0 zYN-Z+5THx5%6L%oPOQ}0rbLyUfL_fywG9ZLZzRFM5IR8oX%1r#XiWlbQ0xRx-m%bw zCWT5h5Ct5}!}Sjn<6SmWSqnItOw}JixR2vId%UVqrEa7mI04CsmJ)<&j<+!31EFFo z%K+MF>>+vuM0FsSNc}8KrZI>UA0;#bsjO<87%1of@rgX3SFr)YK9HU#dGu5gk`$wCm4N!Y2_wLxMRNGp>om_w!5A^ySv58GIZ>dJ$FMJlFh0>g{zCA!$gE(b-;QTRBf+|>{~Xpg_hXmwNr zP&-OhQORg_D$UAnK#p=)$-s7Mwi}@H5z9TBp3u#GXw#ya`?o={jctPQA5u0#>yXm) z(|S~EGP;wRB`~@)M389fmEhcajlJSiue=g1*`;?z5LIA`Tbid!`>AwJkXDft45LKL5+TpyL zvEWN!Vy_A&)G`cD0w(ZV4LZ^Ns*QK1)-m8T!ei=Dv~{B$9uT#J$>_OL+XR+pJRz(Y{G@NqyGKa{`dqDG*RwptUnT1BgNwmfmOewwWXp}!uQZC7Ti2=rix zW{=|{#Pv_ZyaW^j86N^>t+%e9H*Z@M82qDGR6WT#~ zEw=~3cIukMc0MKY6K+;)C4q{kZ+P?(Pzu!qt?N(Jjo+_RS1Z)S74WAP@f&v9|E03# zBXrGW@b!dVkdBz#psMf@YUe2(Cw5vGP!{}zZlxF?I2LesijubAADoN)YZC#-#0j)3 zfeL?I=MGnZs|DeLa0oCOt0;qGw$y4)<5F9lV?rNnLw*>@w&W}9mgI5&rH=+w?gU|% zp`J^1t1=6aty#wdeTJ0CN9a^+J)sArb3|@HS0pyutVDjoLyE0@Xde7i^Yl@rk5;8o zP0-4If}8pt8v^5T+qvRI&90_k8>FpD#={9hC49hwY9kWk2B$imfD2 z@$`VHs}-sf72;8{NujduBUC819xzYTg4byy$5&(|1i^L}Dv_Vi7PT=b_`~GFx`IF}H0=+^?fG-7;hzR|%@=J}C)%t|uvwjGvpT_MwG$&vCd^ud zpu?<5G+7f&uvwF6vnIi2O`^^Cay%OA)~#(aHis5L+62P(!xU`OY!^T$F?Kt{em4eH zHhqnN>>6%r4=K#iypPyx%bo0~cB+}5%|;d5M4-0Lq|*+6&+Rm8fx@uTZ6J(*3~^sj zF&;F;4}jRJQ?rCF5WWXf*sa8s1jYkp$8IYOr76+6xYyVx<`8P>4*$sxIdUAQ;2YrN znGoaz(UQJ&Jvq8?3ue@Drt2JkZLr4R@lAQ$-$tjl8#VhtNuua}ksBr(wTm)0%m%wb zb}brRq#EW!whn|_tQNr6G#dguqFKj$8HyC+TiG_iZtLx3u6aSeBZaS3;VV@}K+aJN zp6^5<@pUK8L7s0maSnG9>Oo#mIj91}_Zc|{`2kQA#P@VK$9^pfDq&hO41jV$&cSzJ zsFycQy_wh`Q87`E-#H%wIbT0I?fG3Ezop|h&gCF}7uf)61o3AC4B{~8UC;=~lY<){ zMnU5NkaIYOoCZgsd_p()QvEXYrUK-AVMkm1qNxWo2yza7;l=OMSl;Q46GdBk-XJRd+g zhx4q54~6(Z#yMz%dL+t8o_wcP^96Gd9EcwQ>|=#0@P6Gn$k*aV&N;~Q#?Cp&^M1@Z z$Tvn_EOSkk!9ILb&zrKt5}hy{4K3phm~)Wl{gQK#=goj~kmse!IRfAtK-{7GK-`Nv zK+Zv3Tv~Q*onb*>x^l4S4WP|2$mSy?O98^*r$3Qx#@M-dW+ACS$M5Gf?vl z)NpU(KTBbG56V94jB?j9Sr@sy+!d5~CD=QwT=Vg6vrh=U*4))F(q&yvXtQoy4b=wg z+102Uu>OO>qt+*Y@##yj5x^YH@@=Pu*4)cn^T)fFVk<|H;@<Rqnkcg#u5cX)j-X@g@_2OY_h2|U%=1|=$uV?Z0nPFV z>Uouk+V!I-XWxbbI?VRW6=zDou1`go|CWY_SA6){B$T<{>QJV=8A*6r$K4)(4ygp^ zKta9p$u2KOx%7POgLZi(${eXul*c3NH7IjWtwR7bSc@{RBHVIWu16WEy23Rr$Vuq< z{SMUMP3ZT-P~*OUNK~^9noX#G66KwLMI{dQV-L!G0bHA5XFNK+7iH)5t#tbXl)3en z+hM(tgk6(Yz%&@3!eJ=1S77PcXXFJ zQRbH3fSxnJ-=ds2m4;CsSRHrx3Cj6vkQF=S$(RMacW`DxQaS`p*5zxknA*P*&m`Zl zg|}@&T;CSZ{o{ykhPVgea7M8FE0|5c00rCpJ*XdEfDr8P??YL=bdhBYz>8x~5BFiz zmsy{{4c;F(-V%@U9+dl* zVehuX_#w*b&60Mf524I|-jR>8+D<%}_WTD|0lPtRDgvlPrtI=~lzEAC_M1s4b7Oaw z#dMVUPqHwss$UnQoHz-pQ070(a@see%*(FN?ofy?1moSM(}NvQ2-U$UGRt8#QRZDH zipoI`%Dho<4ECXX{L1*O`T@$_D?zsY&r#+}?$HO;{(Y45i;*R}{CmC-iq}{8R0<;~ zJC_nJbiT-oH-Y(f#0#KTj#)5b>$}EaV@MdXG?dw%0W-xjQRcr73)uQ)DDzGReNz6c zfht$Jx?@PUCF`NV+txIwaFB0Cd0)(8lDG?H-X{;*`XQ8^*N<{$KY%jt5_0W`J5WyC zy7qjo+&>1Bpd6C`X;BWNQ0QKakE(Y0rzrCdzzOgdDErWbfL;G4#&35*fbXH6|98Mi z0pIk+TN(a?FLvl}DEE}d+kY~~dfVqZE9m?~BF3mT5m|Wv&OyrGC&_w%#=x|Bz!NVZ?fbFl0pt zE3Nkk1y;%iz-DVcq1mbd7|DOPLK|FpP<@8d-&j8-1gw7$Le{j6fRC)3H@Y&d>o($L z;Qu5)8~+sK07cJH^a=Ud@>6SEBSfDe$DbwwleCR*1wx@IR$+vF0{ z%?}&Tw!TGasr5Xh@+XmBHoD#@8@ph^yafxEEG%BKu*iDmSyy}V;)RPA78P0ZpLYc# z1JAj({@Su0a8I&o9&n$DfASK2z@2X`dB8no+@iwSIqPp~SUS6~WkFHl`PSwK-I>-s zsImU^fID;C`JbyE|Db!#xbuq>+boWt%^gj~;sw^dAG;@7@*nOujPn<%Lgf8_xcjB` zwU6D|6df6LUt^u|vHK?1f`tq2hj!%NkCP5m%c96dS0)b>E0rI*9<=&?>CTK~7bT4` zBF_bqhsIku#V-txHm@D{3ZGJRO`C$B)??6^H+DeHS%QAJQkB9 zb>B_?(KYgX>&guG0&AooWqoAo>=eteesE?=q4kg2l!C~!sVTpc(2$q8FOO_aP8sjA zzOpoBb|hn5%IcKJ;N+Bm%UZKEWme?)#FQb4ge55MUkodE&ri9?`ZzUZT%>DS$|HVj Q>&+<@k+0pJ@=Wso1}WMC;{X5v delta 32915 zcmbt-4SW>U)&9M+n~*@z4JIT&ga848gpWX!h|w$>APCx!>?ToyZbE=)v7$yBZR!RM z7!@mYVh7*GF4j;}8!I%Siy9?ugQBG^w%FopTG2OcvBipQTB$|(KhM20JFE76|6lX_ zoq5hZ_uO;NJ@;c~XEvLsPM`nn)8}u`mScBJz9OOXRv}LBz2TT|+)Ew4jE~PA|7d$+ z=AyQPz6{atD-bQh`;vKW>VE~F==*j5H6D?8wpe&SI{Ulyg#NeEz2Yq|sFzwvt-kb6 z4jfNEQ+zyeiV*2%i;sI{i%9?Y!12WMgvdC1;P|4iC&9yk;{#{Ismk()<~PP%BL8gu za?IR$Zx;Lf(>!8P+X$iTjc)Z_hzLqVzb>cfa)A)iJ7Vl)znOpD)G;l7A)w()mo3MX z#bk5+dDlr{9z1XAWJDzr-z^pcXAg+Pe-sO)(AnWz)HW(RLx|MHW^!6r!r0|AfAm}P zhiP-=1?C^pu9bdsaeC(HC2jk>HKk8`zml}u`*m}D`qhx{ORvB=dF+={TT&Tla*OwT zf9j&PsXk%u7(103WAo$_<~w7jfKSMH80SYaE|D$fYZ=+uPawN+S|I$=t9&9S=d@%` zm%_IwSDapKreqp33V{BCMyHy+q15i*79XTOn)+4+| zOH1MeA-rC{_o8Y3`&()~q7`$X6=PN)`n`+Vw)ni0%#X5{COPWnsrB>BrpYBn|623K z$qN#CI(**U=07ISM^H1TWXV2r>6A+Fk4@Q_{sWzyIkG=H-I<$v&52XzvvK*<>4dwc zZa`e`OudnXt8=a;Je9K$QNEK?ENAZS@TFsJCZ-l++O(j1vqa(~OlU)OZ+pwv4V~}4 zo-3!9C!n8?%_@Su|D3f5F{I~RKav_q#4ebLwr(7R>!`#9ImDVEZFe9o8C z*}p|?30;X_WHLu}q0ROdbM{<|OxPKEys8p{ACo+=j86GZms8exhmS^Q%9om#+&g;SFz{ib74+qCQ!Grw@*Sdn6g z45NSNqPAsO(?|AW)hBP~s1;r?hE)S+&x+}zxx@7fZ=d;G;S@DyoE19kQ3ySGyD&c} z%pAA7W+uX#84&%M>6m?Nv*^&0RJ5CN@$~VW<{4+tZf?asqqe6{4s2euskIPOz3$?l z8$ViW&R=v1X3>`xT_Go%KUlOn`#Xb~*j+Qzjh4w5^`F(dEM`7@U^_`}^Y_fYBv3PC8%G2MX{9Rz)dg)sE74z4ZUH}z&*(Q5Z^xvT7 z(EiKPA^7=aV-5ctB}gky{dW_g>+|Z@8Z8%?cQ2b^-d|E={`QKgpVc_0DJHsxq5kq`N7f(bZW`6sp(?9 zx*YjRJh+UBmdr%25W@WGva*6%nXSx%*W;HMFP~15-+y^79VY z8~%sPrOT$KJ@k2Je)GNMT)z2NVy75mUU%gcGlX|?|7tHcFL)lMyZA`vWHG>W;L7+Q z_{TlM++ID-e6MblS++9G46T?cede5%7kzz2u1C!Gnh!6VY<926^Ynk@G3Tzl(Dbd$ z;wH0lQ;PrLe3-@MKiTIuzq>L!PqSFS$xegDPJY)u#G2_hZ6X9gxF2;395I_6btx2^nVJGRd)*t1%EiyDM4Cm;l=AdXnhv&-@I@p9_t znA7Tc8Cz4IF|wsDfs0sppD^#PAB#{Qsoy4_=$W!^g#>(Q{jCz$7atZK37dnEHlJ-H z%UEz?8YmmYGI@ujIjLds$dc7Ubc51rc5I$5b9;6)eCP=`*9bvswP_oW)NWG;kkn~Y zH;~k8Qy-8tVAEkB>8MSEK+=#+CxN74O<{2c49UAjQworjW>XfBlw(sKkW^q(5s*}3 z(@G#IU{ei{RBzKpAgNJN7`+EWYPD$_kkoEd2awchQ#X**Yf~SPG+@(VAnB-0gFw=d zO(%h*VNw{UGd6jzLk2)8Hl+bcSvKVWNqIIE07*qQl>kXAZ3+NMH8#})NgHiy1crHP zwy70J+GbNbkknyQCy>-_Q!kLzXVUR1LqO6=n})9wVS$r4W0UuKWB`<6 zQyP$zWm682lxI@`kW^$-36QkXrT~ysV^cklw9%%<>%*7`C^XyD3M6f_sU1k_u&EPB z>b9vDNb0j`07yD)(@`L4(54|E>7-4=VKBp>Gd6ic$N(tCrZgZa%cdM4DbJ+f{$9C_9)zBuL#UX?tIuHPfhDlm3L}sJr`%u?s9=vCUdFq}b zdD5JH?}X$65AK%WV%S`D?Kq|Xsrt9KOiHc6R-R=b`Wd>_Q8RVxq-1X{W`h(W*SvV^LRo0uvUQT&Y3{%|VD81a z*L)3UpZNjK^=9gQlVqlukF(!gg>%5X1?OgS$9)TBm-!0#4)a}{Tg~J)@-uPHG?(KX zGH<|nmw7+VIp%k9K5D*#v(J1N=Rq_1e%hRgbDFsvXRmn!&e`VuIG32;#ktUY1?PJ6 zT_s6=V3O=KXX5NNm*d=P-k>D+KX4x;sSi$)ZDu~sdFHAItB{-Tf~m33tHv|Aeaon?qn_8j87Z`=D3{~ z$zii>=LAf*jXU3xNj)nc?MuGcnRT+`>rl_V5hocCGv2|dI}=fM9E5b{Um(KM8F9fs z!OZG47eA4HzB5N<#~>WeycBw2sLXx>D#E<&iTQ>xpc+ z-^}Wqi%?c}&XCn+W9NmKkxzAwNA1DRJbA?YYv=O3YRvS7`LHR#(4xHOjZwCnshIMG zUdS1nC}=k~?4Ee-5tJE79#U~H&Oto65Ep+0Rr!juY-Gn(SY3`yOY=ZeUy z-d##>Nmx5LW~cznw{~Z2@Ik8FU6u={&bpHw#Rd$?%cQdcWk)w$%qoQX1u%j*5xISht)S>@3`4zP`odu zOf%b%>qC${_F3ulY_oRnc@z9FwF~sqxcVUH;PiU);l1N7Y(?E8ptGtz2z#{3u=m?F zHVrF z3&We>*^XT{dk-ad+mdZPFAq!`i4EYrpP!XO=6k=`G9h;i++jre;dT!4cn{7&WK+!U zFdsTHF6a`%`u0Lbq~u zpDkMvCo99lRT{Zo$>uU5F}ukY-WI30GEFPq=gQ`GnSs~l+|-Qyc>WS}NIsQ#GlEU< z>_jT&pTty=-?Jr$DS4MVKS*{}cK1C0%gd$Q-t)J;U$(EeY4j6Mw#1p6FS4@}(qPKt35>i|*F_eXeXUzy9~D=7J=Ksg} z*txI!$4s2t{;>`hiobv_H8Ve4B+Gg#K71|7D4Sw^wM=F_aw&F@)_u+LV3OQpU7jqj z7}YgZi1WC;<S~rc6g)%StoiXygBw1~>Pml{`j&*o~oFjX! ze@&3%RvgX|q7sc~BdfRqsnzFlH*#$nxMq*-IkO_v@mAOUM^;t4Y zmRik(n&_ih@_3T0vF@5C+a{LI5MmxeoC6b6o|uBkvKyZa#OaH0nl@x*PnT(Vxzlk6 z0ZUcT;ecTW$Q^=A^V4OeoHt!o$$W@+TA}H3rfjkvm@dng9ER~`G!%0Nz;OMT1)%|eI2z!kR8>Gd;KOMbLD;Tl~;)9Pg;{_$h32K*TLNlQz^mI6un}G+@Fj* zo|z|`)90b?R~Y9c)K63MQ{*&Q59Q0u^k!832~`)yRdrd<<;(HugLYNDTQ&WZ^=>|L zlxro)s;dVPo_^i{y-v za;If1ll2ph<_WP6=9xSOwi)M2<3UV_6l?P`dESK3Y`yz_D^5WzmFXh-%rbd6Nd~Ou zGFh3>HU}TJA8C=}qaT#X50f!T-n~{XlS9$`)$#{P(q|2=#e^!f&aan#S#MoeFTV>& zS|_iRjaJ1vxm=#G9%JdWb%LcDYyNt9fecw|)}z#KJ-Hq^AFz(BmlY#3Igl8aM;BRp z7s!d$iVbqE%(T9;LDoor^w0mj@d3uYcgj4AsVtA1!H(+yF_pmJT6`&4V zt&qzvhNE)|ti2D*vFEoznndX}K}dZAV2vI1B6UBQ{npUKG8+>vVLN8Z(dZYp%OOwJ zQMC9}P#2<;qYg4CpY?@y`NNSud=NW~;%}E*Z$FJK?rXc{Xe+m0`l79maD;qT!lT&j zO00rMW%l?QXfpyMAQHTHdWD#f^?oLbHOp0w`!mfV6VIyxdx;VG!~$u4=xxcvwd_Ru$xoyB&W<09*3YJb2f(rsPwZDcYn`t-NuppyN|7aCk)?1UhvCd1Z zSGwh#8Jke2hW6?f^fJ{cj*%!CJ2v7{^aeW7VNHA*3!~4v_-XWh$ZCCBR>;EWv8Uy? zCH9Z6JR{dns$Ob$e;HoM3eke1He7*-(p$cEx|y_gHb)~UVNV@j;6ejsxIxBdW$ z?X-@t)M@=4rIFs{nA`AoOHifG>g$oeLC)KI<@MNphI{3lOE*EK8b;-n>8Amh$YUK* z1|FR=7n!4h<2XB6!@hY|FGSzQZnCc5hivy*ckGkdm}S;J7(5M~8X63yeCvU7zHA*d~^`qWO_W89m%{J z8-!eN4~!&uPCzj3Az0146&~fHC%`6n`m9q2(X%}3oR{QHfaaIvW3ntd{$<&0$TG`% zMSgMQ@l|jE2QRE)FZ4bYZF^aIjZuH1%Zu7kdE9C_EF0vB=(~qyn}KWTt-p|$$nDYZ z{{puOvfH}zHF-JqpT5`R0p4f*Qr~C&Qtsw`R`HvNq0w6Nrp&}TzT-{#bJ-qUGAJh| zV-u|ZygMocH0MHY$v2GGa3?a_GJY*{ zqC*x+#?eA5Oo;ySQDY6liO%~b#sdRV*I`US9MLV08FwPV(X-z&u0|iDSMM@zkup2l z|F|(r;zDrp+vt0t_1Y80n@0UIWy^Y{)8M5dyxW*)mFzYaVao&m6f$(dchKqH=;SAj zYAHLTcXb*0hT*-6%_c^F`jn9(u|XaCp7Bk50Bh{#Brdal@wBl|9=Eo9-*^kFd)+gL zy4zZ~$M`eGW9nWt9?{GmBZQB}(K~yMBm=#;=Z6L^D7n^u>^FLF!+G_y#%0(89(oqT zRbcHS3|qf_7MV`6J_by79@V8_9kzp<=W|7Nx!1b%Iion0Pns~R)h#&Hs;!5fLmG}) z&pu}?nC3hNbBs_GpQO|iXKM2qm)DZd8^xosJ;ua^)|%%LNtyN4=Z!C8Mf@EKxV~N3 zXM6#JwWZIfNa)5L+>o{RMI$3&lShc{)<64H{**Jj=bycZCVQ-e0|2jeDWTfB{eZE+D4k~g z?pb53)qlX?e)-A)V=m_XKMxqQ64Yly>+NTa^H}S({QcODyw;L_sN`C=_Z!7r13!P! zn3G%x_x0FHc3SWC8!L>!9E#^BFk!vcEk80A8os&KWe1Eo){#B~uK|##`nkB2+F4@x z1j>zZ<(hfWi7WS8sXs#sZXn58x_#aln|8usxYc zUHlVx$5K+FQxF}q9;c|aK#Tb3uHTXaFwYVeK(W zJFJ6*lh%8vL|3N0jFPafdfDJz@GXRH>yejXJI#89;I%$}8JgMFg@*to)=h`dpwD`Q zr9$gxhoDk#$yXrUWzBp=snilWEx>|=QcO~>^&0E(Y4H`9AGFSY70_TUeidzdt=nH! z+E2fV?zdTQylULcMT?Y8Oyo7*O?4aRI z=o*91o>u;0SS+*F9fsC%>oLL!>-EFNTJ-7SpP{bQy6IZw74BEH{N+ibbOJQGg;fV%^i* zVUN}#@YO`TAM~H1v;^gd;KxQOMIC{AX1p?%^8cl7BMcg1Uc?EI?X|2x%iv!brBI5H zqsQX^7zh=PDC7AtJVOh~Ni##ckWd5psRH!fh8DDK;xowE+T^J)%*nR%KdV4cM+( z8aS+3?HBn^K{=pgb=2(Dtm~@IiBO=%qiSOlp4aJfK}a`2U8dER;k4m9q&-@Ja9FYH z2!B*;I6%z8#Y2j9ghG%`5;?!B2oQ!8yN+;1O9_0HjFz8w7o@v+N-;!m>;@y`id03g zC>i}9)=C6zIOrMes|YxN*Q+>u1w z2EuIW2J|cOya+K#*{v%BG=R9KiAS}VFldX3+I1b_Gzj(xbWb}&jn%5l9ZeaUD1c8l%@CC(|^L{Fz*$zNAXoP4Y5V{n*mT*|H>j}pcyWu$CgksmG;C4L=gfIyq z#ctRI=+{!hVJ&qg{LWZ(>lsp*uBBN2?|nIqPD4p3JLLrW^@v-;kzp`8&-U$v9$%e^ zY7Q}nQi^H<-EruMla$x$F7`jFdG_f;%{p$I&`PI8nOTC+}@5Q=<7 zioqpxDRu*aZ{H1k-H{1-Q;EypOyT~c_zj#WDIhc?=moXGF`Ek|8bGR;RNZF1JGs_7 ziUp`GQHpB`rHU;ltX6C#VV7dp0>VyMX*Bz?Rv~B)Yh4fSg$mJCt3U&UqaeLulN;0( zPBiR=)(sLmRqZ;0-7GAF5w~6Jt}Xw)iz)g6cky6!lIuSjW&HYO?WW zp7)J_GR3YXRA?!oK}#FXf&ZQ0bppxlQZ=y)tZOYuYr5S!g3~M*aht{RmkWggWhvwb zXwN}HHAv-;Obz|(1lFJ!a_XGE&`c2s2_(>zN+1~>N^Dbe0%fAIW7Qj+*y8h-gz6R$ zlD0Dp+@*QPDU0tbQGjp^AF*(yBK((P1B7~fZi1B12-5i@*QzQ41b*~~&gbn09lKr; z{u?HsYdc8Wj=^BWH5haZ(vy2vSa2>vQBj2&06Nx?7tTvm9HDl=AQFrkIXx>y6lEZ69U%q;O2lO| z`ONqT`HdiK``$zfwxh5gBt;b=d@8j){i$LHyejCbOu!1w zwg7g5VkX?aRk1@Ysuxv+c2GE`=XSA*U2Iof#A9B4WFk!V17Q<3fjr{N;Ru;51c=p^ z0|;rB@C(JR<^b%}0e1ixKWq^8kPV9f`%$Wb4+H=;nk8&f?CNF!y9FPFC$-e=SAb(y zp!&6%pocHu4qw3STfpsGfL#phpcU8+9;M%w`L zh&!4iWEeUUloW9zu5zZ9lG8bShqadDz6*{9RN`uLV8IWH`{cF~ft9J?DguB8&}h+^ zk~mdOt<54ULXjS(igvKwAWTXECtITUGVac@BIHkjMv9hjtSRSSvvOV02GHHD;6Qb$ zK9&BunG#a&`N!*WK{Y3fW@r|isG zgdL088Cgfi8D6LCB(@jbJp{td7J)UHW(Sa`EIc&A(+zhb2bqBFDgi;xg+h4Y^ACS)&IWtI zvP+QK6NIc22VSR$cI7}#IK4d7n%JhSs)aC5HCK~c&+UNId=Q;Jt#VMsd3KnVu>P_U zYcU9p29W{|7>1N_>XjB`Mw*q?a>7oKA#TSFv_6i)m<7@mcCUtT>C+oQ?J+n%2{ME~(h6r>6IhikNET;1*gY`I z*$bANPdx}M`@jJOn}pS#sdm&_4vM}q^4hKMe}-VOP?@Sb3Nwt97gw}xRPF2Bc~N%^ z5}JboEpo!gU1akx>E@}4S59bBY<&xWYm|3PG5htjA7-*4cCFUCR%7>6o6x>hnW|u; z2Gyp5uo09XTE)Wg5j%0B(N<0ng|}f2%Pka(d~Movu1%_LoNzT>3yBvBejTkjn&l#g@&X2szFeJX3P}zm7q0ra?;o`$23R zA=9VjZZg) zErX``+@9Qa5N;;ob@BJm5CsW^VVv|WZ6P?V9E?})Q-&)E+DCZ}6q`ZV0GlIZ=mt~V z^;Q{g;J7jnAPj-j!$~su^GUP{2Z(7PkGL%yA(O2t$_Y7+oJ_8gSIh$xC^o>5836i6 zXaT{zH$p~tCD!j=coa%i_ZzJ0b~y5H`u#uI)t!ymT?s>4bdA&L8e8NMN!hWjfZ?13 zAymRKe#wLHK-Buv(UvM%kkAj(qeAY8t{||Tu5i4$hAU~f1PaOGcKm5h)*V<)ELMYf zI|N60ZeJf$LhHggOYSs*Y*w9&yb1~hRU$Fg$_HN&blKq%lh{hZfr zI?7Y3Hb@AkjB{obxji6wBV?kTo@(TBm6afY20uLq>G7npSxKN*d=SCoIWV+367wJ% zQ-L#*<$&^1NeH*#6gOd6YzK{s&EcuO2nF4r)?=|BB*nFa(~7NfBdyG{BZY!9B7+D= zhZ!I+65M}Q5Ow=VlAf%0OTCr6G0c)6|GD1dq6IFz6 z5VQ$90lpMVJ{5|PJ!Z<28+X>*dkC%gAxWBld7fRrYyEJ0#MSSG=;qpaxEZC zZG2n>qw`a(@`Iw21ExpE8Fb=QtAX*+Emni;E0zPMq*`StK&ZjDjN?{AK^U?URb5G_ zQEU~VL9x|@CM|6T^lO%I62zR`DYCfDv3Lr^-fK}aq)G5spnO)B0kr!{XYLe^$Nm== zo%UHl*a*TUQAWt<04ivxNExdjlz@~9nNlrZ37{j)Ro7o^uCfO-;$E6~{n-X7Yj6p0 z6r_m7*oqq6>k7gE$RqCbM#vn}g`^0XW4e$MA#)le#We(`0O1hQly5X9!$7e9u5psV z+XawnPj+{?~LPiI(j<5-YWleB`2}Z~rQWfh6M?oHOZ&HNJ zNl;k3AlouY`6e6&DK#?LsxRvZIUu;+6d_Zp<%Ak7Z-|iDuH}SwE#DL&)2-zMrU!N! zBF_DXnwUEIm4r-{p*lhsVQ>mMfD+9q6fr-@>=iyC zZwK^imK|Hk;6yFsq+_rgNuRP-OUMA>BDX0*pM6@+(V`btx5Nqu&PQcP)V-s42%FICb#GjlixuO~{|pRRo5mnvl^!#oplb#)1l|`JbhN z2oZ8XBgB?w^{m((z7PYdSJp#};fQAGQ>YGyya4Sc1erS6_biptwS*jydT2tXM9C{k z!a$CIN8Hn-CfH$Bv6f>nq{3QD;H-^o%0q~G`0s{?W5prDW=+uP3c2ap;HE3&rfY+fE<6Dkm>71lwSn2i!3}N)LvH_KFEhEVs+~-FfKoZCZ<8cjhtn(P)G(V(L z8X%ki@v90gxRNuOxgb8^VLVC7dw{@vCE*bc)UdASp;VwN2~3+~qXt1SMyK4F9oA7g z0tBcJCq6jyA_yH`u-T3YmzmFoqlYd?=Q}~rptA-L*hYX(QP3TZAU(l9-UGla?O|qS z12$?lZY9ww`vC=JI|l^T9q;w7)z~ENgwZ|_?zRX6phR(pdMQPosU0D<>gWFvCbm$y zEvK&{<*S@f0&?1sZv&y7ewkH+KyC)b1Wrd|0w=JTK-h;L{zK=Z|40u|+ze7rkR64c z7=ryE#LwNi8WeZq=tEHtit61-UCS<9ue-t6Yd~m2XaO1cVn7X|tp|}Oh8aF|oWLn( z%w4vQu#dEuzlwgaN0q+{!ZDB`ZcQTUL98f>g;BvlJftG3@Y`YFUd#*YIUqcLso*G< zD88ZwpyR1<;(@Xg4p?Cyg~&^T%1tFfZ{RJ>>TZV~u_JL!=uNhgz){6(=jI5f(Mb?g z7(tlsw+&rhLPjenepWn@t2=dm*okgyN`%p+C}&%J5i)u@m%G8$aVqQJFa~aL7y~!Bt!XN_Eai7= zW`v9muAIT?c(!Im$kc>!id)tJjD+4kD+wGkHEV02rdLKKfvZEw-DOhQ30XfVZWyZ3 zJOn~o2o1^_rYxBjT?peNFSs_RiVQuggwt!iGP#b9nn94KM9A>=9tB^-xzC3ahS06$ zX%RAebfGyS?8A+yPDd1`737{FH7mc!JsC!Y#JIsB;cjq9xEoxZ8ys@a;I=8h$UT{M z5G*k`9S?F(MkOokKAB>9Q0WE;rxjaE@J`lu?tut7{t8gs9X6Z&QRxN=tmR$4`yCBR zW~nv-0{^aq3W12g5$1^+IZ7i)C{b*Puo9%LkqhYxf@9_1xv7kIQ>olIUUVE)t^&S) zQWew4hT;G3IQXMTJu1)u;kaUJ34hj70{^T_B_SMh&URf&BS;uhY>40}yM3kZ9;Hx8 z7*uQ>LHnqT_u*!M&AOFt72y~N??2ZPb-Swgc5YtUq40ki8iVyr;S327Nua~P;_ z288QAAS`l}a)^*;D+eRw{JMhRSaCDpx~YtJdv8SLY!K#ufLNp&*AhxW zI!1E*?XtMtj_qbCQINn|JCn9wN?MgdfY71XT0$2{+a;GhP1y|-rz$=`@M|%lR7;)y zxQ2r?ybFZh5*)+9h-*0H+6}sPL$2MRwu|v^f{Fi&h+V_+0XtDL0{%n{LdylvU)-3u zwY7FF@Bd@tzaNeJK)6~Fw4FL?<|#XM^I|6J9EZ$OwWVq1|A;F=TA5rE2orF%hq5+rDMvFw#Wq(h7)r=D7>L8uwfp;^~>D7OBo)uO7)cLKUJ>+~dq z@VBePl@r=Q{GtwHuhE(W+Mw96Pu{W6i6(hUHPi>t`3oJH&iSXZ7IHM1s{aDvK5ht* zq8gREjml3za#BkPXB1mW$W@lg{Qzw=_7I)FM^p{+h~%>oGW8%nNeGjnvZ`@npr9Ru zIY8)AY>2Q2q~{5_K3zfhiDCl;{wk5yA@@^VLExXA#;pc=32Qe&g3~S-aoYu*b~NZ* zG;qHPb=~s+Gr%wm{r3?v;1eL^f$(R=1_>uYx{u^etBL@Dzo?`uoMcim7X%}OZHlcU zbmU_GX=Sh?cP5o$lhr}N>5v{5P4($authX7|ZOYkZC6@)AhzoOe1 z#y6ClK&zqCqSQk)a#*u8q9Y4AiVYBHZ{<{cQYn_70?=;U$C)mO`gASLysufu46TNj zsTKi3&IN2-OPH(J0D->~rE^5CKvxh7^OSnLHEk?N$W@9Vf@2{VaV-RG3*3KW{i;U0 zOx3QE)w5>NLFGI^NV9|nP?BijHyrS=jio+aIRKcaVyYxCJXg<&k}g_6_)fr4xHuM8 z?y8Ofyl9WV&uDg3YoK;isg^UEZA!Cz7a&VHEN5WbG`kC+^AY=`&ZZ}H^D?w)RLz4e zP;6Cg^aYUjKlRW$q%?!H-lsJg-YLxz7#;qH0v^nNGTwg92noB7`$xKG_rd1aT?gxQ zq!G6Jl>G`qKL}f+m%h4n|0}w|(=X+8d=iA`Jp_(IBHn27%j4{EnetOXr~xTU!2LE) z|G6NvCgg#r;>6Mh=etxa)r79F3Z|uL2%gR;r&V;K`&I3BrrI%}T4@8r0D(4mK-3f= zqvuX_16Xzr3w}R<{lP=+VSx5fu`=c%5axg|eNg_qi>fnlp{-WARx4=L&Q_9ZHb}Dp z5c-=$vu(<31%Vz6(U|18XtwRD=g$Rpkh~r^oi{|jn%lbJya|F!*JDQf{j$7}{sOXvdWh{^SBvY zq%8zv7Q*iCa{}!Op~5fMc_XFZ0w7!v4grQ^6@ECTbFJq1FS6A+CN$1AS~3mcm?-U80{ZZ#sY+4#nuwUTqj@=2I~XGO+&Uj zs+5C-|59u@fr_7=hSb#xmGKI0lx&ix><0*?imfFCKwdF}4}!?@M>FDrV7rA%6eQF~ zzjvYM)`V~=Hi>EwmKI^PVuOSlkRAjl^OW4C6aobP97Ei-j>RrrOYmX0{&aI!AsAEm z^k}&nmHjYrSXrwi90TFyw2Y7$)bb&~ux1HoKv2O~)4=dr!RMBMB#`>@meY-$sApSr zc_?h_+L1z)amQ_)u5yrVXwV+8m@XK7I0|viT~SPn4*Vc!`GeRkHYvWA&bnE8}fe#@Vcl zw^D zL5pTP06K}W+Zpz|KCH4Cs0UQ_0=0D}op$^i zYx`(~!jRIfC!7Qs;@+@gyl99o0I}6h%@R65cn_v%ottTA}JDR=#CaB6pXDX=h&}h zVI@pTgaJ@C$T|3n7-ww4t$XaC^DdkCV=1IEwBzr0@Ygm-Y)ew@(AEdyuc~F+#Gf`N zl_g;fI|u)cyc5(9;@>+vf9&kk^H&V`cfrmv9)rYZ{G?Jm>Lu~Pv~!T>b7o9me8gdTjSq~oy@qvtU&<6ELl#{%i*^1L5&4)XQU7fL)6WVjn|>UmRkL}I2Jj)s=;2Fy9g^M1)W$n(PO9OQYa za*i7CArN=yZV>n4c93&W7nhbD_e`^zmwIyZ2kkoi$4aHbe+9t9BN7zySL=D;znv<~ zjK03qGf7V7-iBQUS1ynE29SFj|Gf&!yHPH)(n~$XvN{?p^@JsU(d_l*p1JrrwuCD^ zb4T+xqKoXtA6h?J?l})XfEK(Gc3Z96uSBzuwU2teaL%ys1D0ZqReL4$j#>#TJd;xS zbH)X>g;u05&$@7hXKt#v6uUEujN%W#6zldCo-g3%(GH>(KZy4C6`t$y6KKJe&~<)T zmAV(fi{E-=rRPBSr0p{m9sUEv95m*C4AeNb9T(!-WS38&o>!lKyL=ku%-c{vBG{fe zN15xe24&ixlE9~UNGtwmQVA}Ag7Xh+sIU;_qKmK}+V#s& z<_P*xPDQH9Q087+g8-;sjWVw;+=^MQMH#8O+A}H4$?5q0F4T|2_4^^HalhaIv)N9X zjjMkgpa|LzS<#EWhcQIx=#+(i>LfQGXz%hnL{cP8<1!!aI zFH6L3%75d47}Q8H$-HVFf`A6rq1>PCj{Hq1b9sks1Glq0A4|_JZ$+6ujIQk^h#e?% z(>rC?e-mZyGxO~7x5E(de?&L|Jcn{WX7r$~(2w%ASbc){70TS|>(O%t_&b#2r_u?O zogXr0{l_Thlwk<%lqX^q@Seh%2?=QsG+4nhEGGVPu#?FWi1EXl5ZAVbbpJS_n;`B) zIGhnIzX7wpi&3!6e--sZ^Kq51!@n2hess2)WemW+QJ)U?A=LY=gjHDbgVr=aZQl}i z_!Hp9`BiRqW-7|OF=Db^I|4=7!&F*H1>7|5Xd)ssgwi<@iZZ zfinNYmealwWnPX0cEBzC*)ZO9Iz8A51^&kq-ZpbsO_ZH~#KRu)r=55M;TYV5vhxeg ztbY;ZUCS^v?0|lSa%SBA^ft=Q@847Z5B%9EUU%VB^?<*4O8(D?&WygAIZBc2bv zQY`9|w!UX1mPp)?rJ&6Ah+n06D$4wSV1#O^FyT^6~pwKqh z4~1O|@pRoT{{m&+88`v{8s#!{A!OITjPc{Y=h0nG5O1NL|L?#_!3mUki{tEte?z&m z)NTLqNb8pY&zLYjRXDrB1T0VfqX&r8JeYy9cey*!Ew(7I}OBT{c)5}SdRofbHix}lFc|+{|PZa zC>Pk}<0uy{#Wl_zj8iD{F0Icle~dEc`c7Nln*!ad;o7cGMtOf+SQAhVpdW3v{_GUQ z&Hq5>B&rAsC8ch1UqD53KCYH_1ODTk%;@cvo(s>xkDY$6-ZLqIlVi~OMZITgg8JWg z)`w)7-2rRTIP1J<&2o*DQTAU6|ESQg=!b%0Q8{gIGkox2{;U@atUw5|sjpA1`V z>pjz<`V6JNvVKFTw$2iotQi{sf3dzm_<)dMeQg7N5`5T-Q1o{~hV?s&{$agO(OE)< zHKzfhB&)aqqEu@GA;Wr@P-sO7FGb&K@Ej}|mA`OK{(?mpE?6|bzS0(N* zv>Il6&#@jm + 240: 00035a00 call 35a0 00000244 : @@ -751,8 +751,8 @@ alt_after_alt_main: 244: 003fff06 br 244 <__alt_data_end+0xfffe0244> 00000248
: - {0x150000, 0x250000, 0x200000, 0x10000}, - {0x250000, 0x250000, 0x250000, 0x10000} + {0x000000f0, 0x000000f0, 0x000000f0, 0x00000010}, + {0x000000fa, 0x000000f0, 0x000000f0, 0x00000010} }; int main() @@ -768,7611 +768,7609 @@ int main() //program divisors p = (alt_u32*) SEM_RAM_SLAVE_BASE; 258: 008000b4 movhi r2,2 - 25c: 10840804 addi r2,r2,4128 + 25c: 10840004 addi r2,r2,4096 260: e0bffe15 stw r2,-8(fp) - for (i=0; i - { + 268: 00002306 br 2f8 tmp = 0; 26c: e03fff15 stw zero,-4(fp) - for (j=TIME_STATES; j>0; j--) + for (j = TIME_STATES; j > 0; j--) { 270: 00800104 movi r2,4 274: e0bffd15 stw r2,-12(fp) - 278: 00001306 br 2c8 - { - tmp = (tmp << 8) | divisors[i][j-1]; - 27c: e0bfff17 ldw r2,-4(fp) - 280: 1008923a slli r4,r2,8 - 284: e0bffd17 ldw r2,-12(fp) - 288: 117fffc4 addi r5,r2,-1 - 28c: 00800034 movhi r2,0 - 290: 1095f704 addi r2,r2,22492 - 294: e0fffc17 ldw r3,-16(fp) - 298: 18c7883a add r3,r3,r3 + 278: 00001406 br 2cc + tmp = (tmp << 32) | divisors[i][j - 1]; + 27c: e0ffff17 ldw r3,-4(fp) + 280: 00800804 movi r2,32 + 284: 1888983a sll r4,r3,r2 + 288: e0bffd17 ldw r2,-12(fp) + 28c: 117fffc4 addi r5,r2,-1 + 290: 00800034 movhi r2,0 + 294: 1095f804 addi r2,r2,22496 + 298: e0fffc17 ldw r3,-16(fp) 29c: 18c7883a add r3,r3,r3 - 2a0: 1947883a add r3,r3,r5 - 2a4: 18c7883a add r3,r3,r3 + 2a0: 18c7883a add r3,r3,r3 + 2a4: 1947883a add r3,r3,r5 2a8: 18c7883a add r3,r3,r3 - 2ac: 10c5883a add r2,r2,r3 - 2b0: 10800017 ldw r2,0(r2) - 2b4: 2084b03a or r2,r4,r2 - 2b8: e0bfff15 stw r2,-4(fp) + 2ac: 18c7883a add r3,r3,r3 + 2b0: 10c5883a add r2,r2,r3 + 2b4: 10800017 ldw r2,0(r2) + 2b8: 2084b03a or r2,r4,r2 + 2bc: e0bfff15 stw r2,-4(fp) + //program divisors p = (alt_u32*) SEM_RAM_SLAVE_BASE; - for (i=0; i0; j--) - 2bc: e0bffd17 ldw r2,-12(fp) - 2c0: 10bfffc4 addi r2,r2,-1 - 2c4: e0bffd15 stw r2,-12(fp) - 2c8: e0bffd17 ldw r2,-12(fp) - 2cc: 00bfeb16 blt zero,r2,27c <__alt_data_end+0xfffe027c> - { - tmp = (tmp << 8) | divisors[i][j-1]; + for (j = TIME_STATES; j > 0; j--) { + 2c0: e0bffd17 ldw r2,-12(fp) + 2c4: 10bfffc4 addi r2,r2,-1 + 2c8: e0bffd15 stw r2,-12(fp) + 2cc: e0bffd17 ldw r2,-12(fp) + 2d0: 00bfea16 blt zero,r2,27c <__alt_data_end+0xfffe027c> + tmp = (tmp << 32) | divisors[i][j - 1]; } - *p=tmp; - 2d0: e0bffe17 ldw r2,-8(fp) - 2d4: e0ffff17 ldw r3,-4(fp) - 2d8: 10c00015 stw r3,0(r2) + *p = tmp; + 2d4: e0bffe17 ldw r2,-8(fp) + 2d8: e0ffff17 ldw r3,-4(fp) + 2dc: 10c00015 stw r3,0(r2) p++; - 2dc: e0bffe17 ldw r2,-8(fp) - 2e0: 10800104 addi r2,r2,4 - 2e4: e0bffe15 stw r2,-8(fp) + 2e0: e0bffe17 ldw r2,-8(fp) + 2e4: 10800104 addi r2,r2,4 + 2e8: e0bffe15 stw r2,-8(fp) volatile alt_u32 *p; alt_u32 tmp; //program divisors p = (alt_u32*) SEM_RAM_SLAVE_BASE; - for (i=0; i - *p=tmp; + for (i = 0; i < TIME_SETS; i++) { + 2ec: e0bffc17 ldw r2,-16(fp) + 2f0: 10800044 addi r2,r2,1 + 2f4: e0bffc15 stw r2,-16(fp) + 2f8: e0bffc17 ldw r2,-16(fp) + 2fc: 10800110 cmplti r2,r2,4 + 300: 103fda1e bne r2,zero,26c <__alt_data_end+0xfffe026c> + *p = tmp; p++; } //since we use pointers (cached data access) to write divisor RAM, //and not direct i/o access with IOWR, we need to flush cache alt_dcache_flush(); - 300: 00032540 call 3254 + 304: 00032580 call 3258 //select timeset and run semafor IOWR_ALTERA_AVALON_SEM_DIVSET(SEM_CTL_SLAVE_BASE,0x00); - 304: 0007883a mov r3,zero - 308: 008000b4 movhi r2,2 - 30c: 10840d04 addi r2,r2,4148 - 310: 10c00035 stwio r3,0(r2) + 308: 0007883a mov r3,zero + 30c: 008000b4 movhi r2,2 + 310: 10841904 addi r2,r2,4196 + 314: 10c00035 stwio r3,0(r2) IOWR_ALTERA_AVALON_SEM_CTL(SEM_CTL_SLAVE_BASE,0x01); - 314: 00c00044 movi r3,1 - 318: 008000b4 movhi r2,2 - 31c: 10840c04 addi r2,r2,4144 - 320: 10c00035 stwio r3,0(r2) + 318: 00c00044 movi r3,1 + 31c: 008000b4 movhi r2,2 + 320: 10841804 addi r2,r2,4192 + 324: 10c00035 stwio r3,0(r2) printf("Ready\n"); - 324: 01000034 movhi r4,0 - 328: 21160704 addi r4,r4,22556 - 32c: 00003f40 call 3f4 + 328: 01000034 movhi r4,0 + 32c: 21160804 addi r4,r4,22560 + 330: 00003f80 call 3f8 while (1) { ; } - 330: 003fff06 br 330 <__alt_data_end+0xfffe0330> + 334: 003fff06 br 334 <__alt_data_end+0xfffe0334> -00000334 <_puts_r>: - 334: defff604 addi sp,sp,-40 - 338: dc000715 stw r16,28(sp) - 33c: 2021883a mov r16,r4 - 340: 2809883a mov r4,r5 - 344: dc400815 stw r17,32(sp) - 348: dfc00915 stw ra,36(sp) - 34c: 2823883a mov r17,r5 - 350: 00004080 call 408 - 354: 10c00044 addi r3,r2,1 - 358: d8800115 stw r2,4(sp) - 35c: 00800034 movhi r2,0 - 360: 10960904 addi r2,r2,22564 - 364: d8800215 stw r2,8(sp) - 368: 00800044 movi r2,1 - 36c: d8800315 stw r2,12(sp) - 370: 00800084 movi r2,2 - 374: dc400015 stw r17,0(sp) - 378: d8c00615 stw r3,24(sp) - 37c: dec00415 stw sp,16(sp) - 380: d8800515 stw r2,20(sp) - 384: 80000226 beq r16,zero,390 <_puts_r+0x5c> - 388: 80800e17 ldw r2,56(r16) - 38c: 10001426 beq r2,zero,3e0 <_puts_r+0xac> - 390: 81400217 ldw r5,8(r16) - 394: 2880030b ldhu r2,12(r5) - 398: 10c8000c andi r3,r2,8192 - 39c: 1800061e bne r3,zero,3b8 <_puts_r+0x84> - 3a0: 29001917 ldw r4,100(r5) - 3a4: 00f7ffc4 movi r3,-8193 - 3a8: 10880014 ori r2,r2,8192 - 3ac: 20c6703a and r3,r4,r3 - 3b0: 2880030d sth r2,12(r5) - 3b4: 28c01915 stw r3,100(r5) - 3b8: d9800404 addi r6,sp,16 - 3bc: 8009883a mov r4,r16 - 3c0: 00008500 call 850 <__sfvwrite_r> - 3c4: 1000091e bne r2,zero,3ec <_puts_r+0xb8> - 3c8: 00800284 movi r2,10 - 3cc: dfc00917 ldw ra,36(sp) - 3d0: dc400817 ldw r17,32(sp) - 3d4: dc000717 ldw r16,28(sp) - 3d8: dec00a04 addi sp,sp,40 - 3dc: f800283a ret - 3e0: 8009883a mov r4,r16 - 3e4: 00008000 call 800 <__sinit> - 3e8: 003fe906 br 390 <__alt_data_end+0xfffe0390> - 3ec: 00bfffc4 movi r2,-1 - 3f0: 003ff606 br 3cc <__alt_data_end+0xfffe03cc> +00000338 <_puts_r>: + 338: defff604 addi sp,sp,-40 + 33c: dc000715 stw r16,28(sp) + 340: 2021883a mov r16,r4 + 344: 2809883a mov r4,r5 + 348: dc400815 stw r17,32(sp) + 34c: dfc00915 stw ra,36(sp) + 350: 2823883a mov r17,r5 + 354: 000040c0 call 40c + 358: 10c00044 addi r3,r2,1 + 35c: d8800115 stw r2,4(sp) + 360: 00800034 movhi r2,0 + 364: 10960a04 addi r2,r2,22568 + 368: d8800215 stw r2,8(sp) + 36c: 00800044 movi r2,1 + 370: d8800315 stw r2,12(sp) + 374: 00800084 movi r2,2 + 378: dc400015 stw r17,0(sp) + 37c: d8c00615 stw r3,24(sp) + 380: dec00415 stw sp,16(sp) + 384: d8800515 stw r2,20(sp) + 388: 80000226 beq r16,zero,394 <_puts_r+0x5c> + 38c: 80800e17 ldw r2,56(r16) + 390: 10001426 beq r2,zero,3e4 <_puts_r+0xac> + 394: 81400217 ldw r5,8(r16) + 398: 2880030b ldhu r2,12(r5) + 39c: 10c8000c andi r3,r2,8192 + 3a0: 1800061e bne r3,zero,3bc <_puts_r+0x84> + 3a4: 29001917 ldw r4,100(r5) + 3a8: 00f7ffc4 movi r3,-8193 + 3ac: 10880014 ori r2,r2,8192 + 3b0: 20c6703a and r3,r4,r3 + 3b4: 2880030d sth r2,12(r5) + 3b8: 28c01915 stw r3,100(r5) + 3bc: d9800404 addi r6,sp,16 + 3c0: 8009883a mov r4,r16 + 3c4: 00008540 call 854 <__sfvwrite_r> + 3c8: 1000091e bne r2,zero,3f0 <_puts_r+0xb8> + 3cc: 00800284 movi r2,10 + 3d0: dfc00917 ldw ra,36(sp) + 3d4: dc400817 ldw r17,32(sp) + 3d8: dc000717 ldw r16,28(sp) + 3dc: dec00a04 addi sp,sp,40 + 3e0: f800283a ret + 3e4: 8009883a mov r4,r16 + 3e8: 00008040 call 804 <__sinit> + 3ec: 003fe906 br 394 <__alt_data_end+0xfffe0394> + 3f0: 00bfffc4 movi r2,-1 + 3f4: 003ff606 br 3d0 <__alt_data_end+0xfffe03d0> -000003f4 : - 3f4: 00800034 movhi r2,0 - 3f8: 109ca404 addi r2,r2,29328 - 3fc: 200b883a mov r5,r4 - 400: 11000017 ldw r4,0(r2) - 404: 00003341 jmpi 334 <_puts_r> +000003f8 : + 3f8: 00800034 movhi r2,0 + 3fc: 109ca504 addi r2,r2,29332 + 400: 200b883a mov r5,r4 + 404: 11000017 ldw r4,0(r2) + 408: 00003381 jmpi 338 <_puts_r> -00000408 : - 408: 208000cc andi r2,r4,3 - 40c: 10002026 beq r2,zero,490 - 410: 20800007 ldb r2,0(r4) - 414: 10002026 beq r2,zero,498 - 418: 2005883a mov r2,r4 - 41c: 00000206 br 428 - 420: 10c00007 ldb r3,0(r2) - 424: 18001826 beq r3,zero,488 - 428: 10800044 addi r2,r2,1 - 42c: 10c000cc andi r3,r2,3 - 430: 183ffb1e bne r3,zero,420 <__alt_data_end+0xfffe0420> - 434: 10c00017 ldw r3,0(r2) - 438: 01ffbff4 movhi r7,65279 - 43c: 39ffbfc4 addi r7,r7,-257 - 440: 00ca303a nor r5,zero,r3 - 444: 01a02074 movhi r6,32897 - 448: 19c7883a add r3,r3,r7 - 44c: 31a02004 addi r6,r6,-32640 - 450: 1946703a and r3,r3,r5 - 454: 1986703a and r3,r3,r6 - 458: 1800091e bne r3,zero,480 - 45c: 10800104 addi r2,r2,4 - 460: 10c00017 ldw r3,0(r2) - 464: 19cb883a add r5,r3,r7 - 468: 00c6303a nor r3,zero,r3 - 46c: 28c6703a and r3,r5,r3 - 470: 1986703a and r3,r3,r6 - 474: 183ff926 beq r3,zero,45c <__alt_data_end+0xfffe045c> - 478: 00000106 br 480 - 47c: 10800044 addi r2,r2,1 - 480: 10c00007 ldb r3,0(r2) - 484: 183ffd1e bne r3,zero,47c <__alt_data_end+0xfffe047c> - 488: 1105c83a sub r2,r2,r4 - 48c: f800283a ret - 490: 2005883a mov r2,r4 - 494: 003fe706 br 434 <__alt_data_end+0xfffe0434> - 498: 0005883a mov r2,zero - 49c: f800283a ret +0000040c : + 40c: 208000cc andi r2,r4,3 + 410: 10002026 beq r2,zero,494 + 414: 20800007 ldb r2,0(r4) + 418: 10002026 beq r2,zero,49c + 41c: 2005883a mov r2,r4 + 420: 00000206 br 42c + 424: 10c00007 ldb r3,0(r2) + 428: 18001826 beq r3,zero,48c + 42c: 10800044 addi r2,r2,1 + 430: 10c000cc andi r3,r2,3 + 434: 183ffb1e bne r3,zero,424 <__alt_data_end+0xfffe0424> + 438: 10c00017 ldw r3,0(r2) + 43c: 01ffbff4 movhi r7,65279 + 440: 39ffbfc4 addi r7,r7,-257 + 444: 00ca303a nor r5,zero,r3 + 448: 01a02074 movhi r6,32897 + 44c: 19c7883a add r3,r3,r7 + 450: 31a02004 addi r6,r6,-32640 + 454: 1946703a and r3,r3,r5 + 458: 1986703a and r3,r3,r6 + 45c: 1800091e bne r3,zero,484 + 460: 10800104 addi r2,r2,4 + 464: 10c00017 ldw r3,0(r2) + 468: 19cb883a add r5,r3,r7 + 46c: 00c6303a nor r3,zero,r3 + 470: 28c6703a and r3,r5,r3 + 474: 1986703a and r3,r3,r6 + 478: 183ff926 beq r3,zero,460 <__alt_data_end+0xfffe0460> + 47c: 00000106 br 484 + 480: 10800044 addi r2,r2,1 + 484: 10c00007 ldb r3,0(r2) + 488: 183ffd1e bne r3,zero,480 <__alt_data_end+0xfffe0480> + 48c: 1105c83a sub r2,r2,r4 + 490: f800283a ret + 494: 2005883a mov r2,r4 + 498: 003fe706 br 438 <__alt_data_end+0xfffe0438> + 49c: 0005883a mov r2,zero + 4a0: f800283a ret -000004a0 <__fp_unlock>: - 4a0: 0005883a mov r2,zero - 4a4: f800283a ret +000004a4 <__fp_unlock>: + 4a4: 0005883a mov r2,zero + 4a8: f800283a ret -000004a8 <_cleanup_r>: - 4a8: 01400034 movhi r5,0 - 4ac: 29491604 addi r5,r5,9304 - 4b0: 0000ddc1 jmpi ddc <_fwalk_reent> +000004ac <_cleanup_r>: + 4ac: 01400034 movhi r5,0 + 4b0: 29491704 addi r5,r5,9308 + 4b4: 0000de01 jmpi de0 <_fwalk_reent> -000004b4 <__sinit.part.1>: - 4b4: defff704 addi sp,sp,-36 - 4b8: 00c00034 movhi r3,0 - 4bc: dfc00815 stw ra,32(sp) - 4c0: ddc00715 stw r23,28(sp) - 4c4: dd800615 stw r22,24(sp) - 4c8: dd400515 stw r21,20(sp) - 4cc: dd000415 stw r20,16(sp) - 4d0: dcc00315 stw r19,12(sp) - 4d4: dc800215 stw r18,8(sp) - 4d8: dc400115 stw r17,4(sp) - 4dc: dc000015 stw r16,0(sp) - 4e0: 18c12a04 addi r3,r3,1192 - 4e4: 24000117 ldw r16,4(r4) - 4e8: 20c00f15 stw r3,60(r4) - 4ec: 2080bb04 addi r2,r4,748 - 4f0: 00c000c4 movi r3,3 - 4f4: 20c0b915 stw r3,740(r4) - 4f8: 2080ba15 stw r2,744(r4) - 4fc: 2000b815 stw zero,736(r4) - 500: 05c00204 movi r23,8 - 504: 00800104 movi r2,4 - 508: 2025883a mov r18,r4 - 50c: b80d883a mov r6,r23 - 510: 81001704 addi r4,r16,92 - 514: 000b883a mov r5,zero - 518: 80000015 stw zero,0(r16) - 51c: 80000115 stw zero,4(r16) - 520: 80000215 stw zero,8(r16) - 524: 8080030d sth r2,12(r16) - 528: 80001915 stw zero,100(r16) - 52c: 8000038d sth zero,14(r16) - 530: 80000415 stw zero,16(r16) - 534: 80000515 stw zero,20(r16) - 538: 80000615 stw zero,24(r16) - 53c: 0001a340 call 1a34 - 540: 05800034 movhi r22,0 - 544: 94400217 ldw r17,8(r18) - 548: 05400034 movhi r21,0 - 54c: 05000034 movhi r20,0 - 550: 04c00034 movhi r19,0 - 554: b5884504 addi r22,r22,8468 - 558: ad485c04 addi r21,r21,8560 - 55c: a5087b04 addi r20,r20,8684 - 560: 9cc89204 addi r19,r19,8776 - 564: 85800815 stw r22,32(r16) - 568: 85400915 stw r21,36(r16) - 56c: 85000a15 stw r20,40(r16) - 570: 84c00b15 stw r19,44(r16) - 574: 84000715 stw r16,28(r16) - 578: 00800284 movi r2,10 - 57c: 8880030d sth r2,12(r17) - 580: 00800044 movi r2,1 - 584: b80d883a mov r6,r23 - 588: 89001704 addi r4,r17,92 - 58c: 000b883a mov r5,zero - 590: 88000015 stw zero,0(r17) - 594: 88000115 stw zero,4(r17) - 598: 88000215 stw zero,8(r17) - 59c: 88001915 stw zero,100(r17) - 5a0: 8880038d sth r2,14(r17) - 5a4: 88000415 stw zero,16(r17) - 5a8: 88000515 stw zero,20(r17) - 5ac: 88000615 stw zero,24(r17) - 5b0: 0001a340 call 1a34 - 5b4: 94000317 ldw r16,12(r18) - 5b8: 00800484 movi r2,18 - 5bc: 8c400715 stw r17,28(r17) - 5c0: 8d800815 stw r22,32(r17) - 5c4: 8d400915 stw r21,36(r17) - 5c8: 8d000a15 stw r20,40(r17) - 5cc: 8cc00b15 stw r19,44(r17) - 5d0: 8080030d sth r2,12(r16) - 5d4: 00800084 movi r2,2 - 5d8: 80000015 stw zero,0(r16) - 5dc: 80000115 stw zero,4(r16) - 5e0: 80000215 stw zero,8(r16) - 5e4: 80001915 stw zero,100(r16) - 5e8: 8080038d sth r2,14(r16) - 5ec: 80000415 stw zero,16(r16) - 5f0: 80000515 stw zero,20(r16) - 5f4: 80000615 stw zero,24(r16) - 5f8: b80d883a mov r6,r23 - 5fc: 000b883a mov r5,zero - 600: 81001704 addi r4,r16,92 - 604: 0001a340 call 1a34 - 608: 00800044 movi r2,1 - 60c: 84000715 stw r16,28(r16) - 610: 85800815 stw r22,32(r16) - 614: 85400915 stw r21,36(r16) - 618: 85000a15 stw r20,40(r16) - 61c: 84c00b15 stw r19,44(r16) - 620: 90800e15 stw r2,56(r18) - 624: dfc00817 ldw ra,32(sp) - 628: ddc00717 ldw r23,28(sp) - 62c: dd800617 ldw r22,24(sp) - 630: dd400517 ldw r21,20(sp) - 634: dd000417 ldw r20,16(sp) - 638: dcc00317 ldw r19,12(sp) - 63c: dc800217 ldw r18,8(sp) - 640: dc400117 ldw r17,4(sp) - 644: dc000017 ldw r16,0(sp) - 648: dec00904 addi sp,sp,36 - 64c: f800283a ret +000004b8 <__sinit.part.1>: + 4b8: defff704 addi sp,sp,-36 + 4bc: 00c00034 movhi r3,0 + 4c0: dfc00815 stw ra,32(sp) + 4c4: ddc00715 stw r23,28(sp) + 4c8: dd800615 stw r22,24(sp) + 4cc: dd400515 stw r21,20(sp) + 4d0: dd000415 stw r20,16(sp) + 4d4: dcc00315 stw r19,12(sp) + 4d8: dc800215 stw r18,8(sp) + 4dc: dc400115 stw r17,4(sp) + 4e0: dc000015 stw r16,0(sp) + 4e4: 18c12b04 addi r3,r3,1196 + 4e8: 24000117 ldw r16,4(r4) + 4ec: 20c00f15 stw r3,60(r4) + 4f0: 2080bb04 addi r2,r4,748 + 4f4: 00c000c4 movi r3,3 + 4f8: 20c0b915 stw r3,740(r4) + 4fc: 2080ba15 stw r2,744(r4) + 500: 2000b815 stw zero,736(r4) + 504: 05c00204 movi r23,8 + 508: 00800104 movi r2,4 + 50c: 2025883a mov r18,r4 + 510: b80d883a mov r6,r23 + 514: 81001704 addi r4,r16,92 + 518: 000b883a mov r5,zero + 51c: 80000015 stw zero,0(r16) + 520: 80000115 stw zero,4(r16) + 524: 80000215 stw zero,8(r16) + 528: 8080030d sth r2,12(r16) + 52c: 80001915 stw zero,100(r16) + 530: 8000038d sth zero,14(r16) + 534: 80000415 stw zero,16(r16) + 538: 80000515 stw zero,20(r16) + 53c: 80000615 stw zero,24(r16) + 540: 0001a380 call 1a38 + 544: 05800034 movhi r22,0 + 548: 94400217 ldw r17,8(r18) + 54c: 05400034 movhi r21,0 + 550: 05000034 movhi r20,0 + 554: 04c00034 movhi r19,0 + 558: b5884604 addi r22,r22,8472 + 55c: ad485d04 addi r21,r21,8564 + 560: a5087c04 addi r20,r20,8688 + 564: 9cc89304 addi r19,r19,8780 + 568: 85800815 stw r22,32(r16) + 56c: 85400915 stw r21,36(r16) + 570: 85000a15 stw r20,40(r16) + 574: 84c00b15 stw r19,44(r16) + 578: 84000715 stw r16,28(r16) + 57c: 00800284 movi r2,10 + 580: 8880030d sth r2,12(r17) + 584: 00800044 movi r2,1 + 588: b80d883a mov r6,r23 + 58c: 89001704 addi r4,r17,92 + 590: 000b883a mov r5,zero + 594: 88000015 stw zero,0(r17) + 598: 88000115 stw zero,4(r17) + 59c: 88000215 stw zero,8(r17) + 5a0: 88001915 stw zero,100(r17) + 5a4: 8880038d sth r2,14(r17) + 5a8: 88000415 stw zero,16(r17) + 5ac: 88000515 stw zero,20(r17) + 5b0: 88000615 stw zero,24(r17) + 5b4: 0001a380 call 1a38 + 5b8: 94000317 ldw r16,12(r18) + 5bc: 00800484 movi r2,18 + 5c0: 8c400715 stw r17,28(r17) + 5c4: 8d800815 stw r22,32(r17) + 5c8: 8d400915 stw r21,36(r17) + 5cc: 8d000a15 stw r20,40(r17) + 5d0: 8cc00b15 stw r19,44(r17) + 5d4: 8080030d sth r2,12(r16) + 5d8: 00800084 movi r2,2 + 5dc: 80000015 stw zero,0(r16) + 5e0: 80000115 stw zero,4(r16) + 5e4: 80000215 stw zero,8(r16) + 5e8: 80001915 stw zero,100(r16) + 5ec: 8080038d sth r2,14(r16) + 5f0: 80000415 stw zero,16(r16) + 5f4: 80000515 stw zero,20(r16) + 5f8: 80000615 stw zero,24(r16) + 5fc: b80d883a mov r6,r23 + 600: 000b883a mov r5,zero + 604: 81001704 addi r4,r16,92 + 608: 0001a380 call 1a38 + 60c: 00800044 movi r2,1 + 610: 84000715 stw r16,28(r16) + 614: 85800815 stw r22,32(r16) + 618: 85400915 stw r21,36(r16) + 61c: 85000a15 stw r20,40(r16) + 620: 84c00b15 stw r19,44(r16) + 624: 90800e15 stw r2,56(r18) + 628: dfc00817 ldw ra,32(sp) + 62c: ddc00717 ldw r23,28(sp) + 630: dd800617 ldw r22,24(sp) + 634: dd400517 ldw r21,20(sp) + 638: dd000417 ldw r20,16(sp) + 63c: dcc00317 ldw r19,12(sp) + 640: dc800217 ldw r18,8(sp) + 644: dc400117 ldw r17,4(sp) + 648: dc000017 ldw r16,0(sp) + 64c: dec00904 addi sp,sp,36 + 650: f800283a ret -00000650 <__fp_lock>: - 650: 0005883a mov r2,zero - 654: f800283a ret +00000654 <__fp_lock>: + 654: 0005883a mov r2,zero + 658: f800283a ret -00000658 <__sfmoreglue>: - 658: defffc04 addi sp,sp,-16 - 65c: dc800215 stw r18,8(sp) - 660: 2825883a mov r18,r5 - 664: dc000015 stw r16,0(sp) - 668: 01401a04 movi r5,104 - 66c: 2021883a mov r16,r4 - 670: 913fffc4 addi r4,r18,-1 - 674: dfc00315 stw ra,12(sp) - 678: dc400115 stw r17,4(sp) - 67c: 00031180 call 3118 <__mulsi3> - 680: 8009883a mov r4,r16 - 684: 11401d04 addi r5,r2,116 - 688: 1023883a mov r17,r2 - 68c: 0000ea00 call ea0 <_malloc_r> - 690: 1021883a mov r16,r2 - 694: 10000726 beq r2,zero,6b4 <__sfmoreglue+0x5c> - 698: 11000304 addi r4,r2,12 - 69c: 10000015 stw zero,0(r2) - 6a0: 14800115 stw r18,4(r2) - 6a4: 11000215 stw r4,8(r2) - 6a8: 89801a04 addi r6,r17,104 - 6ac: 000b883a mov r5,zero - 6b0: 0001a340 call 1a34 - 6b4: 8005883a mov r2,r16 - 6b8: dfc00317 ldw ra,12(sp) - 6bc: dc800217 ldw r18,8(sp) - 6c0: dc400117 ldw r17,4(sp) - 6c4: dc000017 ldw r16,0(sp) - 6c8: dec00404 addi sp,sp,16 - 6cc: f800283a ret +0000065c <__sfmoreglue>: + 65c: defffc04 addi sp,sp,-16 + 660: dc800215 stw r18,8(sp) + 664: 2825883a mov r18,r5 + 668: dc000015 stw r16,0(sp) + 66c: 01401a04 movi r5,104 + 670: 2021883a mov r16,r4 + 674: 913fffc4 addi r4,r18,-1 + 678: dfc00315 stw ra,12(sp) + 67c: dc400115 stw r17,4(sp) + 680: 000311c0 call 311c <__mulsi3> + 684: 8009883a mov r4,r16 + 688: 11401d04 addi r5,r2,116 + 68c: 1023883a mov r17,r2 + 690: 0000ea40 call ea4 <_malloc_r> + 694: 1021883a mov r16,r2 + 698: 10000726 beq r2,zero,6b8 <__sfmoreglue+0x5c> + 69c: 11000304 addi r4,r2,12 + 6a0: 10000015 stw zero,0(r2) + 6a4: 14800115 stw r18,4(r2) + 6a8: 11000215 stw r4,8(r2) + 6ac: 89801a04 addi r6,r17,104 + 6b0: 000b883a mov r5,zero + 6b4: 0001a380 call 1a38 + 6b8: 8005883a mov r2,r16 + 6bc: dfc00317 ldw ra,12(sp) + 6c0: dc800217 ldw r18,8(sp) + 6c4: dc400117 ldw r17,4(sp) + 6c8: dc000017 ldw r16,0(sp) + 6cc: dec00404 addi sp,sp,16 + 6d0: f800283a ret -000006d0 <__sfp>: - 6d0: defffb04 addi sp,sp,-20 - 6d4: dc000015 stw r16,0(sp) - 6d8: 04000034 movhi r16,0 - 6dc: 841ca304 addi r16,r16,29324 - 6e0: dcc00315 stw r19,12(sp) - 6e4: 2027883a mov r19,r4 - 6e8: 81000017 ldw r4,0(r16) - 6ec: dfc00415 stw ra,16(sp) - 6f0: dc800215 stw r18,8(sp) - 6f4: 20800e17 ldw r2,56(r4) - 6f8: dc400115 stw r17,4(sp) - 6fc: 1000021e bne r2,zero,708 <__sfp+0x38> - 700: 00004b40 call 4b4 <__sinit.part.1> - 704: 81000017 ldw r4,0(r16) - 708: 2480b804 addi r18,r4,736 - 70c: 047fffc4 movi r17,-1 - 710: 91000117 ldw r4,4(r18) - 714: 94000217 ldw r16,8(r18) - 718: 213fffc4 addi r4,r4,-1 - 71c: 20000a16 blt r4,zero,748 <__sfp+0x78> - 720: 8080030f ldh r2,12(r16) - 724: 10000c26 beq r2,zero,758 <__sfp+0x88> - 728: 80c01d04 addi r3,r16,116 - 72c: 00000206 br 738 <__sfp+0x68> - 730: 18bfe60f ldh r2,-104(r3) - 734: 10000826 beq r2,zero,758 <__sfp+0x88> - 738: 213fffc4 addi r4,r4,-1 - 73c: 1c3ffd04 addi r16,r3,-12 - 740: 18c01a04 addi r3,r3,104 - 744: 247ffa1e bne r4,r17,730 <__alt_data_end+0xfffe0730> - 748: 90800017 ldw r2,0(r18) - 74c: 10001d26 beq r2,zero,7c4 <__sfp+0xf4> - 750: 1025883a mov r18,r2 - 754: 003fee06 br 710 <__alt_data_end+0xfffe0710> - 758: 00bfffc4 movi r2,-1 - 75c: 8080038d sth r2,14(r16) - 760: 00800044 movi r2,1 - 764: 8080030d sth r2,12(r16) - 768: 80001915 stw zero,100(r16) - 76c: 80000015 stw zero,0(r16) - 770: 80000215 stw zero,8(r16) - 774: 80000115 stw zero,4(r16) - 778: 80000415 stw zero,16(r16) - 77c: 80000515 stw zero,20(r16) - 780: 80000615 stw zero,24(r16) - 784: 01800204 movi r6,8 - 788: 000b883a mov r5,zero - 78c: 81001704 addi r4,r16,92 - 790: 0001a340 call 1a34 - 794: 8005883a mov r2,r16 - 798: 80000c15 stw zero,48(r16) - 79c: 80000d15 stw zero,52(r16) - 7a0: 80001115 stw zero,68(r16) - 7a4: 80001215 stw zero,72(r16) - 7a8: dfc00417 ldw ra,16(sp) - 7ac: dcc00317 ldw r19,12(sp) - 7b0: dc800217 ldw r18,8(sp) - 7b4: dc400117 ldw r17,4(sp) - 7b8: dc000017 ldw r16,0(sp) - 7bc: dec00504 addi sp,sp,20 - 7c0: f800283a ret - 7c4: 01400104 movi r5,4 - 7c8: 9809883a mov r4,r19 - 7cc: 00006580 call 658 <__sfmoreglue> - 7d0: 90800015 stw r2,0(r18) - 7d4: 103fde1e bne r2,zero,750 <__alt_data_end+0xfffe0750> - 7d8: 00800304 movi r2,12 - 7dc: 98800015 stw r2,0(r19) - 7e0: 0005883a mov r2,zero - 7e4: 003ff006 br 7a8 <__alt_data_end+0xfffe07a8> +000006d4 <__sfp>: + 6d4: defffb04 addi sp,sp,-20 + 6d8: dc000015 stw r16,0(sp) + 6dc: 04000034 movhi r16,0 + 6e0: 841ca404 addi r16,r16,29328 + 6e4: dcc00315 stw r19,12(sp) + 6e8: 2027883a mov r19,r4 + 6ec: 81000017 ldw r4,0(r16) + 6f0: dfc00415 stw ra,16(sp) + 6f4: dc800215 stw r18,8(sp) + 6f8: 20800e17 ldw r2,56(r4) + 6fc: dc400115 stw r17,4(sp) + 700: 1000021e bne r2,zero,70c <__sfp+0x38> + 704: 00004b80 call 4b8 <__sinit.part.1> + 708: 81000017 ldw r4,0(r16) + 70c: 2480b804 addi r18,r4,736 + 710: 047fffc4 movi r17,-1 + 714: 91000117 ldw r4,4(r18) + 718: 94000217 ldw r16,8(r18) + 71c: 213fffc4 addi r4,r4,-1 + 720: 20000a16 blt r4,zero,74c <__sfp+0x78> + 724: 8080030f ldh r2,12(r16) + 728: 10000c26 beq r2,zero,75c <__sfp+0x88> + 72c: 80c01d04 addi r3,r16,116 + 730: 00000206 br 73c <__sfp+0x68> + 734: 18bfe60f ldh r2,-104(r3) + 738: 10000826 beq r2,zero,75c <__sfp+0x88> + 73c: 213fffc4 addi r4,r4,-1 + 740: 1c3ffd04 addi r16,r3,-12 + 744: 18c01a04 addi r3,r3,104 + 748: 247ffa1e bne r4,r17,734 <__alt_data_end+0xfffe0734> + 74c: 90800017 ldw r2,0(r18) + 750: 10001d26 beq r2,zero,7c8 <__sfp+0xf4> + 754: 1025883a mov r18,r2 + 758: 003fee06 br 714 <__alt_data_end+0xfffe0714> + 75c: 00bfffc4 movi r2,-1 + 760: 8080038d sth r2,14(r16) + 764: 00800044 movi r2,1 + 768: 8080030d sth r2,12(r16) + 76c: 80001915 stw zero,100(r16) + 770: 80000015 stw zero,0(r16) + 774: 80000215 stw zero,8(r16) + 778: 80000115 stw zero,4(r16) + 77c: 80000415 stw zero,16(r16) + 780: 80000515 stw zero,20(r16) + 784: 80000615 stw zero,24(r16) + 788: 01800204 movi r6,8 + 78c: 000b883a mov r5,zero + 790: 81001704 addi r4,r16,92 + 794: 0001a380 call 1a38 + 798: 8005883a mov r2,r16 + 79c: 80000c15 stw zero,48(r16) + 7a0: 80000d15 stw zero,52(r16) + 7a4: 80001115 stw zero,68(r16) + 7a8: 80001215 stw zero,72(r16) + 7ac: dfc00417 ldw ra,16(sp) + 7b0: dcc00317 ldw r19,12(sp) + 7b4: dc800217 ldw r18,8(sp) + 7b8: dc400117 ldw r17,4(sp) + 7bc: dc000017 ldw r16,0(sp) + 7c0: dec00504 addi sp,sp,20 + 7c4: f800283a ret + 7c8: 01400104 movi r5,4 + 7cc: 9809883a mov r4,r19 + 7d0: 000065c0 call 65c <__sfmoreglue> + 7d4: 90800015 stw r2,0(r18) + 7d8: 103fde1e bne r2,zero,754 <__alt_data_end+0xfffe0754> + 7dc: 00800304 movi r2,12 + 7e0: 98800015 stw r2,0(r19) + 7e4: 0005883a mov r2,zero + 7e8: 003ff006 br 7ac <__alt_data_end+0xfffe07ac> -000007e8 <_cleanup>: - 7e8: 00800034 movhi r2,0 - 7ec: 109ca304 addi r2,r2,29324 - 7f0: 11000017 ldw r4,0(r2) - 7f4: 01400034 movhi r5,0 - 7f8: 29491604 addi r5,r5,9304 - 7fc: 0000ddc1 jmpi ddc <_fwalk_reent> +000007ec <_cleanup>: + 7ec: 00800034 movhi r2,0 + 7f0: 109ca404 addi r2,r2,29328 + 7f4: 11000017 ldw r4,0(r2) + 7f8: 01400034 movhi r5,0 + 7fc: 29491704 addi r5,r5,9308 + 800: 0000de01 jmpi de0 <_fwalk_reent> -00000800 <__sinit>: - 800: 20800e17 ldw r2,56(r4) - 804: 10000126 beq r2,zero,80c <__sinit+0xc> - 808: f800283a ret - 80c: 00004b41 jmpi 4b4 <__sinit.part.1> +00000804 <__sinit>: + 804: 20800e17 ldw r2,56(r4) + 808: 10000126 beq r2,zero,810 <__sinit+0xc> + 80c: f800283a ret + 810: 00004b81 jmpi 4b8 <__sinit.part.1> -00000810 <__sfp_lock_acquire>: - 810: f800283a ret - -00000814 <__sfp_lock_release>: +00000814 <__sfp_lock_acquire>: 814: f800283a ret -00000818 <__sinit_lock_acquire>: +00000818 <__sfp_lock_release>: 818: f800283a ret -0000081c <__sinit_lock_release>: +0000081c <__sinit_lock_acquire>: 81c: f800283a ret -00000820 <__fp_lock_all>: - 820: 00800034 movhi r2,0 - 824: 109ca404 addi r2,r2,29328 - 828: 11000017 ldw r4,0(r2) - 82c: 01400034 movhi r5,0 - 830: 29419404 addi r5,r5,1616 - 834: 0000d181 jmpi d18 <_fwalk> +00000820 <__sinit_lock_release>: + 820: f800283a ret -00000838 <__fp_unlock_all>: - 838: 00800034 movhi r2,0 - 83c: 109ca404 addi r2,r2,29328 - 840: 11000017 ldw r4,0(r2) - 844: 01400034 movhi r5,0 - 848: 29412804 addi r5,r5,1184 - 84c: 0000d181 jmpi d18 <_fwalk> +00000824 <__fp_lock_all>: + 824: 00800034 movhi r2,0 + 828: 109ca504 addi r2,r2,29332 + 82c: 11000017 ldw r4,0(r2) + 830: 01400034 movhi r5,0 + 834: 29419504 addi r5,r5,1620 + 838: 0000d1c1 jmpi d1c <_fwalk> -00000850 <__sfvwrite_r>: - 850: 30800217 ldw r2,8(r6) - 854: 10006726 beq r2,zero,9f4 <__sfvwrite_r+0x1a4> - 858: 28c0030b ldhu r3,12(r5) - 85c: defff404 addi sp,sp,-48 - 860: dd400715 stw r21,28(sp) - 864: dd000615 stw r20,24(sp) - 868: dc000215 stw r16,8(sp) - 86c: dfc00b15 stw ra,44(sp) - 870: df000a15 stw fp,40(sp) - 874: ddc00915 stw r23,36(sp) - 878: dd800815 stw r22,32(sp) - 87c: dcc00515 stw r19,20(sp) - 880: dc800415 stw r18,16(sp) - 884: dc400315 stw r17,12(sp) - 888: 1880020c andi r2,r3,8 - 88c: 2821883a mov r16,r5 - 890: 202b883a mov r21,r4 - 894: 3029883a mov r20,r6 - 898: 10002726 beq r2,zero,938 <__sfvwrite_r+0xe8> - 89c: 28800417 ldw r2,16(r5) - 8a0: 10002526 beq r2,zero,938 <__sfvwrite_r+0xe8> - 8a4: 1880008c andi r2,r3,2 - 8a8: a4400017 ldw r17,0(r20) - 8ac: 10002a26 beq r2,zero,958 <__sfvwrite_r+0x108> - 8b0: 05a00034 movhi r22,32768 - 8b4: 0027883a mov r19,zero - 8b8: 0025883a mov r18,zero - 8bc: b5bf0004 addi r22,r22,-1024 - 8c0: 980d883a mov r6,r19 - 8c4: a809883a mov r4,r21 - 8c8: 90004626 beq r18,zero,9e4 <__sfvwrite_r+0x194> - 8cc: 900f883a mov r7,r18 - 8d0: b480022e bgeu r22,r18,8dc <__sfvwrite_r+0x8c> - 8d4: 01e00034 movhi r7,32768 - 8d8: 39ff0004 addi r7,r7,-1024 - 8dc: 80800917 ldw r2,36(r16) - 8e0: 81400717 ldw r5,28(r16) - 8e4: 103ee83a callr r2 - 8e8: 00805a0e bge zero,r2,a54 <__sfvwrite_r+0x204> - 8ec: a0c00217 ldw r3,8(r20) - 8f0: 98a7883a add r19,r19,r2 - 8f4: 90a5c83a sub r18,r18,r2 - 8f8: 1885c83a sub r2,r3,r2 - 8fc: a0800215 stw r2,8(r20) - 900: 103fef1e bne r2,zero,8c0 <__alt_data_end+0xfffe08c0> - 904: 0005883a mov r2,zero - 908: dfc00b17 ldw ra,44(sp) - 90c: df000a17 ldw fp,40(sp) - 910: ddc00917 ldw r23,36(sp) - 914: dd800817 ldw r22,32(sp) - 918: dd400717 ldw r21,28(sp) - 91c: dd000617 ldw r20,24(sp) - 920: dcc00517 ldw r19,20(sp) - 924: dc800417 ldw r18,16(sp) - 928: dc400317 ldw r17,12(sp) - 92c: dc000217 ldw r16,8(sp) - 930: dec00c04 addi sp,sp,48 - 934: f800283a ret - 938: 800b883a mov r5,r16 - 93c: a809883a mov r4,r21 - 940: 00022b00 call 22b0 <__swsetup_r> - 944: 1000ee1e bne r2,zero,d00 <__sfvwrite_r+0x4b0> - 948: 80c0030b ldhu r3,12(r16) - 94c: a4400017 ldw r17,0(r20) - 950: 1880008c andi r2,r3,2 - 954: 103fd61e bne r2,zero,8b0 <__alt_data_end+0xfffe08b0> - 958: 1880004c andi r2,r3,1 - 95c: 1000421e bne r2,zero,a68 <__sfvwrite_r+0x218> - 960: 0039883a mov fp,zero - 964: 0025883a mov r18,zero - 968: 90001a26 beq r18,zero,9d4 <__sfvwrite_r+0x184> - 96c: 1880800c andi r2,r3,512 - 970: 84c00217 ldw r19,8(r16) - 974: 10002126 beq r2,zero,9fc <__sfvwrite_r+0x1ac> - 978: 982f883a mov r23,r19 - 97c: 94c09636 bltu r18,r19,bd8 <__sfvwrite_r+0x388> - 980: 1881200c andi r2,r3,1152 - 984: 1000a11e bne r2,zero,c0c <__sfvwrite_r+0x3bc> - 988: 81000017 ldw r4,0(r16) - 98c: b80d883a mov r6,r23 - 990: e00b883a mov r5,fp - 994: 00018d80 call 18d8 - 998: 80c00217 ldw r3,8(r16) - 99c: 81000017 ldw r4,0(r16) - 9a0: 9005883a mov r2,r18 - 9a4: 1ce7c83a sub r19,r3,r19 - 9a8: 25cf883a add r7,r4,r23 - 9ac: 84c00215 stw r19,8(r16) - 9b0: 81c00015 stw r7,0(r16) - 9b4: a0c00217 ldw r3,8(r20) - 9b8: e0b9883a add fp,fp,r2 - 9bc: 90a5c83a sub r18,r18,r2 - 9c0: 18a7c83a sub r19,r3,r2 - 9c4: a4c00215 stw r19,8(r20) - 9c8: 983fce26 beq r19,zero,904 <__alt_data_end+0xfffe0904> - 9cc: 80c0030b ldhu r3,12(r16) - 9d0: 903fe61e bne r18,zero,96c <__alt_data_end+0xfffe096c> - 9d4: 8f000017 ldw fp,0(r17) - 9d8: 8c800117 ldw r18,4(r17) - 9dc: 8c400204 addi r17,r17,8 - 9e0: 003fe106 br 968 <__alt_data_end+0xfffe0968> - 9e4: 8cc00017 ldw r19,0(r17) - 9e8: 8c800117 ldw r18,4(r17) - 9ec: 8c400204 addi r17,r17,8 - 9f0: 003fb306 br 8c0 <__alt_data_end+0xfffe08c0> - 9f4: 0005883a mov r2,zero - 9f8: f800283a ret - 9fc: 81000017 ldw r4,0(r16) - a00: 80800417 ldw r2,16(r16) - a04: 11005a36 bltu r2,r4,b70 <__sfvwrite_r+0x320> - a08: 85c00517 ldw r23,20(r16) - a0c: 95c05836 bltu r18,r23,b70 <__sfvwrite_r+0x320> - a10: 00a00034 movhi r2,32768 - a14: 10bfffc4 addi r2,r2,-1 - a18: 9009883a mov r4,r18 - a1c: 1480012e bgeu r2,r18,a24 <__sfvwrite_r+0x1d4> - a20: 1009883a mov r4,r2 - a24: b80b883a mov r5,r23 - a28: 0002f640 call 2f64 <__divsi3> - a2c: b80b883a mov r5,r23 - a30: 1009883a mov r4,r2 - a34: 00031180 call 3118 <__mulsi3> - a38: 81400717 ldw r5,28(r16) - a3c: 80c00917 ldw r3,36(r16) - a40: 100f883a mov r7,r2 - a44: e00d883a mov r6,fp - a48: a809883a mov r4,r21 - a4c: 183ee83a callr r3 - a50: 00bfd816 blt zero,r2,9b4 <__alt_data_end+0xfffe09b4> - a54: 8080030b ldhu r2,12(r16) - a58: 10801014 ori r2,r2,64 - a5c: 8080030d sth r2,12(r16) - a60: 00bfffc4 movi r2,-1 - a64: 003fa806 br 908 <__alt_data_end+0xfffe0908> - a68: 0027883a mov r19,zero - a6c: 0011883a mov r8,zero - a70: 0039883a mov fp,zero - a74: 0025883a mov r18,zero - a78: 90001f26 beq r18,zero,af8 <__sfvwrite_r+0x2a8> - a7c: 40005a26 beq r8,zero,be8 <__sfvwrite_r+0x398> - a80: 982d883a mov r22,r19 - a84: 94c0012e bgeu r18,r19,a8c <__sfvwrite_r+0x23c> - a88: 902d883a mov r22,r18 - a8c: 81000017 ldw r4,0(r16) - a90: 80800417 ldw r2,16(r16) - a94: b02f883a mov r23,r22 - a98: 81c00517 ldw r7,20(r16) - a9c: 1100032e bgeu r2,r4,aac <__sfvwrite_r+0x25c> - aa0: 80c00217 ldw r3,8(r16) - aa4: 38c7883a add r3,r7,r3 - aa8: 1d801816 blt r3,r22,b0c <__sfvwrite_r+0x2bc> - aac: b1c03e16 blt r22,r7,ba8 <__sfvwrite_r+0x358> - ab0: 80800917 ldw r2,36(r16) - ab4: 81400717 ldw r5,28(r16) - ab8: e00d883a mov r6,fp - abc: da000115 stw r8,4(sp) - ac0: a809883a mov r4,r21 - ac4: 103ee83a callr r2 - ac8: 102f883a mov r23,r2 - acc: da000117 ldw r8,4(sp) - ad0: 00bfe00e bge zero,r2,a54 <__alt_data_end+0xfffe0a54> - ad4: 9de7c83a sub r19,r19,r23 - ad8: 98001f26 beq r19,zero,b58 <__sfvwrite_r+0x308> - adc: a0800217 ldw r2,8(r20) - ae0: e5f9883a add fp,fp,r23 - ae4: 95e5c83a sub r18,r18,r23 - ae8: 15efc83a sub r23,r2,r23 - aec: a5c00215 stw r23,8(r20) - af0: b83f8426 beq r23,zero,904 <__alt_data_end+0xfffe0904> - af4: 903fe11e bne r18,zero,a7c <__alt_data_end+0xfffe0a7c> - af8: 8f000017 ldw fp,0(r17) - afc: 8c800117 ldw r18,4(r17) - b00: 0011883a mov r8,zero - b04: 8c400204 addi r17,r17,8 - b08: 003fdb06 br a78 <__alt_data_end+0xfffe0a78> - b0c: 180d883a mov r6,r3 - b10: e00b883a mov r5,fp - b14: da000115 stw r8,4(sp) - b18: d8c00015 stw r3,0(sp) - b1c: 00018d80 call 18d8 - b20: d8c00017 ldw r3,0(sp) - b24: 80800017 ldw r2,0(r16) - b28: 800b883a mov r5,r16 - b2c: a809883a mov r4,r21 - b30: 10c5883a add r2,r2,r3 - b34: 80800015 stw r2,0(r16) - b38: d8c00015 stw r3,0(sp) - b3c: 00027780 call 2778 <_fflush_r> - b40: d8c00017 ldw r3,0(sp) - b44: da000117 ldw r8,4(sp) - b48: 103fc21e bne r2,zero,a54 <__alt_data_end+0xfffe0a54> - b4c: 182f883a mov r23,r3 - b50: 9de7c83a sub r19,r19,r23 - b54: 983fe11e bne r19,zero,adc <__alt_data_end+0xfffe0adc> - b58: 800b883a mov r5,r16 - b5c: a809883a mov r4,r21 - b60: 00027780 call 2778 <_fflush_r> - b64: 103fbb1e bne r2,zero,a54 <__alt_data_end+0xfffe0a54> - b68: 0011883a mov r8,zero - b6c: 003fdb06 br adc <__alt_data_end+0xfffe0adc> - b70: 94c0012e bgeu r18,r19,b78 <__sfvwrite_r+0x328> - b74: 9027883a mov r19,r18 - b78: 980d883a mov r6,r19 - b7c: e00b883a mov r5,fp - b80: 00018d80 call 18d8 - b84: 80800217 ldw r2,8(r16) - b88: 80c00017 ldw r3,0(r16) - b8c: 14c5c83a sub r2,r2,r19 - b90: 1cc7883a add r3,r3,r19 - b94: 80800215 stw r2,8(r16) - b98: 80c00015 stw r3,0(r16) - b9c: 10004326 beq r2,zero,cac <__sfvwrite_r+0x45c> - ba0: 9805883a mov r2,r19 - ba4: 003f8306 br 9b4 <__alt_data_end+0xfffe09b4> - ba8: b00d883a mov r6,r22 - bac: e00b883a mov r5,fp - bb0: da000115 stw r8,4(sp) - bb4: 00018d80 call 18d8 - bb8: 80800217 ldw r2,8(r16) - bbc: 80c00017 ldw r3,0(r16) - bc0: da000117 ldw r8,4(sp) - bc4: 1585c83a sub r2,r2,r22 - bc8: 1dad883a add r22,r3,r22 - bcc: 80800215 stw r2,8(r16) - bd0: 85800015 stw r22,0(r16) - bd4: 003fbf06 br ad4 <__alt_data_end+0xfffe0ad4> - bd8: 81000017 ldw r4,0(r16) - bdc: 9027883a mov r19,r18 - be0: 902f883a mov r23,r18 - be4: 003f6906 br 98c <__alt_data_end+0xfffe098c> - be8: 900d883a mov r6,r18 - bec: 01400284 movi r5,10 - bf0: e009883a mov r4,fp - bf4: 00016ac0 call 16ac - bf8: 10003e26 beq r2,zero,cf4 <__sfvwrite_r+0x4a4> - bfc: 10800044 addi r2,r2,1 - c00: 1727c83a sub r19,r2,fp - c04: 02000044 movi r8,1 - c08: 003f9d06 br a80 <__alt_data_end+0xfffe0a80> - c0c: 80800517 ldw r2,20(r16) - c10: 81400417 ldw r5,16(r16) - c14: 81c00017 ldw r7,0(r16) - c18: 10a7883a add r19,r2,r2 - c1c: 9885883a add r2,r19,r2 - c20: 1026d7fa srli r19,r2,31 - c24: 396dc83a sub r22,r7,r5 - c28: b1000044 addi r4,r22,1 - c2c: 9885883a add r2,r19,r2 - c30: 1027d07a srai r19,r2,1 - c34: 2485883a add r2,r4,r18 - c38: 980d883a mov r6,r19 - c3c: 9880022e bgeu r19,r2,c48 <__sfvwrite_r+0x3f8> - c40: 1027883a mov r19,r2 - c44: 100d883a mov r6,r2 - c48: 18c1000c andi r3,r3,1024 - c4c: 18001c26 beq r3,zero,cc0 <__sfvwrite_r+0x470> - c50: 300b883a mov r5,r6 - c54: a809883a mov r4,r21 - c58: 0000ea00 call ea0 <_malloc_r> - c5c: 102f883a mov r23,r2 - c60: 10002926 beq r2,zero,d08 <__sfvwrite_r+0x4b8> - c64: 81400417 ldw r5,16(r16) - c68: b00d883a mov r6,r22 - c6c: 1009883a mov r4,r2 - c70: 00017900 call 1790 - c74: 8080030b ldhu r2,12(r16) - c78: 00fedfc4 movi r3,-1153 - c7c: 10c4703a and r2,r2,r3 - c80: 10802014 ori r2,r2,128 - c84: 8080030d sth r2,12(r16) - c88: bd89883a add r4,r23,r22 - c8c: 9d8fc83a sub r7,r19,r22 - c90: 85c00415 stw r23,16(r16) - c94: 84c00515 stw r19,20(r16) - c98: 81000015 stw r4,0(r16) - c9c: 9027883a mov r19,r18 - ca0: 81c00215 stw r7,8(r16) - ca4: 902f883a mov r23,r18 - ca8: 003f3806 br 98c <__alt_data_end+0xfffe098c> - cac: 800b883a mov r5,r16 - cb0: a809883a mov r4,r21 - cb4: 00027780 call 2778 <_fflush_r> - cb8: 103fb926 beq r2,zero,ba0 <__alt_data_end+0xfffe0ba0> - cbc: 003f6506 br a54 <__alt_data_end+0xfffe0a54> - cc0: a809883a mov r4,r21 - cc4: 0001b5c0 call 1b5c <_realloc_r> - cc8: 102f883a mov r23,r2 - ccc: 103fee1e bne r2,zero,c88 <__alt_data_end+0xfffe0c88> - cd0: 81400417 ldw r5,16(r16) - cd4: a809883a mov r4,r21 - cd8: 00029280 call 2928 <_free_r> - cdc: 8080030b ldhu r2,12(r16) - ce0: 00ffdfc4 movi r3,-129 - ce4: 1884703a and r2,r3,r2 - ce8: 00c00304 movi r3,12 - cec: a8c00015 stw r3,0(r21) - cf0: 003f5906 br a58 <__alt_data_end+0xfffe0a58> - cf4: 94c00044 addi r19,r18,1 - cf8: 02000044 movi r8,1 - cfc: 003f6006 br a80 <__alt_data_end+0xfffe0a80> - d00: 00bfffc4 movi r2,-1 - d04: 003f0006 br 908 <__alt_data_end+0xfffe0908> - d08: 00800304 movi r2,12 - d0c: a8800015 stw r2,0(r21) - d10: 8080030b ldhu r2,12(r16) - d14: 003f5006 br a58 <__alt_data_end+0xfffe0a58> +0000083c <__fp_unlock_all>: + 83c: 00800034 movhi r2,0 + 840: 109ca504 addi r2,r2,29332 + 844: 11000017 ldw r4,0(r2) + 848: 01400034 movhi r5,0 + 84c: 29412904 addi r5,r5,1188 + 850: 0000d1c1 jmpi d1c <_fwalk> -00000d18 <_fwalk>: - d18: defff704 addi sp,sp,-36 - d1c: dd000415 stw r20,16(sp) - d20: dfc00815 stw ra,32(sp) - d24: ddc00715 stw r23,28(sp) - d28: dd800615 stw r22,24(sp) - d2c: dd400515 stw r21,20(sp) - d30: dcc00315 stw r19,12(sp) - d34: dc800215 stw r18,8(sp) - d38: dc400115 stw r17,4(sp) - d3c: dc000015 stw r16,0(sp) - d40: 2500b804 addi r20,r4,736 - d44: a0002326 beq r20,zero,dd4 <_fwalk+0xbc> - d48: 282b883a mov r21,r5 - d4c: 002f883a mov r23,zero - d50: 05800044 movi r22,1 - d54: 04ffffc4 movi r19,-1 - d58: a4400117 ldw r17,4(r20) - d5c: a4800217 ldw r18,8(r20) - d60: 8c7fffc4 addi r17,r17,-1 - d64: 88000d16 blt r17,zero,d9c <_fwalk+0x84> - d68: 94000304 addi r16,r18,12 - d6c: 94800384 addi r18,r18,14 - d70: 8080000b ldhu r2,0(r16) - d74: 8c7fffc4 addi r17,r17,-1 - d78: 813ffd04 addi r4,r16,-12 - d7c: b080042e bgeu r22,r2,d90 <_fwalk+0x78> - d80: 9080000f ldh r2,0(r18) - d84: 14c00226 beq r2,r19,d90 <_fwalk+0x78> - d88: a83ee83a callr r21 - d8c: b8aeb03a or r23,r23,r2 - d90: 84001a04 addi r16,r16,104 - d94: 94801a04 addi r18,r18,104 - d98: 8cfff51e bne r17,r19,d70 <__alt_data_end+0xfffe0d70> - d9c: a5000017 ldw r20,0(r20) - da0: a03fed1e bne r20,zero,d58 <__alt_data_end+0xfffe0d58> - da4: b805883a mov r2,r23 - da8: dfc00817 ldw ra,32(sp) - dac: ddc00717 ldw r23,28(sp) - db0: dd800617 ldw r22,24(sp) - db4: dd400517 ldw r21,20(sp) - db8: dd000417 ldw r20,16(sp) - dbc: dcc00317 ldw r19,12(sp) - dc0: dc800217 ldw r18,8(sp) - dc4: dc400117 ldw r17,4(sp) - dc8: dc000017 ldw r16,0(sp) - dcc: dec00904 addi sp,sp,36 - dd0: f800283a ret - dd4: 002f883a mov r23,zero - dd8: 003ff206 br da4 <__alt_data_end+0xfffe0da4> +00000854 <__sfvwrite_r>: + 854: 30800217 ldw r2,8(r6) + 858: 10006726 beq r2,zero,9f8 <__sfvwrite_r+0x1a4> + 85c: 28c0030b ldhu r3,12(r5) + 860: defff404 addi sp,sp,-48 + 864: dd400715 stw r21,28(sp) + 868: dd000615 stw r20,24(sp) + 86c: dc000215 stw r16,8(sp) + 870: dfc00b15 stw ra,44(sp) + 874: df000a15 stw fp,40(sp) + 878: ddc00915 stw r23,36(sp) + 87c: dd800815 stw r22,32(sp) + 880: dcc00515 stw r19,20(sp) + 884: dc800415 stw r18,16(sp) + 888: dc400315 stw r17,12(sp) + 88c: 1880020c andi r2,r3,8 + 890: 2821883a mov r16,r5 + 894: 202b883a mov r21,r4 + 898: 3029883a mov r20,r6 + 89c: 10002726 beq r2,zero,93c <__sfvwrite_r+0xe8> + 8a0: 28800417 ldw r2,16(r5) + 8a4: 10002526 beq r2,zero,93c <__sfvwrite_r+0xe8> + 8a8: 1880008c andi r2,r3,2 + 8ac: a4400017 ldw r17,0(r20) + 8b0: 10002a26 beq r2,zero,95c <__sfvwrite_r+0x108> + 8b4: 05a00034 movhi r22,32768 + 8b8: 0027883a mov r19,zero + 8bc: 0025883a mov r18,zero + 8c0: b5bf0004 addi r22,r22,-1024 + 8c4: 980d883a mov r6,r19 + 8c8: a809883a mov r4,r21 + 8cc: 90004626 beq r18,zero,9e8 <__sfvwrite_r+0x194> + 8d0: 900f883a mov r7,r18 + 8d4: b480022e bgeu r22,r18,8e0 <__sfvwrite_r+0x8c> + 8d8: 01e00034 movhi r7,32768 + 8dc: 39ff0004 addi r7,r7,-1024 + 8e0: 80800917 ldw r2,36(r16) + 8e4: 81400717 ldw r5,28(r16) + 8e8: 103ee83a callr r2 + 8ec: 00805a0e bge zero,r2,a58 <__sfvwrite_r+0x204> + 8f0: a0c00217 ldw r3,8(r20) + 8f4: 98a7883a add r19,r19,r2 + 8f8: 90a5c83a sub r18,r18,r2 + 8fc: 1885c83a sub r2,r3,r2 + 900: a0800215 stw r2,8(r20) + 904: 103fef1e bne r2,zero,8c4 <__alt_data_end+0xfffe08c4> + 908: 0005883a mov r2,zero + 90c: dfc00b17 ldw ra,44(sp) + 910: df000a17 ldw fp,40(sp) + 914: ddc00917 ldw r23,36(sp) + 918: dd800817 ldw r22,32(sp) + 91c: dd400717 ldw r21,28(sp) + 920: dd000617 ldw r20,24(sp) + 924: dcc00517 ldw r19,20(sp) + 928: dc800417 ldw r18,16(sp) + 92c: dc400317 ldw r17,12(sp) + 930: dc000217 ldw r16,8(sp) + 934: dec00c04 addi sp,sp,48 + 938: f800283a ret + 93c: 800b883a mov r5,r16 + 940: a809883a mov r4,r21 + 944: 00022b40 call 22b4 <__swsetup_r> + 948: 1000ee1e bne r2,zero,d04 <__sfvwrite_r+0x4b0> + 94c: 80c0030b ldhu r3,12(r16) + 950: a4400017 ldw r17,0(r20) + 954: 1880008c andi r2,r3,2 + 958: 103fd61e bne r2,zero,8b4 <__alt_data_end+0xfffe08b4> + 95c: 1880004c andi r2,r3,1 + 960: 1000421e bne r2,zero,a6c <__sfvwrite_r+0x218> + 964: 0039883a mov fp,zero + 968: 0025883a mov r18,zero + 96c: 90001a26 beq r18,zero,9d8 <__sfvwrite_r+0x184> + 970: 1880800c andi r2,r3,512 + 974: 84c00217 ldw r19,8(r16) + 978: 10002126 beq r2,zero,a00 <__sfvwrite_r+0x1ac> + 97c: 982f883a mov r23,r19 + 980: 94c09636 bltu r18,r19,bdc <__sfvwrite_r+0x388> + 984: 1881200c andi r2,r3,1152 + 988: 1000a11e bne r2,zero,c10 <__sfvwrite_r+0x3bc> + 98c: 81000017 ldw r4,0(r16) + 990: b80d883a mov r6,r23 + 994: e00b883a mov r5,fp + 998: 00018dc0 call 18dc + 99c: 80c00217 ldw r3,8(r16) + 9a0: 81000017 ldw r4,0(r16) + 9a4: 9005883a mov r2,r18 + 9a8: 1ce7c83a sub r19,r3,r19 + 9ac: 25cf883a add r7,r4,r23 + 9b0: 84c00215 stw r19,8(r16) + 9b4: 81c00015 stw r7,0(r16) + 9b8: a0c00217 ldw r3,8(r20) + 9bc: e0b9883a add fp,fp,r2 + 9c0: 90a5c83a sub r18,r18,r2 + 9c4: 18a7c83a sub r19,r3,r2 + 9c8: a4c00215 stw r19,8(r20) + 9cc: 983fce26 beq r19,zero,908 <__alt_data_end+0xfffe0908> + 9d0: 80c0030b ldhu r3,12(r16) + 9d4: 903fe61e bne r18,zero,970 <__alt_data_end+0xfffe0970> + 9d8: 8f000017 ldw fp,0(r17) + 9dc: 8c800117 ldw r18,4(r17) + 9e0: 8c400204 addi r17,r17,8 + 9e4: 003fe106 br 96c <__alt_data_end+0xfffe096c> + 9e8: 8cc00017 ldw r19,0(r17) + 9ec: 8c800117 ldw r18,4(r17) + 9f0: 8c400204 addi r17,r17,8 + 9f4: 003fb306 br 8c4 <__alt_data_end+0xfffe08c4> + 9f8: 0005883a mov r2,zero + 9fc: f800283a ret + a00: 81000017 ldw r4,0(r16) + a04: 80800417 ldw r2,16(r16) + a08: 11005a36 bltu r2,r4,b74 <__sfvwrite_r+0x320> + a0c: 85c00517 ldw r23,20(r16) + a10: 95c05836 bltu r18,r23,b74 <__sfvwrite_r+0x320> + a14: 00a00034 movhi r2,32768 + a18: 10bfffc4 addi r2,r2,-1 + a1c: 9009883a mov r4,r18 + a20: 1480012e bgeu r2,r18,a28 <__sfvwrite_r+0x1d4> + a24: 1009883a mov r4,r2 + a28: b80b883a mov r5,r23 + a2c: 0002f680 call 2f68 <__divsi3> + a30: b80b883a mov r5,r23 + a34: 1009883a mov r4,r2 + a38: 000311c0 call 311c <__mulsi3> + a3c: 81400717 ldw r5,28(r16) + a40: 80c00917 ldw r3,36(r16) + a44: 100f883a mov r7,r2 + a48: e00d883a mov r6,fp + a4c: a809883a mov r4,r21 + a50: 183ee83a callr r3 + a54: 00bfd816 blt zero,r2,9b8 <__alt_data_end+0xfffe09b8> + a58: 8080030b ldhu r2,12(r16) + a5c: 10801014 ori r2,r2,64 + a60: 8080030d sth r2,12(r16) + a64: 00bfffc4 movi r2,-1 + a68: 003fa806 br 90c <__alt_data_end+0xfffe090c> + a6c: 0027883a mov r19,zero + a70: 0011883a mov r8,zero + a74: 0039883a mov fp,zero + a78: 0025883a mov r18,zero + a7c: 90001f26 beq r18,zero,afc <__sfvwrite_r+0x2a8> + a80: 40005a26 beq r8,zero,bec <__sfvwrite_r+0x398> + a84: 982d883a mov r22,r19 + a88: 94c0012e bgeu r18,r19,a90 <__sfvwrite_r+0x23c> + a8c: 902d883a mov r22,r18 + a90: 81000017 ldw r4,0(r16) + a94: 80800417 ldw r2,16(r16) + a98: b02f883a mov r23,r22 + a9c: 81c00517 ldw r7,20(r16) + aa0: 1100032e bgeu r2,r4,ab0 <__sfvwrite_r+0x25c> + aa4: 80c00217 ldw r3,8(r16) + aa8: 38c7883a add r3,r7,r3 + aac: 1d801816 blt r3,r22,b10 <__sfvwrite_r+0x2bc> + ab0: b1c03e16 blt r22,r7,bac <__sfvwrite_r+0x358> + ab4: 80800917 ldw r2,36(r16) + ab8: 81400717 ldw r5,28(r16) + abc: e00d883a mov r6,fp + ac0: da000115 stw r8,4(sp) + ac4: a809883a mov r4,r21 + ac8: 103ee83a callr r2 + acc: 102f883a mov r23,r2 + ad0: da000117 ldw r8,4(sp) + ad4: 00bfe00e bge zero,r2,a58 <__alt_data_end+0xfffe0a58> + ad8: 9de7c83a sub r19,r19,r23 + adc: 98001f26 beq r19,zero,b5c <__sfvwrite_r+0x308> + ae0: a0800217 ldw r2,8(r20) + ae4: e5f9883a add fp,fp,r23 + ae8: 95e5c83a sub r18,r18,r23 + aec: 15efc83a sub r23,r2,r23 + af0: a5c00215 stw r23,8(r20) + af4: b83f8426 beq r23,zero,908 <__alt_data_end+0xfffe0908> + af8: 903fe11e bne r18,zero,a80 <__alt_data_end+0xfffe0a80> + afc: 8f000017 ldw fp,0(r17) + b00: 8c800117 ldw r18,4(r17) + b04: 0011883a mov r8,zero + b08: 8c400204 addi r17,r17,8 + b0c: 003fdb06 br a7c <__alt_data_end+0xfffe0a7c> + b10: 180d883a mov r6,r3 + b14: e00b883a mov r5,fp + b18: da000115 stw r8,4(sp) + b1c: d8c00015 stw r3,0(sp) + b20: 00018dc0 call 18dc + b24: d8c00017 ldw r3,0(sp) + b28: 80800017 ldw r2,0(r16) + b2c: 800b883a mov r5,r16 + b30: a809883a mov r4,r21 + b34: 10c5883a add r2,r2,r3 + b38: 80800015 stw r2,0(r16) + b3c: d8c00015 stw r3,0(sp) + b40: 000277c0 call 277c <_fflush_r> + b44: d8c00017 ldw r3,0(sp) + b48: da000117 ldw r8,4(sp) + b4c: 103fc21e bne r2,zero,a58 <__alt_data_end+0xfffe0a58> + b50: 182f883a mov r23,r3 + b54: 9de7c83a sub r19,r19,r23 + b58: 983fe11e bne r19,zero,ae0 <__alt_data_end+0xfffe0ae0> + b5c: 800b883a mov r5,r16 + b60: a809883a mov r4,r21 + b64: 000277c0 call 277c <_fflush_r> + b68: 103fbb1e bne r2,zero,a58 <__alt_data_end+0xfffe0a58> + b6c: 0011883a mov r8,zero + b70: 003fdb06 br ae0 <__alt_data_end+0xfffe0ae0> + b74: 94c0012e bgeu r18,r19,b7c <__sfvwrite_r+0x328> + b78: 9027883a mov r19,r18 + b7c: 980d883a mov r6,r19 + b80: e00b883a mov r5,fp + b84: 00018dc0 call 18dc + b88: 80800217 ldw r2,8(r16) + b8c: 80c00017 ldw r3,0(r16) + b90: 14c5c83a sub r2,r2,r19 + b94: 1cc7883a add r3,r3,r19 + b98: 80800215 stw r2,8(r16) + b9c: 80c00015 stw r3,0(r16) + ba0: 10004326 beq r2,zero,cb0 <__sfvwrite_r+0x45c> + ba4: 9805883a mov r2,r19 + ba8: 003f8306 br 9b8 <__alt_data_end+0xfffe09b8> + bac: b00d883a mov r6,r22 + bb0: e00b883a mov r5,fp + bb4: da000115 stw r8,4(sp) + bb8: 00018dc0 call 18dc + bbc: 80800217 ldw r2,8(r16) + bc0: 80c00017 ldw r3,0(r16) + bc4: da000117 ldw r8,4(sp) + bc8: 1585c83a sub r2,r2,r22 + bcc: 1dad883a add r22,r3,r22 + bd0: 80800215 stw r2,8(r16) + bd4: 85800015 stw r22,0(r16) + bd8: 003fbf06 br ad8 <__alt_data_end+0xfffe0ad8> + bdc: 81000017 ldw r4,0(r16) + be0: 9027883a mov r19,r18 + be4: 902f883a mov r23,r18 + be8: 003f6906 br 990 <__alt_data_end+0xfffe0990> + bec: 900d883a mov r6,r18 + bf0: 01400284 movi r5,10 + bf4: e009883a mov r4,fp + bf8: 00016b00 call 16b0 + bfc: 10003e26 beq r2,zero,cf8 <__sfvwrite_r+0x4a4> + c00: 10800044 addi r2,r2,1 + c04: 1727c83a sub r19,r2,fp + c08: 02000044 movi r8,1 + c0c: 003f9d06 br a84 <__alt_data_end+0xfffe0a84> + c10: 80800517 ldw r2,20(r16) + c14: 81400417 ldw r5,16(r16) + c18: 81c00017 ldw r7,0(r16) + c1c: 10a7883a add r19,r2,r2 + c20: 9885883a add r2,r19,r2 + c24: 1026d7fa srli r19,r2,31 + c28: 396dc83a sub r22,r7,r5 + c2c: b1000044 addi r4,r22,1 + c30: 9885883a add r2,r19,r2 + c34: 1027d07a srai r19,r2,1 + c38: 2485883a add r2,r4,r18 + c3c: 980d883a mov r6,r19 + c40: 9880022e bgeu r19,r2,c4c <__sfvwrite_r+0x3f8> + c44: 1027883a mov r19,r2 + c48: 100d883a mov r6,r2 + c4c: 18c1000c andi r3,r3,1024 + c50: 18001c26 beq r3,zero,cc4 <__sfvwrite_r+0x470> + c54: 300b883a mov r5,r6 + c58: a809883a mov r4,r21 + c5c: 0000ea40 call ea4 <_malloc_r> + c60: 102f883a mov r23,r2 + c64: 10002926 beq r2,zero,d0c <__sfvwrite_r+0x4b8> + c68: 81400417 ldw r5,16(r16) + c6c: b00d883a mov r6,r22 + c70: 1009883a mov r4,r2 + c74: 00017940 call 1794 + c78: 8080030b ldhu r2,12(r16) + c7c: 00fedfc4 movi r3,-1153 + c80: 10c4703a and r2,r2,r3 + c84: 10802014 ori r2,r2,128 + c88: 8080030d sth r2,12(r16) + c8c: bd89883a add r4,r23,r22 + c90: 9d8fc83a sub r7,r19,r22 + c94: 85c00415 stw r23,16(r16) + c98: 84c00515 stw r19,20(r16) + c9c: 81000015 stw r4,0(r16) + ca0: 9027883a mov r19,r18 + ca4: 81c00215 stw r7,8(r16) + ca8: 902f883a mov r23,r18 + cac: 003f3806 br 990 <__alt_data_end+0xfffe0990> + cb0: 800b883a mov r5,r16 + cb4: a809883a mov r4,r21 + cb8: 000277c0 call 277c <_fflush_r> + cbc: 103fb926 beq r2,zero,ba4 <__alt_data_end+0xfffe0ba4> + cc0: 003f6506 br a58 <__alt_data_end+0xfffe0a58> + cc4: a809883a mov r4,r21 + cc8: 0001b600 call 1b60 <_realloc_r> + ccc: 102f883a mov r23,r2 + cd0: 103fee1e bne r2,zero,c8c <__alt_data_end+0xfffe0c8c> + cd4: 81400417 ldw r5,16(r16) + cd8: a809883a mov r4,r21 + cdc: 000292c0 call 292c <_free_r> + ce0: 8080030b ldhu r2,12(r16) + ce4: 00ffdfc4 movi r3,-129 + ce8: 1884703a and r2,r3,r2 + cec: 00c00304 movi r3,12 + cf0: a8c00015 stw r3,0(r21) + cf4: 003f5906 br a5c <__alt_data_end+0xfffe0a5c> + cf8: 94c00044 addi r19,r18,1 + cfc: 02000044 movi r8,1 + d00: 003f6006 br a84 <__alt_data_end+0xfffe0a84> + d04: 00bfffc4 movi r2,-1 + d08: 003f0006 br 90c <__alt_data_end+0xfffe090c> + d0c: 00800304 movi r2,12 + d10: a8800015 stw r2,0(r21) + d14: 8080030b ldhu r2,12(r16) + d18: 003f5006 br a5c <__alt_data_end+0xfffe0a5c> -00000ddc <_fwalk_reent>: - ddc: defff704 addi sp,sp,-36 - de0: dd000415 stw r20,16(sp) - de4: dfc00815 stw ra,32(sp) - de8: ddc00715 stw r23,28(sp) - dec: dd800615 stw r22,24(sp) - df0: dd400515 stw r21,20(sp) - df4: dcc00315 stw r19,12(sp) - df8: dc800215 stw r18,8(sp) - dfc: dc400115 stw r17,4(sp) - e00: dc000015 stw r16,0(sp) - e04: 2500b804 addi r20,r4,736 - e08: a0002326 beq r20,zero,e98 <_fwalk_reent+0xbc> - e0c: 282b883a mov r21,r5 - e10: 2027883a mov r19,r4 - e14: 002f883a mov r23,zero - e18: 05800044 movi r22,1 - e1c: 04bfffc4 movi r18,-1 - e20: a4400117 ldw r17,4(r20) - e24: a4000217 ldw r16,8(r20) - e28: 8c7fffc4 addi r17,r17,-1 - e2c: 88000c16 blt r17,zero,e60 <_fwalk_reent+0x84> - e30: 84000304 addi r16,r16,12 - e34: 8080000b ldhu r2,0(r16) - e38: 8c7fffc4 addi r17,r17,-1 - e3c: 817ffd04 addi r5,r16,-12 - e40: b080052e bgeu r22,r2,e58 <_fwalk_reent+0x7c> - e44: 8080008f ldh r2,2(r16) - e48: 9809883a mov r4,r19 - e4c: 14800226 beq r2,r18,e58 <_fwalk_reent+0x7c> - e50: a83ee83a callr r21 - e54: b8aeb03a or r23,r23,r2 - e58: 84001a04 addi r16,r16,104 - e5c: 8cbff51e bne r17,r18,e34 <__alt_data_end+0xfffe0e34> - e60: a5000017 ldw r20,0(r20) - e64: a03fee1e bne r20,zero,e20 <__alt_data_end+0xfffe0e20> - e68: b805883a mov r2,r23 - e6c: dfc00817 ldw ra,32(sp) - e70: ddc00717 ldw r23,28(sp) - e74: dd800617 ldw r22,24(sp) - e78: dd400517 ldw r21,20(sp) - e7c: dd000417 ldw r20,16(sp) - e80: dcc00317 ldw r19,12(sp) - e84: dc800217 ldw r18,8(sp) - e88: dc400117 ldw r17,4(sp) - e8c: dc000017 ldw r16,0(sp) - e90: dec00904 addi sp,sp,36 - e94: f800283a ret - e98: 002f883a mov r23,zero - e9c: 003ff206 br e68 <__alt_data_end+0xfffe0e68> +00000d1c <_fwalk>: + d1c: defff704 addi sp,sp,-36 + d20: dd000415 stw r20,16(sp) + d24: dfc00815 stw ra,32(sp) + d28: ddc00715 stw r23,28(sp) + d2c: dd800615 stw r22,24(sp) + d30: dd400515 stw r21,20(sp) + d34: dcc00315 stw r19,12(sp) + d38: dc800215 stw r18,8(sp) + d3c: dc400115 stw r17,4(sp) + d40: dc000015 stw r16,0(sp) + d44: 2500b804 addi r20,r4,736 + d48: a0002326 beq r20,zero,dd8 <_fwalk+0xbc> + d4c: 282b883a mov r21,r5 + d50: 002f883a mov r23,zero + d54: 05800044 movi r22,1 + d58: 04ffffc4 movi r19,-1 + d5c: a4400117 ldw r17,4(r20) + d60: a4800217 ldw r18,8(r20) + d64: 8c7fffc4 addi r17,r17,-1 + d68: 88000d16 blt r17,zero,da0 <_fwalk+0x84> + d6c: 94000304 addi r16,r18,12 + d70: 94800384 addi r18,r18,14 + d74: 8080000b ldhu r2,0(r16) + d78: 8c7fffc4 addi r17,r17,-1 + d7c: 813ffd04 addi r4,r16,-12 + d80: b080042e bgeu r22,r2,d94 <_fwalk+0x78> + d84: 9080000f ldh r2,0(r18) + d88: 14c00226 beq r2,r19,d94 <_fwalk+0x78> + d8c: a83ee83a callr r21 + d90: b8aeb03a or r23,r23,r2 + d94: 84001a04 addi r16,r16,104 + d98: 94801a04 addi r18,r18,104 + d9c: 8cfff51e bne r17,r19,d74 <__alt_data_end+0xfffe0d74> + da0: a5000017 ldw r20,0(r20) + da4: a03fed1e bne r20,zero,d5c <__alt_data_end+0xfffe0d5c> + da8: b805883a mov r2,r23 + dac: dfc00817 ldw ra,32(sp) + db0: ddc00717 ldw r23,28(sp) + db4: dd800617 ldw r22,24(sp) + db8: dd400517 ldw r21,20(sp) + dbc: dd000417 ldw r20,16(sp) + dc0: dcc00317 ldw r19,12(sp) + dc4: dc800217 ldw r18,8(sp) + dc8: dc400117 ldw r17,4(sp) + dcc: dc000017 ldw r16,0(sp) + dd0: dec00904 addi sp,sp,36 + dd4: f800283a ret + dd8: 002f883a mov r23,zero + ddc: 003ff206 br da8 <__alt_data_end+0xfffe0da8> -00000ea0 <_malloc_r>: - ea0: defff504 addi sp,sp,-44 - ea4: dc800315 stw r18,12(sp) - ea8: dfc00a15 stw ra,40(sp) - eac: df000915 stw fp,36(sp) - eb0: ddc00815 stw r23,32(sp) - eb4: dd800715 stw r22,28(sp) - eb8: dd400615 stw r21,24(sp) - ebc: dd000515 stw r20,20(sp) - ec0: dcc00415 stw r19,16(sp) - ec4: dc400215 stw r17,8(sp) - ec8: dc000115 stw r16,4(sp) - ecc: 288002c4 addi r2,r5,11 - ed0: 00c00584 movi r3,22 - ed4: 2025883a mov r18,r4 - ed8: 18807f2e bgeu r3,r2,10d8 <_malloc_r+0x238> - edc: 047ffe04 movi r17,-8 - ee0: 1462703a and r17,r2,r17 - ee4: 8800a316 blt r17,zero,1174 <_malloc_r+0x2d4> - ee8: 8940a236 bltu r17,r5,1174 <_malloc_r+0x2d4> - eec: 00036180 call 3618 <__malloc_lock> - ef0: 00807dc4 movi r2,503 - ef4: 1441e92e bgeu r2,r17,169c <_malloc_r+0x7fc> - ef8: 8804d27a srli r2,r17,9 - efc: 1000a126 beq r2,zero,1184 <_malloc_r+0x2e4> - f00: 00c00104 movi r3,4 - f04: 18811e36 bltu r3,r2,1380 <_malloc_r+0x4e0> - f08: 8804d1ba srli r2,r17,6 - f0c: 12000e44 addi r8,r2,57 - f10: 11c00e04 addi r7,r2,56 - f14: 4209883a add r4,r8,r8 - f18: 04c00034 movhi r19,0 - f1c: 2109883a add r4,r4,r4 - f20: 9cd71f04 addi r19,r19,23676 - f24: 2109883a add r4,r4,r4 - f28: 9909883a add r4,r19,r4 - f2c: 24000117 ldw r16,4(r4) - f30: 213ffe04 addi r4,r4,-8 - f34: 24009726 beq r4,r16,1194 <_malloc_r+0x2f4> - f38: 80800117 ldw r2,4(r16) - f3c: 01bfff04 movi r6,-4 - f40: 014003c4 movi r5,15 - f44: 1184703a and r2,r2,r6 - f48: 1447c83a sub r3,r2,r17 - f4c: 28c00716 blt r5,r3,f6c <_malloc_r+0xcc> - f50: 1800920e bge r3,zero,119c <_malloc_r+0x2fc> - f54: 84000317 ldw r16,12(r16) - f58: 24008e26 beq r4,r16,1194 <_malloc_r+0x2f4> - f5c: 80800117 ldw r2,4(r16) - f60: 1184703a and r2,r2,r6 - f64: 1447c83a sub r3,r2,r17 - f68: 28fff90e bge r5,r3,f50 <__alt_data_end+0xfffe0f50> - f6c: 3809883a mov r4,r7 - f70: 01800034 movhi r6,0 - f74: 9c000417 ldw r16,16(r19) - f78: 31971f04 addi r6,r6,23676 - f7c: 32000204 addi r8,r6,8 - f80: 82013426 beq r16,r8,1454 <_malloc_r+0x5b4> - f84: 80c00117 ldw r3,4(r16) - f88: 00bfff04 movi r2,-4 - f8c: 188e703a and r7,r3,r2 - f90: 3c45c83a sub r2,r7,r17 - f94: 00c003c4 movi r3,15 - f98: 18811f16 blt r3,r2,1418 <_malloc_r+0x578> - f9c: 32000515 stw r8,20(r6) - fa0: 32000415 stw r8,16(r6) - fa4: 10007f0e bge r2,zero,11a4 <_malloc_r+0x304> - fa8: 00807fc4 movi r2,511 - fac: 11c0fd36 bltu r2,r7,13a4 <_malloc_r+0x504> - fb0: 3806d0fa srli r3,r7,3 - fb4: 01c00044 movi r7,1 - fb8: 30800117 ldw r2,4(r6) - fbc: 19400044 addi r5,r3,1 - fc0: 294b883a add r5,r5,r5 - fc4: 1807d0ba srai r3,r3,2 - fc8: 294b883a add r5,r5,r5 +00000de0 <_fwalk_reent>: + de0: defff704 addi sp,sp,-36 + de4: dd000415 stw r20,16(sp) + de8: dfc00815 stw ra,32(sp) + dec: ddc00715 stw r23,28(sp) + df0: dd800615 stw r22,24(sp) + df4: dd400515 stw r21,20(sp) + df8: dcc00315 stw r19,12(sp) + dfc: dc800215 stw r18,8(sp) + e00: dc400115 stw r17,4(sp) + e04: dc000015 stw r16,0(sp) + e08: 2500b804 addi r20,r4,736 + e0c: a0002326 beq r20,zero,e9c <_fwalk_reent+0xbc> + e10: 282b883a mov r21,r5 + e14: 2027883a mov r19,r4 + e18: 002f883a mov r23,zero + e1c: 05800044 movi r22,1 + e20: 04bfffc4 movi r18,-1 + e24: a4400117 ldw r17,4(r20) + e28: a4000217 ldw r16,8(r20) + e2c: 8c7fffc4 addi r17,r17,-1 + e30: 88000c16 blt r17,zero,e64 <_fwalk_reent+0x84> + e34: 84000304 addi r16,r16,12 + e38: 8080000b ldhu r2,0(r16) + e3c: 8c7fffc4 addi r17,r17,-1 + e40: 817ffd04 addi r5,r16,-12 + e44: b080052e bgeu r22,r2,e5c <_fwalk_reent+0x7c> + e48: 8080008f ldh r2,2(r16) + e4c: 9809883a mov r4,r19 + e50: 14800226 beq r2,r18,e5c <_fwalk_reent+0x7c> + e54: a83ee83a callr r21 + e58: b8aeb03a or r23,r23,r2 + e5c: 84001a04 addi r16,r16,104 + e60: 8cbff51e bne r17,r18,e38 <__alt_data_end+0xfffe0e38> + e64: a5000017 ldw r20,0(r20) + e68: a03fee1e bne r20,zero,e24 <__alt_data_end+0xfffe0e24> + e6c: b805883a mov r2,r23 + e70: dfc00817 ldw ra,32(sp) + e74: ddc00717 ldw r23,28(sp) + e78: dd800617 ldw r22,24(sp) + e7c: dd400517 ldw r21,20(sp) + e80: dd000417 ldw r20,16(sp) + e84: dcc00317 ldw r19,12(sp) + e88: dc800217 ldw r18,8(sp) + e8c: dc400117 ldw r17,4(sp) + e90: dc000017 ldw r16,0(sp) + e94: dec00904 addi sp,sp,36 + e98: f800283a ret + e9c: 002f883a mov r23,zero + ea0: 003ff206 br e6c <__alt_data_end+0xfffe0e6c> + +00000ea4 <_malloc_r>: + ea4: defff504 addi sp,sp,-44 + ea8: dc800315 stw r18,12(sp) + eac: dfc00a15 stw ra,40(sp) + eb0: df000915 stw fp,36(sp) + eb4: ddc00815 stw r23,32(sp) + eb8: dd800715 stw r22,28(sp) + ebc: dd400615 stw r21,24(sp) + ec0: dd000515 stw r20,20(sp) + ec4: dcc00415 stw r19,16(sp) + ec8: dc400215 stw r17,8(sp) + ecc: dc000115 stw r16,4(sp) + ed0: 288002c4 addi r2,r5,11 + ed4: 00c00584 movi r3,22 + ed8: 2025883a mov r18,r4 + edc: 18807f2e bgeu r3,r2,10dc <_malloc_r+0x238> + ee0: 047ffe04 movi r17,-8 + ee4: 1462703a and r17,r2,r17 + ee8: 8800a316 blt r17,zero,1178 <_malloc_r+0x2d4> + eec: 8940a236 bltu r17,r5,1178 <_malloc_r+0x2d4> + ef0: 000361c0 call 361c <__malloc_lock> + ef4: 00807dc4 movi r2,503 + ef8: 1441e92e bgeu r2,r17,16a0 <_malloc_r+0x7fc> + efc: 8804d27a srli r2,r17,9 + f00: 1000a126 beq r2,zero,1188 <_malloc_r+0x2e4> + f04: 00c00104 movi r3,4 + f08: 18811e36 bltu r3,r2,1384 <_malloc_r+0x4e0> + f0c: 8804d1ba srli r2,r17,6 + f10: 12000e44 addi r8,r2,57 + f14: 11c00e04 addi r7,r2,56 + f18: 4209883a add r4,r8,r8 + f1c: 04c00034 movhi r19,0 + f20: 2109883a add r4,r4,r4 + f24: 9cd72004 addi r19,r19,23680 + f28: 2109883a add r4,r4,r4 + f2c: 9909883a add r4,r19,r4 + f30: 24000117 ldw r16,4(r4) + f34: 213ffe04 addi r4,r4,-8 + f38: 24009726 beq r4,r16,1198 <_malloc_r+0x2f4> + f3c: 80800117 ldw r2,4(r16) + f40: 01bfff04 movi r6,-4 + f44: 014003c4 movi r5,15 + f48: 1184703a and r2,r2,r6 + f4c: 1447c83a sub r3,r2,r17 + f50: 28c00716 blt r5,r3,f70 <_malloc_r+0xcc> + f54: 1800920e bge r3,zero,11a0 <_malloc_r+0x2fc> + f58: 84000317 ldw r16,12(r16) + f5c: 24008e26 beq r4,r16,1198 <_malloc_r+0x2f4> + f60: 80800117 ldw r2,4(r16) + f64: 1184703a and r2,r2,r6 + f68: 1447c83a sub r3,r2,r17 + f6c: 28fff90e bge r5,r3,f54 <__alt_data_end+0xfffe0f54> + f70: 3809883a mov r4,r7 + f74: 01800034 movhi r6,0 + f78: 9c000417 ldw r16,16(r19) + f7c: 31972004 addi r6,r6,23680 + f80: 32000204 addi r8,r6,8 + f84: 82013426 beq r16,r8,1458 <_malloc_r+0x5b4> + f88: 80c00117 ldw r3,4(r16) + f8c: 00bfff04 movi r2,-4 + f90: 188e703a and r7,r3,r2 + f94: 3c45c83a sub r2,r7,r17 + f98: 00c003c4 movi r3,15 + f9c: 18811f16 blt r3,r2,141c <_malloc_r+0x578> + fa0: 32000515 stw r8,20(r6) + fa4: 32000415 stw r8,16(r6) + fa8: 10007f0e bge r2,zero,11a8 <_malloc_r+0x304> + fac: 00807fc4 movi r2,511 + fb0: 11c0fd36 bltu r2,r7,13a8 <_malloc_r+0x504> + fb4: 3806d0fa srli r3,r7,3 + fb8: 01c00044 movi r7,1 + fbc: 30800117 ldw r2,4(r6) + fc0: 19400044 addi r5,r3,1 + fc4: 294b883a add r5,r5,r5 + fc8: 1807d0ba srai r3,r3,2 fcc: 294b883a add r5,r5,r5 - fd0: 298b883a add r5,r5,r6 - fd4: 38c6983a sll r3,r7,r3 - fd8: 29c00017 ldw r7,0(r5) - fdc: 2a7ffe04 addi r9,r5,-8 - fe0: 1886b03a or r3,r3,r2 - fe4: 82400315 stw r9,12(r16) - fe8: 81c00215 stw r7,8(r16) - fec: 30c00115 stw r3,4(r6) - ff0: 2c000015 stw r16,0(r5) - ff4: 3c000315 stw r16,12(r7) - ff8: 2005d0ba srai r2,r4,2 - ffc: 01400044 movi r5,1 - 1000: 288a983a sll r5,r5,r2 - 1004: 19406f36 bltu r3,r5,11c4 <_malloc_r+0x324> - 1008: 28c4703a and r2,r5,r3 - 100c: 10000a1e bne r2,zero,1038 <_malloc_r+0x198> - 1010: 00bfff04 movi r2,-4 - 1014: 294b883a add r5,r5,r5 - 1018: 2088703a and r4,r4,r2 - 101c: 28c4703a and r2,r5,r3 - 1020: 21000104 addi r4,r4,4 - 1024: 1000041e bne r2,zero,1038 <_malloc_r+0x198> - 1028: 294b883a add r5,r5,r5 - 102c: 28c4703a and r2,r5,r3 - 1030: 21000104 addi r4,r4,4 - 1034: 103ffc26 beq r2,zero,1028 <__alt_data_end+0xfffe1028> - 1038: 02bfff04 movi r10,-4 - 103c: 024003c4 movi r9,15 - 1040: 21800044 addi r6,r4,1 - 1044: 318d883a add r6,r6,r6 + fd0: 294b883a add r5,r5,r5 + fd4: 298b883a add r5,r5,r6 + fd8: 38c6983a sll r3,r7,r3 + fdc: 29c00017 ldw r7,0(r5) + fe0: 2a7ffe04 addi r9,r5,-8 + fe4: 1886b03a or r3,r3,r2 + fe8: 82400315 stw r9,12(r16) + fec: 81c00215 stw r7,8(r16) + ff0: 30c00115 stw r3,4(r6) + ff4: 2c000015 stw r16,0(r5) + ff8: 3c000315 stw r16,12(r7) + ffc: 2005d0ba srai r2,r4,2 + 1000: 01400044 movi r5,1 + 1004: 288a983a sll r5,r5,r2 + 1008: 19406f36 bltu r3,r5,11c8 <_malloc_r+0x324> + 100c: 28c4703a and r2,r5,r3 + 1010: 10000a1e bne r2,zero,103c <_malloc_r+0x198> + 1014: 00bfff04 movi r2,-4 + 1018: 294b883a add r5,r5,r5 + 101c: 2088703a and r4,r4,r2 + 1020: 28c4703a and r2,r5,r3 + 1024: 21000104 addi r4,r4,4 + 1028: 1000041e bne r2,zero,103c <_malloc_r+0x198> + 102c: 294b883a add r5,r5,r5 + 1030: 28c4703a and r2,r5,r3 + 1034: 21000104 addi r4,r4,4 + 1038: 103ffc26 beq r2,zero,102c <__alt_data_end+0xfffe102c> + 103c: 02bfff04 movi r10,-4 + 1040: 024003c4 movi r9,15 + 1044: 21800044 addi r6,r4,1 1048: 318d883a add r6,r6,r6 104c: 318d883a add r6,r6,r6 - 1050: 998d883a add r6,r19,r6 - 1054: 333ffe04 addi r12,r6,-8 - 1058: 2017883a mov r11,r4 - 105c: 31800104 addi r6,r6,4 - 1060: 34000017 ldw r16,0(r6) - 1064: 31fffd04 addi r7,r6,-12 - 1068: 81c0041e bne r16,r7,107c <_malloc_r+0x1dc> - 106c: 0000fb06 br 145c <_malloc_r+0x5bc> - 1070: 1801030e bge r3,zero,1480 <_malloc_r+0x5e0> - 1074: 84000317 ldw r16,12(r16) - 1078: 81c0f826 beq r16,r7,145c <_malloc_r+0x5bc> - 107c: 80800117 ldw r2,4(r16) - 1080: 1284703a and r2,r2,r10 - 1084: 1447c83a sub r3,r2,r17 - 1088: 48fff90e bge r9,r3,1070 <__alt_data_end+0xfffe1070> - 108c: 80800317 ldw r2,12(r16) - 1090: 81000217 ldw r4,8(r16) - 1094: 89400054 ori r5,r17,1 - 1098: 81400115 stw r5,4(r16) - 109c: 20800315 stw r2,12(r4) - 10a0: 11000215 stw r4,8(r2) - 10a4: 8463883a add r17,r16,r17 - 10a8: 9c400515 stw r17,20(r19) - 10ac: 9c400415 stw r17,16(r19) - 10b0: 18800054 ori r2,r3,1 - 10b4: 88800115 stw r2,4(r17) - 10b8: 8a000315 stw r8,12(r17) - 10bc: 8a000215 stw r8,8(r17) - 10c0: 88e3883a add r17,r17,r3 - 10c4: 88c00015 stw r3,0(r17) - 10c8: 9009883a mov r4,r18 - 10cc: 000363c0 call 363c <__malloc_unlock> - 10d0: 80800204 addi r2,r16,8 - 10d4: 00001b06 br 1144 <_malloc_r+0x2a4> - 10d8: 04400404 movi r17,16 - 10dc: 89402536 bltu r17,r5,1174 <_malloc_r+0x2d4> - 10e0: 00036180 call 3618 <__malloc_lock> - 10e4: 00800184 movi r2,6 - 10e8: 01000084 movi r4,2 - 10ec: 04c00034 movhi r19,0 - 10f0: 1085883a add r2,r2,r2 - 10f4: 9cd71f04 addi r19,r19,23676 - 10f8: 1085883a add r2,r2,r2 - 10fc: 9885883a add r2,r19,r2 - 1100: 14000117 ldw r16,4(r2) - 1104: 10fffe04 addi r3,r2,-8 - 1108: 80c0d926 beq r16,r3,1470 <_malloc_r+0x5d0> - 110c: 80c00117 ldw r3,4(r16) - 1110: 81000317 ldw r4,12(r16) - 1114: 00bfff04 movi r2,-4 - 1118: 1884703a and r2,r3,r2 - 111c: 81400217 ldw r5,8(r16) - 1120: 8085883a add r2,r16,r2 - 1124: 10c00117 ldw r3,4(r2) - 1128: 29000315 stw r4,12(r5) - 112c: 21400215 stw r5,8(r4) - 1130: 18c00054 ori r3,r3,1 - 1134: 10c00115 stw r3,4(r2) - 1138: 9009883a mov r4,r18 - 113c: 000363c0 call 363c <__malloc_unlock> - 1140: 80800204 addi r2,r16,8 - 1144: dfc00a17 ldw ra,40(sp) - 1148: df000917 ldw fp,36(sp) - 114c: ddc00817 ldw r23,32(sp) - 1150: dd800717 ldw r22,28(sp) - 1154: dd400617 ldw r21,24(sp) - 1158: dd000517 ldw r20,20(sp) - 115c: dcc00417 ldw r19,16(sp) - 1160: dc800317 ldw r18,12(sp) - 1164: dc400217 ldw r17,8(sp) - 1168: dc000117 ldw r16,4(sp) - 116c: dec00b04 addi sp,sp,44 - 1170: f800283a ret - 1174: 00800304 movi r2,12 - 1178: 90800015 stw r2,0(r18) - 117c: 0005883a mov r2,zero - 1180: 003ff006 br 1144 <__alt_data_end+0xfffe1144> - 1184: 01002004 movi r4,128 - 1188: 02001004 movi r8,64 - 118c: 01c00fc4 movi r7,63 - 1190: 003f6106 br f18 <__alt_data_end+0xfffe0f18> - 1194: 4009883a mov r4,r8 - 1198: 003f7506 br f70 <__alt_data_end+0xfffe0f70> - 119c: 81000317 ldw r4,12(r16) - 11a0: 003fde06 br 111c <__alt_data_end+0xfffe111c> - 11a4: 81c5883a add r2,r16,r7 - 11a8: 11400117 ldw r5,4(r2) - 11ac: 9009883a mov r4,r18 - 11b0: 29400054 ori r5,r5,1 - 11b4: 11400115 stw r5,4(r2) - 11b8: 000363c0 call 363c <__malloc_unlock> - 11bc: 80800204 addi r2,r16,8 - 11c0: 003fe006 br 1144 <__alt_data_end+0xfffe1144> - 11c4: 9c000217 ldw r16,8(r19) - 11c8: 00bfff04 movi r2,-4 - 11cc: 85800117 ldw r22,4(r16) - 11d0: b0ac703a and r22,r22,r2 - 11d4: b4400336 bltu r22,r17,11e4 <_malloc_r+0x344> - 11d8: b445c83a sub r2,r22,r17 - 11dc: 00c003c4 movi r3,15 - 11e0: 18805d16 blt r3,r2,1358 <_malloc_r+0x4b8> - 11e4: 05c00034 movhi r23,0 - 11e8: 00800034 movhi r2,0 - 11ec: 109cb304 addi r2,r2,29388 - 11f0: bddca504 addi r23,r23,29332 - 11f4: 15400017 ldw r21,0(r2) - 11f8: b8c00017 ldw r3,0(r23) - 11fc: 00bfffc4 movi r2,-1 - 1200: 858d883a add r6,r16,r22 - 1204: 8d6b883a add r21,r17,r21 - 1208: 1880ea26 beq r3,r2,15b4 <_malloc_r+0x714> - 120c: ad4403c4 addi r21,r21,4111 - 1210: 00bc0004 movi r2,-4096 - 1214: a8aa703a and r21,r21,r2 - 1218: a80b883a mov r5,r21 - 121c: 9009883a mov r4,r18 - 1220: d9800015 stw r6,0(sp) - 1224: 00020c00 call 20c0 <_sbrk_r> - 1228: 1029883a mov r20,r2 - 122c: 00bfffc4 movi r2,-1 - 1230: d9800017 ldw r6,0(sp) - 1234: a080e826 beq r20,r2,15d8 <_malloc_r+0x738> - 1238: a180a636 bltu r20,r6,14d4 <_malloc_r+0x634> - 123c: 07000034 movhi fp,0 - 1240: e71cbc04 addi fp,fp,29424 - 1244: e0800017 ldw r2,0(fp) - 1248: a887883a add r3,r21,r2 - 124c: e0c00015 stw r3,0(fp) - 1250: 3500e626 beq r6,r20,15ec <_malloc_r+0x74c> - 1254: b9000017 ldw r4,0(r23) - 1258: 00bfffc4 movi r2,-1 - 125c: 2080ee26 beq r4,r2,1618 <_malloc_r+0x778> - 1260: a185c83a sub r2,r20,r6 - 1264: 10c5883a add r2,r2,r3 - 1268: e0800015 stw r2,0(fp) - 126c: a0c001cc andi r3,r20,7 - 1270: 1800bc26 beq r3,zero,1564 <_malloc_r+0x6c4> - 1274: a0e9c83a sub r20,r20,r3 - 1278: 00840204 movi r2,4104 - 127c: a5000204 addi r20,r20,8 - 1280: 10c7c83a sub r3,r2,r3 - 1284: a545883a add r2,r20,r21 - 1288: 1083ffcc andi r2,r2,4095 - 128c: 18abc83a sub r21,r3,r2 - 1290: a80b883a mov r5,r21 - 1294: 9009883a mov r4,r18 - 1298: 00020c00 call 20c0 <_sbrk_r> - 129c: 00ffffc4 movi r3,-1 - 12a0: 10c0e126 beq r2,r3,1628 <_malloc_r+0x788> - 12a4: 1505c83a sub r2,r2,r20 - 12a8: 1545883a add r2,r2,r21 - 12ac: 10800054 ori r2,r2,1 - 12b0: e0c00017 ldw r3,0(fp) - 12b4: 9d000215 stw r20,8(r19) - 12b8: a0800115 stw r2,4(r20) - 12bc: a8c7883a add r3,r21,r3 - 12c0: e0c00015 stw r3,0(fp) - 12c4: 84c00e26 beq r16,r19,1300 <_malloc_r+0x460> - 12c8: 018003c4 movi r6,15 - 12cc: 3580a72e bgeu r6,r22,156c <_malloc_r+0x6cc> - 12d0: 81400117 ldw r5,4(r16) - 12d4: 013ffe04 movi r4,-8 - 12d8: b0bffd04 addi r2,r22,-12 - 12dc: 1104703a and r2,r2,r4 - 12e0: 2900004c andi r4,r5,1 - 12e4: 2088b03a or r4,r4,r2 - 12e8: 81000115 stw r4,4(r16) - 12ec: 01400144 movi r5,5 - 12f0: 8089883a add r4,r16,r2 - 12f4: 21400115 stw r5,4(r4) - 12f8: 21400215 stw r5,8(r4) - 12fc: 3080cd36 bltu r6,r2,1634 <_malloc_r+0x794> - 1300: 00800034 movhi r2,0 - 1304: 109cb204 addi r2,r2,29384 - 1308: 11000017 ldw r4,0(r2) - 130c: 20c0012e bgeu r4,r3,1314 <_malloc_r+0x474> - 1310: 10c00015 stw r3,0(r2) - 1314: 00800034 movhi r2,0 - 1318: 109cb104 addi r2,r2,29380 - 131c: 11000017 ldw r4,0(r2) - 1320: 9c000217 ldw r16,8(r19) - 1324: 20c0012e bgeu r4,r3,132c <_malloc_r+0x48c> - 1328: 10c00015 stw r3,0(r2) - 132c: 80c00117 ldw r3,4(r16) - 1330: 00bfff04 movi r2,-4 - 1334: 1886703a and r3,r3,r2 - 1338: 1c45c83a sub r2,r3,r17 - 133c: 1c400236 bltu r3,r17,1348 <_malloc_r+0x4a8> - 1340: 00c003c4 movi r3,15 - 1344: 18800416 blt r3,r2,1358 <_malloc_r+0x4b8> - 1348: 9009883a mov r4,r18 - 134c: 000363c0 call 363c <__malloc_unlock> - 1350: 0005883a mov r2,zero - 1354: 003f7b06 br 1144 <__alt_data_end+0xfffe1144> - 1358: 88c00054 ori r3,r17,1 - 135c: 80c00115 stw r3,4(r16) - 1360: 8463883a add r17,r16,r17 - 1364: 10800054 ori r2,r2,1 - 1368: 9c400215 stw r17,8(r19) - 136c: 88800115 stw r2,4(r17) - 1370: 9009883a mov r4,r18 - 1374: 000363c0 call 363c <__malloc_unlock> - 1378: 80800204 addi r2,r16,8 - 137c: 003f7106 br 1144 <__alt_data_end+0xfffe1144> - 1380: 00c00504 movi r3,20 - 1384: 18804a2e bgeu r3,r2,14b0 <_malloc_r+0x610> - 1388: 00c01504 movi r3,84 - 138c: 18806e36 bltu r3,r2,1548 <_malloc_r+0x6a8> - 1390: 8804d33a srli r2,r17,12 - 1394: 12001bc4 addi r8,r2,111 - 1398: 11c01b84 addi r7,r2,110 - 139c: 4209883a add r4,r8,r8 - 13a0: 003edd06 br f18 <__alt_data_end+0xfffe0f18> - 13a4: 3804d27a srli r2,r7,9 - 13a8: 00c00104 movi r3,4 - 13ac: 1880442e bgeu r3,r2,14c0 <_malloc_r+0x620> - 13b0: 00c00504 movi r3,20 - 13b4: 18808136 bltu r3,r2,15bc <_malloc_r+0x71c> - 13b8: 11401704 addi r5,r2,92 - 13bc: 10c016c4 addi r3,r2,91 - 13c0: 294b883a add r5,r5,r5 + 1050: 318d883a add r6,r6,r6 + 1054: 998d883a add r6,r19,r6 + 1058: 333ffe04 addi r12,r6,-8 + 105c: 2017883a mov r11,r4 + 1060: 31800104 addi r6,r6,4 + 1064: 34000017 ldw r16,0(r6) + 1068: 31fffd04 addi r7,r6,-12 + 106c: 81c0041e bne r16,r7,1080 <_malloc_r+0x1dc> + 1070: 0000fb06 br 1460 <_malloc_r+0x5bc> + 1074: 1801030e bge r3,zero,1484 <_malloc_r+0x5e0> + 1078: 84000317 ldw r16,12(r16) + 107c: 81c0f826 beq r16,r7,1460 <_malloc_r+0x5bc> + 1080: 80800117 ldw r2,4(r16) + 1084: 1284703a and r2,r2,r10 + 1088: 1447c83a sub r3,r2,r17 + 108c: 48fff90e bge r9,r3,1074 <__alt_data_end+0xfffe1074> + 1090: 80800317 ldw r2,12(r16) + 1094: 81000217 ldw r4,8(r16) + 1098: 89400054 ori r5,r17,1 + 109c: 81400115 stw r5,4(r16) + 10a0: 20800315 stw r2,12(r4) + 10a4: 11000215 stw r4,8(r2) + 10a8: 8463883a add r17,r16,r17 + 10ac: 9c400515 stw r17,20(r19) + 10b0: 9c400415 stw r17,16(r19) + 10b4: 18800054 ori r2,r3,1 + 10b8: 88800115 stw r2,4(r17) + 10bc: 8a000315 stw r8,12(r17) + 10c0: 8a000215 stw r8,8(r17) + 10c4: 88e3883a add r17,r17,r3 + 10c8: 88c00015 stw r3,0(r17) + 10cc: 9009883a mov r4,r18 + 10d0: 00036400 call 3640 <__malloc_unlock> + 10d4: 80800204 addi r2,r16,8 + 10d8: 00001b06 br 1148 <_malloc_r+0x2a4> + 10dc: 04400404 movi r17,16 + 10e0: 89402536 bltu r17,r5,1178 <_malloc_r+0x2d4> + 10e4: 000361c0 call 361c <__malloc_lock> + 10e8: 00800184 movi r2,6 + 10ec: 01000084 movi r4,2 + 10f0: 04c00034 movhi r19,0 + 10f4: 1085883a add r2,r2,r2 + 10f8: 9cd72004 addi r19,r19,23680 + 10fc: 1085883a add r2,r2,r2 + 1100: 9885883a add r2,r19,r2 + 1104: 14000117 ldw r16,4(r2) + 1108: 10fffe04 addi r3,r2,-8 + 110c: 80c0d926 beq r16,r3,1474 <_malloc_r+0x5d0> + 1110: 80c00117 ldw r3,4(r16) + 1114: 81000317 ldw r4,12(r16) + 1118: 00bfff04 movi r2,-4 + 111c: 1884703a and r2,r3,r2 + 1120: 81400217 ldw r5,8(r16) + 1124: 8085883a add r2,r16,r2 + 1128: 10c00117 ldw r3,4(r2) + 112c: 29000315 stw r4,12(r5) + 1130: 21400215 stw r5,8(r4) + 1134: 18c00054 ori r3,r3,1 + 1138: 10c00115 stw r3,4(r2) + 113c: 9009883a mov r4,r18 + 1140: 00036400 call 3640 <__malloc_unlock> + 1144: 80800204 addi r2,r16,8 + 1148: dfc00a17 ldw ra,40(sp) + 114c: df000917 ldw fp,36(sp) + 1150: ddc00817 ldw r23,32(sp) + 1154: dd800717 ldw r22,28(sp) + 1158: dd400617 ldw r21,24(sp) + 115c: dd000517 ldw r20,20(sp) + 1160: dcc00417 ldw r19,16(sp) + 1164: dc800317 ldw r18,12(sp) + 1168: dc400217 ldw r17,8(sp) + 116c: dc000117 ldw r16,4(sp) + 1170: dec00b04 addi sp,sp,44 + 1174: f800283a ret + 1178: 00800304 movi r2,12 + 117c: 90800015 stw r2,0(r18) + 1180: 0005883a mov r2,zero + 1184: 003ff006 br 1148 <__alt_data_end+0xfffe1148> + 1188: 01002004 movi r4,128 + 118c: 02001004 movi r8,64 + 1190: 01c00fc4 movi r7,63 + 1194: 003f6106 br f1c <__alt_data_end+0xfffe0f1c> + 1198: 4009883a mov r4,r8 + 119c: 003f7506 br f74 <__alt_data_end+0xfffe0f74> + 11a0: 81000317 ldw r4,12(r16) + 11a4: 003fde06 br 1120 <__alt_data_end+0xfffe1120> + 11a8: 81c5883a add r2,r16,r7 + 11ac: 11400117 ldw r5,4(r2) + 11b0: 9009883a mov r4,r18 + 11b4: 29400054 ori r5,r5,1 + 11b8: 11400115 stw r5,4(r2) + 11bc: 00036400 call 3640 <__malloc_unlock> + 11c0: 80800204 addi r2,r16,8 + 11c4: 003fe006 br 1148 <__alt_data_end+0xfffe1148> + 11c8: 9c000217 ldw r16,8(r19) + 11cc: 00bfff04 movi r2,-4 + 11d0: 85800117 ldw r22,4(r16) + 11d4: b0ac703a and r22,r22,r2 + 11d8: b4400336 bltu r22,r17,11e8 <_malloc_r+0x344> + 11dc: b445c83a sub r2,r22,r17 + 11e0: 00c003c4 movi r3,15 + 11e4: 18805d16 blt r3,r2,135c <_malloc_r+0x4b8> + 11e8: 05c00034 movhi r23,0 + 11ec: 00800034 movhi r2,0 + 11f0: 109cb404 addi r2,r2,29392 + 11f4: bddca604 addi r23,r23,29336 + 11f8: 15400017 ldw r21,0(r2) + 11fc: b8c00017 ldw r3,0(r23) + 1200: 00bfffc4 movi r2,-1 + 1204: 858d883a add r6,r16,r22 + 1208: 8d6b883a add r21,r17,r21 + 120c: 1880ea26 beq r3,r2,15b8 <_malloc_r+0x714> + 1210: ad4403c4 addi r21,r21,4111 + 1214: 00bc0004 movi r2,-4096 + 1218: a8aa703a and r21,r21,r2 + 121c: a80b883a mov r5,r21 + 1220: 9009883a mov r4,r18 + 1224: d9800015 stw r6,0(sp) + 1228: 00020c40 call 20c4 <_sbrk_r> + 122c: 1029883a mov r20,r2 + 1230: 00bfffc4 movi r2,-1 + 1234: d9800017 ldw r6,0(sp) + 1238: a080e826 beq r20,r2,15dc <_malloc_r+0x738> + 123c: a180a636 bltu r20,r6,14d8 <_malloc_r+0x634> + 1240: 07000034 movhi fp,0 + 1244: e71cbd04 addi fp,fp,29428 + 1248: e0800017 ldw r2,0(fp) + 124c: a887883a add r3,r21,r2 + 1250: e0c00015 stw r3,0(fp) + 1254: 3500e626 beq r6,r20,15f0 <_malloc_r+0x74c> + 1258: b9000017 ldw r4,0(r23) + 125c: 00bfffc4 movi r2,-1 + 1260: 2080ee26 beq r4,r2,161c <_malloc_r+0x778> + 1264: a185c83a sub r2,r20,r6 + 1268: 10c5883a add r2,r2,r3 + 126c: e0800015 stw r2,0(fp) + 1270: a0c001cc andi r3,r20,7 + 1274: 1800bc26 beq r3,zero,1568 <_malloc_r+0x6c4> + 1278: a0e9c83a sub r20,r20,r3 + 127c: 00840204 movi r2,4104 + 1280: a5000204 addi r20,r20,8 + 1284: 10c7c83a sub r3,r2,r3 + 1288: a545883a add r2,r20,r21 + 128c: 1083ffcc andi r2,r2,4095 + 1290: 18abc83a sub r21,r3,r2 + 1294: a80b883a mov r5,r21 + 1298: 9009883a mov r4,r18 + 129c: 00020c40 call 20c4 <_sbrk_r> + 12a0: 00ffffc4 movi r3,-1 + 12a4: 10c0e126 beq r2,r3,162c <_malloc_r+0x788> + 12a8: 1505c83a sub r2,r2,r20 + 12ac: 1545883a add r2,r2,r21 + 12b0: 10800054 ori r2,r2,1 + 12b4: e0c00017 ldw r3,0(fp) + 12b8: 9d000215 stw r20,8(r19) + 12bc: a0800115 stw r2,4(r20) + 12c0: a8c7883a add r3,r21,r3 + 12c4: e0c00015 stw r3,0(fp) + 12c8: 84c00e26 beq r16,r19,1304 <_malloc_r+0x460> + 12cc: 018003c4 movi r6,15 + 12d0: 3580a72e bgeu r6,r22,1570 <_malloc_r+0x6cc> + 12d4: 81400117 ldw r5,4(r16) + 12d8: 013ffe04 movi r4,-8 + 12dc: b0bffd04 addi r2,r22,-12 + 12e0: 1104703a and r2,r2,r4 + 12e4: 2900004c andi r4,r5,1 + 12e8: 2088b03a or r4,r4,r2 + 12ec: 81000115 stw r4,4(r16) + 12f0: 01400144 movi r5,5 + 12f4: 8089883a add r4,r16,r2 + 12f8: 21400115 stw r5,4(r4) + 12fc: 21400215 stw r5,8(r4) + 1300: 3080cd36 bltu r6,r2,1638 <_malloc_r+0x794> + 1304: 00800034 movhi r2,0 + 1308: 109cb304 addi r2,r2,29388 + 130c: 11000017 ldw r4,0(r2) + 1310: 20c0012e bgeu r4,r3,1318 <_malloc_r+0x474> + 1314: 10c00015 stw r3,0(r2) + 1318: 00800034 movhi r2,0 + 131c: 109cb204 addi r2,r2,29384 + 1320: 11000017 ldw r4,0(r2) + 1324: 9c000217 ldw r16,8(r19) + 1328: 20c0012e bgeu r4,r3,1330 <_malloc_r+0x48c> + 132c: 10c00015 stw r3,0(r2) + 1330: 80c00117 ldw r3,4(r16) + 1334: 00bfff04 movi r2,-4 + 1338: 1886703a and r3,r3,r2 + 133c: 1c45c83a sub r2,r3,r17 + 1340: 1c400236 bltu r3,r17,134c <_malloc_r+0x4a8> + 1344: 00c003c4 movi r3,15 + 1348: 18800416 blt r3,r2,135c <_malloc_r+0x4b8> + 134c: 9009883a mov r4,r18 + 1350: 00036400 call 3640 <__malloc_unlock> + 1354: 0005883a mov r2,zero + 1358: 003f7b06 br 1148 <__alt_data_end+0xfffe1148> + 135c: 88c00054 ori r3,r17,1 + 1360: 80c00115 stw r3,4(r16) + 1364: 8463883a add r17,r16,r17 + 1368: 10800054 ori r2,r2,1 + 136c: 9c400215 stw r17,8(r19) + 1370: 88800115 stw r2,4(r17) + 1374: 9009883a mov r4,r18 + 1378: 00036400 call 3640 <__malloc_unlock> + 137c: 80800204 addi r2,r16,8 + 1380: 003f7106 br 1148 <__alt_data_end+0xfffe1148> + 1384: 00c00504 movi r3,20 + 1388: 18804a2e bgeu r3,r2,14b4 <_malloc_r+0x610> + 138c: 00c01504 movi r3,84 + 1390: 18806e36 bltu r3,r2,154c <_malloc_r+0x6a8> + 1394: 8804d33a srli r2,r17,12 + 1398: 12001bc4 addi r8,r2,111 + 139c: 11c01b84 addi r7,r2,110 + 13a0: 4209883a add r4,r8,r8 + 13a4: 003edd06 br f1c <__alt_data_end+0xfffe0f1c> + 13a8: 3804d27a srli r2,r7,9 + 13ac: 00c00104 movi r3,4 + 13b0: 1880442e bgeu r3,r2,14c4 <_malloc_r+0x620> + 13b4: 00c00504 movi r3,20 + 13b8: 18808136 bltu r3,r2,15c0 <_malloc_r+0x71c> + 13bc: 11401704 addi r5,r2,92 + 13c0: 10c016c4 addi r3,r2,91 13c4: 294b883a add r5,r5,r5 13c8: 294b883a add r5,r5,r5 - 13cc: 994b883a add r5,r19,r5 - 13d0: 28800017 ldw r2,0(r5) - 13d4: 01800034 movhi r6,0 - 13d8: 297ffe04 addi r5,r5,-8 - 13dc: 31971f04 addi r6,r6,23676 - 13e0: 28806526 beq r5,r2,1578 <_malloc_r+0x6d8> - 13e4: 01bfff04 movi r6,-4 - 13e8: 10c00117 ldw r3,4(r2) - 13ec: 1986703a and r3,r3,r6 - 13f0: 38c0022e bgeu r7,r3,13fc <_malloc_r+0x55c> - 13f4: 10800217 ldw r2,8(r2) - 13f8: 28bffb1e bne r5,r2,13e8 <__alt_data_end+0xfffe13e8> - 13fc: 11400317 ldw r5,12(r2) - 1400: 98c00117 ldw r3,4(r19) - 1404: 81400315 stw r5,12(r16) - 1408: 80800215 stw r2,8(r16) - 140c: 2c000215 stw r16,8(r5) - 1410: 14000315 stw r16,12(r2) - 1414: 003ef806 br ff8 <__alt_data_end+0xfffe0ff8> - 1418: 88c00054 ori r3,r17,1 - 141c: 80c00115 stw r3,4(r16) - 1420: 8463883a add r17,r16,r17 - 1424: 34400515 stw r17,20(r6) - 1428: 34400415 stw r17,16(r6) - 142c: 10c00054 ori r3,r2,1 - 1430: 8a000315 stw r8,12(r17) - 1434: 8a000215 stw r8,8(r17) - 1438: 88c00115 stw r3,4(r17) - 143c: 88a3883a add r17,r17,r2 - 1440: 88800015 stw r2,0(r17) - 1444: 9009883a mov r4,r18 - 1448: 000363c0 call 363c <__malloc_unlock> - 144c: 80800204 addi r2,r16,8 - 1450: 003f3c06 br 1144 <__alt_data_end+0xfffe1144> - 1454: 30c00117 ldw r3,4(r6) - 1458: 003ee706 br ff8 <__alt_data_end+0xfffe0ff8> - 145c: 5ac00044 addi r11,r11,1 - 1460: 588000cc andi r2,r11,3 - 1464: 31800204 addi r6,r6,8 - 1468: 103efd1e bne r2,zero,1060 <__alt_data_end+0xfffe1060> - 146c: 00002406 br 1500 <_malloc_r+0x660> - 1470: 14000317 ldw r16,12(r2) - 1474: 143f251e bne r2,r16,110c <__alt_data_end+0xfffe110c> - 1478: 21000084 addi r4,r4,2 - 147c: 003ebc06 br f70 <__alt_data_end+0xfffe0f70> - 1480: 8085883a add r2,r16,r2 - 1484: 10c00117 ldw r3,4(r2) - 1488: 81000317 ldw r4,12(r16) - 148c: 81400217 ldw r5,8(r16) - 1490: 18c00054 ori r3,r3,1 - 1494: 10c00115 stw r3,4(r2) - 1498: 29000315 stw r4,12(r5) - 149c: 21400215 stw r5,8(r4) - 14a0: 9009883a mov r4,r18 - 14a4: 000363c0 call 363c <__malloc_unlock> - 14a8: 80800204 addi r2,r16,8 - 14ac: 003f2506 br 1144 <__alt_data_end+0xfffe1144> - 14b0: 12001704 addi r8,r2,92 - 14b4: 11c016c4 addi r7,r2,91 - 14b8: 4209883a add r4,r8,r8 - 14bc: 003e9606 br f18 <__alt_data_end+0xfffe0f18> - 14c0: 3804d1ba srli r2,r7,6 - 14c4: 11400e44 addi r5,r2,57 - 14c8: 10c00e04 addi r3,r2,56 - 14cc: 294b883a add r5,r5,r5 - 14d0: 003fbc06 br 13c4 <__alt_data_end+0xfffe13c4> - 14d4: 84ff5926 beq r16,r19,123c <__alt_data_end+0xfffe123c> - 14d8: 00800034 movhi r2,0 - 14dc: 10971f04 addi r2,r2,23676 - 14e0: 14000217 ldw r16,8(r2) - 14e4: 00bfff04 movi r2,-4 - 14e8: 80c00117 ldw r3,4(r16) - 14ec: 1886703a and r3,r3,r2 - 14f0: 003f9106 br 1338 <__alt_data_end+0xfffe1338> - 14f4: 60800217 ldw r2,8(r12) - 14f8: 213fffc4 addi r4,r4,-1 - 14fc: 1300651e bne r2,r12,1694 <_malloc_r+0x7f4> - 1500: 208000cc andi r2,r4,3 - 1504: 633ffe04 addi r12,r12,-8 - 1508: 103ffa1e bne r2,zero,14f4 <__alt_data_end+0xfffe14f4> - 150c: 98800117 ldw r2,4(r19) - 1510: 0146303a nor r3,zero,r5 - 1514: 1884703a and r2,r3,r2 - 1518: 98800115 stw r2,4(r19) - 151c: 294b883a add r5,r5,r5 - 1520: 117f2836 bltu r2,r5,11c4 <__alt_data_end+0xfffe11c4> - 1524: 283f2726 beq r5,zero,11c4 <__alt_data_end+0xfffe11c4> - 1528: 2886703a and r3,r5,r2 - 152c: 5809883a mov r4,r11 - 1530: 183ec31e bne r3,zero,1040 <__alt_data_end+0xfffe1040> - 1534: 294b883a add r5,r5,r5 - 1538: 2886703a and r3,r5,r2 - 153c: 21000104 addi r4,r4,4 - 1540: 183ffc26 beq r3,zero,1534 <__alt_data_end+0xfffe1534> - 1544: 003ebe06 br 1040 <__alt_data_end+0xfffe1040> - 1548: 00c05504 movi r3,340 - 154c: 18801236 bltu r3,r2,1598 <_malloc_r+0x6f8> - 1550: 8804d3fa srli r2,r17,15 - 1554: 12001e04 addi r8,r2,120 - 1558: 11c01dc4 addi r7,r2,119 - 155c: 4209883a add r4,r8,r8 - 1560: 003e6d06 br f18 <__alt_data_end+0xfffe0f18> - 1564: 00c40004 movi r3,4096 - 1568: 003f4606 br 1284 <__alt_data_end+0xfffe1284> - 156c: 00800044 movi r2,1 - 1570: a0800115 stw r2,4(r20) - 1574: 003f7406 br 1348 <__alt_data_end+0xfffe1348> - 1578: 1805d0ba srai r2,r3,2 - 157c: 01c00044 movi r7,1 - 1580: 30c00117 ldw r3,4(r6) - 1584: 388e983a sll r7,r7,r2 - 1588: 2805883a mov r2,r5 - 158c: 38c6b03a or r3,r7,r3 - 1590: 30c00115 stw r3,4(r6) - 1594: 003f9b06 br 1404 <__alt_data_end+0xfffe1404> - 1598: 00c15504 movi r3,1364 - 159c: 18801a36 bltu r3,r2,1608 <_malloc_r+0x768> - 15a0: 8804d4ba srli r2,r17,18 - 15a4: 12001f44 addi r8,r2,125 - 15a8: 11c01f04 addi r7,r2,124 - 15ac: 4209883a add r4,r8,r8 - 15b0: 003e5906 br f18 <__alt_data_end+0xfffe0f18> - 15b4: ad400404 addi r21,r21,16 - 15b8: 003f1706 br 1218 <__alt_data_end+0xfffe1218> - 15bc: 00c01504 movi r3,84 - 15c0: 18802336 bltu r3,r2,1650 <_malloc_r+0x7b0> - 15c4: 3804d33a srli r2,r7,12 - 15c8: 11401bc4 addi r5,r2,111 - 15cc: 10c01b84 addi r3,r2,110 - 15d0: 294b883a add r5,r5,r5 - 15d4: 003f7b06 br 13c4 <__alt_data_end+0xfffe13c4> - 15d8: 9c000217 ldw r16,8(r19) - 15dc: 00bfff04 movi r2,-4 - 15e0: 80c00117 ldw r3,4(r16) - 15e4: 1886703a and r3,r3,r2 - 15e8: 003f5306 br 1338 <__alt_data_end+0xfffe1338> - 15ec: 3083ffcc andi r2,r6,4095 - 15f0: 103f181e bne r2,zero,1254 <__alt_data_end+0xfffe1254> - 15f4: 99000217 ldw r4,8(r19) - 15f8: b545883a add r2,r22,r21 - 15fc: 10800054 ori r2,r2,1 - 1600: 20800115 stw r2,4(r4) - 1604: 003f3e06 br 1300 <__alt_data_end+0xfffe1300> - 1608: 01003f84 movi r4,254 - 160c: 02001fc4 movi r8,127 - 1610: 01c01f84 movi r7,126 - 1614: 003e4006 br f18 <__alt_data_end+0xfffe0f18> - 1618: 00800034 movhi r2,0 - 161c: 109ca504 addi r2,r2,29332 - 1620: 15000015 stw r20,0(r2) - 1624: 003f1106 br 126c <__alt_data_end+0xfffe126c> - 1628: 00800044 movi r2,1 - 162c: 002b883a mov r21,zero - 1630: 003f1f06 br 12b0 <__alt_data_end+0xfffe12b0> - 1634: 81400204 addi r5,r16,8 - 1638: 9009883a mov r4,r18 - 163c: 00029280 call 2928 <_free_r> - 1640: 00800034 movhi r2,0 - 1644: 109cbc04 addi r2,r2,29424 - 1648: 10c00017 ldw r3,0(r2) - 164c: 003f2c06 br 1300 <__alt_data_end+0xfffe1300> - 1650: 00c05504 movi r3,340 - 1654: 18800536 bltu r3,r2,166c <_malloc_r+0x7cc> - 1658: 3804d3fa srli r2,r7,15 - 165c: 11401e04 addi r5,r2,120 - 1660: 10c01dc4 addi r3,r2,119 - 1664: 294b883a add r5,r5,r5 - 1668: 003f5606 br 13c4 <__alt_data_end+0xfffe13c4> - 166c: 00c15504 movi r3,1364 - 1670: 18800536 bltu r3,r2,1688 <_malloc_r+0x7e8> - 1674: 3804d4ba srli r2,r7,18 - 1678: 11401f44 addi r5,r2,125 - 167c: 10c01f04 addi r3,r2,124 - 1680: 294b883a add r5,r5,r5 - 1684: 003f4f06 br 13c4 <__alt_data_end+0xfffe13c4> - 1688: 01403f84 movi r5,254 - 168c: 00c01f84 movi r3,126 - 1690: 003f4c06 br 13c4 <__alt_data_end+0xfffe13c4> - 1694: 98800117 ldw r2,4(r19) - 1698: 003fa006 br 151c <__alt_data_end+0xfffe151c> - 169c: 8808d0fa srli r4,r17,3 - 16a0: 20800044 addi r2,r4,1 - 16a4: 1085883a add r2,r2,r2 - 16a8: 003e9006 br 10ec <__alt_data_end+0xfffe10ec> + 13cc: 294b883a add r5,r5,r5 + 13d0: 994b883a add r5,r19,r5 + 13d4: 28800017 ldw r2,0(r5) + 13d8: 01800034 movhi r6,0 + 13dc: 297ffe04 addi r5,r5,-8 + 13e0: 31972004 addi r6,r6,23680 + 13e4: 28806526 beq r5,r2,157c <_malloc_r+0x6d8> + 13e8: 01bfff04 movi r6,-4 + 13ec: 10c00117 ldw r3,4(r2) + 13f0: 1986703a and r3,r3,r6 + 13f4: 38c0022e bgeu r7,r3,1400 <_malloc_r+0x55c> + 13f8: 10800217 ldw r2,8(r2) + 13fc: 28bffb1e bne r5,r2,13ec <__alt_data_end+0xfffe13ec> + 1400: 11400317 ldw r5,12(r2) + 1404: 98c00117 ldw r3,4(r19) + 1408: 81400315 stw r5,12(r16) + 140c: 80800215 stw r2,8(r16) + 1410: 2c000215 stw r16,8(r5) + 1414: 14000315 stw r16,12(r2) + 1418: 003ef806 br ffc <__alt_data_end+0xfffe0ffc> + 141c: 88c00054 ori r3,r17,1 + 1420: 80c00115 stw r3,4(r16) + 1424: 8463883a add r17,r16,r17 + 1428: 34400515 stw r17,20(r6) + 142c: 34400415 stw r17,16(r6) + 1430: 10c00054 ori r3,r2,1 + 1434: 8a000315 stw r8,12(r17) + 1438: 8a000215 stw r8,8(r17) + 143c: 88c00115 stw r3,4(r17) + 1440: 88a3883a add r17,r17,r2 + 1444: 88800015 stw r2,0(r17) + 1448: 9009883a mov r4,r18 + 144c: 00036400 call 3640 <__malloc_unlock> + 1450: 80800204 addi r2,r16,8 + 1454: 003f3c06 br 1148 <__alt_data_end+0xfffe1148> + 1458: 30c00117 ldw r3,4(r6) + 145c: 003ee706 br ffc <__alt_data_end+0xfffe0ffc> + 1460: 5ac00044 addi r11,r11,1 + 1464: 588000cc andi r2,r11,3 + 1468: 31800204 addi r6,r6,8 + 146c: 103efd1e bne r2,zero,1064 <__alt_data_end+0xfffe1064> + 1470: 00002406 br 1504 <_malloc_r+0x660> + 1474: 14000317 ldw r16,12(r2) + 1478: 143f251e bne r2,r16,1110 <__alt_data_end+0xfffe1110> + 147c: 21000084 addi r4,r4,2 + 1480: 003ebc06 br f74 <__alt_data_end+0xfffe0f74> + 1484: 8085883a add r2,r16,r2 + 1488: 10c00117 ldw r3,4(r2) + 148c: 81000317 ldw r4,12(r16) + 1490: 81400217 ldw r5,8(r16) + 1494: 18c00054 ori r3,r3,1 + 1498: 10c00115 stw r3,4(r2) + 149c: 29000315 stw r4,12(r5) + 14a0: 21400215 stw r5,8(r4) + 14a4: 9009883a mov r4,r18 + 14a8: 00036400 call 3640 <__malloc_unlock> + 14ac: 80800204 addi r2,r16,8 + 14b0: 003f2506 br 1148 <__alt_data_end+0xfffe1148> + 14b4: 12001704 addi r8,r2,92 + 14b8: 11c016c4 addi r7,r2,91 + 14bc: 4209883a add r4,r8,r8 + 14c0: 003e9606 br f1c <__alt_data_end+0xfffe0f1c> + 14c4: 3804d1ba srli r2,r7,6 + 14c8: 11400e44 addi r5,r2,57 + 14cc: 10c00e04 addi r3,r2,56 + 14d0: 294b883a add r5,r5,r5 + 14d4: 003fbc06 br 13c8 <__alt_data_end+0xfffe13c8> + 14d8: 84ff5926 beq r16,r19,1240 <__alt_data_end+0xfffe1240> + 14dc: 00800034 movhi r2,0 + 14e0: 10972004 addi r2,r2,23680 + 14e4: 14000217 ldw r16,8(r2) + 14e8: 00bfff04 movi r2,-4 + 14ec: 80c00117 ldw r3,4(r16) + 14f0: 1886703a and r3,r3,r2 + 14f4: 003f9106 br 133c <__alt_data_end+0xfffe133c> + 14f8: 60800217 ldw r2,8(r12) + 14fc: 213fffc4 addi r4,r4,-1 + 1500: 1300651e bne r2,r12,1698 <_malloc_r+0x7f4> + 1504: 208000cc andi r2,r4,3 + 1508: 633ffe04 addi r12,r12,-8 + 150c: 103ffa1e bne r2,zero,14f8 <__alt_data_end+0xfffe14f8> + 1510: 98800117 ldw r2,4(r19) + 1514: 0146303a nor r3,zero,r5 + 1518: 1884703a and r2,r3,r2 + 151c: 98800115 stw r2,4(r19) + 1520: 294b883a add r5,r5,r5 + 1524: 117f2836 bltu r2,r5,11c8 <__alt_data_end+0xfffe11c8> + 1528: 283f2726 beq r5,zero,11c8 <__alt_data_end+0xfffe11c8> + 152c: 2886703a and r3,r5,r2 + 1530: 5809883a mov r4,r11 + 1534: 183ec31e bne r3,zero,1044 <__alt_data_end+0xfffe1044> + 1538: 294b883a add r5,r5,r5 + 153c: 2886703a and r3,r5,r2 + 1540: 21000104 addi r4,r4,4 + 1544: 183ffc26 beq r3,zero,1538 <__alt_data_end+0xfffe1538> + 1548: 003ebe06 br 1044 <__alt_data_end+0xfffe1044> + 154c: 00c05504 movi r3,340 + 1550: 18801236 bltu r3,r2,159c <_malloc_r+0x6f8> + 1554: 8804d3fa srli r2,r17,15 + 1558: 12001e04 addi r8,r2,120 + 155c: 11c01dc4 addi r7,r2,119 + 1560: 4209883a add r4,r8,r8 + 1564: 003e6d06 br f1c <__alt_data_end+0xfffe0f1c> + 1568: 00c40004 movi r3,4096 + 156c: 003f4606 br 1288 <__alt_data_end+0xfffe1288> + 1570: 00800044 movi r2,1 + 1574: a0800115 stw r2,4(r20) + 1578: 003f7406 br 134c <__alt_data_end+0xfffe134c> + 157c: 1805d0ba srai r2,r3,2 + 1580: 01c00044 movi r7,1 + 1584: 30c00117 ldw r3,4(r6) + 1588: 388e983a sll r7,r7,r2 + 158c: 2805883a mov r2,r5 + 1590: 38c6b03a or r3,r7,r3 + 1594: 30c00115 stw r3,4(r6) + 1598: 003f9b06 br 1408 <__alt_data_end+0xfffe1408> + 159c: 00c15504 movi r3,1364 + 15a0: 18801a36 bltu r3,r2,160c <_malloc_r+0x768> + 15a4: 8804d4ba srli r2,r17,18 + 15a8: 12001f44 addi r8,r2,125 + 15ac: 11c01f04 addi r7,r2,124 + 15b0: 4209883a add r4,r8,r8 + 15b4: 003e5906 br f1c <__alt_data_end+0xfffe0f1c> + 15b8: ad400404 addi r21,r21,16 + 15bc: 003f1706 br 121c <__alt_data_end+0xfffe121c> + 15c0: 00c01504 movi r3,84 + 15c4: 18802336 bltu r3,r2,1654 <_malloc_r+0x7b0> + 15c8: 3804d33a srli r2,r7,12 + 15cc: 11401bc4 addi r5,r2,111 + 15d0: 10c01b84 addi r3,r2,110 + 15d4: 294b883a add r5,r5,r5 + 15d8: 003f7b06 br 13c8 <__alt_data_end+0xfffe13c8> + 15dc: 9c000217 ldw r16,8(r19) + 15e0: 00bfff04 movi r2,-4 + 15e4: 80c00117 ldw r3,4(r16) + 15e8: 1886703a and r3,r3,r2 + 15ec: 003f5306 br 133c <__alt_data_end+0xfffe133c> + 15f0: 3083ffcc andi r2,r6,4095 + 15f4: 103f181e bne r2,zero,1258 <__alt_data_end+0xfffe1258> + 15f8: 99000217 ldw r4,8(r19) + 15fc: b545883a add r2,r22,r21 + 1600: 10800054 ori r2,r2,1 + 1604: 20800115 stw r2,4(r4) + 1608: 003f3e06 br 1304 <__alt_data_end+0xfffe1304> + 160c: 01003f84 movi r4,254 + 1610: 02001fc4 movi r8,127 + 1614: 01c01f84 movi r7,126 + 1618: 003e4006 br f1c <__alt_data_end+0xfffe0f1c> + 161c: 00800034 movhi r2,0 + 1620: 109ca604 addi r2,r2,29336 + 1624: 15000015 stw r20,0(r2) + 1628: 003f1106 br 1270 <__alt_data_end+0xfffe1270> + 162c: 00800044 movi r2,1 + 1630: 002b883a mov r21,zero + 1634: 003f1f06 br 12b4 <__alt_data_end+0xfffe12b4> + 1638: 81400204 addi r5,r16,8 + 163c: 9009883a mov r4,r18 + 1640: 000292c0 call 292c <_free_r> + 1644: 00800034 movhi r2,0 + 1648: 109cbd04 addi r2,r2,29428 + 164c: 10c00017 ldw r3,0(r2) + 1650: 003f2c06 br 1304 <__alt_data_end+0xfffe1304> + 1654: 00c05504 movi r3,340 + 1658: 18800536 bltu r3,r2,1670 <_malloc_r+0x7cc> + 165c: 3804d3fa srli r2,r7,15 + 1660: 11401e04 addi r5,r2,120 + 1664: 10c01dc4 addi r3,r2,119 + 1668: 294b883a add r5,r5,r5 + 166c: 003f5606 br 13c8 <__alt_data_end+0xfffe13c8> + 1670: 00c15504 movi r3,1364 + 1674: 18800536 bltu r3,r2,168c <_malloc_r+0x7e8> + 1678: 3804d4ba srli r2,r7,18 + 167c: 11401f44 addi r5,r2,125 + 1680: 10c01f04 addi r3,r2,124 + 1684: 294b883a add r5,r5,r5 + 1688: 003f4f06 br 13c8 <__alt_data_end+0xfffe13c8> + 168c: 01403f84 movi r5,254 + 1690: 00c01f84 movi r3,126 + 1694: 003f4c06 br 13c8 <__alt_data_end+0xfffe13c8> + 1698: 98800117 ldw r2,4(r19) + 169c: 003fa006 br 1520 <__alt_data_end+0xfffe1520> + 16a0: 8808d0fa srli r4,r17,3 + 16a4: 20800044 addi r2,r4,1 + 16a8: 1085883a add r2,r2,r2 + 16ac: 003e9006 br 10f0 <__alt_data_end+0xfffe10f0> -000016ac : - 16ac: 208000cc andi r2,r4,3 - 16b0: 280f883a mov r7,r5 - 16b4: 10003426 beq r2,zero,1788 - 16b8: 30bfffc4 addi r2,r6,-1 - 16bc: 30001a26 beq r6,zero,1728 - 16c0: 20c00003 ldbu r3,0(r4) - 16c4: 29803fcc andi r6,r5,255 - 16c8: 30c0051e bne r6,r3,16e0 - 16cc: 00001806 br 1730 - 16d0: 10001526 beq r2,zero,1728 - 16d4: 20c00003 ldbu r3,0(r4) - 16d8: 10bfffc4 addi r2,r2,-1 - 16dc: 30c01426 beq r6,r3,1730 - 16e0: 21000044 addi r4,r4,1 - 16e4: 20c000cc andi r3,r4,3 - 16e8: 183ff91e bne r3,zero,16d0 <__alt_data_end+0xfffe16d0> - 16ec: 020000c4 movi r8,3 - 16f0: 40801136 bltu r8,r2,1738 - 16f4: 10000c26 beq r2,zero,1728 - 16f8: 20c00003 ldbu r3,0(r4) - 16fc: 29403fcc andi r5,r5,255 - 1700: 28c00b26 beq r5,r3,1730 - 1704: 20c00044 addi r3,r4,1 - 1708: 39803fcc andi r6,r7,255 - 170c: 2089883a add r4,r4,r2 - 1710: 00000306 br 1720 - 1714: 18c00044 addi r3,r3,1 - 1718: 197fffc3 ldbu r5,-1(r3) - 171c: 31400526 beq r6,r5,1734 - 1720: 1805883a mov r2,r3 - 1724: 20fffb1e bne r4,r3,1714 <__alt_data_end+0xfffe1714> - 1728: 0005883a mov r2,zero - 172c: f800283a ret - 1730: 2005883a mov r2,r4 - 1734: f800283a ret - 1738: 28c03fcc andi r3,r5,255 - 173c: 1812923a slli r9,r3,8 - 1740: 02ffbff4 movhi r11,65279 - 1744: 02a02074 movhi r10,32897 - 1748: 48d2b03a or r9,r9,r3 - 174c: 4806943a slli r3,r9,16 - 1750: 5affbfc4 addi r11,r11,-257 - 1754: 52a02004 addi r10,r10,-32640 - 1758: 48d2b03a or r9,r9,r3 - 175c: 20c00017 ldw r3,0(r4) - 1760: 48c6f03a xor r3,r9,r3 - 1764: 1acd883a add r6,r3,r11 - 1768: 00c6303a nor r3,zero,r3 - 176c: 30c6703a and r3,r6,r3 - 1770: 1a86703a and r3,r3,r10 - 1774: 183fe01e bne r3,zero,16f8 <__alt_data_end+0xfffe16f8> - 1778: 10bfff04 addi r2,r2,-4 - 177c: 21000104 addi r4,r4,4 - 1780: 40bff636 bltu r8,r2,175c <__alt_data_end+0xfffe175c> - 1784: 003fdb06 br 16f4 <__alt_data_end+0xfffe16f4> - 1788: 3005883a mov r2,r6 - 178c: 003fd706 br 16ec <__alt_data_end+0xfffe16ec> +000016b0 : + 16b0: 208000cc andi r2,r4,3 + 16b4: 280f883a mov r7,r5 + 16b8: 10003426 beq r2,zero,178c + 16bc: 30bfffc4 addi r2,r6,-1 + 16c0: 30001a26 beq r6,zero,172c + 16c4: 20c00003 ldbu r3,0(r4) + 16c8: 29803fcc andi r6,r5,255 + 16cc: 30c0051e bne r6,r3,16e4 + 16d0: 00001806 br 1734 + 16d4: 10001526 beq r2,zero,172c + 16d8: 20c00003 ldbu r3,0(r4) + 16dc: 10bfffc4 addi r2,r2,-1 + 16e0: 30c01426 beq r6,r3,1734 + 16e4: 21000044 addi r4,r4,1 + 16e8: 20c000cc andi r3,r4,3 + 16ec: 183ff91e bne r3,zero,16d4 <__alt_data_end+0xfffe16d4> + 16f0: 020000c4 movi r8,3 + 16f4: 40801136 bltu r8,r2,173c + 16f8: 10000c26 beq r2,zero,172c + 16fc: 20c00003 ldbu r3,0(r4) + 1700: 29403fcc andi r5,r5,255 + 1704: 28c00b26 beq r5,r3,1734 + 1708: 20c00044 addi r3,r4,1 + 170c: 39803fcc andi r6,r7,255 + 1710: 2089883a add r4,r4,r2 + 1714: 00000306 br 1724 + 1718: 18c00044 addi r3,r3,1 + 171c: 197fffc3 ldbu r5,-1(r3) + 1720: 31400526 beq r6,r5,1738 + 1724: 1805883a mov r2,r3 + 1728: 20fffb1e bne r4,r3,1718 <__alt_data_end+0xfffe1718> + 172c: 0005883a mov r2,zero + 1730: f800283a ret + 1734: 2005883a mov r2,r4 + 1738: f800283a ret + 173c: 28c03fcc andi r3,r5,255 + 1740: 1812923a slli r9,r3,8 + 1744: 02ffbff4 movhi r11,65279 + 1748: 02a02074 movhi r10,32897 + 174c: 48d2b03a or r9,r9,r3 + 1750: 4806943a slli r3,r9,16 + 1754: 5affbfc4 addi r11,r11,-257 + 1758: 52a02004 addi r10,r10,-32640 + 175c: 48d2b03a or r9,r9,r3 + 1760: 20c00017 ldw r3,0(r4) + 1764: 48c6f03a xor r3,r9,r3 + 1768: 1acd883a add r6,r3,r11 + 176c: 00c6303a nor r3,zero,r3 + 1770: 30c6703a and r3,r6,r3 + 1774: 1a86703a and r3,r3,r10 + 1778: 183fe01e bne r3,zero,16fc <__alt_data_end+0xfffe16fc> + 177c: 10bfff04 addi r2,r2,-4 + 1780: 21000104 addi r4,r4,4 + 1784: 40bff636 bltu r8,r2,1760 <__alt_data_end+0xfffe1760> + 1788: 003fdb06 br 16f8 <__alt_data_end+0xfffe16f8> + 178c: 3005883a mov r2,r6 + 1790: 003fd706 br 16f0 <__alt_data_end+0xfffe16f0> -00001790 : - 1790: defffd04 addi sp,sp,-12 - 1794: dfc00215 stw ra,8(sp) - 1798: dc400115 stw r17,4(sp) - 179c: dc000015 stw r16,0(sp) - 17a0: 00c003c4 movi r3,15 - 17a4: 2005883a mov r2,r4 - 17a8: 1980452e bgeu r3,r6,18c0 - 17ac: 2906b03a or r3,r5,r4 - 17b0: 18c000cc andi r3,r3,3 - 17b4: 1800441e bne r3,zero,18c8 - 17b8: 347ffc04 addi r17,r6,-16 - 17bc: 8822d13a srli r17,r17,4 - 17c0: 28c00104 addi r3,r5,4 - 17c4: 23400104 addi r13,r4,4 - 17c8: 8820913a slli r16,r17,4 - 17cc: 2b000204 addi r12,r5,8 - 17d0: 22c00204 addi r11,r4,8 - 17d4: 84000504 addi r16,r16,20 - 17d8: 2a800304 addi r10,r5,12 - 17dc: 22400304 addi r9,r4,12 - 17e0: 2c21883a add r16,r5,r16 - 17e4: 2811883a mov r8,r5 - 17e8: 200f883a mov r7,r4 - 17ec: 41000017 ldw r4,0(r8) - 17f0: 1fc00017 ldw ra,0(r3) - 17f4: 63c00017 ldw r15,0(r12) - 17f8: 39000015 stw r4,0(r7) - 17fc: 53800017 ldw r14,0(r10) - 1800: 6fc00015 stw ra,0(r13) - 1804: 5bc00015 stw r15,0(r11) - 1808: 4b800015 stw r14,0(r9) - 180c: 18c00404 addi r3,r3,16 - 1810: 39c00404 addi r7,r7,16 - 1814: 42000404 addi r8,r8,16 - 1818: 6b400404 addi r13,r13,16 - 181c: 63000404 addi r12,r12,16 - 1820: 5ac00404 addi r11,r11,16 - 1824: 52800404 addi r10,r10,16 - 1828: 4a400404 addi r9,r9,16 - 182c: 1c3fef1e bne r3,r16,17ec <__alt_data_end+0xfffe17ec> - 1830: 89c00044 addi r7,r17,1 - 1834: 380e913a slli r7,r7,4 - 1838: 310003cc andi r4,r6,15 - 183c: 02c000c4 movi r11,3 - 1840: 11c7883a add r3,r2,r7 - 1844: 29cb883a add r5,r5,r7 - 1848: 5900212e bgeu r11,r4,18d0 - 184c: 1813883a mov r9,r3 - 1850: 2811883a mov r8,r5 - 1854: 200f883a mov r7,r4 - 1858: 42800017 ldw r10,0(r8) - 185c: 4a400104 addi r9,r9,4 - 1860: 39ffff04 addi r7,r7,-4 - 1864: 4abfff15 stw r10,-4(r9) - 1868: 42000104 addi r8,r8,4 - 186c: 59fffa36 bltu r11,r7,1858 <__alt_data_end+0xfffe1858> - 1870: 213fff04 addi r4,r4,-4 - 1874: 2008d0ba srli r4,r4,2 - 1878: 318000cc andi r6,r6,3 - 187c: 21000044 addi r4,r4,1 - 1880: 2109883a add r4,r4,r4 +00001794 : + 1794: defffd04 addi sp,sp,-12 + 1798: dfc00215 stw ra,8(sp) + 179c: dc400115 stw r17,4(sp) + 17a0: dc000015 stw r16,0(sp) + 17a4: 00c003c4 movi r3,15 + 17a8: 2005883a mov r2,r4 + 17ac: 1980452e bgeu r3,r6,18c4 + 17b0: 2906b03a or r3,r5,r4 + 17b4: 18c000cc andi r3,r3,3 + 17b8: 1800441e bne r3,zero,18cc + 17bc: 347ffc04 addi r17,r6,-16 + 17c0: 8822d13a srli r17,r17,4 + 17c4: 28c00104 addi r3,r5,4 + 17c8: 23400104 addi r13,r4,4 + 17cc: 8820913a slli r16,r17,4 + 17d0: 2b000204 addi r12,r5,8 + 17d4: 22c00204 addi r11,r4,8 + 17d8: 84000504 addi r16,r16,20 + 17dc: 2a800304 addi r10,r5,12 + 17e0: 22400304 addi r9,r4,12 + 17e4: 2c21883a add r16,r5,r16 + 17e8: 2811883a mov r8,r5 + 17ec: 200f883a mov r7,r4 + 17f0: 41000017 ldw r4,0(r8) + 17f4: 1fc00017 ldw ra,0(r3) + 17f8: 63c00017 ldw r15,0(r12) + 17fc: 39000015 stw r4,0(r7) + 1800: 53800017 ldw r14,0(r10) + 1804: 6fc00015 stw ra,0(r13) + 1808: 5bc00015 stw r15,0(r11) + 180c: 4b800015 stw r14,0(r9) + 1810: 18c00404 addi r3,r3,16 + 1814: 39c00404 addi r7,r7,16 + 1818: 42000404 addi r8,r8,16 + 181c: 6b400404 addi r13,r13,16 + 1820: 63000404 addi r12,r12,16 + 1824: 5ac00404 addi r11,r11,16 + 1828: 52800404 addi r10,r10,16 + 182c: 4a400404 addi r9,r9,16 + 1830: 1c3fef1e bne r3,r16,17f0 <__alt_data_end+0xfffe17f0> + 1834: 89c00044 addi r7,r17,1 + 1838: 380e913a slli r7,r7,4 + 183c: 310003cc andi r4,r6,15 + 1840: 02c000c4 movi r11,3 + 1844: 11c7883a add r3,r2,r7 + 1848: 29cb883a add r5,r5,r7 + 184c: 5900212e bgeu r11,r4,18d4 + 1850: 1813883a mov r9,r3 + 1854: 2811883a mov r8,r5 + 1858: 200f883a mov r7,r4 + 185c: 42800017 ldw r10,0(r8) + 1860: 4a400104 addi r9,r9,4 + 1864: 39ffff04 addi r7,r7,-4 + 1868: 4abfff15 stw r10,-4(r9) + 186c: 42000104 addi r8,r8,4 + 1870: 59fffa36 bltu r11,r7,185c <__alt_data_end+0xfffe185c> + 1874: 213fff04 addi r4,r4,-4 + 1878: 2008d0ba srli r4,r4,2 + 187c: 318000cc andi r6,r6,3 + 1880: 21000044 addi r4,r4,1 1884: 2109883a add r4,r4,r4 - 1888: 1907883a add r3,r3,r4 - 188c: 290b883a add r5,r5,r4 - 1890: 30000626 beq r6,zero,18ac - 1894: 198d883a add r6,r3,r6 - 1898: 29c00003 ldbu r7,0(r5) - 189c: 18c00044 addi r3,r3,1 - 18a0: 29400044 addi r5,r5,1 - 18a4: 19ffffc5 stb r7,-1(r3) - 18a8: 19bffb1e bne r3,r6,1898 <__alt_data_end+0xfffe1898> - 18ac: dfc00217 ldw ra,8(sp) - 18b0: dc400117 ldw r17,4(sp) - 18b4: dc000017 ldw r16,0(sp) - 18b8: dec00304 addi sp,sp,12 - 18bc: f800283a ret - 18c0: 2007883a mov r3,r4 - 18c4: 003ff206 br 1890 <__alt_data_end+0xfffe1890> - 18c8: 2007883a mov r3,r4 - 18cc: 003ff106 br 1894 <__alt_data_end+0xfffe1894> - 18d0: 200d883a mov r6,r4 - 18d4: 003fee06 br 1890 <__alt_data_end+0xfffe1890> + 1888: 2109883a add r4,r4,r4 + 188c: 1907883a add r3,r3,r4 + 1890: 290b883a add r5,r5,r4 + 1894: 30000626 beq r6,zero,18b0 + 1898: 198d883a add r6,r3,r6 + 189c: 29c00003 ldbu r7,0(r5) + 18a0: 18c00044 addi r3,r3,1 + 18a4: 29400044 addi r5,r5,1 + 18a8: 19ffffc5 stb r7,-1(r3) + 18ac: 19bffb1e bne r3,r6,189c <__alt_data_end+0xfffe189c> + 18b0: dfc00217 ldw ra,8(sp) + 18b4: dc400117 ldw r17,4(sp) + 18b8: dc000017 ldw r16,0(sp) + 18bc: dec00304 addi sp,sp,12 + 18c0: f800283a ret + 18c4: 2007883a mov r3,r4 + 18c8: 003ff206 br 1894 <__alt_data_end+0xfffe1894> + 18cc: 2007883a mov r3,r4 + 18d0: 003ff106 br 1898 <__alt_data_end+0xfffe1898> + 18d4: 200d883a mov r6,r4 + 18d8: 003fee06 br 1894 <__alt_data_end+0xfffe1894> -000018d8 : - 18d8: 2005883a mov r2,r4 - 18dc: 29000b2e bgeu r5,r4,190c - 18e0: 298f883a add r7,r5,r6 - 18e4: 21c0092e bgeu r4,r7,190c - 18e8: 2187883a add r3,r4,r6 - 18ec: 198bc83a sub r5,r3,r6 - 18f0: 30004826 beq r6,zero,1a14 - 18f4: 39ffffc4 addi r7,r7,-1 - 18f8: 39000003 ldbu r4,0(r7) - 18fc: 18ffffc4 addi r3,r3,-1 - 1900: 19000005 stb r4,0(r3) - 1904: 28fffb1e bne r5,r3,18f4 <__alt_data_end+0xfffe18f4> - 1908: f800283a ret - 190c: 00c003c4 movi r3,15 - 1910: 1980412e bgeu r3,r6,1a18 - 1914: 2886b03a or r3,r5,r2 - 1918: 18c000cc andi r3,r3,3 - 191c: 1800401e bne r3,zero,1a20 - 1920: 33fffc04 addi r15,r6,-16 - 1924: 781ed13a srli r15,r15,4 - 1928: 28c00104 addi r3,r5,4 - 192c: 13400104 addi r13,r2,4 - 1930: 781c913a slli r14,r15,4 - 1934: 2b000204 addi r12,r5,8 - 1938: 12c00204 addi r11,r2,8 - 193c: 73800504 addi r14,r14,20 - 1940: 2a800304 addi r10,r5,12 - 1944: 12400304 addi r9,r2,12 - 1948: 2b9d883a add r14,r5,r14 - 194c: 2811883a mov r8,r5 - 1950: 100f883a mov r7,r2 - 1954: 41000017 ldw r4,0(r8) - 1958: 39c00404 addi r7,r7,16 - 195c: 18c00404 addi r3,r3,16 - 1960: 393ffc15 stw r4,-16(r7) - 1964: 193ffc17 ldw r4,-16(r3) - 1968: 6b400404 addi r13,r13,16 - 196c: 5ac00404 addi r11,r11,16 - 1970: 693ffc15 stw r4,-16(r13) - 1974: 61000017 ldw r4,0(r12) - 1978: 4a400404 addi r9,r9,16 - 197c: 42000404 addi r8,r8,16 - 1980: 593ffc15 stw r4,-16(r11) - 1984: 51000017 ldw r4,0(r10) - 1988: 63000404 addi r12,r12,16 - 198c: 52800404 addi r10,r10,16 - 1990: 493ffc15 stw r4,-16(r9) - 1994: 1bbfef1e bne r3,r14,1954 <__alt_data_end+0xfffe1954> - 1998: 79000044 addi r4,r15,1 - 199c: 2008913a slli r4,r4,4 - 19a0: 328003cc andi r10,r6,15 - 19a4: 02c000c4 movi r11,3 - 19a8: 1107883a add r3,r2,r4 - 19ac: 290b883a add r5,r5,r4 - 19b0: 5a801e2e bgeu r11,r10,1a2c - 19b4: 1813883a mov r9,r3 - 19b8: 2811883a mov r8,r5 - 19bc: 500f883a mov r7,r10 - 19c0: 41000017 ldw r4,0(r8) - 19c4: 4a400104 addi r9,r9,4 - 19c8: 39ffff04 addi r7,r7,-4 - 19cc: 493fff15 stw r4,-4(r9) - 19d0: 42000104 addi r8,r8,4 - 19d4: 59fffa36 bltu r11,r7,19c0 <__alt_data_end+0xfffe19c0> - 19d8: 513fff04 addi r4,r10,-4 - 19dc: 2008d0ba srli r4,r4,2 - 19e0: 318000cc andi r6,r6,3 - 19e4: 21000044 addi r4,r4,1 - 19e8: 2109883a add r4,r4,r4 +000018dc : + 18dc: 2005883a mov r2,r4 + 18e0: 29000b2e bgeu r5,r4,1910 + 18e4: 298f883a add r7,r5,r6 + 18e8: 21c0092e bgeu r4,r7,1910 + 18ec: 2187883a add r3,r4,r6 + 18f0: 198bc83a sub r5,r3,r6 + 18f4: 30004826 beq r6,zero,1a18 + 18f8: 39ffffc4 addi r7,r7,-1 + 18fc: 39000003 ldbu r4,0(r7) + 1900: 18ffffc4 addi r3,r3,-1 + 1904: 19000005 stb r4,0(r3) + 1908: 28fffb1e bne r5,r3,18f8 <__alt_data_end+0xfffe18f8> + 190c: f800283a ret + 1910: 00c003c4 movi r3,15 + 1914: 1980412e bgeu r3,r6,1a1c + 1918: 2886b03a or r3,r5,r2 + 191c: 18c000cc andi r3,r3,3 + 1920: 1800401e bne r3,zero,1a24 + 1924: 33fffc04 addi r15,r6,-16 + 1928: 781ed13a srli r15,r15,4 + 192c: 28c00104 addi r3,r5,4 + 1930: 13400104 addi r13,r2,4 + 1934: 781c913a slli r14,r15,4 + 1938: 2b000204 addi r12,r5,8 + 193c: 12c00204 addi r11,r2,8 + 1940: 73800504 addi r14,r14,20 + 1944: 2a800304 addi r10,r5,12 + 1948: 12400304 addi r9,r2,12 + 194c: 2b9d883a add r14,r5,r14 + 1950: 2811883a mov r8,r5 + 1954: 100f883a mov r7,r2 + 1958: 41000017 ldw r4,0(r8) + 195c: 39c00404 addi r7,r7,16 + 1960: 18c00404 addi r3,r3,16 + 1964: 393ffc15 stw r4,-16(r7) + 1968: 193ffc17 ldw r4,-16(r3) + 196c: 6b400404 addi r13,r13,16 + 1970: 5ac00404 addi r11,r11,16 + 1974: 693ffc15 stw r4,-16(r13) + 1978: 61000017 ldw r4,0(r12) + 197c: 4a400404 addi r9,r9,16 + 1980: 42000404 addi r8,r8,16 + 1984: 593ffc15 stw r4,-16(r11) + 1988: 51000017 ldw r4,0(r10) + 198c: 63000404 addi r12,r12,16 + 1990: 52800404 addi r10,r10,16 + 1994: 493ffc15 stw r4,-16(r9) + 1998: 1bbfef1e bne r3,r14,1958 <__alt_data_end+0xfffe1958> + 199c: 79000044 addi r4,r15,1 + 19a0: 2008913a slli r4,r4,4 + 19a4: 328003cc andi r10,r6,15 + 19a8: 02c000c4 movi r11,3 + 19ac: 1107883a add r3,r2,r4 + 19b0: 290b883a add r5,r5,r4 + 19b4: 5a801e2e bgeu r11,r10,1a30 + 19b8: 1813883a mov r9,r3 + 19bc: 2811883a mov r8,r5 + 19c0: 500f883a mov r7,r10 + 19c4: 41000017 ldw r4,0(r8) + 19c8: 4a400104 addi r9,r9,4 + 19cc: 39ffff04 addi r7,r7,-4 + 19d0: 493fff15 stw r4,-4(r9) + 19d4: 42000104 addi r8,r8,4 + 19d8: 59fffa36 bltu r11,r7,19c4 <__alt_data_end+0xfffe19c4> + 19dc: 513fff04 addi r4,r10,-4 + 19e0: 2008d0ba srli r4,r4,2 + 19e4: 318000cc andi r6,r6,3 + 19e8: 21000044 addi r4,r4,1 19ec: 2109883a add r4,r4,r4 - 19f0: 1907883a add r3,r3,r4 - 19f4: 290b883a add r5,r5,r4 - 19f8: 30000b26 beq r6,zero,1a28 - 19fc: 198d883a add r6,r3,r6 - 1a00: 29c00003 ldbu r7,0(r5) - 1a04: 18c00044 addi r3,r3,1 - 1a08: 29400044 addi r5,r5,1 - 1a0c: 19ffffc5 stb r7,-1(r3) - 1a10: 19bffb1e bne r3,r6,1a00 <__alt_data_end+0xfffe1a00> - 1a14: f800283a ret - 1a18: 1007883a mov r3,r2 - 1a1c: 003ff606 br 19f8 <__alt_data_end+0xfffe19f8> - 1a20: 1007883a mov r3,r2 - 1a24: 003ff506 br 19fc <__alt_data_end+0xfffe19fc> - 1a28: f800283a ret - 1a2c: 500d883a mov r6,r10 - 1a30: 003ff106 br 19f8 <__alt_data_end+0xfffe19f8> + 19f0: 2109883a add r4,r4,r4 + 19f4: 1907883a add r3,r3,r4 + 19f8: 290b883a add r5,r5,r4 + 19fc: 30000b26 beq r6,zero,1a2c + 1a00: 198d883a add r6,r3,r6 + 1a04: 29c00003 ldbu r7,0(r5) + 1a08: 18c00044 addi r3,r3,1 + 1a0c: 29400044 addi r5,r5,1 + 1a10: 19ffffc5 stb r7,-1(r3) + 1a14: 19bffb1e bne r3,r6,1a04 <__alt_data_end+0xfffe1a04> + 1a18: f800283a ret + 1a1c: 1007883a mov r3,r2 + 1a20: 003ff606 br 19fc <__alt_data_end+0xfffe19fc> + 1a24: 1007883a mov r3,r2 + 1a28: 003ff506 br 1a00 <__alt_data_end+0xfffe1a00> + 1a2c: f800283a ret + 1a30: 500d883a mov r6,r10 + 1a34: 003ff106 br 19fc <__alt_data_end+0xfffe19fc> -00001a34 : - 1a34: 20c000cc andi r3,r4,3 - 1a38: 2005883a mov r2,r4 - 1a3c: 18004426 beq r3,zero,1b50 - 1a40: 31ffffc4 addi r7,r6,-1 - 1a44: 30004026 beq r6,zero,1b48 - 1a48: 2813883a mov r9,r5 - 1a4c: 200d883a mov r6,r4 - 1a50: 2007883a mov r3,r4 - 1a54: 00000406 br 1a68 - 1a58: 3a3fffc4 addi r8,r7,-1 - 1a5c: 31800044 addi r6,r6,1 - 1a60: 38003926 beq r7,zero,1b48 - 1a64: 400f883a mov r7,r8 - 1a68: 18c00044 addi r3,r3,1 - 1a6c: 32400005 stb r9,0(r6) - 1a70: 1a0000cc andi r8,r3,3 - 1a74: 403ff81e bne r8,zero,1a58 <__alt_data_end+0xfffe1a58> - 1a78: 010000c4 movi r4,3 - 1a7c: 21c02d2e bgeu r4,r7,1b34 - 1a80: 29003fcc andi r4,r5,255 - 1a84: 200c923a slli r6,r4,8 - 1a88: 3108b03a or r4,r6,r4 - 1a8c: 200c943a slli r6,r4,16 - 1a90: 218cb03a or r6,r4,r6 - 1a94: 010003c4 movi r4,15 - 1a98: 21c0182e bgeu r4,r7,1afc - 1a9c: 3b3ffc04 addi r12,r7,-16 - 1aa0: 6018d13a srli r12,r12,4 - 1aa4: 1a000104 addi r8,r3,4 - 1aa8: 1ac00204 addi r11,r3,8 - 1aac: 6008913a slli r4,r12,4 - 1ab0: 1a800304 addi r10,r3,12 - 1ab4: 1813883a mov r9,r3 - 1ab8: 21000504 addi r4,r4,20 - 1abc: 1909883a add r4,r3,r4 - 1ac0: 49800015 stw r6,0(r9) - 1ac4: 41800015 stw r6,0(r8) - 1ac8: 59800015 stw r6,0(r11) - 1acc: 51800015 stw r6,0(r10) - 1ad0: 42000404 addi r8,r8,16 - 1ad4: 4a400404 addi r9,r9,16 - 1ad8: 5ac00404 addi r11,r11,16 - 1adc: 52800404 addi r10,r10,16 - 1ae0: 413ff71e bne r8,r4,1ac0 <__alt_data_end+0xfffe1ac0> - 1ae4: 63000044 addi r12,r12,1 - 1ae8: 6018913a slli r12,r12,4 - 1aec: 39c003cc andi r7,r7,15 - 1af0: 010000c4 movi r4,3 - 1af4: 1b07883a add r3,r3,r12 - 1af8: 21c00e2e bgeu r4,r7,1b34 - 1afc: 1813883a mov r9,r3 - 1b00: 3811883a mov r8,r7 - 1b04: 010000c4 movi r4,3 - 1b08: 49800015 stw r6,0(r9) - 1b0c: 423fff04 addi r8,r8,-4 - 1b10: 4a400104 addi r9,r9,4 - 1b14: 223ffc36 bltu r4,r8,1b08 <__alt_data_end+0xfffe1b08> - 1b18: 393fff04 addi r4,r7,-4 - 1b1c: 2008d0ba srli r4,r4,2 - 1b20: 39c000cc andi r7,r7,3 - 1b24: 21000044 addi r4,r4,1 - 1b28: 2109883a add r4,r4,r4 +00001a38 : + 1a38: 20c000cc andi r3,r4,3 + 1a3c: 2005883a mov r2,r4 + 1a40: 18004426 beq r3,zero,1b54 + 1a44: 31ffffc4 addi r7,r6,-1 + 1a48: 30004026 beq r6,zero,1b4c + 1a4c: 2813883a mov r9,r5 + 1a50: 200d883a mov r6,r4 + 1a54: 2007883a mov r3,r4 + 1a58: 00000406 br 1a6c + 1a5c: 3a3fffc4 addi r8,r7,-1 + 1a60: 31800044 addi r6,r6,1 + 1a64: 38003926 beq r7,zero,1b4c + 1a68: 400f883a mov r7,r8 + 1a6c: 18c00044 addi r3,r3,1 + 1a70: 32400005 stb r9,0(r6) + 1a74: 1a0000cc andi r8,r3,3 + 1a78: 403ff81e bne r8,zero,1a5c <__alt_data_end+0xfffe1a5c> + 1a7c: 010000c4 movi r4,3 + 1a80: 21c02d2e bgeu r4,r7,1b38 + 1a84: 29003fcc andi r4,r5,255 + 1a88: 200c923a slli r6,r4,8 + 1a8c: 3108b03a or r4,r6,r4 + 1a90: 200c943a slli r6,r4,16 + 1a94: 218cb03a or r6,r4,r6 + 1a98: 010003c4 movi r4,15 + 1a9c: 21c0182e bgeu r4,r7,1b00 + 1aa0: 3b3ffc04 addi r12,r7,-16 + 1aa4: 6018d13a srli r12,r12,4 + 1aa8: 1a000104 addi r8,r3,4 + 1aac: 1ac00204 addi r11,r3,8 + 1ab0: 6008913a slli r4,r12,4 + 1ab4: 1a800304 addi r10,r3,12 + 1ab8: 1813883a mov r9,r3 + 1abc: 21000504 addi r4,r4,20 + 1ac0: 1909883a add r4,r3,r4 + 1ac4: 49800015 stw r6,0(r9) + 1ac8: 41800015 stw r6,0(r8) + 1acc: 59800015 stw r6,0(r11) + 1ad0: 51800015 stw r6,0(r10) + 1ad4: 42000404 addi r8,r8,16 + 1ad8: 4a400404 addi r9,r9,16 + 1adc: 5ac00404 addi r11,r11,16 + 1ae0: 52800404 addi r10,r10,16 + 1ae4: 413ff71e bne r8,r4,1ac4 <__alt_data_end+0xfffe1ac4> + 1ae8: 63000044 addi r12,r12,1 + 1aec: 6018913a slli r12,r12,4 + 1af0: 39c003cc andi r7,r7,15 + 1af4: 010000c4 movi r4,3 + 1af8: 1b07883a add r3,r3,r12 + 1afc: 21c00e2e bgeu r4,r7,1b38 + 1b00: 1813883a mov r9,r3 + 1b04: 3811883a mov r8,r7 + 1b08: 010000c4 movi r4,3 + 1b0c: 49800015 stw r6,0(r9) + 1b10: 423fff04 addi r8,r8,-4 + 1b14: 4a400104 addi r9,r9,4 + 1b18: 223ffc36 bltu r4,r8,1b0c <__alt_data_end+0xfffe1b0c> + 1b1c: 393fff04 addi r4,r7,-4 + 1b20: 2008d0ba srli r4,r4,2 + 1b24: 39c000cc andi r7,r7,3 + 1b28: 21000044 addi r4,r4,1 1b2c: 2109883a add r4,r4,r4 - 1b30: 1907883a add r3,r3,r4 - 1b34: 38000526 beq r7,zero,1b4c - 1b38: 19cf883a add r7,r3,r7 - 1b3c: 19400005 stb r5,0(r3) - 1b40: 18c00044 addi r3,r3,1 - 1b44: 38fffd1e bne r7,r3,1b3c <__alt_data_end+0xfffe1b3c> - 1b48: f800283a ret + 1b30: 2109883a add r4,r4,r4 + 1b34: 1907883a add r3,r3,r4 + 1b38: 38000526 beq r7,zero,1b50 + 1b3c: 19cf883a add r7,r3,r7 + 1b40: 19400005 stb r5,0(r3) + 1b44: 18c00044 addi r3,r3,1 + 1b48: 38fffd1e bne r7,r3,1b40 <__alt_data_end+0xfffe1b40> 1b4c: f800283a ret - 1b50: 2007883a mov r3,r4 - 1b54: 300f883a mov r7,r6 - 1b58: 003fc706 br 1a78 <__alt_data_end+0xfffe1a78> + 1b50: f800283a ret + 1b54: 2007883a mov r3,r4 + 1b58: 300f883a mov r7,r6 + 1b5c: 003fc706 br 1a7c <__alt_data_end+0xfffe1a7c> -00001b5c <_realloc_r>: - 1b5c: defff604 addi sp,sp,-40 - 1b60: dc800215 stw r18,8(sp) - 1b64: dfc00915 stw ra,36(sp) - 1b68: df000815 stw fp,32(sp) - 1b6c: ddc00715 stw r23,28(sp) - 1b70: dd800615 stw r22,24(sp) - 1b74: dd400515 stw r21,20(sp) - 1b78: dd000415 stw r20,16(sp) - 1b7c: dcc00315 stw r19,12(sp) - 1b80: dc400115 stw r17,4(sp) - 1b84: dc000015 stw r16,0(sp) - 1b88: 3025883a mov r18,r6 - 1b8c: 2800b726 beq r5,zero,1e6c <_realloc_r+0x310> - 1b90: 282b883a mov r21,r5 - 1b94: 2029883a mov r20,r4 - 1b98: 00036180 call 3618 <__malloc_lock> - 1b9c: a8bfff17 ldw r2,-4(r21) - 1ba0: 043fff04 movi r16,-4 - 1ba4: 90c002c4 addi r3,r18,11 - 1ba8: 01000584 movi r4,22 - 1bac: acfffe04 addi r19,r21,-8 - 1bb0: 1420703a and r16,r2,r16 - 1bb4: 20c0332e bgeu r4,r3,1c84 <_realloc_r+0x128> - 1bb8: 047ffe04 movi r17,-8 - 1bbc: 1c62703a and r17,r3,r17 - 1bc0: 8807883a mov r3,r17 - 1bc4: 88005816 blt r17,zero,1d28 <_realloc_r+0x1cc> - 1bc8: 8c805736 bltu r17,r18,1d28 <_realloc_r+0x1cc> - 1bcc: 80c0300e bge r16,r3,1c90 <_realloc_r+0x134> - 1bd0: 07000034 movhi fp,0 - 1bd4: e7171f04 addi fp,fp,23676 - 1bd8: e1c00217 ldw r7,8(fp) - 1bdc: 9c09883a add r4,r19,r16 - 1be0: 22000117 ldw r8,4(r4) - 1be4: 21c06326 beq r4,r7,1d74 <_realloc_r+0x218> - 1be8: 017fff84 movi r5,-2 - 1bec: 414a703a and r5,r8,r5 - 1bf0: 214b883a add r5,r4,r5 - 1bf4: 29800117 ldw r6,4(r5) - 1bf8: 3180004c andi r6,r6,1 - 1bfc: 30003f26 beq r6,zero,1cfc <_realloc_r+0x1a0> - 1c00: 1080004c andi r2,r2,1 - 1c04: 10008326 beq r2,zero,1e14 <_realloc_r+0x2b8> - 1c08: 900b883a mov r5,r18 - 1c0c: a009883a mov r4,r20 - 1c10: 0000ea00 call ea0 <_malloc_r> - 1c14: 1025883a mov r18,r2 - 1c18: 10011e26 beq r2,zero,2094 <_realloc_r+0x538> - 1c1c: a93fff17 ldw r4,-4(r21) - 1c20: 10fffe04 addi r3,r2,-8 - 1c24: 00bfff84 movi r2,-2 - 1c28: 2084703a and r2,r4,r2 - 1c2c: 9885883a add r2,r19,r2 - 1c30: 1880ee26 beq r3,r2,1fec <_realloc_r+0x490> - 1c34: 81bfff04 addi r6,r16,-4 - 1c38: 00800904 movi r2,36 - 1c3c: 1180b836 bltu r2,r6,1f20 <_realloc_r+0x3c4> - 1c40: 00c004c4 movi r3,19 - 1c44: 19809636 bltu r3,r6,1ea0 <_realloc_r+0x344> - 1c48: 9005883a mov r2,r18 - 1c4c: a807883a mov r3,r21 - 1c50: 19000017 ldw r4,0(r3) - 1c54: 11000015 stw r4,0(r2) - 1c58: 19000117 ldw r4,4(r3) - 1c5c: 11000115 stw r4,4(r2) - 1c60: 18c00217 ldw r3,8(r3) - 1c64: 10c00215 stw r3,8(r2) - 1c68: a80b883a mov r5,r21 - 1c6c: a009883a mov r4,r20 - 1c70: 00029280 call 2928 <_free_r> - 1c74: a009883a mov r4,r20 - 1c78: 000363c0 call 363c <__malloc_unlock> - 1c7c: 9005883a mov r2,r18 - 1c80: 00001206 br 1ccc <_realloc_r+0x170> - 1c84: 00c00404 movi r3,16 - 1c88: 1823883a mov r17,r3 - 1c8c: 003fce06 br 1bc8 <__alt_data_end+0xfffe1bc8> - 1c90: a825883a mov r18,r21 - 1c94: 8445c83a sub r2,r16,r17 - 1c98: 00c003c4 movi r3,15 - 1c9c: 18802636 bltu r3,r2,1d38 <_realloc_r+0x1dc> - 1ca0: 99800117 ldw r6,4(r19) - 1ca4: 9c07883a add r3,r19,r16 - 1ca8: 3180004c andi r6,r6,1 - 1cac: 3420b03a or r16,r6,r16 - 1cb0: 9c000115 stw r16,4(r19) - 1cb4: 18800117 ldw r2,4(r3) - 1cb8: 10800054 ori r2,r2,1 - 1cbc: 18800115 stw r2,4(r3) - 1cc0: a009883a mov r4,r20 - 1cc4: 000363c0 call 363c <__malloc_unlock> - 1cc8: 9005883a mov r2,r18 - 1ccc: dfc00917 ldw ra,36(sp) - 1cd0: df000817 ldw fp,32(sp) - 1cd4: ddc00717 ldw r23,28(sp) - 1cd8: dd800617 ldw r22,24(sp) - 1cdc: dd400517 ldw r21,20(sp) - 1ce0: dd000417 ldw r20,16(sp) - 1ce4: dcc00317 ldw r19,12(sp) - 1ce8: dc800217 ldw r18,8(sp) - 1cec: dc400117 ldw r17,4(sp) - 1cf0: dc000017 ldw r16,0(sp) - 1cf4: dec00a04 addi sp,sp,40 - 1cf8: f800283a ret - 1cfc: 017fff04 movi r5,-4 - 1d00: 414a703a and r5,r8,r5 - 1d04: 814d883a add r6,r16,r5 - 1d08: 30c01f16 blt r6,r3,1d88 <_realloc_r+0x22c> - 1d0c: 20800317 ldw r2,12(r4) - 1d10: 20c00217 ldw r3,8(r4) - 1d14: a825883a mov r18,r21 - 1d18: 3021883a mov r16,r6 - 1d1c: 18800315 stw r2,12(r3) - 1d20: 10c00215 stw r3,8(r2) - 1d24: 003fdb06 br 1c94 <__alt_data_end+0xfffe1c94> - 1d28: 00800304 movi r2,12 - 1d2c: a0800015 stw r2,0(r20) - 1d30: 0005883a mov r2,zero - 1d34: 003fe506 br 1ccc <__alt_data_end+0xfffe1ccc> - 1d38: 98c00117 ldw r3,4(r19) - 1d3c: 9c4b883a add r5,r19,r17 - 1d40: 11000054 ori r4,r2,1 - 1d44: 18c0004c andi r3,r3,1 - 1d48: 1c62b03a or r17,r3,r17 - 1d4c: 9c400115 stw r17,4(r19) - 1d50: 29000115 stw r4,4(r5) - 1d54: 2885883a add r2,r5,r2 - 1d58: 10c00117 ldw r3,4(r2) - 1d5c: 29400204 addi r5,r5,8 - 1d60: a009883a mov r4,r20 - 1d64: 18c00054 ori r3,r3,1 - 1d68: 10c00115 stw r3,4(r2) - 1d6c: 00029280 call 2928 <_free_r> - 1d70: 003fd306 br 1cc0 <__alt_data_end+0xfffe1cc0> - 1d74: 017fff04 movi r5,-4 - 1d78: 414a703a and r5,r8,r5 - 1d7c: 89800404 addi r6,r17,16 - 1d80: 8151883a add r8,r16,r5 - 1d84: 4180590e bge r8,r6,1eec <_realloc_r+0x390> - 1d88: 1080004c andi r2,r2,1 - 1d8c: 103f9e1e bne r2,zero,1c08 <__alt_data_end+0xfffe1c08> - 1d90: adbffe17 ldw r22,-8(r21) - 1d94: 00bfff04 movi r2,-4 - 1d98: 9dadc83a sub r22,r19,r22 - 1d9c: b1800117 ldw r6,4(r22) - 1da0: 3084703a and r2,r6,r2 - 1da4: 20002026 beq r4,zero,1e28 <_realloc_r+0x2cc> - 1da8: 80af883a add r23,r16,r2 - 1dac: b96f883a add r23,r23,r5 - 1db0: 21c05f26 beq r4,r7,1f30 <_realloc_r+0x3d4> - 1db4: b8c01c16 blt r23,r3,1e28 <_realloc_r+0x2cc> - 1db8: 20800317 ldw r2,12(r4) - 1dbc: 20c00217 ldw r3,8(r4) - 1dc0: 81bfff04 addi r6,r16,-4 - 1dc4: 01000904 movi r4,36 - 1dc8: 18800315 stw r2,12(r3) - 1dcc: 10c00215 stw r3,8(r2) - 1dd0: b0c00217 ldw r3,8(r22) - 1dd4: b0800317 ldw r2,12(r22) - 1dd8: b4800204 addi r18,r22,8 - 1ddc: 18800315 stw r2,12(r3) - 1de0: 10c00215 stw r3,8(r2) - 1de4: 21801b36 bltu r4,r6,1e54 <_realloc_r+0x2f8> - 1de8: 008004c4 movi r2,19 - 1dec: 1180352e bgeu r2,r6,1ec4 <_realloc_r+0x368> - 1df0: a8800017 ldw r2,0(r21) - 1df4: b0800215 stw r2,8(r22) - 1df8: a8800117 ldw r2,4(r21) - 1dfc: b0800315 stw r2,12(r22) - 1e00: 008006c4 movi r2,27 - 1e04: 11807f36 bltu r2,r6,2004 <_realloc_r+0x4a8> - 1e08: b0800404 addi r2,r22,16 - 1e0c: ad400204 addi r21,r21,8 - 1e10: 00002d06 br 1ec8 <_realloc_r+0x36c> - 1e14: adbffe17 ldw r22,-8(r21) - 1e18: 00bfff04 movi r2,-4 - 1e1c: 9dadc83a sub r22,r19,r22 - 1e20: b1000117 ldw r4,4(r22) - 1e24: 2084703a and r2,r4,r2 - 1e28: b03f7726 beq r22,zero,1c08 <__alt_data_end+0xfffe1c08> - 1e2c: 80af883a add r23,r16,r2 - 1e30: b8ff7516 blt r23,r3,1c08 <__alt_data_end+0xfffe1c08> - 1e34: b0800317 ldw r2,12(r22) - 1e38: b0c00217 ldw r3,8(r22) - 1e3c: 81bfff04 addi r6,r16,-4 - 1e40: 01000904 movi r4,36 - 1e44: 18800315 stw r2,12(r3) - 1e48: 10c00215 stw r3,8(r2) - 1e4c: b4800204 addi r18,r22,8 - 1e50: 21bfe52e bgeu r4,r6,1de8 <__alt_data_end+0xfffe1de8> - 1e54: a80b883a mov r5,r21 - 1e58: 9009883a mov r4,r18 - 1e5c: 00018d80 call 18d8 - 1e60: b821883a mov r16,r23 - 1e64: b027883a mov r19,r22 - 1e68: 003f8a06 br 1c94 <__alt_data_end+0xfffe1c94> - 1e6c: 300b883a mov r5,r6 - 1e70: dfc00917 ldw ra,36(sp) - 1e74: df000817 ldw fp,32(sp) - 1e78: ddc00717 ldw r23,28(sp) - 1e7c: dd800617 ldw r22,24(sp) - 1e80: dd400517 ldw r21,20(sp) - 1e84: dd000417 ldw r20,16(sp) - 1e88: dcc00317 ldw r19,12(sp) - 1e8c: dc800217 ldw r18,8(sp) - 1e90: dc400117 ldw r17,4(sp) - 1e94: dc000017 ldw r16,0(sp) - 1e98: dec00a04 addi sp,sp,40 - 1e9c: 0000ea01 jmpi ea0 <_malloc_r> - 1ea0: a8c00017 ldw r3,0(r21) - 1ea4: 90c00015 stw r3,0(r18) - 1ea8: a8c00117 ldw r3,4(r21) - 1eac: 90c00115 stw r3,4(r18) - 1eb0: 00c006c4 movi r3,27 - 1eb4: 19804536 bltu r3,r6,1fcc <_realloc_r+0x470> - 1eb8: 90800204 addi r2,r18,8 - 1ebc: a8c00204 addi r3,r21,8 - 1ec0: 003f6306 br 1c50 <__alt_data_end+0xfffe1c50> - 1ec4: 9005883a mov r2,r18 - 1ec8: a8c00017 ldw r3,0(r21) - 1ecc: b821883a mov r16,r23 - 1ed0: b027883a mov r19,r22 - 1ed4: 10c00015 stw r3,0(r2) - 1ed8: a8c00117 ldw r3,4(r21) - 1edc: 10c00115 stw r3,4(r2) - 1ee0: a8c00217 ldw r3,8(r21) - 1ee4: 10c00215 stw r3,8(r2) - 1ee8: 003f6a06 br 1c94 <__alt_data_end+0xfffe1c94> - 1eec: 9c67883a add r19,r19,r17 - 1ef0: 4445c83a sub r2,r8,r17 - 1ef4: e4c00215 stw r19,8(fp) - 1ef8: 10800054 ori r2,r2,1 - 1efc: 98800115 stw r2,4(r19) - 1f00: a8bfff17 ldw r2,-4(r21) - 1f04: a009883a mov r4,r20 - 1f08: 1080004c andi r2,r2,1 - 1f0c: 1462b03a or r17,r2,r17 - 1f10: ac7fff15 stw r17,-4(r21) - 1f14: 000363c0 call 363c <__malloc_unlock> - 1f18: a805883a mov r2,r21 - 1f1c: 003f6b06 br 1ccc <__alt_data_end+0xfffe1ccc> - 1f20: a80b883a mov r5,r21 - 1f24: 9009883a mov r4,r18 - 1f28: 00018d80 call 18d8 - 1f2c: 003f4e06 br 1c68 <__alt_data_end+0xfffe1c68> - 1f30: 89000404 addi r4,r17,16 - 1f34: b93fbc16 blt r23,r4,1e28 <__alt_data_end+0xfffe1e28> - 1f38: b0800317 ldw r2,12(r22) - 1f3c: b0c00217 ldw r3,8(r22) - 1f40: 81bfff04 addi r6,r16,-4 - 1f44: 01000904 movi r4,36 - 1f48: 18800315 stw r2,12(r3) - 1f4c: 10c00215 stw r3,8(r2) - 1f50: b4800204 addi r18,r22,8 - 1f54: 21804336 bltu r4,r6,2064 <_realloc_r+0x508> - 1f58: 008004c4 movi r2,19 - 1f5c: 11803f2e bgeu r2,r6,205c <_realloc_r+0x500> - 1f60: a8800017 ldw r2,0(r21) - 1f64: b0800215 stw r2,8(r22) - 1f68: a8800117 ldw r2,4(r21) - 1f6c: b0800315 stw r2,12(r22) - 1f70: 008006c4 movi r2,27 - 1f74: 11803f36 bltu r2,r6,2074 <_realloc_r+0x518> - 1f78: b0800404 addi r2,r22,16 - 1f7c: ad400204 addi r21,r21,8 - 1f80: a8c00017 ldw r3,0(r21) - 1f84: 10c00015 stw r3,0(r2) - 1f88: a8c00117 ldw r3,4(r21) - 1f8c: 10c00115 stw r3,4(r2) - 1f90: a8c00217 ldw r3,8(r21) - 1f94: 10c00215 stw r3,8(r2) - 1f98: b447883a add r3,r22,r17 - 1f9c: bc45c83a sub r2,r23,r17 - 1fa0: e0c00215 stw r3,8(fp) - 1fa4: 10800054 ori r2,r2,1 - 1fa8: 18800115 stw r2,4(r3) - 1fac: b0800117 ldw r2,4(r22) - 1fb0: a009883a mov r4,r20 - 1fb4: 1080004c andi r2,r2,1 - 1fb8: 1462b03a or r17,r2,r17 - 1fbc: b4400115 stw r17,4(r22) - 1fc0: 000363c0 call 363c <__malloc_unlock> - 1fc4: 9005883a mov r2,r18 - 1fc8: 003f4006 br 1ccc <__alt_data_end+0xfffe1ccc> - 1fcc: a8c00217 ldw r3,8(r21) - 1fd0: 90c00215 stw r3,8(r18) - 1fd4: a8c00317 ldw r3,12(r21) - 1fd8: 90c00315 stw r3,12(r18) - 1fdc: 30801126 beq r6,r2,2024 <_realloc_r+0x4c8> - 1fe0: 90800404 addi r2,r18,16 - 1fe4: a8c00404 addi r3,r21,16 - 1fe8: 003f1906 br 1c50 <__alt_data_end+0xfffe1c50> - 1fec: 90ffff17 ldw r3,-4(r18) - 1ff0: 00bfff04 movi r2,-4 - 1ff4: a825883a mov r18,r21 - 1ff8: 1884703a and r2,r3,r2 - 1ffc: 80a1883a add r16,r16,r2 - 2000: 003f2406 br 1c94 <__alt_data_end+0xfffe1c94> - 2004: a8800217 ldw r2,8(r21) - 2008: b0800415 stw r2,16(r22) - 200c: a8800317 ldw r2,12(r21) - 2010: b0800515 stw r2,20(r22) - 2014: 31000a26 beq r6,r4,2040 <_realloc_r+0x4e4> - 2018: b0800604 addi r2,r22,24 - 201c: ad400404 addi r21,r21,16 - 2020: 003fa906 br 1ec8 <__alt_data_end+0xfffe1ec8> - 2024: a9000417 ldw r4,16(r21) - 2028: 90800604 addi r2,r18,24 - 202c: a8c00604 addi r3,r21,24 - 2030: 91000415 stw r4,16(r18) - 2034: a9000517 ldw r4,20(r21) - 2038: 91000515 stw r4,20(r18) - 203c: 003f0406 br 1c50 <__alt_data_end+0xfffe1c50> - 2040: a8c00417 ldw r3,16(r21) - 2044: ad400604 addi r21,r21,24 - 2048: b0800804 addi r2,r22,32 - 204c: b0c00615 stw r3,24(r22) - 2050: a8ffff17 ldw r3,-4(r21) - 2054: b0c00715 stw r3,28(r22) - 2058: 003f9b06 br 1ec8 <__alt_data_end+0xfffe1ec8> - 205c: 9005883a mov r2,r18 - 2060: 003fc706 br 1f80 <__alt_data_end+0xfffe1f80> - 2064: a80b883a mov r5,r21 - 2068: 9009883a mov r4,r18 - 206c: 00018d80 call 18d8 - 2070: 003fc906 br 1f98 <__alt_data_end+0xfffe1f98> - 2074: a8800217 ldw r2,8(r21) - 2078: b0800415 stw r2,16(r22) - 207c: a8800317 ldw r2,12(r21) - 2080: b0800515 stw r2,20(r22) - 2084: 31000726 beq r6,r4,20a4 <_realloc_r+0x548> - 2088: b0800604 addi r2,r22,24 - 208c: ad400404 addi r21,r21,16 - 2090: 003fbb06 br 1f80 <__alt_data_end+0xfffe1f80> - 2094: a009883a mov r4,r20 - 2098: 000363c0 call 363c <__malloc_unlock> - 209c: 0005883a mov r2,zero - 20a0: 003f0a06 br 1ccc <__alt_data_end+0xfffe1ccc> - 20a4: a8c00417 ldw r3,16(r21) - 20a8: ad400604 addi r21,r21,24 - 20ac: b0800804 addi r2,r22,32 - 20b0: b0c00615 stw r3,24(r22) - 20b4: a8ffff17 ldw r3,-4(r21) - 20b8: b0c00715 stw r3,28(r22) - 20bc: 003fb006 br 1f80 <__alt_data_end+0xfffe1f80> +00001b60 <_realloc_r>: + 1b60: defff604 addi sp,sp,-40 + 1b64: dc800215 stw r18,8(sp) + 1b68: dfc00915 stw ra,36(sp) + 1b6c: df000815 stw fp,32(sp) + 1b70: ddc00715 stw r23,28(sp) + 1b74: dd800615 stw r22,24(sp) + 1b78: dd400515 stw r21,20(sp) + 1b7c: dd000415 stw r20,16(sp) + 1b80: dcc00315 stw r19,12(sp) + 1b84: dc400115 stw r17,4(sp) + 1b88: dc000015 stw r16,0(sp) + 1b8c: 3025883a mov r18,r6 + 1b90: 2800b726 beq r5,zero,1e70 <_realloc_r+0x310> + 1b94: 282b883a mov r21,r5 + 1b98: 2029883a mov r20,r4 + 1b9c: 000361c0 call 361c <__malloc_lock> + 1ba0: a8bfff17 ldw r2,-4(r21) + 1ba4: 043fff04 movi r16,-4 + 1ba8: 90c002c4 addi r3,r18,11 + 1bac: 01000584 movi r4,22 + 1bb0: acfffe04 addi r19,r21,-8 + 1bb4: 1420703a and r16,r2,r16 + 1bb8: 20c0332e bgeu r4,r3,1c88 <_realloc_r+0x128> + 1bbc: 047ffe04 movi r17,-8 + 1bc0: 1c62703a and r17,r3,r17 + 1bc4: 8807883a mov r3,r17 + 1bc8: 88005816 blt r17,zero,1d2c <_realloc_r+0x1cc> + 1bcc: 8c805736 bltu r17,r18,1d2c <_realloc_r+0x1cc> + 1bd0: 80c0300e bge r16,r3,1c94 <_realloc_r+0x134> + 1bd4: 07000034 movhi fp,0 + 1bd8: e7172004 addi fp,fp,23680 + 1bdc: e1c00217 ldw r7,8(fp) + 1be0: 9c09883a add r4,r19,r16 + 1be4: 22000117 ldw r8,4(r4) + 1be8: 21c06326 beq r4,r7,1d78 <_realloc_r+0x218> + 1bec: 017fff84 movi r5,-2 + 1bf0: 414a703a and r5,r8,r5 + 1bf4: 214b883a add r5,r4,r5 + 1bf8: 29800117 ldw r6,4(r5) + 1bfc: 3180004c andi r6,r6,1 + 1c00: 30003f26 beq r6,zero,1d00 <_realloc_r+0x1a0> + 1c04: 1080004c andi r2,r2,1 + 1c08: 10008326 beq r2,zero,1e18 <_realloc_r+0x2b8> + 1c0c: 900b883a mov r5,r18 + 1c10: a009883a mov r4,r20 + 1c14: 0000ea40 call ea4 <_malloc_r> + 1c18: 1025883a mov r18,r2 + 1c1c: 10011e26 beq r2,zero,2098 <_realloc_r+0x538> + 1c20: a93fff17 ldw r4,-4(r21) + 1c24: 10fffe04 addi r3,r2,-8 + 1c28: 00bfff84 movi r2,-2 + 1c2c: 2084703a and r2,r4,r2 + 1c30: 9885883a add r2,r19,r2 + 1c34: 1880ee26 beq r3,r2,1ff0 <_realloc_r+0x490> + 1c38: 81bfff04 addi r6,r16,-4 + 1c3c: 00800904 movi r2,36 + 1c40: 1180b836 bltu r2,r6,1f24 <_realloc_r+0x3c4> + 1c44: 00c004c4 movi r3,19 + 1c48: 19809636 bltu r3,r6,1ea4 <_realloc_r+0x344> + 1c4c: 9005883a mov r2,r18 + 1c50: a807883a mov r3,r21 + 1c54: 19000017 ldw r4,0(r3) + 1c58: 11000015 stw r4,0(r2) + 1c5c: 19000117 ldw r4,4(r3) + 1c60: 11000115 stw r4,4(r2) + 1c64: 18c00217 ldw r3,8(r3) + 1c68: 10c00215 stw r3,8(r2) + 1c6c: a80b883a mov r5,r21 + 1c70: a009883a mov r4,r20 + 1c74: 000292c0 call 292c <_free_r> + 1c78: a009883a mov r4,r20 + 1c7c: 00036400 call 3640 <__malloc_unlock> + 1c80: 9005883a mov r2,r18 + 1c84: 00001206 br 1cd0 <_realloc_r+0x170> + 1c88: 00c00404 movi r3,16 + 1c8c: 1823883a mov r17,r3 + 1c90: 003fce06 br 1bcc <__alt_data_end+0xfffe1bcc> + 1c94: a825883a mov r18,r21 + 1c98: 8445c83a sub r2,r16,r17 + 1c9c: 00c003c4 movi r3,15 + 1ca0: 18802636 bltu r3,r2,1d3c <_realloc_r+0x1dc> + 1ca4: 99800117 ldw r6,4(r19) + 1ca8: 9c07883a add r3,r19,r16 + 1cac: 3180004c andi r6,r6,1 + 1cb0: 3420b03a or r16,r6,r16 + 1cb4: 9c000115 stw r16,4(r19) + 1cb8: 18800117 ldw r2,4(r3) + 1cbc: 10800054 ori r2,r2,1 + 1cc0: 18800115 stw r2,4(r3) + 1cc4: a009883a mov r4,r20 + 1cc8: 00036400 call 3640 <__malloc_unlock> + 1ccc: 9005883a mov r2,r18 + 1cd0: dfc00917 ldw ra,36(sp) + 1cd4: df000817 ldw fp,32(sp) + 1cd8: ddc00717 ldw r23,28(sp) + 1cdc: dd800617 ldw r22,24(sp) + 1ce0: dd400517 ldw r21,20(sp) + 1ce4: dd000417 ldw r20,16(sp) + 1ce8: dcc00317 ldw r19,12(sp) + 1cec: dc800217 ldw r18,8(sp) + 1cf0: dc400117 ldw r17,4(sp) + 1cf4: dc000017 ldw r16,0(sp) + 1cf8: dec00a04 addi sp,sp,40 + 1cfc: f800283a ret + 1d00: 017fff04 movi r5,-4 + 1d04: 414a703a and r5,r8,r5 + 1d08: 814d883a add r6,r16,r5 + 1d0c: 30c01f16 blt r6,r3,1d8c <_realloc_r+0x22c> + 1d10: 20800317 ldw r2,12(r4) + 1d14: 20c00217 ldw r3,8(r4) + 1d18: a825883a mov r18,r21 + 1d1c: 3021883a mov r16,r6 + 1d20: 18800315 stw r2,12(r3) + 1d24: 10c00215 stw r3,8(r2) + 1d28: 003fdb06 br 1c98 <__alt_data_end+0xfffe1c98> + 1d2c: 00800304 movi r2,12 + 1d30: a0800015 stw r2,0(r20) + 1d34: 0005883a mov r2,zero + 1d38: 003fe506 br 1cd0 <__alt_data_end+0xfffe1cd0> + 1d3c: 98c00117 ldw r3,4(r19) + 1d40: 9c4b883a add r5,r19,r17 + 1d44: 11000054 ori r4,r2,1 + 1d48: 18c0004c andi r3,r3,1 + 1d4c: 1c62b03a or r17,r3,r17 + 1d50: 9c400115 stw r17,4(r19) + 1d54: 29000115 stw r4,4(r5) + 1d58: 2885883a add r2,r5,r2 + 1d5c: 10c00117 ldw r3,4(r2) + 1d60: 29400204 addi r5,r5,8 + 1d64: a009883a mov r4,r20 + 1d68: 18c00054 ori r3,r3,1 + 1d6c: 10c00115 stw r3,4(r2) + 1d70: 000292c0 call 292c <_free_r> + 1d74: 003fd306 br 1cc4 <__alt_data_end+0xfffe1cc4> + 1d78: 017fff04 movi r5,-4 + 1d7c: 414a703a and r5,r8,r5 + 1d80: 89800404 addi r6,r17,16 + 1d84: 8151883a add r8,r16,r5 + 1d88: 4180590e bge r8,r6,1ef0 <_realloc_r+0x390> + 1d8c: 1080004c andi r2,r2,1 + 1d90: 103f9e1e bne r2,zero,1c0c <__alt_data_end+0xfffe1c0c> + 1d94: adbffe17 ldw r22,-8(r21) + 1d98: 00bfff04 movi r2,-4 + 1d9c: 9dadc83a sub r22,r19,r22 + 1da0: b1800117 ldw r6,4(r22) + 1da4: 3084703a and r2,r6,r2 + 1da8: 20002026 beq r4,zero,1e2c <_realloc_r+0x2cc> + 1dac: 80af883a add r23,r16,r2 + 1db0: b96f883a add r23,r23,r5 + 1db4: 21c05f26 beq r4,r7,1f34 <_realloc_r+0x3d4> + 1db8: b8c01c16 blt r23,r3,1e2c <_realloc_r+0x2cc> + 1dbc: 20800317 ldw r2,12(r4) + 1dc0: 20c00217 ldw r3,8(r4) + 1dc4: 81bfff04 addi r6,r16,-4 + 1dc8: 01000904 movi r4,36 + 1dcc: 18800315 stw r2,12(r3) + 1dd0: 10c00215 stw r3,8(r2) + 1dd4: b0c00217 ldw r3,8(r22) + 1dd8: b0800317 ldw r2,12(r22) + 1ddc: b4800204 addi r18,r22,8 + 1de0: 18800315 stw r2,12(r3) + 1de4: 10c00215 stw r3,8(r2) + 1de8: 21801b36 bltu r4,r6,1e58 <_realloc_r+0x2f8> + 1dec: 008004c4 movi r2,19 + 1df0: 1180352e bgeu r2,r6,1ec8 <_realloc_r+0x368> + 1df4: a8800017 ldw r2,0(r21) + 1df8: b0800215 stw r2,8(r22) + 1dfc: a8800117 ldw r2,4(r21) + 1e00: b0800315 stw r2,12(r22) + 1e04: 008006c4 movi r2,27 + 1e08: 11807f36 bltu r2,r6,2008 <_realloc_r+0x4a8> + 1e0c: b0800404 addi r2,r22,16 + 1e10: ad400204 addi r21,r21,8 + 1e14: 00002d06 br 1ecc <_realloc_r+0x36c> + 1e18: adbffe17 ldw r22,-8(r21) + 1e1c: 00bfff04 movi r2,-4 + 1e20: 9dadc83a sub r22,r19,r22 + 1e24: b1000117 ldw r4,4(r22) + 1e28: 2084703a and r2,r4,r2 + 1e2c: b03f7726 beq r22,zero,1c0c <__alt_data_end+0xfffe1c0c> + 1e30: 80af883a add r23,r16,r2 + 1e34: b8ff7516 blt r23,r3,1c0c <__alt_data_end+0xfffe1c0c> + 1e38: b0800317 ldw r2,12(r22) + 1e3c: b0c00217 ldw r3,8(r22) + 1e40: 81bfff04 addi r6,r16,-4 + 1e44: 01000904 movi r4,36 + 1e48: 18800315 stw r2,12(r3) + 1e4c: 10c00215 stw r3,8(r2) + 1e50: b4800204 addi r18,r22,8 + 1e54: 21bfe52e bgeu r4,r6,1dec <__alt_data_end+0xfffe1dec> + 1e58: a80b883a mov r5,r21 + 1e5c: 9009883a mov r4,r18 + 1e60: 00018dc0 call 18dc + 1e64: b821883a mov r16,r23 + 1e68: b027883a mov r19,r22 + 1e6c: 003f8a06 br 1c98 <__alt_data_end+0xfffe1c98> + 1e70: 300b883a mov r5,r6 + 1e74: dfc00917 ldw ra,36(sp) + 1e78: df000817 ldw fp,32(sp) + 1e7c: ddc00717 ldw r23,28(sp) + 1e80: dd800617 ldw r22,24(sp) + 1e84: dd400517 ldw r21,20(sp) + 1e88: dd000417 ldw r20,16(sp) + 1e8c: dcc00317 ldw r19,12(sp) + 1e90: dc800217 ldw r18,8(sp) + 1e94: dc400117 ldw r17,4(sp) + 1e98: dc000017 ldw r16,0(sp) + 1e9c: dec00a04 addi sp,sp,40 + 1ea0: 0000ea41 jmpi ea4 <_malloc_r> + 1ea4: a8c00017 ldw r3,0(r21) + 1ea8: 90c00015 stw r3,0(r18) + 1eac: a8c00117 ldw r3,4(r21) + 1eb0: 90c00115 stw r3,4(r18) + 1eb4: 00c006c4 movi r3,27 + 1eb8: 19804536 bltu r3,r6,1fd0 <_realloc_r+0x470> + 1ebc: 90800204 addi r2,r18,8 + 1ec0: a8c00204 addi r3,r21,8 + 1ec4: 003f6306 br 1c54 <__alt_data_end+0xfffe1c54> + 1ec8: 9005883a mov r2,r18 + 1ecc: a8c00017 ldw r3,0(r21) + 1ed0: b821883a mov r16,r23 + 1ed4: b027883a mov r19,r22 + 1ed8: 10c00015 stw r3,0(r2) + 1edc: a8c00117 ldw r3,4(r21) + 1ee0: 10c00115 stw r3,4(r2) + 1ee4: a8c00217 ldw r3,8(r21) + 1ee8: 10c00215 stw r3,8(r2) + 1eec: 003f6a06 br 1c98 <__alt_data_end+0xfffe1c98> + 1ef0: 9c67883a add r19,r19,r17 + 1ef4: 4445c83a sub r2,r8,r17 + 1ef8: e4c00215 stw r19,8(fp) + 1efc: 10800054 ori r2,r2,1 + 1f00: 98800115 stw r2,4(r19) + 1f04: a8bfff17 ldw r2,-4(r21) + 1f08: a009883a mov r4,r20 + 1f0c: 1080004c andi r2,r2,1 + 1f10: 1462b03a or r17,r2,r17 + 1f14: ac7fff15 stw r17,-4(r21) + 1f18: 00036400 call 3640 <__malloc_unlock> + 1f1c: a805883a mov r2,r21 + 1f20: 003f6b06 br 1cd0 <__alt_data_end+0xfffe1cd0> + 1f24: a80b883a mov r5,r21 + 1f28: 9009883a mov r4,r18 + 1f2c: 00018dc0 call 18dc + 1f30: 003f4e06 br 1c6c <__alt_data_end+0xfffe1c6c> + 1f34: 89000404 addi r4,r17,16 + 1f38: b93fbc16 blt r23,r4,1e2c <__alt_data_end+0xfffe1e2c> + 1f3c: b0800317 ldw r2,12(r22) + 1f40: b0c00217 ldw r3,8(r22) + 1f44: 81bfff04 addi r6,r16,-4 + 1f48: 01000904 movi r4,36 + 1f4c: 18800315 stw r2,12(r3) + 1f50: 10c00215 stw r3,8(r2) + 1f54: b4800204 addi r18,r22,8 + 1f58: 21804336 bltu r4,r6,2068 <_realloc_r+0x508> + 1f5c: 008004c4 movi r2,19 + 1f60: 11803f2e bgeu r2,r6,2060 <_realloc_r+0x500> + 1f64: a8800017 ldw r2,0(r21) + 1f68: b0800215 stw r2,8(r22) + 1f6c: a8800117 ldw r2,4(r21) + 1f70: b0800315 stw r2,12(r22) + 1f74: 008006c4 movi r2,27 + 1f78: 11803f36 bltu r2,r6,2078 <_realloc_r+0x518> + 1f7c: b0800404 addi r2,r22,16 + 1f80: ad400204 addi r21,r21,8 + 1f84: a8c00017 ldw r3,0(r21) + 1f88: 10c00015 stw r3,0(r2) + 1f8c: a8c00117 ldw r3,4(r21) + 1f90: 10c00115 stw r3,4(r2) + 1f94: a8c00217 ldw r3,8(r21) + 1f98: 10c00215 stw r3,8(r2) + 1f9c: b447883a add r3,r22,r17 + 1fa0: bc45c83a sub r2,r23,r17 + 1fa4: e0c00215 stw r3,8(fp) + 1fa8: 10800054 ori r2,r2,1 + 1fac: 18800115 stw r2,4(r3) + 1fb0: b0800117 ldw r2,4(r22) + 1fb4: a009883a mov r4,r20 + 1fb8: 1080004c andi r2,r2,1 + 1fbc: 1462b03a or r17,r2,r17 + 1fc0: b4400115 stw r17,4(r22) + 1fc4: 00036400 call 3640 <__malloc_unlock> + 1fc8: 9005883a mov r2,r18 + 1fcc: 003f4006 br 1cd0 <__alt_data_end+0xfffe1cd0> + 1fd0: a8c00217 ldw r3,8(r21) + 1fd4: 90c00215 stw r3,8(r18) + 1fd8: a8c00317 ldw r3,12(r21) + 1fdc: 90c00315 stw r3,12(r18) + 1fe0: 30801126 beq r6,r2,2028 <_realloc_r+0x4c8> + 1fe4: 90800404 addi r2,r18,16 + 1fe8: a8c00404 addi r3,r21,16 + 1fec: 003f1906 br 1c54 <__alt_data_end+0xfffe1c54> + 1ff0: 90ffff17 ldw r3,-4(r18) + 1ff4: 00bfff04 movi r2,-4 + 1ff8: a825883a mov r18,r21 + 1ffc: 1884703a and r2,r3,r2 + 2000: 80a1883a add r16,r16,r2 + 2004: 003f2406 br 1c98 <__alt_data_end+0xfffe1c98> + 2008: a8800217 ldw r2,8(r21) + 200c: b0800415 stw r2,16(r22) + 2010: a8800317 ldw r2,12(r21) + 2014: b0800515 stw r2,20(r22) + 2018: 31000a26 beq r6,r4,2044 <_realloc_r+0x4e4> + 201c: b0800604 addi r2,r22,24 + 2020: ad400404 addi r21,r21,16 + 2024: 003fa906 br 1ecc <__alt_data_end+0xfffe1ecc> + 2028: a9000417 ldw r4,16(r21) + 202c: 90800604 addi r2,r18,24 + 2030: a8c00604 addi r3,r21,24 + 2034: 91000415 stw r4,16(r18) + 2038: a9000517 ldw r4,20(r21) + 203c: 91000515 stw r4,20(r18) + 2040: 003f0406 br 1c54 <__alt_data_end+0xfffe1c54> + 2044: a8c00417 ldw r3,16(r21) + 2048: ad400604 addi r21,r21,24 + 204c: b0800804 addi r2,r22,32 + 2050: b0c00615 stw r3,24(r22) + 2054: a8ffff17 ldw r3,-4(r21) + 2058: b0c00715 stw r3,28(r22) + 205c: 003f9b06 br 1ecc <__alt_data_end+0xfffe1ecc> + 2060: 9005883a mov r2,r18 + 2064: 003fc706 br 1f84 <__alt_data_end+0xfffe1f84> + 2068: a80b883a mov r5,r21 + 206c: 9009883a mov r4,r18 + 2070: 00018dc0 call 18dc + 2074: 003fc906 br 1f9c <__alt_data_end+0xfffe1f9c> + 2078: a8800217 ldw r2,8(r21) + 207c: b0800415 stw r2,16(r22) + 2080: a8800317 ldw r2,12(r21) + 2084: b0800515 stw r2,20(r22) + 2088: 31000726 beq r6,r4,20a8 <_realloc_r+0x548> + 208c: b0800604 addi r2,r22,24 + 2090: ad400404 addi r21,r21,16 + 2094: 003fbb06 br 1f84 <__alt_data_end+0xfffe1f84> + 2098: a009883a mov r4,r20 + 209c: 00036400 call 3640 <__malloc_unlock> + 20a0: 0005883a mov r2,zero + 20a4: 003f0a06 br 1cd0 <__alt_data_end+0xfffe1cd0> + 20a8: a8c00417 ldw r3,16(r21) + 20ac: ad400604 addi r21,r21,24 + 20b0: b0800804 addi r2,r22,32 + 20b4: b0c00615 stw r3,24(r22) + 20b8: a8ffff17 ldw r3,-4(r21) + 20bc: b0c00715 stw r3,28(r22) + 20c0: 003fb006 br 1f84 <__alt_data_end+0xfffe1f84> -000020c0 <_sbrk_r>: - 20c0: defffd04 addi sp,sp,-12 - 20c4: dc000015 stw r16,0(sp) - 20c8: 04000034 movhi r16,0 - 20cc: dc400115 stw r17,4(sp) - 20d0: 841cb404 addi r16,r16,29392 - 20d4: 2023883a mov r17,r4 - 20d8: 2809883a mov r4,r5 - 20dc: dfc00215 stw ra,8(sp) - 20e0: 80000015 stw zero,0(r16) - 20e4: 00038240 call 3824 - 20e8: 00ffffc4 movi r3,-1 - 20ec: 10c00526 beq r2,r3,2104 <_sbrk_r+0x44> - 20f0: dfc00217 ldw ra,8(sp) - 20f4: dc400117 ldw r17,4(sp) - 20f8: dc000017 ldw r16,0(sp) - 20fc: dec00304 addi sp,sp,12 - 2100: f800283a ret - 2104: 80c00017 ldw r3,0(r16) - 2108: 183ff926 beq r3,zero,20f0 <__alt_data_end+0xfffe20f0> - 210c: 88c00015 stw r3,0(r17) - 2110: 003ff706 br 20f0 <__alt_data_end+0xfffe20f0> +000020c4 <_sbrk_r>: + 20c4: defffd04 addi sp,sp,-12 + 20c8: dc000015 stw r16,0(sp) + 20cc: 04000034 movhi r16,0 + 20d0: dc400115 stw r17,4(sp) + 20d4: 841cb504 addi r16,r16,29396 + 20d8: 2023883a mov r17,r4 + 20dc: 2809883a mov r4,r5 + 20e0: dfc00215 stw ra,8(sp) + 20e4: 80000015 stw zero,0(r16) + 20e8: 00038280 call 3828 + 20ec: 00ffffc4 movi r3,-1 + 20f0: 10c00526 beq r2,r3,2108 <_sbrk_r+0x44> + 20f4: dfc00217 ldw ra,8(sp) + 20f8: dc400117 ldw r17,4(sp) + 20fc: dc000017 ldw r16,0(sp) + 2100: dec00304 addi sp,sp,12 + 2104: f800283a ret + 2108: 80c00017 ldw r3,0(r16) + 210c: 183ff926 beq r3,zero,20f4 <__alt_data_end+0xfffe20f4> + 2110: 88c00015 stw r3,0(r17) + 2114: 003ff706 br 20f4 <__alt_data_end+0xfffe20f4> -00002114 <__sread>: - 2114: defffe04 addi sp,sp,-8 - 2118: dc000015 stw r16,0(sp) - 211c: 2821883a mov r16,r5 - 2120: 2940038f ldh r5,14(r5) - 2124: dfc00115 stw ra,4(sp) - 2128: 0002e540 call 2e54 <_read_r> - 212c: 10000716 blt r2,zero,214c <__sread+0x38> - 2130: 80c01417 ldw r3,80(r16) - 2134: 1887883a add r3,r3,r2 - 2138: 80c01415 stw r3,80(r16) - 213c: dfc00117 ldw ra,4(sp) - 2140: dc000017 ldw r16,0(sp) - 2144: dec00204 addi sp,sp,8 - 2148: f800283a ret - 214c: 80c0030b ldhu r3,12(r16) - 2150: 18fbffcc andi r3,r3,61439 - 2154: 80c0030d sth r3,12(r16) - 2158: dfc00117 ldw ra,4(sp) - 215c: dc000017 ldw r16,0(sp) - 2160: dec00204 addi sp,sp,8 - 2164: f800283a ret +00002118 <__sread>: + 2118: defffe04 addi sp,sp,-8 + 211c: dc000015 stw r16,0(sp) + 2120: 2821883a mov r16,r5 + 2124: 2940038f ldh r5,14(r5) + 2128: dfc00115 stw ra,4(sp) + 212c: 0002e580 call 2e58 <_read_r> + 2130: 10000716 blt r2,zero,2150 <__sread+0x38> + 2134: 80c01417 ldw r3,80(r16) + 2138: 1887883a add r3,r3,r2 + 213c: 80c01415 stw r3,80(r16) + 2140: dfc00117 ldw ra,4(sp) + 2144: dc000017 ldw r16,0(sp) + 2148: dec00204 addi sp,sp,8 + 214c: f800283a ret + 2150: 80c0030b ldhu r3,12(r16) + 2154: 18fbffcc andi r3,r3,61439 + 2158: 80c0030d sth r3,12(r16) + 215c: dfc00117 ldw ra,4(sp) + 2160: dc000017 ldw r16,0(sp) + 2164: dec00204 addi sp,sp,8 + 2168: f800283a ret -00002168 <__seofread>: - 2168: 0005883a mov r2,zero - 216c: f800283a ret +0000216c <__seofread>: + 216c: 0005883a mov r2,zero + 2170: f800283a ret -00002170 <__swrite>: - 2170: 2880030b ldhu r2,12(r5) - 2174: defffb04 addi sp,sp,-20 - 2178: dcc00315 stw r19,12(sp) - 217c: dc800215 stw r18,8(sp) - 2180: dc400115 stw r17,4(sp) - 2184: dc000015 stw r16,0(sp) - 2188: dfc00415 stw ra,16(sp) - 218c: 10c0400c andi r3,r2,256 - 2190: 2821883a mov r16,r5 - 2194: 2023883a mov r17,r4 - 2198: 3025883a mov r18,r6 - 219c: 3827883a mov r19,r7 - 21a0: 18000526 beq r3,zero,21b8 <__swrite+0x48> - 21a4: 2940038f ldh r5,14(r5) - 21a8: 01c00084 movi r7,2 - 21ac: 000d883a mov r6,zero - 21b0: 0002c380 call 2c38 <_lseek_r> - 21b4: 8080030b ldhu r2,12(r16) - 21b8: 8140038f ldh r5,14(r16) - 21bc: 10bbffcc andi r2,r2,61439 - 21c0: 980f883a mov r7,r19 - 21c4: 900d883a mov r6,r18 - 21c8: 8809883a mov r4,r17 - 21cc: 8080030d sth r2,12(r16) - 21d0: dfc00417 ldw ra,16(sp) - 21d4: dcc00317 ldw r19,12(sp) - 21d8: dc800217 ldw r18,8(sp) - 21dc: dc400117 ldw r17,4(sp) - 21e0: dc000017 ldw r16,0(sp) - 21e4: dec00504 addi sp,sp,20 - 21e8: 00022501 jmpi 2250 <_write_r> +00002174 <__swrite>: + 2174: 2880030b ldhu r2,12(r5) + 2178: defffb04 addi sp,sp,-20 + 217c: dcc00315 stw r19,12(sp) + 2180: dc800215 stw r18,8(sp) + 2184: dc400115 stw r17,4(sp) + 2188: dc000015 stw r16,0(sp) + 218c: dfc00415 stw ra,16(sp) + 2190: 10c0400c andi r3,r2,256 + 2194: 2821883a mov r16,r5 + 2198: 2023883a mov r17,r4 + 219c: 3025883a mov r18,r6 + 21a0: 3827883a mov r19,r7 + 21a4: 18000526 beq r3,zero,21bc <__swrite+0x48> + 21a8: 2940038f ldh r5,14(r5) + 21ac: 01c00084 movi r7,2 + 21b0: 000d883a mov r6,zero + 21b4: 0002c3c0 call 2c3c <_lseek_r> + 21b8: 8080030b ldhu r2,12(r16) + 21bc: 8140038f ldh r5,14(r16) + 21c0: 10bbffcc andi r2,r2,61439 + 21c4: 980f883a mov r7,r19 + 21c8: 900d883a mov r6,r18 + 21cc: 8809883a mov r4,r17 + 21d0: 8080030d sth r2,12(r16) + 21d4: dfc00417 ldw ra,16(sp) + 21d8: dcc00317 ldw r19,12(sp) + 21dc: dc800217 ldw r18,8(sp) + 21e0: dc400117 ldw r17,4(sp) + 21e4: dc000017 ldw r16,0(sp) + 21e8: dec00504 addi sp,sp,20 + 21ec: 00022541 jmpi 2254 <_write_r> -000021ec <__sseek>: - 21ec: defffe04 addi sp,sp,-8 - 21f0: dc000015 stw r16,0(sp) - 21f4: 2821883a mov r16,r5 - 21f8: 2940038f ldh r5,14(r5) - 21fc: dfc00115 stw ra,4(sp) - 2200: 0002c380 call 2c38 <_lseek_r> - 2204: 00ffffc4 movi r3,-1 - 2208: 10c00826 beq r2,r3,222c <__sseek+0x40> - 220c: 80c0030b ldhu r3,12(r16) - 2210: 80801415 stw r2,80(r16) - 2214: 18c40014 ori r3,r3,4096 - 2218: 80c0030d sth r3,12(r16) - 221c: dfc00117 ldw ra,4(sp) - 2220: dc000017 ldw r16,0(sp) - 2224: dec00204 addi sp,sp,8 - 2228: f800283a ret - 222c: 80c0030b ldhu r3,12(r16) - 2230: 18fbffcc andi r3,r3,61439 - 2234: 80c0030d sth r3,12(r16) - 2238: dfc00117 ldw ra,4(sp) - 223c: dc000017 ldw r16,0(sp) - 2240: dec00204 addi sp,sp,8 - 2244: f800283a ret +000021f0 <__sseek>: + 21f0: defffe04 addi sp,sp,-8 + 21f4: dc000015 stw r16,0(sp) + 21f8: 2821883a mov r16,r5 + 21fc: 2940038f ldh r5,14(r5) + 2200: dfc00115 stw ra,4(sp) + 2204: 0002c3c0 call 2c3c <_lseek_r> + 2208: 00ffffc4 movi r3,-1 + 220c: 10c00826 beq r2,r3,2230 <__sseek+0x40> + 2210: 80c0030b ldhu r3,12(r16) + 2214: 80801415 stw r2,80(r16) + 2218: 18c40014 ori r3,r3,4096 + 221c: 80c0030d sth r3,12(r16) + 2220: dfc00117 ldw ra,4(sp) + 2224: dc000017 ldw r16,0(sp) + 2228: dec00204 addi sp,sp,8 + 222c: f800283a ret + 2230: 80c0030b ldhu r3,12(r16) + 2234: 18fbffcc andi r3,r3,61439 + 2238: 80c0030d sth r3,12(r16) + 223c: dfc00117 ldw ra,4(sp) + 2240: dc000017 ldw r16,0(sp) + 2244: dec00204 addi sp,sp,8 + 2248: f800283a ret -00002248 <__sclose>: - 2248: 2940038f ldh r5,14(r5) - 224c: 00024041 jmpi 2404 <_close_r> +0000224c <__sclose>: + 224c: 2940038f ldh r5,14(r5) + 2250: 00024081 jmpi 2408 <_close_r> -00002250 <_write_r>: - 2250: defffd04 addi sp,sp,-12 - 2254: 2805883a mov r2,r5 - 2258: dc000015 stw r16,0(sp) - 225c: 04000034 movhi r16,0 - 2260: dc400115 stw r17,4(sp) - 2264: 300b883a mov r5,r6 - 2268: 841cb404 addi r16,r16,29392 - 226c: 2023883a mov r17,r4 - 2270: 380d883a mov r6,r7 - 2274: 1009883a mov r4,r2 - 2278: dfc00215 stw ra,8(sp) - 227c: 80000015 stw zero,0(r16) - 2280: 00039100 call 3910 - 2284: 00ffffc4 movi r3,-1 - 2288: 10c00526 beq r2,r3,22a0 <_write_r+0x50> - 228c: dfc00217 ldw ra,8(sp) - 2290: dc400117 ldw r17,4(sp) - 2294: dc000017 ldw r16,0(sp) - 2298: dec00304 addi sp,sp,12 - 229c: f800283a ret - 22a0: 80c00017 ldw r3,0(r16) - 22a4: 183ff926 beq r3,zero,228c <__alt_data_end+0xfffe228c> - 22a8: 88c00015 stw r3,0(r17) - 22ac: 003ff706 br 228c <__alt_data_end+0xfffe228c> +00002254 <_write_r>: + 2254: defffd04 addi sp,sp,-12 + 2258: 2805883a mov r2,r5 + 225c: dc000015 stw r16,0(sp) + 2260: 04000034 movhi r16,0 + 2264: dc400115 stw r17,4(sp) + 2268: 300b883a mov r5,r6 + 226c: 841cb504 addi r16,r16,29396 + 2270: 2023883a mov r17,r4 + 2274: 380d883a mov r6,r7 + 2278: 1009883a mov r4,r2 + 227c: dfc00215 stw ra,8(sp) + 2280: 80000015 stw zero,0(r16) + 2284: 00039140 call 3914 + 2288: 00ffffc4 movi r3,-1 + 228c: 10c00526 beq r2,r3,22a4 <_write_r+0x50> + 2290: dfc00217 ldw ra,8(sp) + 2294: dc400117 ldw r17,4(sp) + 2298: dc000017 ldw r16,0(sp) + 229c: dec00304 addi sp,sp,12 + 22a0: f800283a ret + 22a4: 80c00017 ldw r3,0(r16) + 22a8: 183ff926 beq r3,zero,2290 <__alt_data_end+0xfffe2290> + 22ac: 88c00015 stw r3,0(r17) + 22b0: 003ff706 br 2290 <__alt_data_end+0xfffe2290> -000022b0 <__swsetup_r>: - 22b0: 00800034 movhi r2,0 - 22b4: defffd04 addi sp,sp,-12 - 22b8: 109ca404 addi r2,r2,29328 - 22bc: dc400115 stw r17,4(sp) - 22c0: 2023883a mov r17,r4 - 22c4: 11000017 ldw r4,0(r2) - 22c8: dc000015 stw r16,0(sp) - 22cc: dfc00215 stw ra,8(sp) - 22d0: 2821883a mov r16,r5 - 22d4: 20000226 beq r4,zero,22e0 <__swsetup_r+0x30> - 22d8: 20800e17 ldw r2,56(r4) - 22dc: 10003126 beq r2,zero,23a4 <__swsetup_r+0xf4> - 22e0: 8080030b ldhu r2,12(r16) - 22e4: 10c0020c andi r3,r2,8 - 22e8: 1009883a mov r4,r2 - 22ec: 18000f26 beq r3,zero,232c <__swsetup_r+0x7c> - 22f0: 80c00417 ldw r3,16(r16) - 22f4: 18001526 beq r3,zero,234c <__swsetup_r+0x9c> - 22f8: 1100004c andi r4,r2,1 - 22fc: 20001c1e bne r4,zero,2370 <__swsetup_r+0xc0> - 2300: 1080008c andi r2,r2,2 - 2304: 1000291e bne r2,zero,23ac <__swsetup_r+0xfc> - 2308: 80800517 ldw r2,20(r16) - 230c: 80800215 stw r2,8(r16) - 2310: 18001c26 beq r3,zero,2384 <__swsetup_r+0xd4> - 2314: 0005883a mov r2,zero - 2318: dfc00217 ldw ra,8(sp) - 231c: dc400117 ldw r17,4(sp) - 2320: dc000017 ldw r16,0(sp) - 2324: dec00304 addi sp,sp,12 - 2328: f800283a ret - 232c: 2080040c andi r2,r4,16 - 2330: 10002e26 beq r2,zero,23ec <__swsetup_r+0x13c> - 2334: 2080010c andi r2,r4,4 - 2338: 10001e1e bne r2,zero,23b4 <__swsetup_r+0x104> - 233c: 80c00417 ldw r3,16(r16) - 2340: 20800214 ori r2,r4,8 - 2344: 8080030d sth r2,12(r16) - 2348: 183feb1e bne r3,zero,22f8 <__alt_data_end+0xfffe22f8> - 234c: 1100a00c andi r4,r2,640 - 2350: 01408004 movi r5,512 - 2354: 217fe826 beq r4,r5,22f8 <__alt_data_end+0xfffe22f8> - 2358: 800b883a mov r5,r16 - 235c: 8809883a mov r4,r17 - 2360: 0002c980 call 2c98 <__smakebuf_r> - 2364: 8080030b ldhu r2,12(r16) - 2368: 80c00417 ldw r3,16(r16) - 236c: 003fe206 br 22f8 <__alt_data_end+0xfffe22f8> - 2370: 80800517 ldw r2,20(r16) - 2374: 80000215 stw zero,8(r16) - 2378: 0085c83a sub r2,zero,r2 - 237c: 80800615 stw r2,24(r16) - 2380: 183fe41e bne r3,zero,2314 <__alt_data_end+0xfffe2314> - 2384: 80c0030b ldhu r3,12(r16) - 2388: 0005883a mov r2,zero - 238c: 1900200c andi r4,r3,128 - 2390: 203fe126 beq r4,zero,2318 <__alt_data_end+0xfffe2318> - 2394: 18c01014 ori r3,r3,64 - 2398: 80c0030d sth r3,12(r16) - 239c: 00bfffc4 movi r2,-1 - 23a0: 003fdd06 br 2318 <__alt_data_end+0xfffe2318> - 23a4: 00008000 call 800 <__sinit> - 23a8: 003fcd06 br 22e0 <__alt_data_end+0xfffe22e0> - 23ac: 0005883a mov r2,zero - 23b0: 003fd606 br 230c <__alt_data_end+0xfffe230c> - 23b4: 81400c17 ldw r5,48(r16) - 23b8: 28000626 beq r5,zero,23d4 <__swsetup_r+0x124> - 23bc: 80801004 addi r2,r16,64 - 23c0: 28800326 beq r5,r2,23d0 <__swsetup_r+0x120> - 23c4: 8809883a mov r4,r17 - 23c8: 00029280 call 2928 <_free_r> - 23cc: 8100030b ldhu r4,12(r16) - 23d0: 80000c15 stw zero,48(r16) - 23d4: 80c00417 ldw r3,16(r16) - 23d8: 00bff6c4 movi r2,-37 - 23dc: 1108703a and r4,r2,r4 - 23e0: 80000115 stw zero,4(r16) - 23e4: 80c00015 stw r3,0(r16) - 23e8: 003fd506 br 2340 <__alt_data_end+0xfffe2340> - 23ec: 00800244 movi r2,9 - 23f0: 88800015 stw r2,0(r17) - 23f4: 20801014 ori r2,r4,64 - 23f8: 8080030d sth r2,12(r16) - 23fc: 00bfffc4 movi r2,-1 - 2400: 003fc506 br 2318 <__alt_data_end+0xfffe2318> +000022b4 <__swsetup_r>: + 22b4: 00800034 movhi r2,0 + 22b8: defffd04 addi sp,sp,-12 + 22bc: 109ca504 addi r2,r2,29332 + 22c0: dc400115 stw r17,4(sp) + 22c4: 2023883a mov r17,r4 + 22c8: 11000017 ldw r4,0(r2) + 22cc: dc000015 stw r16,0(sp) + 22d0: dfc00215 stw ra,8(sp) + 22d4: 2821883a mov r16,r5 + 22d8: 20000226 beq r4,zero,22e4 <__swsetup_r+0x30> + 22dc: 20800e17 ldw r2,56(r4) + 22e0: 10003126 beq r2,zero,23a8 <__swsetup_r+0xf4> + 22e4: 8080030b ldhu r2,12(r16) + 22e8: 10c0020c andi r3,r2,8 + 22ec: 1009883a mov r4,r2 + 22f0: 18000f26 beq r3,zero,2330 <__swsetup_r+0x7c> + 22f4: 80c00417 ldw r3,16(r16) + 22f8: 18001526 beq r3,zero,2350 <__swsetup_r+0x9c> + 22fc: 1100004c andi r4,r2,1 + 2300: 20001c1e bne r4,zero,2374 <__swsetup_r+0xc0> + 2304: 1080008c andi r2,r2,2 + 2308: 1000291e bne r2,zero,23b0 <__swsetup_r+0xfc> + 230c: 80800517 ldw r2,20(r16) + 2310: 80800215 stw r2,8(r16) + 2314: 18001c26 beq r3,zero,2388 <__swsetup_r+0xd4> + 2318: 0005883a mov r2,zero + 231c: dfc00217 ldw ra,8(sp) + 2320: dc400117 ldw r17,4(sp) + 2324: dc000017 ldw r16,0(sp) + 2328: dec00304 addi sp,sp,12 + 232c: f800283a ret + 2330: 2080040c andi r2,r4,16 + 2334: 10002e26 beq r2,zero,23f0 <__swsetup_r+0x13c> + 2338: 2080010c andi r2,r4,4 + 233c: 10001e1e bne r2,zero,23b8 <__swsetup_r+0x104> + 2340: 80c00417 ldw r3,16(r16) + 2344: 20800214 ori r2,r4,8 + 2348: 8080030d sth r2,12(r16) + 234c: 183feb1e bne r3,zero,22fc <__alt_data_end+0xfffe22fc> + 2350: 1100a00c andi r4,r2,640 + 2354: 01408004 movi r5,512 + 2358: 217fe826 beq r4,r5,22fc <__alt_data_end+0xfffe22fc> + 235c: 800b883a mov r5,r16 + 2360: 8809883a mov r4,r17 + 2364: 0002c9c0 call 2c9c <__smakebuf_r> + 2368: 8080030b ldhu r2,12(r16) + 236c: 80c00417 ldw r3,16(r16) + 2370: 003fe206 br 22fc <__alt_data_end+0xfffe22fc> + 2374: 80800517 ldw r2,20(r16) + 2378: 80000215 stw zero,8(r16) + 237c: 0085c83a sub r2,zero,r2 + 2380: 80800615 stw r2,24(r16) + 2384: 183fe41e bne r3,zero,2318 <__alt_data_end+0xfffe2318> + 2388: 80c0030b ldhu r3,12(r16) + 238c: 0005883a mov r2,zero + 2390: 1900200c andi r4,r3,128 + 2394: 203fe126 beq r4,zero,231c <__alt_data_end+0xfffe231c> + 2398: 18c01014 ori r3,r3,64 + 239c: 80c0030d sth r3,12(r16) + 23a0: 00bfffc4 movi r2,-1 + 23a4: 003fdd06 br 231c <__alt_data_end+0xfffe231c> + 23a8: 00008040 call 804 <__sinit> + 23ac: 003fcd06 br 22e4 <__alt_data_end+0xfffe22e4> + 23b0: 0005883a mov r2,zero + 23b4: 003fd606 br 2310 <__alt_data_end+0xfffe2310> + 23b8: 81400c17 ldw r5,48(r16) + 23bc: 28000626 beq r5,zero,23d8 <__swsetup_r+0x124> + 23c0: 80801004 addi r2,r16,64 + 23c4: 28800326 beq r5,r2,23d4 <__swsetup_r+0x120> + 23c8: 8809883a mov r4,r17 + 23cc: 000292c0 call 292c <_free_r> + 23d0: 8100030b ldhu r4,12(r16) + 23d4: 80000c15 stw zero,48(r16) + 23d8: 80c00417 ldw r3,16(r16) + 23dc: 00bff6c4 movi r2,-37 + 23e0: 1108703a and r4,r2,r4 + 23e4: 80000115 stw zero,4(r16) + 23e8: 80c00015 stw r3,0(r16) + 23ec: 003fd506 br 2344 <__alt_data_end+0xfffe2344> + 23f0: 00800244 movi r2,9 + 23f4: 88800015 stw r2,0(r17) + 23f8: 20801014 ori r2,r4,64 + 23fc: 8080030d sth r2,12(r16) + 2400: 00bfffc4 movi r2,-1 + 2404: 003fc506 br 231c <__alt_data_end+0xfffe231c> -00002404 <_close_r>: - 2404: defffd04 addi sp,sp,-12 - 2408: dc000015 stw r16,0(sp) - 240c: 04000034 movhi r16,0 - 2410: dc400115 stw r17,4(sp) - 2414: 841cb404 addi r16,r16,29392 - 2418: 2023883a mov r17,r4 - 241c: 2809883a mov r4,r5 - 2420: dfc00215 stw ra,8(sp) - 2424: 80000015 stw zero,0(r16) - 2428: 000317c0 call 317c - 242c: 00ffffc4 movi r3,-1 - 2430: 10c00526 beq r2,r3,2448 <_close_r+0x44> - 2434: dfc00217 ldw ra,8(sp) - 2438: dc400117 ldw r17,4(sp) - 243c: dc000017 ldw r16,0(sp) - 2440: dec00304 addi sp,sp,12 - 2444: f800283a ret - 2448: 80c00017 ldw r3,0(r16) - 244c: 183ff926 beq r3,zero,2434 <__alt_data_end+0xfffe2434> - 2450: 88c00015 stw r3,0(r17) - 2454: 003ff706 br 2434 <__alt_data_end+0xfffe2434> +00002408 <_close_r>: + 2408: defffd04 addi sp,sp,-12 + 240c: dc000015 stw r16,0(sp) + 2410: 04000034 movhi r16,0 + 2414: dc400115 stw r17,4(sp) + 2418: 841cb504 addi r16,r16,29396 + 241c: 2023883a mov r17,r4 + 2420: 2809883a mov r4,r5 + 2424: dfc00215 stw ra,8(sp) + 2428: 80000015 stw zero,0(r16) + 242c: 00031800 call 3180 + 2430: 00ffffc4 movi r3,-1 + 2434: 10c00526 beq r2,r3,244c <_close_r+0x44> + 2438: dfc00217 ldw ra,8(sp) + 243c: dc400117 ldw r17,4(sp) + 2440: dc000017 ldw r16,0(sp) + 2444: dec00304 addi sp,sp,12 + 2448: f800283a ret + 244c: 80c00017 ldw r3,0(r16) + 2450: 183ff926 beq r3,zero,2438 <__alt_data_end+0xfffe2438> + 2454: 88c00015 stw r3,0(r17) + 2458: 003ff706 br 2438 <__alt_data_end+0xfffe2438> -00002458 <_fclose_r>: - 2458: 28003926 beq r5,zero,2540 <_fclose_r+0xe8> - 245c: defffc04 addi sp,sp,-16 - 2460: dc400115 stw r17,4(sp) - 2464: dc000015 stw r16,0(sp) - 2468: dfc00315 stw ra,12(sp) - 246c: dc800215 stw r18,8(sp) - 2470: 2023883a mov r17,r4 - 2474: 2821883a mov r16,r5 - 2478: 20000226 beq r4,zero,2484 <_fclose_r+0x2c> - 247c: 20800e17 ldw r2,56(r4) - 2480: 10002726 beq r2,zero,2520 <_fclose_r+0xc8> - 2484: 8080030f ldh r2,12(r16) - 2488: 1000071e bne r2,zero,24a8 <_fclose_r+0x50> - 248c: 0005883a mov r2,zero - 2490: dfc00317 ldw ra,12(sp) - 2494: dc800217 ldw r18,8(sp) - 2498: dc400117 ldw r17,4(sp) - 249c: dc000017 ldw r16,0(sp) - 24a0: dec00404 addi sp,sp,16 - 24a4: f800283a ret - 24a8: 800b883a mov r5,r16 - 24ac: 8809883a mov r4,r17 - 24b0: 000255c0 call 255c <__sflush_r> - 24b4: 1025883a mov r18,r2 - 24b8: 80800b17 ldw r2,44(r16) - 24bc: 10000426 beq r2,zero,24d0 <_fclose_r+0x78> - 24c0: 81400717 ldw r5,28(r16) - 24c4: 8809883a mov r4,r17 - 24c8: 103ee83a callr r2 - 24cc: 10001616 blt r2,zero,2528 <_fclose_r+0xd0> - 24d0: 8080030b ldhu r2,12(r16) - 24d4: 1080200c andi r2,r2,128 - 24d8: 1000151e bne r2,zero,2530 <_fclose_r+0xd8> - 24dc: 81400c17 ldw r5,48(r16) - 24e0: 28000526 beq r5,zero,24f8 <_fclose_r+0xa0> - 24e4: 80801004 addi r2,r16,64 - 24e8: 28800226 beq r5,r2,24f4 <_fclose_r+0x9c> - 24ec: 8809883a mov r4,r17 - 24f0: 00029280 call 2928 <_free_r> - 24f4: 80000c15 stw zero,48(r16) - 24f8: 81401117 ldw r5,68(r16) - 24fc: 28000326 beq r5,zero,250c <_fclose_r+0xb4> - 2500: 8809883a mov r4,r17 - 2504: 00029280 call 2928 <_free_r> - 2508: 80001115 stw zero,68(r16) - 250c: 00008100 call 810 <__sfp_lock_acquire> - 2510: 8000030d sth zero,12(r16) - 2514: 00008140 call 814 <__sfp_lock_release> - 2518: 9005883a mov r2,r18 - 251c: 003fdc06 br 2490 <__alt_data_end+0xfffe2490> - 2520: 00008000 call 800 <__sinit> - 2524: 003fd706 br 2484 <__alt_data_end+0xfffe2484> - 2528: 04bfffc4 movi r18,-1 - 252c: 003fe806 br 24d0 <__alt_data_end+0xfffe24d0> - 2530: 81400417 ldw r5,16(r16) - 2534: 8809883a mov r4,r17 - 2538: 00029280 call 2928 <_free_r> - 253c: 003fe706 br 24dc <__alt_data_end+0xfffe24dc> - 2540: 0005883a mov r2,zero - 2544: f800283a ret +0000245c <_fclose_r>: + 245c: 28003926 beq r5,zero,2544 <_fclose_r+0xe8> + 2460: defffc04 addi sp,sp,-16 + 2464: dc400115 stw r17,4(sp) + 2468: dc000015 stw r16,0(sp) + 246c: dfc00315 stw ra,12(sp) + 2470: dc800215 stw r18,8(sp) + 2474: 2023883a mov r17,r4 + 2478: 2821883a mov r16,r5 + 247c: 20000226 beq r4,zero,2488 <_fclose_r+0x2c> + 2480: 20800e17 ldw r2,56(r4) + 2484: 10002726 beq r2,zero,2524 <_fclose_r+0xc8> + 2488: 8080030f ldh r2,12(r16) + 248c: 1000071e bne r2,zero,24ac <_fclose_r+0x50> + 2490: 0005883a mov r2,zero + 2494: dfc00317 ldw ra,12(sp) + 2498: dc800217 ldw r18,8(sp) + 249c: dc400117 ldw r17,4(sp) + 24a0: dc000017 ldw r16,0(sp) + 24a4: dec00404 addi sp,sp,16 + 24a8: f800283a ret + 24ac: 800b883a mov r5,r16 + 24b0: 8809883a mov r4,r17 + 24b4: 00025600 call 2560 <__sflush_r> + 24b8: 1025883a mov r18,r2 + 24bc: 80800b17 ldw r2,44(r16) + 24c0: 10000426 beq r2,zero,24d4 <_fclose_r+0x78> + 24c4: 81400717 ldw r5,28(r16) + 24c8: 8809883a mov r4,r17 + 24cc: 103ee83a callr r2 + 24d0: 10001616 blt r2,zero,252c <_fclose_r+0xd0> + 24d4: 8080030b ldhu r2,12(r16) + 24d8: 1080200c andi r2,r2,128 + 24dc: 1000151e bne r2,zero,2534 <_fclose_r+0xd8> + 24e0: 81400c17 ldw r5,48(r16) + 24e4: 28000526 beq r5,zero,24fc <_fclose_r+0xa0> + 24e8: 80801004 addi r2,r16,64 + 24ec: 28800226 beq r5,r2,24f8 <_fclose_r+0x9c> + 24f0: 8809883a mov r4,r17 + 24f4: 000292c0 call 292c <_free_r> + 24f8: 80000c15 stw zero,48(r16) + 24fc: 81401117 ldw r5,68(r16) + 2500: 28000326 beq r5,zero,2510 <_fclose_r+0xb4> + 2504: 8809883a mov r4,r17 + 2508: 000292c0 call 292c <_free_r> + 250c: 80001115 stw zero,68(r16) + 2510: 00008140 call 814 <__sfp_lock_acquire> + 2514: 8000030d sth zero,12(r16) + 2518: 00008180 call 818 <__sfp_lock_release> + 251c: 9005883a mov r2,r18 + 2520: 003fdc06 br 2494 <__alt_data_end+0xfffe2494> + 2524: 00008040 call 804 <__sinit> + 2528: 003fd706 br 2488 <__alt_data_end+0xfffe2488> + 252c: 04bfffc4 movi r18,-1 + 2530: 003fe806 br 24d4 <__alt_data_end+0xfffe24d4> + 2534: 81400417 ldw r5,16(r16) + 2538: 8809883a mov r4,r17 + 253c: 000292c0 call 292c <_free_r> + 2540: 003fe706 br 24e0 <__alt_data_end+0xfffe24e0> + 2544: 0005883a mov r2,zero + 2548: f800283a ret -00002548 : - 2548: 00800034 movhi r2,0 - 254c: 109ca404 addi r2,r2,29328 - 2550: 200b883a mov r5,r4 - 2554: 11000017 ldw r4,0(r2) - 2558: 00024581 jmpi 2458 <_fclose_r> +0000254c : + 254c: 00800034 movhi r2,0 + 2550: 109ca504 addi r2,r2,29332 + 2554: 200b883a mov r5,r4 + 2558: 11000017 ldw r4,0(r2) + 255c: 000245c1 jmpi 245c <_fclose_r> -0000255c <__sflush_r>: - 255c: 2880030b ldhu r2,12(r5) - 2560: defffb04 addi sp,sp,-20 - 2564: dcc00315 stw r19,12(sp) - 2568: dc400115 stw r17,4(sp) - 256c: dfc00415 stw ra,16(sp) - 2570: dc800215 stw r18,8(sp) - 2574: dc000015 stw r16,0(sp) - 2578: 10c0020c andi r3,r2,8 - 257c: 2823883a mov r17,r5 - 2580: 2027883a mov r19,r4 - 2584: 1800311e bne r3,zero,264c <__sflush_r+0xf0> - 2588: 28c00117 ldw r3,4(r5) - 258c: 10820014 ori r2,r2,2048 - 2590: 2880030d sth r2,12(r5) - 2594: 00c04b0e bge zero,r3,26c4 <__sflush_r+0x168> - 2598: 8a000a17 ldw r8,40(r17) - 259c: 40002326 beq r8,zero,262c <__sflush_r+0xd0> - 25a0: 9c000017 ldw r16,0(r19) - 25a4: 10c4000c andi r3,r2,4096 - 25a8: 98000015 stw zero,0(r19) - 25ac: 18004826 beq r3,zero,26d0 <__sflush_r+0x174> - 25b0: 89801417 ldw r6,80(r17) - 25b4: 10c0010c andi r3,r2,4 - 25b8: 18000626 beq r3,zero,25d4 <__sflush_r+0x78> - 25bc: 88c00117 ldw r3,4(r17) - 25c0: 88800c17 ldw r2,48(r17) - 25c4: 30cdc83a sub r6,r6,r3 - 25c8: 10000226 beq r2,zero,25d4 <__sflush_r+0x78> - 25cc: 88800f17 ldw r2,60(r17) - 25d0: 308dc83a sub r6,r6,r2 - 25d4: 89400717 ldw r5,28(r17) - 25d8: 000f883a mov r7,zero - 25dc: 9809883a mov r4,r19 - 25e0: 403ee83a callr r8 - 25e4: 00ffffc4 movi r3,-1 - 25e8: 10c04426 beq r2,r3,26fc <__sflush_r+0x1a0> - 25ec: 88c0030b ldhu r3,12(r17) - 25f0: 89000417 ldw r4,16(r17) - 25f4: 88000115 stw zero,4(r17) - 25f8: 197dffcc andi r5,r3,63487 - 25fc: 8940030d sth r5,12(r17) - 2600: 89000015 stw r4,0(r17) - 2604: 18c4000c andi r3,r3,4096 - 2608: 18002c1e bne r3,zero,26bc <__sflush_r+0x160> - 260c: 89400c17 ldw r5,48(r17) - 2610: 9c000015 stw r16,0(r19) - 2614: 28000526 beq r5,zero,262c <__sflush_r+0xd0> - 2618: 88801004 addi r2,r17,64 - 261c: 28800226 beq r5,r2,2628 <__sflush_r+0xcc> - 2620: 9809883a mov r4,r19 - 2624: 00029280 call 2928 <_free_r> - 2628: 88000c15 stw zero,48(r17) - 262c: 0005883a mov r2,zero - 2630: dfc00417 ldw ra,16(sp) - 2634: dcc00317 ldw r19,12(sp) - 2638: dc800217 ldw r18,8(sp) - 263c: dc400117 ldw r17,4(sp) - 2640: dc000017 ldw r16,0(sp) - 2644: dec00504 addi sp,sp,20 - 2648: f800283a ret - 264c: 2c800417 ldw r18,16(r5) - 2650: 903ff626 beq r18,zero,262c <__alt_data_end+0xfffe262c> - 2654: 2c000017 ldw r16,0(r5) - 2658: 108000cc andi r2,r2,3 - 265c: 2c800015 stw r18,0(r5) - 2660: 84a1c83a sub r16,r16,r18 - 2664: 1000131e bne r2,zero,26b4 <__sflush_r+0x158> - 2668: 28800517 ldw r2,20(r5) - 266c: 88800215 stw r2,8(r17) - 2670: 04000316 blt zero,r16,2680 <__sflush_r+0x124> - 2674: 003fed06 br 262c <__alt_data_end+0xfffe262c> - 2678: 90a5883a add r18,r18,r2 - 267c: 043feb0e bge zero,r16,262c <__alt_data_end+0xfffe262c> - 2680: 88800917 ldw r2,36(r17) - 2684: 89400717 ldw r5,28(r17) - 2688: 800f883a mov r7,r16 - 268c: 900d883a mov r6,r18 - 2690: 9809883a mov r4,r19 - 2694: 103ee83a callr r2 - 2698: 80a1c83a sub r16,r16,r2 - 269c: 00bff616 blt zero,r2,2678 <__alt_data_end+0xfffe2678> - 26a0: 88c0030b ldhu r3,12(r17) - 26a4: 00bfffc4 movi r2,-1 - 26a8: 18c01014 ori r3,r3,64 - 26ac: 88c0030d sth r3,12(r17) - 26b0: 003fdf06 br 2630 <__alt_data_end+0xfffe2630> - 26b4: 0005883a mov r2,zero - 26b8: 003fec06 br 266c <__alt_data_end+0xfffe266c> - 26bc: 88801415 stw r2,80(r17) - 26c0: 003fd206 br 260c <__alt_data_end+0xfffe260c> - 26c4: 28c00f17 ldw r3,60(r5) - 26c8: 00ffb316 blt zero,r3,2598 <__alt_data_end+0xfffe2598> - 26cc: 003fd706 br 262c <__alt_data_end+0xfffe262c> - 26d0: 89400717 ldw r5,28(r17) - 26d4: 000d883a mov r6,zero - 26d8: 01c00044 movi r7,1 - 26dc: 9809883a mov r4,r19 - 26e0: 403ee83a callr r8 - 26e4: 100d883a mov r6,r2 - 26e8: 00bfffc4 movi r2,-1 - 26ec: 30801426 beq r6,r2,2740 <__sflush_r+0x1e4> - 26f0: 8880030b ldhu r2,12(r17) - 26f4: 8a000a17 ldw r8,40(r17) - 26f8: 003fae06 br 25b4 <__alt_data_end+0xfffe25b4> - 26fc: 98c00017 ldw r3,0(r19) - 2700: 183fba26 beq r3,zero,25ec <__alt_data_end+0xfffe25ec> - 2704: 01000744 movi r4,29 - 2708: 19000626 beq r3,r4,2724 <__sflush_r+0x1c8> - 270c: 01000584 movi r4,22 - 2710: 19000426 beq r3,r4,2724 <__sflush_r+0x1c8> - 2714: 88c0030b ldhu r3,12(r17) - 2718: 18c01014 ori r3,r3,64 - 271c: 88c0030d sth r3,12(r17) - 2720: 003fc306 br 2630 <__alt_data_end+0xfffe2630> - 2724: 8880030b ldhu r2,12(r17) - 2728: 88c00417 ldw r3,16(r17) - 272c: 88000115 stw zero,4(r17) - 2730: 10bdffcc andi r2,r2,63487 - 2734: 8880030d sth r2,12(r17) - 2738: 88c00015 stw r3,0(r17) - 273c: 003fb306 br 260c <__alt_data_end+0xfffe260c> - 2740: 98800017 ldw r2,0(r19) - 2744: 103fea26 beq r2,zero,26f0 <__alt_data_end+0xfffe26f0> - 2748: 00c00744 movi r3,29 - 274c: 10c00226 beq r2,r3,2758 <__sflush_r+0x1fc> - 2750: 00c00584 movi r3,22 - 2754: 10c0031e bne r2,r3,2764 <__sflush_r+0x208> - 2758: 9c000015 stw r16,0(r19) - 275c: 0005883a mov r2,zero - 2760: 003fb306 br 2630 <__alt_data_end+0xfffe2630> - 2764: 88c0030b ldhu r3,12(r17) - 2768: 3005883a mov r2,r6 - 276c: 18c01014 ori r3,r3,64 - 2770: 88c0030d sth r3,12(r17) - 2774: 003fae06 br 2630 <__alt_data_end+0xfffe2630> +00002560 <__sflush_r>: + 2560: 2880030b ldhu r2,12(r5) + 2564: defffb04 addi sp,sp,-20 + 2568: dcc00315 stw r19,12(sp) + 256c: dc400115 stw r17,4(sp) + 2570: dfc00415 stw ra,16(sp) + 2574: dc800215 stw r18,8(sp) + 2578: dc000015 stw r16,0(sp) + 257c: 10c0020c andi r3,r2,8 + 2580: 2823883a mov r17,r5 + 2584: 2027883a mov r19,r4 + 2588: 1800311e bne r3,zero,2650 <__sflush_r+0xf0> + 258c: 28c00117 ldw r3,4(r5) + 2590: 10820014 ori r2,r2,2048 + 2594: 2880030d sth r2,12(r5) + 2598: 00c04b0e bge zero,r3,26c8 <__sflush_r+0x168> + 259c: 8a000a17 ldw r8,40(r17) + 25a0: 40002326 beq r8,zero,2630 <__sflush_r+0xd0> + 25a4: 9c000017 ldw r16,0(r19) + 25a8: 10c4000c andi r3,r2,4096 + 25ac: 98000015 stw zero,0(r19) + 25b0: 18004826 beq r3,zero,26d4 <__sflush_r+0x174> + 25b4: 89801417 ldw r6,80(r17) + 25b8: 10c0010c andi r3,r2,4 + 25bc: 18000626 beq r3,zero,25d8 <__sflush_r+0x78> + 25c0: 88c00117 ldw r3,4(r17) + 25c4: 88800c17 ldw r2,48(r17) + 25c8: 30cdc83a sub r6,r6,r3 + 25cc: 10000226 beq r2,zero,25d8 <__sflush_r+0x78> + 25d0: 88800f17 ldw r2,60(r17) + 25d4: 308dc83a sub r6,r6,r2 + 25d8: 89400717 ldw r5,28(r17) + 25dc: 000f883a mov r7,zero + 25e0: 9809883a mov r4,r19 + 25e4: 403ee83a callr r8 + 25e8: 00ffffc4 movi r3,-1 + 25ec: 10c04426 beq r2,r3,2700 <__sflush_r+0x1a0> + 25f0: 88c0030b ldhu r3,12(r17) + 25f4: 89000417 ldw r4,16(r17) + 25f8: 88000115 stw zero,4(r17) + 25fc: 197dffcc andi r5,r3,63487 + 2600: 8940030d sth r5,12(r17) + 2604: 89000015 stw r4,0(r17) + 2608: 18c4000c andi r3,r3,4096 + 260c: 18002c1e bne r3,zero,26c0 <__sflush_r+0x160> + 2610: 89400c17 ldw r5,48(r17) + 2614: 9c000015 stw r16,0(r19) + 2618: 28000526 beq r5,zero,2630 <__sflush_r+0xd0> + 261c: 88801004 addi r2,r17,64 + 2620: 28800226 beq r5,r2,262c <__sflush_r+0xcc> + 2624: 9809883a mov r4,r19 + 2628: 000292c0 call 292c <_free_r> + 262c: 88000c15 stw zero,48(r17) + 2630: 0005883a mov r2,zero + 2634: dfc00417 ldw ra,16(sp) + 2638: dcc00317 ldw r19,12(sp) + 263c: dc800217 ldw r18,8(sp) + 2640: dc400117 ldw r17,4(sp) + 2644: dc000017 ldw r16,0(sp) + 2648: dec00504 addi sp,sp,20 + 264c: f800283a ret + 2650: 2c800417 ldw r18,16(r5) + 2654: 903ff626 beq r18,zero,2630 <__alt_data_end+0xfffe2630> + 2658: 2c000017 ldw r16,0(r5) + 265c: 108000cc andi r2,r2,3 + 2660: 2c800015 stw r18,0(r5) + 2664: 84a1c83a sub r16,r16,r18 + 2668: 1000131e bne r2,zero,26b8 <__sflush_r+0x158> + 266c: 28800517 ldw r2,20(r5) + 2670: 88800215 stw r2,8(r17) + 2674: 04000316 blt zero,r16,2684 <__sflush_r+0x124> + 2678: 003fed06 br 2630 <__alt_data_end+0xfffe2630> + 267c: 90a5883a add r18,r18,r2 + 2680: 043feb0e bge zero,r16,2630 <__alt_data_end+0xfffe2630> + 2684: 88800917 ldw r2,36(r17) + 2688: 89400717 ldw r5,28(r17) + 268c: 800f883a mov r7,r16 + 2690: 900d883a mov r6,r18 + 2694: 9809883a mov r4,r19 + 2698: 103ee83a callr r2 + 269c: 80a1c83a sub r16,r16,r2 + 26a0: 00bff616 blt zero,r2,267c <__alt_data_end+0xfffe267c> + 26a4: 88c0030b ldhu r3,12(r17) + 26a8: 00bfffc4 movi r2,-1 + 26ac: 18c01014 ori r3,r3,64 + 26b0: 88c0030d sth r3,12(r17) + 26b4: 003fdf06 br 2634 <__alt_data_end+0xfffe2634> + 26b8: 0005883a mov r2,zero + 26bc: 003fec06 br 2670 <__alt_data_end+0xfffe2670> + 26c0: 88801415 stw r2,80(r17) + 26c4: 003fd206 br 2610 <__alt_data_end+0xfffe2610> + 26c8: 28c00f17 ldw r3,60(r5) + 26cc: 00ffb316 blt zero,r3,259c <__alt_data_end+0xfffe259c> + 26d0: 003fd706 br 2630 <__alt_data_end+0xfffe2630> + 26d4: 89400717 ldw r5,28(r17) + 26d8: 000d883a mov r6,zero + 26dc: 01c00044 movi r7,1 + 26e0: 9809883a mov r4,r19 + 26e4: 403ee83a callr r8 + 26e8: 100d883a mov r6,r2 + 26ec: 00bfffc4 movi r2,-1 + 26f0: 30801426 beq r6,r2,2744 <__sflush_r+0x1e4> + 26f4: 8880030b ldhu r2,12(r17) + 26f8: 8a000a17 ldw r8,40(r17) + 26fc: 003fae06 br 25b8 <__alt_data_end+0xfffe25b8> + 2700: 98c00017 ldw r3,0(r19) + 2704: 183fba26 beq r3,zero,25f0 <__alt_data_end+0xfffe25f0> + 2708: 01000744 movi r4,29 + 270c: 19000626 beq r3,r4,2728 <__sflush_r+0x1c8> + 2710: 01000584 movi r4,22 + 2714: 19000426 beq r3,r4,2728 <__sflush_r+0x1c8> + 2718: 88c0030b ldhu r3,12(r17) + 271c: 18c01014 ori r3,r3,64 + 2720: 88c0030d sth r3,12(r17) + 2724: 003fc306 br 2634 <__alt_data_end+0xfffe2634> + 2728: 8880030b ldhu r2,12(r17) + 272c: 88c00417 ldw r3,16(r17) + 2730: 88000115 stw zero,4(r17) + 2734: 10bdffcc andi r2,r2,63487 + 2738: 8880030d sth r2,12(r17) + 273c: 88c00015 stw r3,0(r17) + 2740: 003fb306 br 2610 <__alt_data_end+0xfffe2610> + 2744: 98800017 ldw r2,0(r19) + 2748: 103fea26 beq r2,zero,26f4 <__alt_data_end+0xfffe26f4> + 274c: 00c00744 movi r3,29 + 2750: 10c00226 beq r2,r3,275c <__sflush_r+0x1fc> + 2754: 00c00584 movi r3,22 + 2758: 10c0031e bne r2,r3,2768 <__sflush_r+0x208> + 275c: 9c000015 stw r16,0(r19) + 2760: 0005883a mov r2,zero + 2764: 003fb306 br 2634 <__alt_data_end+0xfffe2634> + 2768: 88c0030b ldhu r3,12(r17) + 276c: 3005883a mov r2,r6 + 2770: 18c01014 ori r3,r3,64 + 2774: 88c0030d sth r3,12(r17) + 2778: 003fae06 br 2634 <__alt_data_end+0xfffe2634> -00002778 <_fflush_r>: - 2778: defffd04 addi sp,sp,-12 - 277c: dc000115 stw r16,4(sp) - 2780: dfc00215 stw ra,8(sp) - 2784: 2021883a mov r16,r4 - 2788: 20000226 beq r4,zero,2794 <_fflush_r+0x1c> - 278c: 20800e17 ldw r2,56(r4) - 2790: 10000c26 beq r2,zero,27c4 <_fflush_r+0x4c> - 2794: 2880030f ldh r2,12(r5) - 2798: 1000051e bne r2,zero,27b0 <_fflush_r+0x38> - 279c: 0005883a mov r2,zero - 27a0: dfc00217 ldw ra,8(sp) - 27a4: dc000117 ldw r16,4(sp) - 27a8: dec00304 addi sp,sp,12 - 27ac: f800283a ret - 27b0: 8009883a mov r4,r16 - 27b4: dfc00217 ldw ra,8(sp) - 27b8: dc000117 ldw r16,4(sp) - 27bc: dec00304 addi sp,sp,12 - 27c0: 000255c1 jmpi 255c <__sflush_r> - 27c4: d9400015 stw r5,0(sp) - 27c8: 00008000 call 800 <__sinit> - 27cc: d9400017 ldw r5,0(sp) - 27d0: 003ff006 br 2794 <__alt_data_end+0xfffe2794> +0000277c <_fflush_r>: + 277c: defffd04 addi sp,sp,-12 + 2780: dc000115 stw r16,4(sp) + 2784: dfc00215 stw ra,8(sp) + 2788: 2021883a mov r16,r4 + 278c: 20000226 beq r4,zero,2798 <_fflush_r+0x1c> + 2790: 20800e17 ldw r2,56(r4) + 2794: 10000c26 beq r2,zero,27c8 <_fflush_r+0x4c> + 2798: 2880030f ldh r2,12(r5) + 279c: 1000051e bne r2,zero,27b4 <_fflush_r+0x38> + 27a0: 0005883a mov r2,zero + 27a4: dfc00217 ldw ra,8(sp) + 27a8: dc000117 ldw r16,4(sp) + 27ac: dec00304 addi sp,sp,12 + 27b0: f800283a ret + 27b4: 8009883a mov r4,r16 + 27b8: dfc00217 ldw ra,8(sp) + 27bc: dc000117 ldw r16,4(sp) + 27c0: dec00304 addi sp,sp,12 + 27c4: 00025601 jmpi 2560 <__sflush_r> + 27c8: d9400015 stw r5,0(sp) + 27cc: 00008040 call 804 <__sinit> + 27d0: d9400017 ldw r5,0(sp) + 27d4: 003ff006 br 2798 <__alt_data_end+0xfffe2798> -000027d4 : - 27d4: 20000526 beq r4,zero,27ec - 27d8: 00800034 movhi r2,0 - 27dc: 109ca404 addi r2,r2,29328 - 27e0: 200b883a mov r5,r4 - 27e4: 11000017 ldw r4,0(r2) - 27e8: 00027781 jmpi 2778 <_fflush_r> - 27ec: 00800034 movhi r2,0 - 27f0: 109ca304 addi r2,r2,29324 - 27f4: 11000017 ldw r4,0(r2) - 27f8: 01400034 movhi r5,0 - 27fc: 2949de04 addi r5,r5,10104 - 2800: 0000ddc1 jmpi ddc <_fwalk_reent> +000027d8 : + 27d8: 20000526 beq r4,zero,27f0 + 27dc: 00800034 movhi r2,0 + 27e0: 109ca504 addi r2,r2,29332 + 27e4: 200b883a mov r5,r4 + 27e8: 11000017 ldw r4,0(r2) + 27ec: 000277c1 jmpi 277c <_fflush_r> + 27f0: 00800034 movhi r2,0 + 27f4: 109ca404 addi r2,r2,29328 + 27f8: 11000017 ldw r4,0(r2) + 27fc: 01400034 movhi r5,0 + 2800: 2949df04 addi r5,r5,10108 + 2804: 0000de01 jmpi de0 <_fwalk_reent> -00002804 <_malloc_trim_r>: - 2804: defffb04 addi sp,sp,-20 - 2808: dcc00315 stw r19,12(sp) - 280c: 04c00034 movhi r19,0 - 2810: dc800215 stw r18,8(sp) - 2814: dc400115 stw r17,4(sp) - 2818: dc000015 stw r16,0(sp) - 281c: dfc00415 stw ra,16(sp) - 2820: 2821883a mov r16,r5 - 2824: 9cd71f04 addi r19,r19,23676 - 2828: 2025883a mov r18,r4 - 282c: 00036180 call 3618 <__malloc_lock> - 2830: 98800217 ldw r2,8(r19) - 2834: 14400117 ldw r17,4(r2) - 2838: 00bfff04 movi r2,-4 - 283c: 88a2703a and r17,r17,r2 - 2840: 8c21c83a sub r16,r17,r16 - 2844: 8403fbc4 addi r16,r16,4079 - 2848: 8020d33a srli r16,r16,12 - 284c: 0083ffc4 movi r2,4095 - 2850: 843fffc4 addi r16,r16,-1 - 2854: 8020933a slli r16,r16,12 - 2858: 1400060e bge r2,r16,2874 <_malloc_trim_r+0x70> - 285c: 000b883a mov r5,zero - 2860: 9009883a mov r4,r18 - 2864: 00020c00 call 20c0 <_sbrk_r> - 2868: 98c00217 ldw r3,8(r19) - 286c: 1c47883a add r3,r3,r17 - 2870: 10c00a26 beq r2,r3,289c <_malloc_trim_r+0x98> - 2874: 9009883a mov r4,r18 - 2878: 000363c0 call 363c <__malloc_unlock> - 287c: 0005883a mov r2,zero - 2880: dfc00417 ldw ra,16(sp) - 2884: dcc00317 ldw r19,12(sp) - 2888: dc800217 ldw r18,8(sp) - 288c: dc400117 ldw r17,4(sp) - 2890: dc000017 ldw r16,0(sp) - 2894: dec00504 addi sp,sp,20 - 2898: f800283a ret - 289c: 040bc83a sub r5,zero,r16 - 28a0: 9009883a mov r4,r18 - 28a4: 00020c00 call 20c0 <_sbrk_r> - 28a8: 00ffffc4 movi r3,-1 - 28ac: 10c00d26 beq r2,r3,28e4 <_malloc_trim_r+0xe0> - 28b0: 00c00034 movhi r3,0 - 28b4: 18dcbc04 addi r3,r3,29424 - 28b8: 18800017 ldw r2,0(r3) - 28bc: 99000217 ldw r4,8(r19) - 28c0: 8c23c83a sub r17,r17,r16 - 28c4: 8c400054 ori r17,r17,1 - 28c8: 1421c83a sub r16,r2,r16 - 28cc: 24400115 stw r17,4(r4) - 28d0: 9009883a mov r4,r18 - 28d4: 1c000015 stw r16,0(r3) - 28d8: 000363c0 call 363c <__malloc_unlock> - 28dc: 00800044 movi r2,1 - 28e0: 003fe706 br 2880 <__alt_data_end+0xfffe2880> - 28e4: 000b883a mov r5,zero - 28e8: 9009883a mov r4,r18 - 28ec: 00020c00 call 20c0 <_sbrk_r> - 28f0: 99000217 ldw r4,8(r19) - 28f4: 014003c4 movi r5,15 - 28f8: 1107c83a sub r3,r2,r4 - 28fc: 28ffdd0e bge r5,r3,2874 <__alt_data_end+0xfffe2874> - 2900: 01400034 movhi r5,0 - 2904: 295ca504 addi r5,r5,29332 - 2908: 29400017 ldw r5,0(r5) - 290c: 18c00054 ori r3,r3,1 - 2910: 20c00115 stw r3,4(r4) - 2914: 00c00034 movhi r3,0 - 2918: 1145c83a sub r2,r2,r5 - 291c: 18dcbc04 addi r3,r3,29424 - 2920: 18800015 stw r2,0(r3) - 2924: 003fd306 br 2874 <__alt_data_end+0xfffe2874> +00002808 <_malloc_trim_r>: + 2808: defffb04 addi sp,sp,-20 + 280c: dcc00315 stw r19,12(sp) + 2810: 04c00034 movhi r19,0 + 2814: dc800215 stw r18,8(sp) + 2818: dc400115 stw r17,4(sp) + 281c: dc000015 stw r16,0(sp) + 2820: dfc00415 stw ra,16(sp) + 2824: 2821883a mov r16,r5 + 2828: 9cd72004 addi r19,r19,23680 + 282c: 2025883a mov r18,r4 + 2830: 000361c0 call 361c <__malloc_lock> + 2834: 98800217 ldw r2,8(r19) + 2838: 14400117 ldw r17,4(r2) + 283c: 00bfff04 movi r2,-4 + 2840: 88a2703a and r17,r17,r2 + 2844: 8c21c83a sub r16,r17,r16 + 2848: 8403fbc4 addi r16,r16,4079 + 284c: 8020d33a srli r16,r16,12 + 2850: 0083ffc4 movi r2,4095 + 2854: 843fffc4 addi r16,r16,-1 + 2858: 8020933a slli r16,r16,12 + 285c: 1400060e bge r2,r16,2878 <_malloc_trim_r+0x70> + 2860: 000b883a mov r5,zero + 2864: 9009883a mov r4,r18 + 2868: 00020c40 call 20c4 <_sbrk_r> + 286c: 98c00217 ldw r3,8(r19) + 2870: 1c47883a add r3,r3,r17 + 2874: 10c00a26 beq r2,r3,28a0 <_malloc_trim_r+0x98> + 2878: 9009883a mov r4,r18 + 287c: 00036400 call 3640 <__malloc_unlock> + 2880: 0005883a mov r2,zero + 2884: dfc00417 ldw ra,16(sp) + 2888: dcc00317 ldw r19,12(sp) + 288c: dc800217 ldw r18,8(sp) + 2890: dc400117 ldw r17,4(sp) + 2894: dc000017 ldw r16,0(sp) + 2898: dec00504 addi sp,sp,20 + 289c: f800283a ret + 28a0: 040bc83a sub r5,zero,r16 + 28a4: 9009883a mov r4,r18 + 28a8: 00020c40 call 20c4 <_sbrk_r> + 28ac: 00ffffc4 movi r3,-1 + 28b0: 10c00d26 beq r2,r3,28e8 <_malloc_trim_r+0xe0> + 28b4: 00c00034 movhi r3,0 + 28b8: 18dcbd04 addi r3,r3,29428 + 28bc: 18800017 ldw r2,0(r3) + 28c0: 99000217 ldw r4,8(r19) + 28c4: 8c23c83a sub r17,r17,r16 + 28c8: 8c400054 ori r17,r17,1 + 28cc: 1421c83a sub r16,r2,r16 + 28d0: 24400115 stw r17,4(r4) + 28d4: 9009883a mov r4,r18 + 28d8: 1c000015 stw r16,0(r3) + 28dc: 00036400 call 3640 <__malloc_unlock> + 28e0: 00800044 movi r2,1 + 28e4: 003fe706 br 2884 <__alt_data_end+0xfffe2884> + 28e8: 000b883a mov r5,zero + 28ec: 9009883a mov r4,r18 + 28f0: 00020c40 call 20c4 <_sbrk_r> + 28f4: 99000217 ldw r4,8(r19) + 28f8: 014003c4 movi r5,15 + 28fc: 1107c83a sub r3,r2,r4 + 2900: 28ffdd0e bge r5,r3,2878 <__alt_data_end+0xfffe2878> + 2904: 01400034 movhi r5,0 + 2908: 295ca604 addi r5,r5,29336 + 290c: 29400017 ldw r5,0(r5) + 2910: 18c00054 ori r3,r3,1 + 2914: 20c00115 stw r3,4(r4) + 2918: 00c00034 movhi r3,0 + 291c: 1145c83a sub r2,r2,r5 + 2920: 18dcbd04 addi r3,r3,29428 + 2924: 18800015 stw r2,0(r3) + 2928: 003fd306 br 2878 <__alt_data_end+0xfffe2878> -00002928 <_free_r>: - 2928: 28004126 beq r5,zero,2a30 <_free_r+0x108> - 292c: defffd04 addi sp,sp,-12 - 2930: dc400115 stw r17,4(sp) - 2934: dc000015 stw r16,0(sp) - 2938: 2023883a mov r17,r4 - 293c: 2821883a mov r16,r5 - 2940: dfc00215 stw ra,8(sp) - 2944: 00036180 call 3618 <__malloc_lock> - 2948: 81ffff17 ldw r7,-4(r16) - 294c: 00bfff84 movi r2,-2 - 2950: 01000034 movhi r4,0 - 2954: 81bffe04 addi r6,r16,-8 - 2958: 3884703a and r2,r7,r2 - 295c: 21171f04 addi r4,r4,23676 - 2960: 308b883a add r5,r6,r2 - 2964: 2a400117 ldw r9,4(r5) - 2968: 22000217 ldw r8,8(r4) - 296c: 00ffff04 movi r3,-4 - 2970: 48c6703a and r3,r9,r3 - 2974: 2a005726 beq r5,r8,2ad4 <_free_r+0x1ac> - 2978: 28c00115 stw r3,4(r5) - 297c: 39c0004c andi r7,r7,1 - 2980: 3800091e bne r7,zero,29a8 <_free_r+0x80> - 2984: 823ffe17 ldw r8,-8(r16) - 2988: 22400204 addi r9,r4,8 - 298c: 320dc83a sub r6,r6,r8 - 2990: 31c00217 ldw r7,8(r6) - 2994: 1205883a add r2,r2,r8 - 2998: 3a406526 beq r7,r9,2b30 <_free_r+0x208> - 299c: 32000317 ldw r8,12(r6) - 29a0: 3a000315 stw r8,12(r7) - 29a4: 41c00215 stw r7,8(r8) - 29a8: 28cf883a add r7,r5,r3 - 29ac: 39c00117 ldw r7,4(r7) - 29b0: 39c0004c andi r7,r7,1 - 29b4: 38003a26 beq r7,zero,2aa0 <_free_r+0x178> - 29b8: 10c00054 ori r3,r2,1 - 29bc: 30c00115 stw r3,4(r6) - 29c0: 3087883a add r3,r6,r2 - 29c4: 18800015 stw r2,0(r3) - 29c8: 00c07fc4 movi r3,511 - 29cc: 18801936 bltu r3,r2,2a34 <_free_r+0x10c> - 29d0: 1004d0fa srli r2,r2,3 - 29d4: 01c00044 movi r7,1 - 29d8: 21400117 ldw r5,4(r4) - 29dc: 10c00044 addi r3,r2,1 - 29e0: 18c7883a add r3,r3,r3 - 29e4: 1005d0ba srai r2,r2,2 - 29e8: 18c7883a add r3,r3,r3 +0000292c <_free_r>: + 292c: 28004126 beq r5,zero,2a34 <_free_r+0x108> + 2930: defffd04 addi sp,sp,-12 + 2934: dc400115 stw r17,4(sp) + 2938: dc000015 stw r16,0(sp) + 293c: 2023883a mov r17,r4 + 2940: 2821883a mov r16,r5 + 2944: dfc00215 stw ra,8(sp) + 2948: 000361c0 call 361c <__malloc_lock> + 294c: 81ffff17 ldw r7,-4(r16) + 2950: 00bfff84 movi r2,-2 + 2954: 01000034 movhi r4,0 + 2958: 81bffe04 addi r6,r16,-8 + 295c: 3884703a and r2,r7,r2 + 2960: 21172004 addi r4,r4,23680 + 2964: 308b883a add r5,r6,r2 + 2968: 2a400117 ldw r9,4(r5) + 296c: 22000217 ldw r8,8(r4) + 2970: 00ffff04 movi r3,-4 + 2974: 48c6703a and r3,r9,r3 + 2978: 2a005726 beq r5,r8,2ad8 <_free_r+0x1ac> + 297c: 28c00115 stw r3,4(r5) + 2980: 39c0004c andi r7,r7,1 + 2984: 3800091e bne r7,zero,29ac <_free_r+0x80> + 2988: 823ffe17 ldw r8,-8(r16) + 298c: 22400204 addi r9,r4,8 + 2990: 320dc83a sub r6,r6,r8 + 2994: 31c00217 ldw r7,8(r6) + 2998: 1205883a add r2,r2,r8 + 299c: 3a406526 beq r7,r9,2b34 <_free_r+0x208> + 29a0: 32000317 ldw r8,12(r6) + 29a4: 3a000315 stw r8,12(r7) + 29a8: 41c00215 stw r7,8(r8) + 29ac: 28cf883a add r7,r5,r3 + 29b0: 39c00117 ldw r7,4(r7) + 29b4: 39c0004c andi r7,r7,1 + 29b8: 38003a26 beq r7,zero,2aa4 <_free_r+0x178> + 29bc: 10c00054 ori r3,r2,1 + 29c0: 30c00115 stw r3,4(r6) + 29c4: 3087883a add r3,r6,r2 + 29c8: 18800015 stw r2,0(r3) + 29cc: 00c07fc4 movi r3,511 + 29d0: 18801936 bltu r3,r2,2a38 <_free_r+0x10c> + 29d4: 1004d0fa srli r2,r2,3 + 29d8: 01c00044 movi r7,1 + 29dc: 21400117 ldw r5,4(r4) + 29e0: 10c00044 addi r3,r2,1 + 29e4: 18c7883a add r3,r3,r3 + 29e8: 1005d0ba srai r2,r2,2 29ec: 18c7883a add r3,r3,r3 - 29f0: 1907883a add r3,r3,r4 - 29f4: 3884983a sll r2,r7,r2 - 29f8: 19c00017 ldw r7,0(r3) - 29fc: 1a3ffe04 addi r8,r3,-8 - 2a00: 1144b03a or r2,r2,r5 - 2a04: 32000315 stw r8,12(r6) - 2a08: 31c00215 stw r7,8(r6) - 2a0c: 20800115 stw r2,4(r4) - 2a10: 19800015 stw r6,0(r3) - 2a14: 39800315 stw r6,12(r7) - 2a18: 8809883a mov r4,r17 - 2a1c: dfc00217 ldw ra,8(sp) - 2a20: dc400117 ldw r17,4(sp) - 2a24: dc000017 ldw r16,0(sp) - 2a28: dec00304 addi sp,sp,12 - 2a2c: 000363c1 jmpi 363c <__malloc_unlock> - 2a30: f800283a ret - 2a34: 100ad27a srli r5,r2,9 - 2a38: 00c00104 movi r3,4 - 2a3c: 19404a36 bltu r3,r5,2b68 <_free_r+0x240> - 2a40: 100ad1ba srli r5,r2,6 - 2a44: 28c00e44 addi r3,r5,57 - 2a48: 18c7883a add r3,r3,r3 - 2a4c: 29400e04 addi r5,r5,56 - 2a50: 18c7883a add r3,r3,r3 + 29f0: 18c7883a add r3,r3,r3 + 29f4: 1907883a add r3,r3,r4 + 29f8: 3884983a sll r2,r7,r2 + 29fc: 19c00017 ldw r7,0(r3) + 2a00: 1a3ffe04 addi r8,r3,-8 + 2a04: 1144b03a or r2,r2,r5 + 2a08: 32000315 stw r8,12(r6) + 2a0c: 31c00215 stw r7,8(r6) + 2a10: 20800115 stw r2,4(r4) + 2a14: 19800015 stw r6,0(r3) + 2a18: 39800315 stw r6,12(r7) + 2a1c: 8809883a mov r4,r17 + 2a20: dfc00217 ldw ra,8(sp) + 2a24: dc400117 ldw r17,4(sp) + 2a28: dc000017 ldw r16,0(sp) + 2a2c: dec00304 addi sp,sp,12 + 2a30: 00036401 jmpi 3640 <__malloc_unlock> + 2a34: f800283a ret + 2a38: 100ad27a srli r5,r2,9 + 2a3c: 00c00104 movi r3,4 + 2a40: 19404a36 bltu r3,r5,2b6c <_free_r+0x240> + 2a44: 100ad1ba srli r5,r2,6 + 2a48: 28c00e44 addi r3,r5,57 + 2a4c: 18c7883a add r3,r3,r3 + 2a50: 29400e04 addi r5,r5,56 2a54: 18c7883a add r3,r3,r3 - 2a58: 1909883a add r4,r3,r4 - 2a5c: 20c00017 ldw r3,0(r4) - 2a60: 01c00034 movhi r7,0 - 2a64: 213ffe04 addi r4,r4,-8 - 2a68: 39d71f04 addi r7,r7,23676 - 2a6c: 20c04426 beq r4,r3,2b80 <_free_r+0x258> - 2a70: 01ffff04 movi r7,-4 - 2a74: 19400117 ldw r5,4(r3) - 2a78: 29ca703a and r5,r5,r7 - 2a7c: 1140022e bgeu r2,r5,2a88 <_free_r+0x160> - 2a80: 18c00217 ldw r3,8(r3) - 2a84: 20fffb1e bne r4,r3,2a74 <__alt_data_end+0xfffe2a74> - 2a88: 19000317 ldw r4,12(r3) - 2a8c: 31000315 stw r4,12(r6) - 2a90: 30c00215 stw r3,8(r6) - 2a94: 21800215 stw r6,8(r4) - 2a98: 19800315 stw r6,12(r3) - 2a9c: 003fde06 br 2a18 <__alt_data_end+0xfffe2a18> - 2aa0: 29c00217 ldw r7,8(r5) - 2aa4: 10c5883a add r2,r2,r3 - 2aa8: 00c00034 movhi r3,0 - 2aac: 18d72104 addi r3,r3,23684 - 2ab0: 38c03b26 beq r7,r3,2ba0 <_free_r+0x278> - 2ab4: 2a000317 ldw r8,12(r5) - 2ab8: 11400054 ori r5,r2,1 - 2abc: 3087883a add r3,r6,r2 - 2ac0: 3a000315 stw r8,12(r7) - 2ac4: 41c00215 stw r7,8(r8) - 2ac8: 31400115 stw r5,4(r6) - 2acc: 18800015 stw r2,0(r3) - 2ad0: 003fbd06 br 29c8 <__alt_data_end+0xfffe29c8> - 2ad4: 39c0004c andi r7,r7,1 - 2ad8: 10c5883a add r2,r2,r3 - 2adc: 3800071e bne r7,zero,2afc <_free_r+0x1d4> - 2ae0: 81fffe17 ldw r7,-8(r16) - 2ae4: 31cdc83a sub r6,r6,r7 - 2ae8: 30c00317 ldw r3,12(r6) - 2aec: 31400217 ldw r5,8(r6) - 2af0: 11c5883a add r2,r2,r7 - 2af4: 28c00315 stw r3,12(r5) - 2af8: 19400215 stw r5,8(r3) - 2afc: 10c00054 ori r3,r2,1 - 2b00: 30c00115 stw r3,4(r6) - 2b04: 00c00034 movhi r3,0 - 2b08: 18dca604 addi r3,r3,29336 - 2b0c: 18c00017 ldw r3,0(r3) - 2b10: 21800215 stw r6,8(r4) - 2b14: 10ffc036 bltu r2,r3,2a18 <__alt_data_end+0xfffe2a18> - 2b18: 00800034 movhi r2,0 - 2b1c: 109cb304 addi r2,r2,29388 - 2b20: 11400017 ldw r5,0(r2) - 2b24: 8809883a mov r4,r17 - 2b28: 00028040 call 2804 <_malloc_trim_r> - 2b2c: 003fba06 br 2a18 <__alt_data_end+0xfffe2a18> - 2b30: 28c9883a add r4,r5,r3 - 2b34: 21000117 ldw r4,4(r4) - 2b38: 2100004c andi r4,r4,1 - 2b3c: 2000391e bne r4,zero,2c24 <_free_r+0x2fc> - 2b40: 29c00217 ldw r7,8(r5) - 2b44: 29000317 ldw r4,12(r5) - 2b48: 1885883a add r2,r3,r2 - 2b4c: 10c00054 ori r3,r2,1 - 2b50: 39000315 stw r4,12(r7) - 2b54: 21c00215 stw r7,8(r4) - 2b58: 30c00115 stw r3,4(r6) - 2b5c: 308d883a add r6,r6,r2 - 2b60: 30800015 stw r2,0(r6) - 2b64: 003fac06 br 2a18 <__alt_data_end+0xfffe2a18> - 2b68: 00c00504 movi r3,20 - 2b6c: 19401536 bltu r3,r5,2bc4 <_free_r+0x29c> - 2b70: 28c01704 addi r3,r5,92 - 2b74: 18c7883a add r3,r3,r3 - 2b78: 294016c4 addi r5,r5,91 - 2b7c: 003fb406 br 2a50 <__alt_data_end+0xfffe2a50> - 2b80: 280bd0ba srai r5,r5,2 - 2b84: 00c00044 movi r3,1 - 2b88: 38800117 ldw r2,4(r7) - 2b8c: 194a983a sll r5,r3,r5 - 2b90: 2007883a mov r3,r4 - 2b94: 2884b03a or r2,r5,r2 - 2b98: 38800115 stw r2,4(r7) - 2b9c: 003fbb06 br 2a8c <__alt_data_end+0xfffe2a8c> - 2ba0: 21800515 stw r6,20(r4) - 2ba4: 21800415 stw r6,16(r4) - 2ba8: 10c00054 ori r3,r2,1 - 2bac: 31c00315 stw r7,12(r6) - 2bb0: 31c00215 stw r7,8(r6) - 2bb4: 30c00115 stw r3,4(r6) - 2bb8: 308d883a add r6,r6,r2 - 2bbc: 30800015 stw r2,0(r6) - 2bc0: 003f9506 br 2a18 <__alt_data_end+0xfffe2a18> - 2bc4: 00c01504 movi r3,84 - 2bc8: 19400536 bltu r3,r5,2be0 <_free_r+0x2b8> - 2bcc: 100ad33a srli r5,r2,12 - 2bd0: 28c01bc4 addi r3,r5,111 - 2bd4: 18c7883a add r3,r3,r3 - 2bd8: 29401b84 addi r5,r5,110 - 2bdc: 003f9c06 br 2a50 <__alt_data_end+0xfffe2a50> - 2be0: 00c05504 movi r3,340 - 2be4: 19400536 bltu r3,r5,2bfc <_free_r+0x2d4> - 2be8: 100ad3fa srli r5,r2,15 - 2bec: 28c01e04 addi r3,r5,120 - 2bf0: 18c7883a add r3,r3,r3 - 2bf4: 29401dc4 addi r5,r5,119 - 2bf8: 003f9506 br 2a50 <__alt_data_end+0xfffe2a50> - 2bfc: 00c15504 movi r3,1364 - 2c00: 19400536 bltu r3,r5,2c18 <_free_r+0x2f0> - 2c04: 100ad4ba srli r5,r2,18 - 2c08: 28c01f44 addi r3,r5,125 - 2c0c: 18c7883a add r3,r3,r3 - 2c10: 29401f04 addi r5,r5,124 - 2c14: 003f8e06 br 2a50 <__alt_data_end+0xfffe2a50> - 2c18: 00c03f84 movi r3,254 - 2c1c: 01401f84 movi r5,126 - 2c20: 003f8b06 br 2a50 <__alt_data_end+0xfffe2a50> - 2c24: 10c00054 ori r3,r2,1 - 2c28: 30c00115 stw r3,4(r6) - 2c2c: 308d883a add r6,r6,r2 - 2c30: 30800015 stw r2,0(r6) - 2c34: 003f7806 br 2a18 <__alt_data_end+0xfffe2a18> + 2a58: 18c7883a add r3,r3,r3 + 2a5c: 1909883a add r4,r3,r4 + 2a60: 20c00017 ldw r3,0(r4) + 2a64: 01c00034 movhi r7,0 + 2a68: 213ffe04 addi r4,r4,-8 + 2a6c: 39d72004 addi r7,r7,23680 + 2a70: 20c04426 beq r4,r3,2b84 <_free_r+0x258> + 2a74: 01ffff04 movi r7,-4 + 2a78: 19400117 ldw r5,4(r3) + 2a7c: 29ca703a and r5,r5,r7 + 2a80: 1140022e bgeu r2,r5,2a8c <_free_r+0x160> + 2a84: 18c00217 ldw r3,8(r3) + 2a88: 20fffb1e bne r4,r3,2a78 <__alt_data_end+0xfffe2a78> + 2a8c: 19000317 ldw r4,12(r3) + 2a90: 31000315 stw r4,12(r6) + 2a94: 30c00215 stw r3,8(r6) + 2a98: 21800215 stw r6,8(r4) + 2a9c: 19800315 stw r6,12(r3) + 2aa0: 003fde06 br 2a1c <__alt_data_end+0xfffe2a1c> + 2aa4: 29c00217 ldw r7,8(r5) + 2aa8: 10c5883a add r2,r2,r3 + 2aac: 00c00034 movhi r3,0 + 2ab0: 18d72204 addi r3,r3,23688 + 2ab4: 38c03b26 beq r7,r3,2ba4 <_free_r+0x278> + 2ab8: 2a000317 ldw r8,12(r5) + 2abc: 11400054 ori r5,r2,1 + 2ac0: 3087883a add r3,r6,r2 + 2ac4: 3a000315 stw r8,12(r7) + 2ac8: 41c00215 stw r7,8(r8) + 2acc: 31400115 stw r5,4(r6) + 2ad0: 18800015 stw r2,0(r3) + 2ad4: 003fbd06 br 29cc <__alt_data_end+0xfffe29cc> + 2ad8: 39c0004c andi r7,r7,1 + 2adc: 10c5883a add r2,r2,r3 + 2ae0: 3800071e bne r7,zero,2b00 <_free_r+0x1d4> + 2ae4: 81fffe17 ldw r7,-8(r16) + 2ae8: 31cdc83a sub r6,r6,r7 + 2aec: 30c00317 ldw r3,12(r6) + 2af0: 31400217 ldw r5,8(r6) + 2af4: 11c5883a add r2,r2,r7 + 2af8: 28c00315 stw r3,12(r5) + 2afc: 19400215 stw r5,8(r3) + 2b00: 10c00054 ori r3,r2,1 + 2b04: 30c00115 stw r3,4(r6) + 2b08: 00c00034 movhi r3,0 + 2b0c: 18dca704 addi r3,r3,29340 + 2b10: 18c00017 ldw r3,0(r3) + 2b14: 21800215 stw r6,8(r4) + 2b18: 10ffc036 bltu r2,r3,2a1c <__alt_data_end+0xfffe2a1c> + 2b1c: 00800034 movhi r2,0 + 2b20: 109cb404 addi r2,r2,29392 + 2b24: 11400017 ldw r5,0(r2) + 2b28: 8809883a mov r4,r17 + 2b2c: 00028080 call 2808 <_malloc_trim_r> + 2b30: 003fba06 br 2a1c <__alt_data_end+0xfffe2a1c> + 2b34: 28c9883a add r4,r5,r3 + 2b38: 21000117 ldw r4,4(r4) + 2b3c: 2100004c andi r4,r4,1 + 2b40: 2000391e bne r4,zero,2c28 <_free_r+0x2fc> + 2b44: 29c00217 ldw r7,8(r5) + 2b48: 29000317 ldw r4,12(r5) + 2b4c: 1885883a add r2,r3,r2 + 2b50: 10c00054 ori r3,r2,1 + 2b54: 39000315 stw r4,12(r7) + 2b58: 21c00215 stw r7,8(r4) + 2b5c: 30c00115 stw r3,4(r6) + 2b60: 308d883a add r6,r6,r2 + 2b64: 30800015 stw r2,0(r6) + 2b68: 003fac06 br 2a1c <__alt_data_end+0xfffe2a1c> + 2b6c: 00c00504 movi r3,20 + 2b70: 19401536 bltu r3,r5,2bc8 <_free_r+0x29c> + 2b74: 28c01704 addi r3,r5,92 + 2b78: 18c7883a add r3,r3,r3 + 2b7c: 294016c4 addi r5,r5,91 + 2b80: 003fb406 br 2a54 <__alt_data_end+0xfffe2a54> + 2b84: 280bd0ba srai r5,r5,2 + 2b88: 00c00044 movi r3,1 + 2b8c: 38800117 ldw r2,4(r7) + 2b90: 194a983a sll r5,r3,r5 + 2b94: 2007883a mov r3,r4 + 2b98: 2884b03a or r2,r5,r2 + 2b9c: 38800115 stw r2,4(r7) + 2ba0: 003fbb06 br 2a90 <__alt_data_end+0xfffe2a90> + 2ba4: 21800515 stw r6,20(r4) + 2ba8: 21800415 stw r6,16(r4) + 2bac: 10c00054 ori r3,r2,1 + 2bb0: 31c00315 stw r7,12(r6) + 2bb4: 31c00215 stw r7,8(r6) + 2bb8: 30c00115 stw r3,4(r6) + 2bbc: 308d883a add r6,r6,r2 + 2bc0: 30800015 stw r2,0(r6) + 2bc4: 003f9506 br 2a1c <__alt_data_end+0xfffe2a1c> + 2bc8: 00c01504 movi r3,84 + 2bcc: 19400536 bltu r3,r5,2be4 <_free_r+0x2b8> + 2bd0: 100ad33a srli r5,r2,12 + 2bd4: 28c01bc4 addi r3,r5,111 + 2bd8: 18c7883a add r3,r3,r3 + 2bdc: 29401b84 addi r5,r5,110 + 2be0: 003f9c06 br 2a54 <__alt_data_end+0xfffe2a54> + 2be4: 00c05504 movi r3,340 + 2be8: 19400536 bltu r3,r5,2c00 <_free_r+0x2d4> + 2bec: 100ad3fa srli r5,r2,15 + 2bf0: 28c01e04 addi r3,r5,120 + 2bf4: 18c7883a add r3,r3,r3 + 2bf8: 29401dc4 addi r5,r5,119 + 2bfc: 003f9506 br 2a54 <__alt_data_end+0xfffe2a54> + 2c00: 00c15504 movi r3,1364 + 2c04: 19400536 bltu r3,r5,2c1c <_free_r+0x2f0> + 2c08: 100ad4ba srli r5,r2,18 + 2c0c: 28c01f44 addi r3,r5,125 + 2c10: 18c7883a add r3,r3,r3 + 2c14: 29401f04 addi r5,r5,124 + 2c18: 003f8e06 br 2a54 <__alt_data_end+0xfffe2a54> + 2c1c: 00c03f84 movi r3,254 + 2c20: 01401f84 movi r5,126 + 2c24: 003f8b06 br 2a54 <__alt_data_end+0xfffe2a54> + 2c28: 10c00054 ori r3,r2,1 + 2c2c: 30c00115 stw r3,4(r6) + 2c30: 308d883a add r6,r6,r2 + 2c34: 30800015 stw r2,0(r6) + 2c38: 003f7806 br 2a1c <__alt_data_end+0xfffe2a1c> -00002c38 <_lseek_r>: - 2c38: defffd04 addi sp,sp,-12 - 2c3c: 2805883a mov r2,r5 - 2c40: dc000015 stw r16,0(sp) - 2c44: 04000034 movhi r16,0 - 2c48: dc400115 stw r17,4(sp) - 2c4c: 300b883a mov r5,r6 - 2c50: 841cb404 addi r16,r16,29392 - 2c54: 2023883a mov r17,r4 - 2c58: 380d883a mov r6,r7 - 2c5c: 1009883a mov r4,r2 - 2c60: dfc00215 stw ra,8(sp) - 2c64: 80000015 stw zero,0(r16) - 2c68: 00034c00 call 34c0 - 2c6c: 00ffffc4 movi r3,-1 - 2c70: 10c00526 beq r2,r3,2c88 <_lseek_r+0x50> - 2c74: dfc00217 ldw ra,8(sp) - 2c78: dc400117 ldw r17,4(sp) - 2c7c: dc000017 ldw r16,0(sp) - 2c80: dec00304 addi sp,sp,12 - 2c84: f800283a ret - 2c88: 80c00017 ldw r3,0(r16) - 2c8c: 183ff926 beq r3,zero,2c74 <__alt_data_end+0xfffe2c74> - 2c90: 88c00015 stw r3,0(r17) - 2c94: 003ff706 br 2c74 <__alt_data_end+0xfffe2c74> +00002c3c <_lseek_r>: + 2c3c: defffd04 addi sp,sp,-12 + 2c40: 2805883a mov r2,r5 + 2c44: dc000015 stw r16,0(sp) + 2c48: 04000034 movhi r16,0 + 2c4c: dc400115 stw r17,4(sp) + 2c50: 300b883a mov r5,r6 + 2c54: 841cb504 addi r16,r16,29396 + 2c58: 2023883a mov r17,r4 + 2c5c: 380d883a mov r6,r7 + 2c60: 1009883a mov r4,r2 + 2c64: dfc00215 stw ra,8(sp) + 2c68: 80000015 stw zero,0(r16) + 2c6c: 00034c40 call 34c4 + 2c70: 00ffffc4 movi r3,-1 + 2c74: 10c00526 beq r2,r3,2c8c <_lseek_r+0x50> + 2c78: dfc00217 ldw ra,8(sp) + 2c7c: dc400117 ldw r17,4(sp) + 2c80: dc000017 ldw r16,0(sp) + 2c84: dec00304 addi sp,sp,12 + 2c88: f800283a ret + 2c8c: 80c00017 ldw r3,0(r16) + 2c90: 183ff926 beq r3,zero,2c78 <__alt_data_end+0xfffe2c78> + 2c94: 88c00015 stw r3,0(r17) + 2c98: 003ff706 br 2c78 <__alt_data_end+0xfffe2c78> -00002c98 <__smakebuf_r>: - 2c98: 2880030b ldhu r2,12(r5) - 2c9c: 10c0008c andi r3,r2,2 - 2ca0: 1800411e bne r3,zero,2da8 <__smakebuf_r+0x110> - 2ca4: deffec04 addi sp,sp,-80 - 2ca8: dc000f15 stw r16,60(sp) - 2cac: 2821883a mov r16,r5 - 2cb0: 2940038f ldh r5,14(r5) - 2cb4: dc401015 stw r17,64(sp) - 2cb8: dfc01315 stw ra,76(sp) - 2cbc: dcc01215 stw r19,72(sp) - 2cc0: dc801115 stw r18,68(sp) - 2cc4: 2023883a mov r17,r4 - 2cc8: 28001c16 blt r5,zero,2d3c <__smakebuf_r+0xa4> - 2ccc: d80d883a mov r6,sp - 2cd0: 0002eb40 call 2eb4 <_fstat_r> - 2cd4: 10001816 blt r2,zero,2d38 <__smakebuf_r+0xa0> - 2cd8: d8800117 ldw r2,4(sp) - 2cdc: 00e00014 movui r3,32768 - 2ce0: 10bc000c andi r2,r2,61440 - 2ce4: 14c80020 cmpeqi r19,r2,8192 - 2ce8: 10c03726 beq r2,r3,2dc8 <__smakebuf_r+0x130> - 2cec: 80c0030b ldhu r3,12(r16) - 2cf0: 18c20014 ori r3,r3,2048 - 2cf4: 80c0030d sth r3,12(r16) - 2cf8: 00c80004 movi r3,8192 - 2cfc: 10c0521e bne r2,r3,2e48 <__smakebuf_r+0x1b0> - 2d00: 8140038f ldh r5,14(r16) - 2d04: 8809883a mov r4,r17 - 2d08: 0002f100 call 2f10 <_isatty_r> - 2d0c: 10004c26 beq r2,zero,2e40 <__smakebuf_r+0x1a8> - 2d10: 8080030b ldhu r2,12(r16) - 2d14: 80c010c4 addi r3,r16,67 - 2d18: 80c00015 stw r3,0(r16) - 2d1c: 10800054 ori r2,r2,1 - 2d20: 8080030d sth r2,12(r16) - 2d24: 00800044 movi r2,1 - 2d28: 80c00415 stw r3,16(r16) - 2d2c: 80800515 stw r2,20(r16) - 2d30: 04810004 movi r18,1024 - 2d34: 00000706 br 2d54 <__smakebuf_r+0xbc> - 2d38: 8080030b ldhu r2,12(r16) - 2d3c: 10c0200c andi r3,r2,128 - 2d40: 18001f1e bne r3,zero,2dc0 <__smakebuf_r+0x128> - 2d44: 04810004 movi r18,1024 - 2d48: 10820014 ori r2,r2,2048 - 2d4c: 8080030d sth r2,12(r16) - 2d50: 0027883a mov r19,zero - 2d54: 900b883a mov r5,r18 - 2d58: 8809883a mov r4,r17 - 2d5c: 0000ea00 call ea0 <_malloc_r> - 2d60: 10002c26 beq r2,zero,2e14 <__smakebuf_r+0x17c> - 2d64: 80c0030b ldhu r3,12(r16) - 2d68: 01000034 movhi r4,0 - 2d6c: 21012a04 addi r4,r4,1192 - 2d70: 89000f15 stw r4,60(r17) - 2d74: 18c02014 ori r3,r3,128 - 2d78: 80c0030d sth r3,12(r16) - 2d7c: 80800015 stw r2,0(r16) - 2d80: 80800415 stw r2,16(r16) - 2d84: 84800515 stw r18,20(r16) - 2d88: 98001a1e bne r19,zero,2df4 <__smakebuf_r+0x15c> - 2d8c: dfc01317 ldw ra,76(sp) - 2d90: dcc01217 ldw r19,72(sp) - 2d94: dc801117 ldw r18,68(sp) - 2d98: dc401017 ldw r17,64(sp) - 2d9c: dc000f17 ldw r16,60(sp) - 2da0: dec01404 addi sp,sp,80 - 2da4: f800283a ret - 2da8: 288010c4 addi r2,r5,67 - 2dac: 28800015 stw r2,0(r5) - 2db0: 28800415 stw r2,16(r5) - 2db4: 00800044 movi r2,1 - 2db8: 28800515 stw r2,20(r5) - 2dbc: f800283a ret - 2dc0: 04801004 movi r18,64 - 2dc4: 003fe006 br 2d48 <__alt_data_end+0xfffe2d48> - 2dc8: 81000a17 ldw r4,40(r16) - 2dcc: 00c00034 movhi r3,0 - 2dd0: 18c87b04 addi r3,r3,8684 - 2dd4: 20ffc51e bne r4,r3,2cec <__alt_data_end+0xfffe2cec> - 2dd8: 8080030b ldhu r2,12(r16) - 2ddc: 04810004 movi r18,1024 - 2de0: 84801315 stw r18,76(r16) - 2de4: 1484b03a or r2,r2,r18 - 2de8: 8080030d sth r2,12(r16) - 2dec: 0027883a mov r19,zero - 2df0: 003fd806 br 2d54 <__alt_data_end+0xfffe2d54> - 2df4: 8140038f ldh r5,14(r16) - 2df8: 8809883a mov r4,r17 - 2dfc: 0002f100 call 2f10 <_isatty_r> - 2e00: 103fe226 beq r2,zero,2d8c <__alt_data_end+0xfffe2d8c> - 2e04: 8080030b ldhu r2,12(r16) - 2e08: 10800054 ori r2,r2,1 - 2e0c: 8080030d sth r2,12(r16) - 2e10: 003fde06 br 2d8c <__alt_data_end+0xfffe2d8c> - 2e14: 8080030b ldhu r2,12(r16) - 2e18: 10c0800c andi r3,r2,512 - 2e1c: 183fdb1e bne r3,zero,2d8c <__alt_data_end+0xfffe2d8c> - 2e20: 10800094 ori r2,r2,2 - 2e24: 80c010c4 addi r3,r16,67 - 2e28: 8080030d sth r2,12(r16) - 2e2c: 00800044 movi r2,1 - 2e30: 80c00015 stw r3,0(r16) - 2e34: 80c00415 stw r3,16(r16) - 2e38: 80800515 stw r2,20(r16) - 2e3c: 003fd306 br 2d8c <__alt_data_end+0xfffe2d8c> - 2e40: 04810004 movi r18,1024 - 2e44: 003fc306 br 2d54 <__alt_data_end+0xfffe2d54> - 2e48: 0027883a mov r19,zero - 2e4c: 04810004 movi r18,1024 - 2e50: 003fc006 br 2d54 <__alt_data_end+0xfffe2d54> +00002c9c <__smakebuf_r>: + 2c9c: 2880030b ldhu r2,12(r5) + 2ca0: 10c0008c andi r3,r2,2 + 2ca4: 1800411e bne r3,zero,2dac <__smakebuf_r+0x110> + 2ca8: deffec04 addi sp,sp,-80 + 2cac: dc000f15 stw r16,60(sp) + 2cb0: 2821883a mov r16,r5 + 2cb4: 2940038f ldh r5,14(r5) + 2cb8: dc401015 stw r17,64(sp) + 2cbc: dfc01315 stw ra,76(sp) + 2cc0: dcc01215 stw r19,72(sp) + 2cc4: dc801115 stw r18,68(sp) + 2cc8: 2023883a mov r17,r4 + 2ccc: 28001c16 blt r5,zero,2d40 <__smakebuf_r+0xa4> + 2cd0: d80d883a mov r6,sp + 2cd4: 0002eb80 call 2eb8 <_fstat_r> + 2cd8: 10001816 blt r2,zero,2d3c <__smakebuf_r+0xa0> + 2cdc: d8800117 ldw r2,4(sp) + 2ce0: 00e00014 movui r3,32768 + 2ce4: 10bc000c andi r2,r2,61440 + 2ce8: 14c80020 cmpeqi r19,r2,8192 + 2cec: 10c03726 beq r2,r3,2dcc <__smakebuf_r+0x130> + 2cf0: 80c0030b ldhu r3,12(r16) + 2cf4: 18c20014 ori r3,r3,2048 + 2cf8: 80c0030d sth r3,12(r16) + 2cfc: 00c80004 movi r3,8192 + 2d00: 10c0521e bne r2,r3,2e4c <__smakebuf_r+0x1b0> + 2d04: 8140038f ldh r5,14(r16) + 2d08: 8809883a mov r4,r17 + 2d0c: 0002f140 call 2f14 <_isatty_r> + 2d10: 10004c26 beq r2,zero,2e44 <__smakebuf_r+0x1a8> + 2d14: 8080030b ldhu r2,12(r16) + 2d18: 80c010c4 addi r3,r16,67 + 2d1c: 80c00015 stw r3,0(r16) + 2d20: 10800054 ori r2,r2,1 + 2d24: 8080030d sth r2,12(r16) + 2d28: 00800044 movi r2,1 + 2d2c: 80c00415 stw r3,16(r16) + 2d30: 80800515 stw r2,20(r16) + 2d34: 04810004 movi r18,1024 + 2d38: 00000706 br 2d58 <__smakebuf_r+0xbc> + 2d3c: 8080030b ldhu r2,12(r16) + 2d40: 10c0200c andi r3,r2,128 + 2d44: 18001f1e bne r3,zero,2dc4 <__smakebuf_r+0x128> + 2d48: 04810004 movi r18,1024 + 2d4c: 10820014 ori r2,r2,2048 + 2d50: 8080030d sth r2,12(r16) + 2d54: 0027883a mov r19,zero + 2d58: 900b883a mov r5,r18 + 2d5c: 8809883a mov r4,r17 + 2d60: 0000ea40 call ea4 <_malloc_r> + 2d64: 10002c26 beq r2,zero,2e18 <__smakebuf_r+0x17c> + 2d68: 80c0030b ldhu r3,12(r16) + 2d6c: 01000034 movhi r4,0 + 2d70: 21012b04 addi r4,r4,1196 + 2d74: 89000f15 stw r4,60(r17) + 2d78: 18c02014 ori r3,r3,128 + 2d7c: 80c0030d sth r3,12(r16) + 2d80: 80800015 stw r2,0(r16) + 2d84: 80800415 stw r2,16(r16) + 2d88: 84800515 stw r18,20(r16) + 2d8c: 98001a1e bne r19,zero,2df8 <__smakebuf_r+0x15c> + 2d90: dfc01317 ldw ra,76(sp) + 2d94: dcc01217 ldw r19,72(sp) + 2d98: dc801117 ldw r18,68(sp) + 2d9c: dc401017 ldw r17,64(sp) + 2da0: dc000f17 ldw r16,60(sp) + 2da4: dec01404 addi sp,sp,80 + 2da8: f800283a ret + 2dac: 288010c4 addi r2,r5,67 + 2db0: 28800015 stw r2,0(r5) + 2db4: 28800415 stw r2,16(r5) + 2db8: 00800044 movi r2,1 + 2dbc: 28800515 stw r2,20(r5) + 2dc0: f800283a ret + 2dc4: 04801004 movi r18,64 + 2dc8: 003fe006 br 2d4c <__alt_data_end+0xfffe2d4c> + 2dcc: 81000a17 ldw r4,40(r16) + 2dd0: 00c00034 movhi r3,0 + 2dd4: 18c87c04 addi r3,r3,8688 + 2dd8: 20ffc51e bne r4,r3,2cf0 <__alt_data_end+0xfffe2cf0> + 2ddc: 8080030b ldhu r2,12(r16) + 2de0: 04810004 movi r18,1024 + 2de4: 84801315 stw r18,76(r16) + 2de8: 1484b03a or r2,r2,r18 + 2dec: 8080030d sth r2,12(r16) + 2df0: 0027883a mov r19,zero + 2df4: 003fd806 br 2d58 <__alt_data_end+0xfffe2d58> + 2df8: 8140038f ldh r5,14(r16) + 2dfc: 8809883a mov r4,r17 + 2e00: 0002f140 call 2f14 <_isatty_r> + 2e04: 103fe226 beq r2,zero,2d90 <__alt_data_end+0xfffe2d90> + 2e08: 8080030b ldhu r2,12(r16) + 2e0c: 10800054 ori r2,r2,1 + 2e10: 8080030d sth r2,12(r16) + 2e14: 003fde06 br 2d90 <__alt_data_end+0xfffe2d90> + 2e18: 8080030b ldhu r2,12(r16) + 2e1c: 10c0800c andi r3,r2,512 + 2e20: 183fdb1e bne r3,zero,2d90 <__alt_data_end+0xfffe2d90> + 2e24: 10800094 ori r2,r2,2 + 2e28: 80c010c4 addi r3,r16,67 + 2e2c: 8080030d sth r2,12(r16) + 2e30: 00800044 movi r2,1 + 2e34: 80c00015 stw r3,0(r16) + 2e38: 80c00415 stw r3,16(r16) + 2e3c: 80800515 stw r2,20(r16) + 2e40: 003fd306 br 2d90 <__alt_data_end+0xfffe2d90> + 2e44: 04810004 movi r18,1024 + 2e48: 003fc306 br 2d58 <__alt_data_end+0xfffe2d58> + 2e4c: 0027883a mov r19,zero + 2e50: 04810004 movi r18,1024 + 2e54: 003fc006 br 2d58 <__alt_data_end+0xfffe2d58> -00002e54 <_read_r>: - 2e54: defffd04 addi sp,sp,-12 - 2e58: 2805883a mov r2,r5 - 2e5c: dc000015 stw r16,0(sp) - 2e60: 04000034 movhi r16,0 - 2e64: dc400115 stw r17,4(sp) - 2e68: 300b883a mov r5,r6 - 2e6c: 841cb404 addi r16,r16,29392 - 2e70: 2023883a mov r17,r4 - 2e74: 380d883a mov r6,r7 - 2e78: 1009883a mov r4,r2 - 2e7c: dfc00215 stw ra,8(sp) - 2e80: 80000015 stw zero,0(r16) - 2e84: 000369c0 call 369c - 2e88: 00ffffc4 movi r3,-1 - 2e8c: 10c00526 beq r2,r3,2ea4 <_read_r+0x50> - 2e90: dfc00217 ldw ra,8(sp) - 2e94: dc400117 ldw r17,4(sp) - 2e98: dc000017 ldw r16,0(sp) - 2e9c: dec00304 addi sp,sp,12 - 2ea0: f800283a ret - 2ea4: 80c00017 ldw r3,0(r16) - 2ea8: 183ff926 beq r3,zero,2e90 <__alt_data_end+0xfffe2e90> - 2eac: 88c00015 stw r3,0(r17) - 2eb0: 003ff706 br 2e90 <__alt_data_end+0xfffe2e90> +00002e58 <_read_r>: + 2e58: defffd04 addi sp,sp,-12 + 2e5c: 2805883a mov r2,r5 + 2e60: dc000015 stw r16,0(sp) + 2e64: 04000034 movhi r16,0 + 2e68: dc400115 stw r17,4(sp) + 2e6c: 300b883a mov r5,r6 + 2e70: 841cb504 addi r16,r16,29396 + 2e74: 2023883a mov r17,r4 + 2e78: 380d883a mov r6,r7 + 2e7c: 1009883a mov r4,r2 + 2e80: dfc00215 stw ra,8(sp) + 2e84: 80000015 stw zero,0(r16) + 2e88: 00036a00 call 36a0 + 2e8c: 00ffffc4 movi r3,-1 + 2e90: 10c00526 beq r2,r3,2ea8 <_read_r+0x50> + 2e94: dfc00217 ldw ra,8(sp) + 2e98: dc400117 ldw r17,4(sp) + 2e9c: dc000017 ldw r16,0(sp) + 2ea0: dec00304 addi sp,sp,12 + 2ea4: f800283a ret + 2ea8: 80c00017 ldw r3,0(r16) + 2eac: 183ff926 beq r3,zero,2e94 <__alt_data_end+0xfffe2e94> + 2eb0: 88c00015 stw r3,0(r17) + 2eb4: 003ff706 br 2e94 <__alt_data_end+0xfffe2e94> -00002eb4 <_fstat_r>: - 2eb4: defffd04 addi sp,sp,-12 - 2eb8: 2805883a mov r2,r5 - 2ebc: dc000015 stw r16,0(sp) - 2ec0: 04000034 movhi r16,0 - 2ec4: dc400115 stw r17,4(sp) - 2ec8: 841cb404 addi r16,r16,29392 - 2ecc: 2023883a mov r17,r4 - 2ed0: 300b883a mov r5,r6 - 2ed4: 1009883a mov r4,r2 - 2ed8: dfc00215 stw ra,8(sp) - 2edc: 80000015 stw zero,0(r16) - 2ee0: 00032e40 call 32e4 - 2ee4: 00ffffc4 movi r3,-1 - 2ee8: 10c00526 beq r2,r3,2f00 <_fstat_r+0x4c> - 2eec: dfc00217 ldw ra,8(sp) - 2ef0: dc400117 ldw r17,4(sp) - 2ef4: dc000017 ldw r16,0(sp) - 2ef8: dec00304 addi sp,sp,12 - 2efc: f800283a ret - 2f00: 80c00017 ldw r3,0(r16) - 2f04: 183ff926 beq r3,zero,2eec <__alt_data_end+0xfffe2eec> - 2f08: 88c00015 stw r3,0(r17) - 2f0c: 003ff706 br 2eec <__alt_data_end+0xfffe2eec> +00002eb8 <_fstat_r>: + 2eb8: defffd04 addi sp,sp,-12 + 2ebc: 2805883a mov r2,r5 + 2ec0: dc000015 stw r16,0(sp) + 2ec4: 04000034 movhi r16,0 + 2ec8: dc400115 stw r17,4(sp) + 2ecc: 841cb504 addi r16,r16,29396 + 2ed0: 2023883a mov r17,r4 + 2ed4: 300b883a mov r5,r6 + 2ed8: 1009883a mov r4,r2 + 2edc: dfc00215 stw ra,8(sp) + 2ee0: 80000015 stw zero,0(r16) + 2ee4: 00032e80 call 32e8 + 2ee8: 00ffffc4 movi r3,-1 + 2eec: 10c00526 beq r2,r3,2f04 <_fstat_r+0x4c> + 2ef0: dfc00217 ldw ra,8(sp) + 2ef4: dc400117 ldw r17,4(sp) + 2ef8: dc000017 ldw r16,0(sp) + 2efc: dec00304 addi sp,sp,12 + 2f00: f800283a ret + 2f04: 80c00017 ldw r3,0(r16) + 2f08: 183ff926 beq r3,zero,2ef0 <__alt_data_end+0xfffe2ef0> + 2f0c: 88c00015 stw r3,0(r17) + 2f10: 003ff706 br 2ef0 <__alt_data_end+0xfffe2ef0> -00002f10 <_isatty_r>: - 2f10: defffd04 addi sp,sp,-12 - 2f14: dc000015 stw r16,0(sp) - 2f18: 04000034 movhi r16,0 - 2f1c: dc400115 stw r17,4(sp) - 2f20: 841cb404 addi r16,r16,29392 - 2f24: 2023883a mov r17,r4 - 2f28: 2809883a mov r4,r5 - 2f2c: dfc00215 stw ra,8(sp) - 2f30: 80000015 stw zero,0(r16) - 2f34: 00033d80 call 33d8 - 2f38: 00ffffc4 movi r3,-1 - 2f3c: 10c00526 beq r2,r3,2f54 <_isatty_r+0x44> - 2f40: dfc00217 ldw ra,8(sp) - 2f44: dc400117 ldw r17,4(sp) - 2f48: dc000017 ldw r16,0(sp) - 2f4c: dec00304 addi sp,sp,12 - 2f50: f800283a ret - 2f54: 80c00017 ldw r3,0(r16) - 2f58: 183ff926 beq r3,zero,2f40 <__alt_data_end+0xfffe2f40> - 2f5c: 88c00015 stw r3,0(r17) - 2f60: 003ff706 br 2f40 <__alt_data_end+0xfffe2f40> +00002f14 <_isatty_r>: + 2f14: defffd04 addi sp,sp,-12 + 2f18: dc000015 stw r16,0(sp) + 2f1c: 04000034 movhi r16,0 + 2f20: dc400115 stw r17,4(sp) + 2f24: 841cb504 addi r16,r16,29396 + 2f28: 2023883a mov r17,r4 + 2f2c: 2809883a mov r4,r5 + 2f30: dfc00215 stw ra,8(sp) + 2f34: 80000015 stw zero,0(r16) + 2f38: 00033dc0 call 33dc + 2f3c: 00ffffc4 movi r3,-1 + 2f40: 10c00526 beq r2,r3,2f58 <_isatty_r+0x44> + 2f44: dfc00217 ldw ra,8(sp) + 2f48: dc400117 ldw r17,4(sp) + 2f4c: dc000017 ldw r16,0(sp) + 2f50: dec00304 addi sp,sp,12 + 2f54: f800283a ret + 2f58: 80c00017 ldw r3,0(r16) + 2f5c: 183ff926 beq r3,zero,2f44 <__alt_data_end+0xfffe2f44> + 2f60: 88c00015 stw r3,0(r17) + 2f64: 003ff706 br 2f44 <__alt_data_end+0xfffe2f44> -00002f64 <__divsi3>: - 2f64: 20001b16 blt r4,zero,2fd4 <__divsi3+0x70> - 2f68: 000f883a mov r7,zero - 2f6c: 28001616 blt r5,zero,2fc8 <__divsi3+0x64> - 2f70: 200d883a mov r6,r4 - 2f74: 29001a2e bgeu r5,r4,2fe0 <__divsi3+0x7c> - 2f78: 00800804 movi r2,32 - 2f7c: 00c00044 movi r3,1 - 2f80: 00000106 br 2f88 <__divsi3+0x24> - 2f84: 10000d26 beq r2,zero,2fbc <__divsi3+0x58> - 2f88: 294b883a add r5,r5,r5 - 2f8c: 10bfffc4 addi r2,r2,-1 - 2f90: 18c7883a add r3,r3,r3 - 2f94: 293ffb36 bltu r5,r4,2f84 <__alt_data_end+0xfffe2f84> - 2f98: 0005883a mov r2,zero - 2f9c: 18000726 beq r3,zero,2fbc <__divsi3+0x58> - 2fa0: 0005883a mov r2,zero - 2fa4: 31400236 bltu r6,r5,2fb0 <__divsi3+0x4c> - 2fa8: 314dc83a sub r6,r6,r5 - 2fac: 10c4b03a or r2,r2,r3 - 2fb0: 1806d07a srli r3,r3,1 - 2fb4: 280ad07a srli r5,r5,1 - 2fb8: 183ffa1e bne r3,zero,2fa4 <__alt_data_end+0xfffe2fa4> - 2fbc: 38000126 beq r7,zero,2fc4 <__divsi3+0x60> - 2fc0: 0085c83a sub r2,zero,r2 - 2fc4: f800283a ret - 2fc8: 014bc83a sub r5,zero,r5 - 2fcc: 39c0005c xori r7,r7,1 - 2fd0: 003fe706 br 2f70 <__alt_data_end+0xfffe2f70> - 2fd4: 0109c83a sub r4,zero,r4 - 2fd8: 01c00044 movi r7,1 - 2fdc: 003fe306 br 2f6c <__alt_data_end+0xfffe2f6c> - 2fe0: 00c00044 movi r3,1 - 2fe4: 003fee06 br 2fa0 <__alt_data_end+0xfffe2fa0> +00002f68 <__divsi3>: + 2f68: 20001b16 blt r4,zero,2fd8 <__divsi3+0x70> + 2f6c: 000f883a mov r7,zero + 2f70: 28001616 blt r5,zero,2fcc <__divsi3+0x64> + 2f74: 200d883a mov r6,r4 + 2f78: 29001a2e bgeu r5,r4,2fe4 <__divsi3+0x7c> + 2f7c: 00800804 movi r2,32 + 2f80: 00c00044 movi r3,1 + 2f84: 00000106 br 2f8c <__divsi3+0x24> + 2f88: 10000d26 beq r2,zero,2fc0 <__divsi3+0x58> + 2f8c: 294b883a add r5,r5,r5 + 2f90: 10bfffc4 addi r2,r2,-1 + 2f94: 18c7883a add r3,r3,r3 + 2f98: 293ffb36 bltu r5,r4,2f88 <__alt_data_end+0xfffe2f88> + 2f9c: 0005883a mov r2,zero + 2fa0: 18000726 beq r3,zero,2fc0 <__divsi3+0x58> + 2fa4: 0005883a mov r2,zero + 2fa8: 31400236 bltu r6,r5,2fb4 <__divsi3+0x4c> + 2fac: 314dc83a sub r6,r6,r5 + 2fb0: 10c4b03a or r2,r2,r3 + 2fb4: 1806d07a srli r3,r3,1 + 2fb8: 280ad07a srli r5,r5,1 + 2fbc: 183ffa1e bne r3,zero,2fa8 <__alt_data_end+0xfffe2fa8> + 2fc0: 38000126 beq r7,zero,2fc8 <__divsi3+0x60> + 2fc4: 0085c83a sub r2,zero,r2 + 2fc8: f800283a ret + 2fcc: 014bc83a sub r5,zero,r5 + 2fd0: 39c0005c xori r7,r7,1 + 2fd4: 003fe706 br 2f74 <__alt_data_end+0xfffe2f74> + 2fd8: 0109c83a sub r4,zero,r4 + 2fdc: 01c00044 movi r7,1 + 2fe0: 003fe306 br 2f70 <__alt_data_end+0xfffe2f70> + 2fe4: 00c00044 movi r3,1 + 2fe8: 003fee06 br 2fa4 <__alt_data_end+0xfffe2fa4> -00002fe8 <__modsi3>: - 2fe8: 20001716 blt r4,zero,3048 <__modsi3+0x60> - 2fec: 000f883a mov r7,zero - 2ff0: 2005883a mov r2,r4 - 2ff4: 28001216 blt r5,zero,3040 <__modsi3+0x58> - 2ff8: 2900162e bgeu r5,r4,3054 <__modsi3+0x6c> - 2ffc: 01800804 movi r6,32 - 3000: 00c00044 movi r3,1 - 3004: 00000106 br 300c <__modsi3+0x24> - 3008: 30000a26 beq r6,zero,3034 <__modsi3+0x4c> - 300c: 294b883a add r5,r5,r5 - 3010: 31bfffc4 addi r6,r6,-1 - 3014: 18c7883a add r3,r3,r3 - 3018: 293ffb36 bltu r5,r4,3008 <__alt_data_end+0xfffe3008> - 301c: 18000526 beq r3,zero,3034 <__modsi3+0x4c> - 3020: 1806d07a srli r3,r3,1 - 3024: 11400136 bltu r2,r5,302c <__modsi3+0x44> - 3028: 1145c83a sub r2,r2,r5 - 302c: 280ad07a srli r5,r5,1 - 3030: 183ffb1e bne r3,zero,3020 <__alt_data_end+0xfffe3020> - 3034: 38000126 beq r7,zero,303c <__modsi3+0x54> - 3038: 0085c83a sub r2,zero,r2 - 303c: f800283a ret - 3040: 014bc83a sub r5,zero,r5 - 3044: 003fec06 br 2ff8 <__alt_data_end+0xfffe2ff8> - 3048: 0109c83a sub r4,zero,r4 - 304c: 01c00044 movi r7,1 - 3050: 003fe706 br 2ff0 <__alt_data_end+0xfffe2ff0> - 3054: 00c00044 movi r3,1 - 3058: 003ff106 br 3020 <__alt_data_end+0xfffe3020> +00002fec <__modsi3>: + 2fec: 20001716 blt r4,zero,304c <__modsi3+0x60> + 2ff0: 000f883a mov r7,zero + 2ff4: 2005883a mov r2,r4 + 2ff8: 28001216 blt r5,zero,3044 <__modsi3+0x58> + 2ffc: 2900162e bgeu r5,r4,3058 <__modsi3+0x6c> + 3000: 01800804 movi r6,32 + 3004: 00c00044 movi r3,1 + 3008: 00000106 br 3010 <__modsi3+0x24> + 300c: 30000a26 beq r6,zero,3038 <__modsi3+0x4c> + 3010: 294b883a add r5,r5,r5 + 3014: 31bfffc4 addi r6,r6,-1 + 3018: 18c7883a add r3,r3,r3 + 301c: 293ffb36 bltu r5,r4,300c <__alt_data_end+0xfffe300c> + 3020: 18000526 beq r3,zero,3038 <__modsi3+0x4c> + 3024: 1806d07a srli r3,r3,1 + 3028: 11400136 bltu r2,r5,3030 <__modsi3+0x44> + 302c: 1145c83a sub r2,r2,r5 + 3030: 280ad07a srli r5,r5,1 + 3034: 183ffb1e bne r3,zero,3024 <__alt_data_end+0xfffe3024> + 3038: 38000126 beq r7,zero,3040 <__modsi3+0x54> + 303c: 0085c83a sub r2,zero,r2 + 3040: f800283a ret + 3044: 014bc83a sub r5,zero,r5 + 3048: 003fec06 br 2ffc <__alt_data_end+0xfffe2ffc> + 304c: 0109c83a sub r4,zero,r4 + 3050: 01c00044 movi r7,1 + 3054: 003fe706 br 2ff4 <__alt_data_end+0xfffe2ff4> + 3058: 00c00044 movi r3,1 + 305c: 003ff106 br 3024 <__alt_data_end+0xfffe3024> -0000305c <__udivsi3>: - 305c: 200d883a mov r6,r4 - 3060: 2900152e bgeu r5,r4,30b8 <__udivsi3+0x5c> - 3064: 28001416 blt r5,zero,30b8 <__udivsi3+0x5c> - 3068: 00800804 movi r2,32 - 306c: 00c00044 movi r3,1 - 3070: 00000206 br 307c <__udivsi3+0x20> - 3074: 10000e26 beq r2,zero,30b0 <__udivsi3+0x54> - 3078: 28000516 blt r5,zero,3090 <__udivsi3+0x34> - 307c: 294b883a add r5,r5,r5 - 3080: 10bfffc4 addi r2,r2,-1 - 3084: 18c7883a add r3,r3,r3 - 3088: 293ffa36 bltu r5,r4,3074 <__alt_data_end+0xfffe3074> - 308c: 18000826 beq r3,zero,30b0 <__udivsi3+0x54> - 3090: 0005883a mov r2,zero - 3094: 31400236 bltu r6,r5,30a0 <__udivsi3+0x44> - 3098: 314dc83a sub r6,r6,r5 - 309c: 10c4b03a or r2,r2,r3 - 30a0: 1806d07a srli r3,r3,1 - 30a4: 280ad07a srli r5,r5,1 - 30a8: 183ffa1e bne r3,zero,3094 <__alt_data_end+0xfffe3094> - 30ac: f800283a ret - 30b0: 0005883a mov r2,zero - 30b4: f800283a ret - 30b8: 00c00044 movi r3,1 - 30bc: 003ff406 br 3090 <__alt_data_end+0xfffe3090> +00003060 <__udivsi3>: + 3060: 200d883a mov r6,r4 + 3064: 2900152e bgeu r5,r4,30bc <__udivsi3+0x5c> + 3068: 28001416 blt r5,zero,30bc <__udivsi3+0x5c> + 306c: 00800804 movi r2,32 + 3070: 00c00044 movi r3,1 + 3074: 00000206 br 3080 <__udivsi3+0x20> + 3078: 10000e26 beq r2,zero,30b4 <__udivsi3+0x54> + 307c: 28000516 blt r5,zero,3094 <__udivsi3+0x34> + 3080: 294b883a add r5,r5,r5 + 3084: 10bfffc4 addi r2,r2,-1 + 3088: 18c7883a add r3,r3,r3 + 308c: 293ffa36 bltu r5,r4,3078 <__alt_data_end+0xfffe3078> + 3090: 18000826 beq r3,zero,30b4 <__udivsi3+0x54> + 3094: 0005883a mov r2,zero + 3098: 31400236 bltu r6,r5,30a4 <__udivsi3+0x44> + 309c: 314dc83a sub r6,r6,r5 + 30a0: 10c4b03a or r2,r2,r3 + 30a4: 1806d07a srli r3,r3,1 + 30a8: 280ad07a srli r5,r5,1 + 30ac: 183ffa1e bne r3,zero,3098 <__alt_data_end+0xfffe3098> + 30b0: f800283a ret + 30b4: 0005883a mov r2,zero + 30b8: f800283a ret + 30bc: 00c00044 movi r3,1 + 30c0: 003ff406 br 3094 <__alt_data_end+0xfffe3094> -000030c0 <__umodsi3>: - 30c0: 2005883a mov r2,r4 - 30c4: 2900122e bgeu r5,r4,3110 <__umodsi3+0x50> - 30c8: 28001116 blt r5,zero,3110 <__umodsi3+0x50> - 30cc: 01800804 movi r6,32 - 30d0: 00c00044 movi r3,1 - 30d4: 00000206 br 30e0 <__umodsi3+0x20> - 30d8: 30000c26 beq r6,zero,310c <__umodsi3+0x4c> - 30dc: 28000516 blt r5,zero,30f4 <__umodsi3+0x34> - 30e0: 294b883a add r5,r5,r5 - 30e4: 31bfffc4 addi r6,r6,-1 - 30e8: 18c7883a add r3,r3,r3 - 30ec: 293ffa36 bltu r5,r4,30d8 <__alt_data_end+0xfffe30d8> - 30f0: 18000626 beq r3,zero,310c <__umodsi3+0x4c> - 30f4: 1806d07a srli r3,r3,1 - 30f8: 11400136 bltu r2,r5,3100 <__umodsi3+0x40> - 30fc: 1145c83a sub r2,r2,r5 - 3100: 280ad07a srli r5,r5,1 - 3104: 183ffb1e bne r3,zero,30f4 <__alt_data_end+0xfffe30f4> - 3108: f800283a ret +000030c4 <__umodsi3>: + 30c4: 2005883a mov r2,r4 + 30c8: 2900122e bgeu r5,r4,3114 <__umodsi3+0x50> + 30cc: 28001116 blt r5,zero,3114 <__umodsi3+0x50> + 30d0: 01800804 movi r6,32 + 30d4: 00c00044 movi r3,1 + 30d8: 00000206 br 30e4 <__umodsi3+0x20> + 30dc: 30000c26 beq r6,zero,3110 <__umodsi3+0x4c> + 30e0: 28000516 blt r5,zero,30f8 <__umodsi3+0x34> + 30e4: 294b883a add r5,r5,r5 + 30e8: 31bfffc4 addi r6,r6,-1 + 30ec: 18c7883a add r3,r3,r3 + 30f0: 293ffa36 bltu r5,r4,30dc <__alt_data_end+0xfffe30dc> + 30f4: 18000626 beq r3,zero,3110 <__umodsi3+0x4c> + 30f8: 1806d07a srli r3,r3,1 + 30fc: 11400136 bltu r2,r5,3104 <__umodsi3+0x40> + 3100: 1145c83a sub r2,r2,r5 + 3104: 280ad07a srli r5,r5,1 + 3108: 183ffb1e bne r3,zero,30f8 <__alt_data_end+0xfffe30f8> 310c: f800283a ret - 3110: 00c00044 movi r3,1 - 3114: 003ff706 br 30f4 <__alt_data_end+0xfffe30f4> + 3110: f800283a ret + 3114: 00c00044 movi r3,1 + 3118: 003ff706 br 30f8 <__alt_data_end+0xfffe30f8> -00003118 <__mulsi3>: - 3118: 0005883a mov r2,zero - 311c: 20000726 beq r4,zero,313c <__mulsi3+0x24> - 3120: 20c0004c andi r3,r4,1 - 3124: 2008d07a srli r4,r4,1 - 3128: 18000126 beq r3,zero,3130 <__mulsi3+0x18> - 312c: 1145883a add r2,r2,r5 - 3130: 294b883a add r5,r5,r5 - 3134: 203ffa1e bne r4,zero,3120 <__alt_data_end+0xfffe3120> - 3138: f800283a ret +0000311c <__mulsi3>: + 311c: 0005883a mov r2,zero + 3120: 20000726 beq r4,zero,3140 <__mulsi3+0x24> + 3124: 20c0004c andi r3,r4,1 + 3128: 2008d07a srli r4,r4,1 + 312c: 18000126 beq r3,zero,3134 <__mulsi3+0x18> + 3130: 1145883a add r2,r2,r5 + 3134: 294b883a add r5,r5,r5 + 3138: 203ffa1e bne r4,zero,3124 <__alt_data_end+0xfffe3124> 313c: f800283a ret + 3140: f800283a ret -00003140 : +00003144 : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 3140: defffe04 addi sp,sp,-8 - 3144: dfc00115 stw ra,4(sp) - 3148: df000015 stw fp,0(sp) - 314c: d839883a mov fp,sp + 3144: defffe04 addi sp,sp,-8 + 3148: dfc00115 stw ra,4(sp) + 314c: df000015 stw fp,0(sp) + 3150: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 3150: d0a00917 ldw r2,-32732(gp) - 3154: 10000326 beq r2,zero,3164 - 3158: d0a00917 ldw r2,-32732(gp) - 315c: 103ee83a callr r2 - 3160: 00000106 br 3168 - 3164: d0a01104 addi r2,gp,-32700 + 3154: d0a00917 ldw r2,-32732(gp) + 3158: 10000326 beq r2,zero,3168 + 315c: d0a00917 ldw r2,-32732(gp) + 3160: 103ee83a callr r2 + 3164: 00000106 br 316c + 3168: d0a01104 addi r2,gp,-32700 } - 3168: e037883a mov sp,fp - 316c: dfc00117 ldw ra,4(sp) - 3170: df000017 ldw fp,0(sp) - 3174: dec00204 addi sp,sp,8 - 3178: f800283a ret + 316c: e037883a mov sp,fp + 3170: dfc00117 ldw ra,4(sp) + 3174: df000017 ldw fp,0(sp) + 3178: dec00204 addi sp,sp,8 + 317c: f800283a ret -0000317c : +00003180 : * * ALT_CLOSE is mapped onto the close() system call in alt_syscall.h */ int ALT_CLOSE (int fildes) { - 317c: defffb04 addi sp,sp,-20 - 3180: dfc00415 stw ra,16(sp) - 3184: df000315 stw fp,12(sp) - 3188: df000304 addi fp,sp,12 - 318c: e13fff15 stw r4,-4(fp) + 3180: defffb04 addi sp,sp,-20 + 3184: dfc00415 stw ra,16(sp) + 3188: df000315 stw fp,12(sp) + 318c: df000304 addi fp,sp,12 + 3190: e13fff15 stw r4,-4(fp) * A common error case is that when the file descriptor was created, the call * to open() failed resulting in a negative file descriptor. This is trapped * below so that we don't try and process an invalid file descriptor. */ fd = (fildes < 0) ? NULL : &alt_fd_list[fildes]; - 3190: e0bfff17 ldw r2,-4(fp) - 3194: 10000816 blt r2,zero,31b8 - 3198: 01400304 movi r5,12 - 319c: e13fff17 ldw r4,-4(fp) - 31a0: 00031180 call 3118 <__mulsi3> - 31a4: 1007883a mov r3,r2 - 31a8: 00800034 movhi r2,0 - 31ac: 10982b04 addi r2,r2,24748 - 31b0: 1885883a add r2,r3,r2 - 31b4: 00000106 br 31bc - 31b8: 0005883a mov r2,zero - 31bc: e0bffd15 stw r2,-12(fp) + 3194: e0bfff17 ldw r2,-4(fp) + 3198: 10000816 blt r2,zero,31bc + 319c: 01400304 movi r5,12 + 31a0: e13fff17 ldw r4,-4(fp) + 31a4: 000311c0 call 311c <__mulsi3> + 31a8: 1007883a mov r3,r2 + 31ac: 00800034 movhi r2,0 + 31b0: 10982c04 addi r2,r2,24752 + 31b4: 1885883a add r2,r3,r2 + 31b8: 00000106 br 31c0 + 31bc: 0005883a mov r2,zero + 31c0: e0bffd15 stw r2,-12(fp) if (fd) - 31c0: e0bffd17 ldw r2,-12(fp) - 31c4: 10001926 beq r2,zero,322c + 31c4: e0bffd17 ldw r2,-12(fp) + 31c8: 10001926 beq r2,zero,3230 /* * If the associated file system/device has a close function, call it so * that any necessary cleanup code can run. */ rval = (fd->dev->close) ? fd->dev->close(fd) : 0; - 31c8: e0bffd17 ldw r2,-12(fp) - 31cc: 10800017 ldw r2,0(r2) - 31d0: 10800417 ldw r2,16(r2) - 31d4: 10000626 beq r2,zero,31f0 - 31d8: e0bffd17 ldw r2,-12(fp) - 31dc: 10800017 ldw r2,0(r2) - 31e0: 10800417 ldw r2,16(r2) - 31e4: e13ffd17 ldw r4,-12(fp) - 31e8: 103ee83a callr r2 - 31ec: 00000106 br 31f4 - 31f0: 0005883a mov r2,zero - 31f4: e0bffe15 stw r2,-8(fp) + 31cc: e0bffd17 ldw r2,-12(fp) + 31d0: 10800017 ldw r2,0(r2) + 31d4: 10800417 ldw r2,16(r2) + 31d8: 10000626 beq r2,zero,31f4 + 31dc: e0bffd17 ldw r2,-12(fp) + 31e0: 10800017 ldw r2,0(r2) + 31e4: 10800417 ldw r2,16(r2) + 31e8: e13ffd17 ldw r4,-12(fp) + 31ec: 103ee83a callr r2 + 31f0: 00000106 br 31f8 + 31f4: 0005883a mov r2,zero + 31f8: e0bffe15 stw r2,-8(fp) /* Free the file descriptor structure and return. */ alt_release_fd (fildes); - 31f8: e13fff17 ldw r4,-4(fp) - 31fc: 00037a00 call 37a0 + 31fc: e13fff17 ldw r4,-4(fp) + 3200: 00037a40 call 37a4 if (rval < 0) - 3200: e0bffe17 ldw r2,-8(fp) - 3204: 1000070e bge r2,zero,3224 + 3204: e0bffe17 ldw r2,-8(fp) + 3208: 1000070e bge r2,zero,3228 { ALT_ERRNO = -rval; - 3208: 00031400 call 3140 - 320c: 1007883a mov r3,r2 - 3210: e0bffe17 ldw r2,-8(fp) - 3214: 0085c83a sub r2,zero,r2 - 3218: 18800015 stw r2,0(r3) + 320c: 00031440 call 3144 + 3210: 1007883a mov r3,r2 + 3214: e0bffe17 ldw r2,-8(fp) + 3218: 0085c83a sub r2,zero,r2 + 321c: 18800015 stw r2,0(r3) return -1; - 321c: 00bfffc4 movi r2,-1 - 3220: 00000706 br 3240 + 3220: 00bfffc4 movi r2,-1 + 3224: 00000706 br 3244 } return 0; - 3224: 0005883a mov r2,zero - 3228: 00000506 br 3240 + 3228: 0005883a mov r2,zero + 322c: 00000506 br 3244 } else { ALT_ERRNO = EBADFD; - 322c: 00031400 call 3140 - 3230: 1007883a mov r3,r2 - 3234: 00801444 movi r2,81 - 3238: 18800015 stw r2,0(r3) + 3230: 00031440 call 3144 + 3234: 1007883a mov r3,r2 + 3238: 00801444 movi r2,81 + 323c: 18800015 stw r2,0(r3) return -1; - 323c: 00bfffc4 movi r2,-1 + 3240: 00bfffc4 movi r2,-1 } } - 3240: e037883a mov sp,fp - 3244: dfc00117 ldw ra,4(sp) - 3248: df000017 ldw fp,0(sp) - 324c: dec00204 addi sp,sp,8 - 3250: f800283a ret + 3244: e037883a mov sp,fp + 3248: dfc00117 ldw ra,4(sp) + 324c: df000017 ldw fp,0(sp) + 3250: dec00204 addi sp,sp,8 + 3254: f800283a ret -00003254 : +00003258 : * * Any dirty lines in the data cache are written back to memory. */ void alt_dcache_flush (void* start, alt_u32 len) { - 3254: defffd04 addi sp,sp,-12 - 3258: df000215 stw fp,8(sp) - 325c: df000204 addi fp,sp,8 - 3260: e13ffe15 stw r4,-8(fp) - 3264: e17fff15 stw r5,-4(fp) + 3258: defffd04 addi sp,sp,-12 + 325c: df000215 stw fp,8(sp) + 3260: df000204 addi fp,sp,8 + 3264: e13ffe15 stw r4,-8(fp) + 3268: e17fff15 stw r5,-4(fp) { ALT_FLUSH_DATA(i); } #endif /* NIOS2_DCACHE_SIZE > 0 */ } - 3268: 0001883a nop - 326c: e037883a mov sp,fp - 3270: df000017 ldw fp,0(sp) - 3274: dec00104 addi sp,sp,4 - 3278: f800283a ret + 326c: 0001883a nop + 3270: e037883a mov sp,fp + 3274: df000017 ldw fp,0(sp) + 3278: dec00104 addi sp,sp,4 + 327c: f800283a ret -0000327c : +00003280 : * by the alt_dev_null device. It simple discards all data passed to it, and * indicates that the data has been successfully transmitted. */ static int alt_dev_null_write (alt_fd* fd, const char* ptr, int len) { - 327c: defffc04 addi sp,sp,-16 - 3280: df000315 stw fp,12(sp) - 3284: df000304 addi fp,sp,12 - 3288: e13ffd15 stw r4,-12(fp) - 328c: e17ffe15 stw r5,-8(fp) - 3290: e1bfff15 stw r6,-4(fp) + 3280: defffc04 addi sp,sp,-16 + 3284: df000315 stw fp,12(sp) + 3288: df000304 addi fp,sp,12 + 328c: e13ffd15 stw r4,-12(fp) + 3290: e17ffe15 stw r5,-8(fp) + 3294: e1bfff15 stw r6,-4(fp) return len; - 3294: e0bfff17 ldw r2,-4(fp) + 3298: e0bfff17 ldw r2,-4(fp) } - 3298: e037883a mov sp,fp - 329c: df000017 ldw fp,0(sp) - 32a0: dec00104 addi sp,sp,4 - 32a4: f800283a ret + 329c: e037883a mov sp,fp + 32a0: df000017 ldw fp,0(sp) + 32a4: dec00104 addi sp,sp,4 + 32a8: f800283a ret -000032a8 : +000032ac : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 32a8: defffe04 addi sp,sp,-8 - 32ac: dfc00115 stw ra,4(sp) - 32b0: df000015 stw fp,0(sp) - 32b4: d839883a mov fp,sp + 32ac: defffe04 addi sp,sp,-8 + 32b0: dfc00115 stw ra,4(sp) + 32b4: df000015 stw fp,0(sp) + 32b8: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 32b8: d0a00917 ldw r2,-32732(gp) - 32bc: 10000326 beq r2,zero,32cc - 32c0: d0a00917 ldw r2,-32732(gp) - 32c4: 103ee83a callr r2 - 32c8: 00000106 br 32d0 - 32cc: d0a01104 addi r2,gp,-32700 + 32bc: d0a00917 ldw r2,-32732(gp) + 32c0: 10000326 beq r2,zero,32d0 + 32c4: d0a00917 ldw r2,-32732(gp) + 32c8: 103ee83a callr r2 + 32cc: 00000106 br 32d4 + 32d0: d0a01104 addi r2,gp,-32700 } - 32d0: e037883a mov sp,fp - 32d4: dfc00117 ldw ra,4(sp) - 32d8: df000017 ldw fp,0(sp) - 32dc: dec00204 addi sp,sp,8 - 32e0: f800283a ret + 32d4: e037883a mov sp,fp + 32d8: dfc00117 ldw ra,4(sp) + 32dc: df000017 ldw fp,0(sp) + 32e0: dec00204 addi sp,sp,8 + 32e4: f800283a ret -000032e4 : +000032e8 : } #else /* !ALT_USE_DIRECT_DRIVERS */ int ALT_FSTAT (int file, struct stat *st) { - 32e4: defffb04 addi sp,sp,-20 - 32e8: dfc00415 stw ra,16(sp) - 32ec: df000315 stw fp,12(sp) - 32f0: df000304 addi fp,sp,12 - 32f4: e13ffe15 stw r4,-8(fp) - 32f8: e17fff15 stw r5,-4(fp) + 32e8: defffb04 addi sp,sp,-20 + 32ec: dfc00415 stw ra,16(sp) + 32f0: df000315 stw fp,12(sp) + 32f4: df000304 addi fp,sp,12 + 32f8: e13ffe15 stw r4,-8(fp) + 32fc: e17fff15 stw r5,-4(fp) * A common error case is that when the file descriptor was created, the call * to open() failed resulting in a negative file descriptor. This is trapped * below so that we don't try and process an invalid file descriptor. */ fd = (file < 0) ? NULL : &alt_fd_list[file]; - 32fc: e0bffe17 ldw r2,-8(fp) - 3300: 10000816 blt r2,zero,3324 - 3304: 01400304 movi r5,12 - 3308: e13ffe17 ldw r4,-8(fp) - 330c: 00031180 call 3118 <__mulsi3> - 3310: 1007883a mov r3,r2 - 3314: 00800034 movhi r2,0 - 3318: 10982b04 addi r2,r2,24748 - 331c: 1885883a add r2,r3,r2 - 3320: 00000106 br 3328 - 3324: 0005883a mov r2,zero - 3328: e0bffd15 stw r2,-12(fp) + 3300: e0bffe17 ldw r2,-8(fp) + 3304: 10000816 blt r2,zero,3328 + 3308: 01400304 movi r5,12 + 330c: e13ffe17 ldw r4,-8(fp) + 3310: 000311c0 call 311c <__mulsi3> + 3314: 1007883a mov r3,r2 + 3318: 00800034 movhi r2,0 + 331c: 10982c04 addi r2,r2,24752 + 3320: 1885883a add r2,r3,r2 + 3324: 00000106 br 332c + 3328: 0005883a mov r2,zero + 332c: e0bffd15 stw r2,-12(fp) if (fd) - 332c: e0bffd17 ldw r2,-12(fp) - 3330: 10001026 beq r2,zero,3374 + 3330: e0bffd17 ldw r2,-12(fp) + 3334: 10001026 beq r2,zero,3378 { /* Call the drivers fstat() function to fill out the "st" structure. */ if (fd->dev->fstat) - 3334: e0bffd17 ldw r2,-12(fp) - 3338: 10800017 ldw r2,0(r2) - 333c: 10800817 ldw r2,32(r2) - 3340: 10000726 beq r2,zero,3360 + 3338: e0bffd17 ldw r2,-12(fp) + 333c: 10800017 ldw r2,0(r2) + 3340: 10800817 ldw r2,32(r2) + 3344: 10000726 beq r2,zero,3364 { return fd->dev->fstat(fd, st); - 3344: e0bffd17 ldw r2,-12(fp) - 3348: 10800017 ldw r2,0(r2) - 334c: 10800817 ldw r2,32(r2) - 3350: e17fff17 ldw r5,-4(fp) - 3354: e13ffd17 ldw r4,-12(fp) - 3358: 103ee83a callr r2 - 335c: 00000a06 br 3388 + 3348: e0bffd17 ldw r2,-12(fp) + 334c: 10800017 ldw r2,0(r2) + 3350: 10800817 ldw r2,32(r2) + 3354: e17fff17 ldw r5,-4(fp) + 3358: e13ffd17 ldw r4,-12(fp) + 335c: 103ee83a callr r2 + 3360: 00000a06 br 338c * device. */ else { st->st_mode = _IFCHR; - 3360: e0bfff17 ldw r2,-4(fp) - 3364: 00c80004 movi r3,8192 - 3368: 10c00115 stw r3,4(r2) + 3364: e0bfff17 ldw r2,-4(fp) + 3368: 00c80004 movi r3,8192 + 336c: 10c00115 stw r3,4(r2) return 0; - 336c: 0005883a mov r2,zero - 3370: 00000506 br 3388 + 3370: 0005883a mov r2,zero + 3374: 00000506 br 338c } } else { ALT_ERRNO = EBADFD; - 3374: 00032a80 call 32a8 - 3378: 1007883a mov r3,r2 - 337c: 00801444 movi r2,81 - 3380: 18800015 stw r2,0(r3) + 3378: 00032ac0 call 32ac + 337c: 1007883a mov r3,r2 + 3380: 00801444 movi r2,81 + 3384: 18800015 stw r2,0(r3) return -1; - 3384: 00bfffc4 movi r2,-1 + 3388: 00bfffc4 movi r2,-1 } } - 3388: e037883a mov sp,fp - 338c: dfc00117 ldw ra,4(sp) - 3390: df000017 ldw fp,0(sp) - 3394: dec00204 addi sp,sp,8 - 3398: f800283a ret + 338c: e037883a mov sp,fp + 3390: dfc00117 ldw ra,4(sp) + 3394: df000017 ldw fp,0(sp) + 3398: dec00204 addi sp,sp,8 + 339c: f800283a ret -0000339c : +000033a0 : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 339c: defffe04 addi sp,sp,-8 - 33a0: dfc00115 stw ra,4(sp) - 33a4: df000015 stw fp,0(sp) - 33a8: d839883a mov fp,sp + 33a0: defffe04 addi sp,sp,-8 + 33a4: dfc00115 stw ra,4(sp) + 33a8: df000015 stw fp,0(sp) + 33ac: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 33ac: d0a00917 ldw r2,-32732(gp) - 33b0: 10000326 beq r2,zero,33c0 - 33b4: d0a00917 ldw r2,-32732(gp) - 33b8: 103ee83a callr r2 - 33bc: 00000106 br 33c4 - 33c0: d0a01104 addi r2,gp,-32700 + 33b0: d0a00917 ldw r2,-32732(gp) + 33b4: 10000326 beq r2,zero,33c4 + 33b8: d0a00917 ldw r2,-32732(gp) + 33bc: 103ee83a callr r2 + 33c0: 00000106 br 33c8 + 33c4: d0a01104 addi r2,gp,-32700 } - 33c4: e037883a mov sp,fp - 33c8: dfc00117 ldw ra,4(sp) - 33cc: df000017 ldw fp,0(sp) - 33d0: dec00204 addi sp,sp,8 - 33d4: f800283a ret + 33c8: e037883a mov sp,fp + 33cc: dfc00117 ldw ra,4(sp) + 33d0: df000017 ldw fp,0(sp) + 33d4: dec00204 addi sp,sp,8 + 33d8: f800283a ret -000033d8 : +000033dc : * * ALT_ISATTY is mapped onto the isatty() system call in alt_syscall.h */ int ALT_ISATTY (int file) { - 33d8: deffed04 addi sp,sp,-76 - 33dc: dfc01215 stw ra,72(sp) - 33e0: df001115 stw fp,68(sp) - 33e4: df001104 addi fp,sp,68 - 33e8: e13fff15 stw r4,-4(fp) + 33dc: deffed04 addi sp,sp,-76 + 33e0: dfc01215 stw ra,72(sp) + 33e4: df001115 stw fp,68(sp) + 33e8: df001104 addi fp,sp,68 + 33ec: e13fff15 stw r4,-4(fp) * A common error case is that when the file descriptor was created, the call * to open() failed resulting in a negative file descriptor. This is trapped * below so that we don't try and process an invalid file descriptor. */ fd = (file < 0) ? NULL : &alt_fd_list[file]; - 33ec: e0bfff17 ldw r2,-4(fp) - 33f0: 10000816 blt r2,zero,3414 - 33f4: 01400304 movi r5,12 - 33f8: e13fff17 ldw r4,-4(fp) - 33fc: 00031180 call 3118 <__mulsi3> - 3400: 1007883a mov r3,r2 - 3404: 00800034 movhi r2,0 - 3408: 10982b04 addi r2,r2,24748 - 340c: 1885883a add r2,r3,r2 - 3410: 00000106 br 3418 - 3414: 0005883a mov r2,zero - 3418: e0bfef15 stw r2,-68(fp) + 33f0: e0bfff17 ldw r2,-4(fp) + 33f4: 10000816 blt r2,zero,3418 + 33f8: 01400304 movi r5,12 + 33fc: e13fff17 ldw r4,-4(fp) + 3400: 000311c0 call 311c <__mulsi3> + 3404: 1007883a mov r3,r2 + 3408: 00800034 movhi r2,0 + 340c: 10982c04 addi r2,r2,24752 + 3410: 1885883a add r2,r3,r2 + 3414: 00000106 br 341c + 3418: 0005883a mov r2,zero + 341c: e0bfef15 stw r2,-68(fp) if (fd) - 341c: e0bfef17 ldw r2,-68(fp) - 3420: 10000e26 beq r2,zero,345c + 3420: e0bfef17 ldw r2,-68(fp) + 3424: 10000e26 beq r2,zero,3460 /* * If a device driver does not provide an fstat() function, then it is * treated as a terminal device by default. */ if (!fd->dev->fstat) - 3424: e0bfef17 ldw r2,-68(fp) - 3428: 10800017 ldw r2,0(r2) - 342c: 10800817 ldw r2,32(r2) - 3430: 1000021e bne r2,zero,343c + 3428: e0bfef17 ldw r2,-68(fp) + 342c: 10800017 ldw r2,0(r2) + 3430: 10800817 ldw r2,32(r2) + 3434: 1000021e bne r2,zero,3440 { return 1; - 3434: 00800044 movi r2,1 - 3438: 00000d06 br 3470 + 3438: 00800044 movi r2,1 + 343c: 00000d06 br 3474 * this is called so that the device can identify itself. */ else { fstat (file, &stat); - 343c: e0bff004 addi r2,fp,-64 - 3440: 100b883a mov r5,r2 - 3444: e13fff17 ldw r4,-4(fp) - 3448: 00032e40 call 32e4 + 3440: e0bff004 addi r2,fp,-64 + 3444: 100b883a mov r5,r2 + 3448: e13fff17 ldw r4,-4(fp) + 344c: 00032e80 call 32e8 return (stat.st_mode == _IFCHR) ? 1 : 0; - 344c: e0bff117 ldw r2,-60(fp) - 3450: 10880020 cmpeqi r2,r2,8192 - 3454: 10803fcc andi r2,r2,255 - 3458: 00000506 br 3470 + 3450: e0bff117 ldw r2,-60(fp) + 3454: 10880020 cmpeqi r2,r2,8192 + 3458: 10803fcc andi r2,r2,255 + 345c: 00000506 br 3474 } } else { ALT_ERRNO = EBADFD; - 345c: 000339c0 call 339c - 3460: 1007883a mov r3,r2 - 3464: 00801444 movi r2,81 - 3468: 18800015 stw r2,0(r3) + 3460: 00033a00 call 33a0 + 3464: 1007883a mov r3,r2 + 3468: 00801444 movi r2,81 + 346c: 18800015 stw r2,0(r3) return 0; - 346c: 0005883a mov r2,zero + 3470: 0005883a mov r2,zero } } - 3470: e037883a mov sp,fp - 3474: dfc00117 ldw ra,4(sp) - 3478: df000017 ldw fp,0(sp) - 347c: dec00204 addi sp,sp,8 - 3480: f800283a ret + 3474: e037883a mov sp,fp + 3478: dfc00117 ldw ra,4(sp) + 347c: df000017 ldw fp,0(sp) + 3480: dec00204 addi sp,sp,8 + 3484: f800283a ret -00003484 : +00003488 : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 3484: defffe04 addi sp,sp,-8 - 3488: dfc00115 stw ra,4(sp) - 348c: df000015 stw fp,0(sp) - 3490: d839883a mov fp,sp + 3488: defffe04 addi sp,sp,-8 + 348c: dfc00115 stw ra,4(sp) + 3490: df000015 stw fp,0(sp) + 3494: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 3494: d0a00917 ldw r2,-32732(gp) - 3498: 10000326 beq r2,zero,34a8 - 349c: d0a00917 ldw r2,-32732(gp) - 34a0: 103ee83a callr r2 - 34a4: 00000106 br 34ac - 34a8: d0a01104 addi r2,gp,-32700 + 3498: d0a00917 ldw r2,-32732(gp) + 349c: 10000326 beq r2,zero,34ac + 34a0: d0a00917 ldw r2,-32732(gp) + 34a4: 103ee83a callr r2 + 34a8: 00000106 br 34b0 + 34ac: d0a01104 addi r2,gp,-32700 } - 34ac: e037883a mov sp,fp - 34b0: dfc00117 ldw ra,4(sp) - 34b4: df000017 ldw fp,0(sp) - 34b8: dec00204 addi sp,sp,8 - 34bc: f800283a ret + 34b0: e037883a mov sp,fp + 34b4: dfc00117 ldw ra,4(sp) + 34b8: df000017 ldw fp,0(sp) + 34bc: dec00204 addi sp,sp,8 + 34c0: f800283a ret -000034c0 : +000034c4 : * ALT_LSEEK is mapped onto the lseek() system call in alt_syscall.h * */ off_t ALT_LSEEK (int file, off_t ptr, int dir) { - 34c0: defff904 addi sp,sp,-28 - 34c4: dfc00615 stw ra,24(sp) - 34c8: df000515 stw fp,20(sp) - 34cc: df000504 addi fp,sp,20 - 34d0: e13ffd15 stw r4,-12(fp) - 34d4: e17ffe15 stw r5,-8(fp) - 34d8: e1bfff15 stw r6,-4(fp) + 34c4: defff904 addi sp,sp,-28 + 34c8: dfc00615 stw ra,24(sp) + 34cc: df000515 stw fp,20(sp) + 34d0: df000504 addi fp,sp,20 + 34d4: e13ffd15 stw r4,-12(fp) + 34d8: e17ffe15 stw r5,-8(fp) + 34dc: e1bfff15 stw r6,-4(fp) alt_fd* fd; off_t rc = 0; - 34dc: e03ffb15 stw zero,-20(fp) + 34e0: e03ffb15 stw zero,-20(fp) * A common error case is that when the file descriptor was created, the call * to open() failed resulting in a negative file descriptor. This is trapped * below so that we don't try and process an invalid file descriptor. */ fd = (file < 0) ? NULL : &alt_fd_list[file]; - 34e0: e0bffd17 ldw r2,-12(fp) - 34e4: 10000816 blt r2,zero,3508 - 34e8: 01400304 movi r5,12 - 34ec: e13ffd17 ldw r4,-12(fp) - 34f0: 00031180 call 3118 <__mulsi3> - 34f4: 1007883a mov r3,r2 - 34f8: 00800034 movhi r2,0 - 34fc: 10982b04 addi r2,r2,24748 - 3500: 1885883a add r2,r3,r2 - 3504: 00000106 br 350c - 3508: 0005883a mov r2,zero - 350c: e0bffc15 stw r2,-16(fp) + 34e4: e0bffd17 ldw r2,-12(fp) + 34e8: 10000816 blt r2,zero,350c + 34ec: 01400304 movi r5,12 + 34f0: e13ffd17 ldw r4,-12(fp) + 34f4: 000311c0 call 311c <__mulsi3> + 34f8: 1007883a mov r3,r2 + 34fc: 00800034 movhi r2,0 + 3500: 10982c04 addi r2,r2,24752 + 3504: 1885883a add r2,r3,r2 + 3508: 00000106 br 3510 + 350c: 0005883a mov r2,zero + 3510: e0bffc15 stw r2,-16(fp) if (fd) - 3510: e0bffc17 ldw r2,-16(fp) - 3514: 10001026 beq r2,zero,3558 + 3514: e0bffc17 ldw r2,-16(fp) + 3518: 10001026 beq r2,zero,355c /* * If the device driver provides an implementation of the lseek() function, * then call that to process the request. */ if (fd->dev->lseek) - 3518: e0bffc17 ldw r2,-16(fp) - 351c: 10800017 ldw r2,0(r2) - 3520: 10800717 ldw r2,28(r2) - 3524: 10000926 beq r2,zero,354c + 351c: e0bffc17 ldw r2,-16(fp) + 3520: 10800017 ldw r2,0(r2) + 3524: 10800717 ldw r2,28(r2) + 3528: 10000926 beq r2,zero,3550 { rc = fd->dev->lseek(fd, ptr, dir); - 3528: e0bffc17 ldw r2,-16(fp) - 352c: 10800017 ldw r2,0(r2) - 3530: 10800717 ldw r2,28(r2) - 3534: e1bfff17 ldw r6,-4(fp) - 3538: e17ffe17 ldw r5,-8(fp) - 353c: e13ffc17 ldw r4,-16(fp) - 3540: 103ee83a callr r2 - 3544: e0bffb15 stw r2,-20(fp) - 3548: 00000506 br 3560 + 352c: e0bffc17 ldw r2,-16(fp) + 3530: 10800017 ldw r2,0(r2) + 3534: 10800717 ldw r2,28(r2) + 3538: e1bfff17 ldw r6,-4(fp) + 353c: e17ffe17 ldw r5,-8(fp) + 3540: e13ffc17 ldw r4,-16(fp) + 3544: 103ee83a callr r2 + 3548: e0bffb15 stw r2,-20(fp) + 354c: 00000506 br 3564 * Otherwise return an error. */ else { rc = -ENOTSUP; - 354c: 00bfde84 movi r2,-134 - 3550: e0bffb15 stw r2,-20(fp) - 3554: 00000206 br 3560 + 3550: 00bfde84 movi r2,-134 + 3554: e0bffb15 stw r2,-20(fp) + 3558: 00000206 br 3564 } } else { rc = -EBADFD; - 3558: 00bfebc4 movi r2,-81 - 355c: e0bffb15 stw r2,-20(fp) + 355c: 00bfebc4 movi r2,-81 + 3560: e0bffb15 stw r2,-20(fp) } if (rc < 0) - 3560: e0bffb17 ldw r2,-20(fp) - 3564: 1000070e bge r2,zero,3584 + 3564: e0bffb17 ldw r2,-20(fp) + 3568: 1000070e bge r2,zero,3588 { ALT_ERRNO = -rc; - 3568: 00034840 call 3484 - 356c: 1007883a mov r3,r2 - 3570: e0bffb17 ldw r2,-20(fp) - 3574: 0085c83a sub r2,zero,r2 - 3578: 18800015 stw r2,0(r3) + 356c: 00034880 call 3488 + 3570: 1007883a mov r3,r2 + 3574: e0bffb17 ldw r2,-20(fp) + 3578: 0085c83a sub r2,zero,r2 + 357c: 18800015 stw r2,0(r3) rc = -1; - 357c: 00bfffc4 movi r2,-1 - 3580: e0bffb15 stw r2,-20(fp) + 3580: 00bfffc4 movi r2,-1 + 3584: e0bffb15 stw r2,-20(fp) } return rc; - 3584: e0bffb17 ldw r2,-20(fp) + 3588: e0bffb17 ldw r2,-20(fp) } - 3588: e037883a mov sp,fp - 358c: dfc00117 ldw ra,4(sp) - 3590: df000017 ldw fp,0(sp) - 3594: dec00204 addi sp,sp,8 - 3598: f800283a ret + 358c: e037883a mov sp,fp + 3590: dfc00117 ldw ra,4(sp) + 3594: df000017 ldw fp,0(sp) + 3598: dec00204 addi sp,sp,8 + 359c: f800283a ret -0000359c : +000035a0 : * devices/filesystems/components in the system; and call the entry point for * the users application, i.e. main(). */ void alt_main (void) { - 359c: defffd04 addi sp,sp,-12 - 35a0: dfc00215 stw ra,8(sp) - 35a4: df000115 stw fp,4(sp) - 35a8: df000104 addi fp,sp,4 + 35a0: defffd04 addi sp,sp,-12 + 35a4: dfc00215 stw ra,8(sp) + 35a8: df000115 stw fp,4(sp) + 35ac: df000104 addi fp,sp,4 #endif /* ALT LOG - please see HAL/sys/alt_log_printf.h for details */ ALT_LOG_PRINT_BOOT("[alt_main.c] Entering alt_main, calling alt_irq_init.\r\n"); /* Initialize the interrupt controller. */ alt_irq_init (NULL); - 35ac: 0009883a mov r4,zero - 35b0: 0003a440 call 3a44 + 35b0: 0009883a mov r4,zero + 35b4: 0003a480 call 3a48 /* Initialize the operating system */ ALT_LOG_PRINT_BOOT("[alt_main.c] Done alt_irq_init, calling alt_os_init.\r\n"); ALT_OS_INIT(); - 35b4: 0001883a nop + 35b8: 0001883a nop ALT_LOG_PRINT_BOOT("[alt_main.c] Done OS Init, calling alt_sem_create.\r\n"); ALT_SEM_CREATE (&alt_fd_list_lock, 1); /* Initialize the device drivers/software components. */ ALT_LOG_PRINT_BOOT("[alt_main.c] Calling alt_sys_init.\r\n"); alt_sys_init(); - 35b8: 0003a7c0 call 3a7c + 35bc: 0003a800 call 3a80 * devices be present (not equal to /dev/null) and if direct drivers * aren't being used. */ ALT_LOG_PRINT_BOOT("[alt_main.c] Redirecting IO.\r\n"); alt_io_redirect(ALT_STDOUT, ALT_STDIN, ALT_STDERR); - 35bc: 01800034 movhi r6,0 - 35c0: 31960e04 addi r6,r6,22584 - 35c4: 01400034 movhi r5,0 - 35c8: 29560e04 addi r5,r5,22584 - 35cc: 01000034 movhi r4,0 - 35d0: 21160e04 addi r4,r4,22584 - 35d4: 0004c880 call 4c88 + 35c0: 01800034 movhi r6,0 + 35c4: 31960f04 addi r6,r6,22588 + 35c8: 01400034 movhi r5,0 + 35cc: 29560f04 addi r5,r5,22588 + 35d0: 01000034 movhi r4,0 + 35d4: 21160f04 addi r4,r4,22588 + 35d8: 0004c8c0 call 4c8c /* * Call the C++ constructors */ ALT_LOG_PRINT_BOOT("[alt_main.c] Calling C++ constructors.\r\n"); _do_ctors (); - 35d8: 00048440 call 4844 <_do_ctors> + 35dc: 00048480 call 4848 <_do_ctors> * redefined as _exit()). This is in the interest of reducing code footprint, * in that the atexit() overhead is removed when it's not needed. */ ALT_LOG_PRINT_BOOT("[alt_main.c] Calling atexit.\r\n"); atexit (_do_dtors); - 35dc: 01000034 movhi r4,0 - 35e0: 21122904 addi r4,r4,18596 - 35e4: 00054480 call 5448 + 35e0: 01000034 movhi r4,0 + 35e4: 21122a04 addi r4,r4,18600 + 35e8: 000544c0 call 544c ALT_LOG_PRINT_BOOT("[alt_main.c] Calling main.\r\n"); #ifdef ALT_NO_EXIT main (alt_argc, alt_argv, alt_envp); #else result = main (alt_argc, alt_argv, alt_envp); - 35e8: d0a01217 ldw r2,-32696(gp) - 35ec: d0e01317 ldw r3,-32692(gp) - 35f0: d1201417 ldw r4,-32688(gp) - 35f4: 200d883a mov r6,r4 - 35f8: 180b883a mov r5,r3 - 35fc: 1009883a mov r4,r2 - 3600: 00002480 call 248
- 3604: e0bfff15 stw r2,-4(fp) + 35ec: d0a01217 ldw r2,-32696(gp) + 35f0: d0e01317 ldw r3,-32692(gp) + 35f4: d1201417 ldw r4,-32688(gp) + 35f8: 200d883a mov r6,r4 + 35fc: 180b883a mov r5,r3 + 3600: 1009883a mov r4,r2 + 3604: 00002480 call 248
+ 3608: e0bfff15 stw r2,-4(fp) close(STDOUT_FILENO); - 3608: 01000044 movi r4,1 - 360c: 000317c0 call 317c + 360c: 01000044 movi r4,1 + 3610: 00031800 call 3180 exit (result); - 3610: e13fff17 ldw r4,-4(fp) - 3614: 000545c0 call 545c + 3614: e13fff17 ldw r4,-4(fp) + 3618: 00054600 call 5460 -00003618 <__malloc_lock>: +0000361c <__malloc_lock>: * configuration is single threaded, so there is nothing to do here. Note that * this requires that malloc is never called by an interrupt service routine. */ void __malloc_lock ( struct _reent *_r ) { - 3618: defffe04 addi sp,sp,-8 - 361c: df000115 stw fp,4(sp) - 3620: df000104 addi fp,sp,4 - 3624: e13fff15 stw r4,-4(fp) + 361c: defffe04 addi sp,sp,-8 + 3620: df000115 stw fp,4(sp) + 3624: df000104 addi fp,sp,4 + 3628: e13fff15 stw r4,-4(fp) } - 3628: 0001883a nop - 362c: e037883a mov sp,fp - 3630: df000017 ldw fp,0(sp) - 3634: dec00104 addi sp,sp,4 - 3638: f800283a ret + 362c: 0001883a nop + 3630: e037883a mov sp,fp + 3634: df000017 ldw fp,0(sp) + 3638: dec00104 addi sp,sp,4 + 363c: f800283a ret -0000363c <__malloc_unlock>: +00003640 <__malloc_unlock>: /* * */ void __malloc_unlock ( struct _reent *_r ) { - 363c: defffe04 addi sp,sp,-8 - 3640: df000115 stw fp,4(sp) - 3644: df000104 addi fp,sp,4 - 3648: e13fff15 stw r4,-4(fp) + 3640: defffe04 addi sp,sp,-8 + 3644: df000115 stw fp,4(sp) + 3648: df000104 addi fp,sp,4 + 364c: e13fff15 stw r4,-4(fp) } - 364c: 0001883a nop - 3650: e037883a mov sp,fp - 3654: df000017 ldw fp,0(sp) - 3658: dec00104 addi sp,sp,4 - 365c: f800283a ret + 3650: 0001883a nop + 3654: e037883a mov sp,fp + 3658: df000017 ldw fp,0(sp) + 365c: dec00104 addi sp,sp,4 + 3660: f800283a ret -00003660 : +00003664 : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 3660: defffe04 addi sp,sp,-8 - 3664: dfc00115 stw ra,4(sp) - 3668: df000015 stw fp,0(sp) - 366c: d839883a mov fp,sp + 3664: defffe04 addi sp,sp,-8 + 3668: dfc00115 stw ra,4(sp) + 366c: df000015 stw fp,0(sp) + 3670: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 3670: d0a00917 ldw r2,-32732(gp) - 3674: 10000326 beq r2,zero,3684 - 3678: d0a00917 ldw r2,-32732(gp) - 367c: 103ee83a callr r2 - 3680: 00000106 br 3688 - 3684: d0a01104 addi r2,gp,-32700 + 3674: d0a00917 ldw r2,-32732(gp) + 3678: 10000326 beq r2,zero,3688 + 367c: d0a00917 ldw r2,-32732(gp) + 3680: 103ee83a callr r2 + 3684: 00000106 br 368c + 3688: d0a01104 addi r2,gp,-32700 } - 3688: e037883a mov sp,fp - 368c: dfc00117 ldw ra,4(sp) - 3690: df000017 ldw fp,0(sp) - 3694: dec00204 addi sp,sp,8 - 3698: f800283a ret + 368c: e037883a mov sp,fp + 3690: dfc00117 ldw ra,4(sp) + 3694: df000017 ldw fp,0(sp) + 3698: dec00204 addi sp,sp,8 + 369c: f800283a ret -0000369c : +000036a0 : } #else /* !ALT_USE_DIRECT_DRIVERS */ int ALT_READ (int file, void *ptr, size_t len) { - 369c: defff904 addi sp,sp,-28 - 36a0: dfc00615 stw ra,24(sp) - 36a4: df000515 stw fp,20(sp) - 36a8: df000504 addi fp,sp,20 - 36ac: e13ffd15 stw r4,-12(fp) - 36b0: e17ffe15 stw r5,-8(fp) - 36b4: e1bfff15 stw r6,-4(fp) + 36a0: defff904 addi sp,sp,-28 + 36a4: dfc00615 stw ra,24(sp) + 36a8: df000515 stw fp,20(sp) + 36ac: df000504 addi fp,sp,20 + 36b0: e13ffd15 stw r4,-12(fp) + 36b4: e17ffe15 stw r5,-8(fp) + 36b8: e1bfff15 stw r6,-4(fp) * A common error case is that when the file descriptor was created, the call * to open() failed resulting in a negative file descriptor. This is trapped * below so that we don't try and process an invalid file descriptor. */ fd = (file < 0) ? NULL : &alt_fd_list[file]; - 36b8: e0bffd17 ldw r2,-12(fp) - 36bc: 10000816 blt r2,zero,36e0 - 36c0: 01400304 movi r5,12 - 36c4: e13ffd17 ldw r4,-12(fp) - 36c8: 00031180 call 3118 <__mulsi3> - 36cc: 1007883a mov r3,r2 - 36d0: 00800034 movhi r2,0 - 36d4: 10982b04 addi r2,r2,24748 - 36d8: 1885883a add r2,r3,r2 - 36dc: 00000106 br 36e4 - 36e0: 0005883a mov r2,zero - 36e4: e0bffb15 stw r2,-20(fp) + 36bc: e0bffd17 ldw r2,-12(fp) + 36c0: 10000816 blt r2,zero,36e4 + 36c4: 01400304 movi r5,12 + 36c8: e13ffd17 ldw r4,-12(fp) + 36cc: 000311c0 call 311c <__mulsi3> + 36d0: 1007883a mov r3,r2 + 36d4: 00800034 movhi r2,0 + 36d8: 10982c04 addi r2,r2,24752 + 36dc: 1885883a add r2,r3,r2 + 36e0: 00000106 br 36e8 + 36e4: 0005883a mov r2,zero + 36e8: e0bffb15 stw r2,-20(fp) if (fd) - 36e8: e0bffb17 ldw r2,-20(fp) - 36ec: 10002226 beq r2,zero,3778 + 36ec: e0bffb17 ldw r2,-20(fp) + 36f0: 10002226 beq r2,zero,377c * If the file has not been opened with read access, or if the driver does * not provide an implementation of read(), generate an error. Otherwise * call the drivers read() function to process the request. */ if (((fd->fd_flags & O_ACCMODE) != O_WRONLY) && - 36f0: e0bffb17 ldw r2,-20(fp) - 36f4: 10800217 ldw r2,8(r2) - 36f8: 108000cc andi r2,r2,3 - 36fc: 10800060 cmpeqi r2,r2,1 - 3700: 1000181e bne r2,zero,3764 + 36f4: e0bffb17 ldw r2,-20(fp) + 36f8: 10800217 ldw r2,8(r2) + 36fc: 108000cc andi r2,r2,3 + 3700: 10800060 cmpeqi r2,r2,1 + 3704: 1000181e bne r2,zero,3768 (fd->dev->read)) - 3704: e0bffb17 ldw r2,-20(fp) - 3708: 10800017 ldw r2,0(r2) - 370c: 10800517 ldw r2,20(r2) + 3708: e0bffb17 ldw r2,-20(fp) + 370c: 10800017 ldw r2,0(r2) + 3710: 10800517 ldw r2,20(r2) * If the file has not been opened with read access, or if the driver does * not provide an implementation of read(), generate an error. Otherwise * call the drivers read() function to process the request. */ if (((fd->fd_flags & O_ACCMODE) != O_WRONLY) && - 3710: 10001426 beq r2,zero,3764 + 3714: 10001426 beq r2,zero,3768 (fd->dev->read)) { if ((rval = fd->dev->read(fd, ptr, len)) < 0) - 3714: e0bffb17 ldw r2,-20(fp) - 3718: 10800017 ldw r2,0(r2) - 371c: 10800517 ldw r2,20(r2) - 3720: e0ffff17 ldw r3,-4(fp) - 3724: 180d883a mov r6,r3 - 3728: e17ffe17 ldw r5,-8(fp) - 372c: e13ffb17 ldw r4,-20(fp) - 3730: 103ee83a callr r2 - 3734: e0bffc15 stw r2,-16(fp) - 3738: e0bffc17 ldw r2,-16(fp) - 373c: 1000070e bge r2,zero,375c + 3718: e0bffb17 ldw r2,-20(fp) + 371c: 10800017 ldw r2,0(r2) + 3720: 10800517 ldw r2,20(r2) + 3724: e0ffff17 ldw r3,-4(fp) + 3728: 180d883a mov r6,r3 + 372c: e17ffe17 ldw r5,-8(fp) + 3730: e13ffb17 ldw r4,-20(fp) + 3734: 103ee83a callr r2 + 3738: e0bffc15 stw r2,-16(fp) + 373c: e0bffc17 ldw r2,-16(fp) + 3740: 1000070e bge r2,zero,3760 { ALT_ERRNO = -rval; - 3740: 00036600 call 3660 - 3744: 1007883a mov r3,r2 - 3748: e0bffc17 ldw r2,-16(fp) - 374c: 0085c83a sub r2,zero,r2 - 3750: 18800015 stw r2,0(r3) + 3744: 00036640 call 3664 + 3748: 1007883a mov r3,r2 + 374c: e0bffc17 ldw r2,-16(fp) + 3750: 0085c83a sub r2,zero,r2 + 3754: 18800015 stw r2,0(r3) return -1; - 3754: 00bfffc4 movi r2,-1 - 3758: 00000c06 br 378c + 3758: 00bfffc4 movi r2,-1 + 375c: 00000c06 br 3790 } return rval; - 375c: e0bffc17 ldw r2,-16(fp) - 3760: 00000a06 br 378c + 3760: e0bffc17 ldw r2,-16(fp) + 3764: 00000a06 br 3790 } else { ALT_ERRNO = EACCES; - 3764: 00036600 call 3660 - 3768: 1007883a mov r3,r2 - 376c: 00800344 movi r2,13 - 3770: 18800015 stw r2,0(r3) - 3774: 00000406 br 3788 + 3768: 00036640 call 3664 + 376c: 1007883a mov r3,r2 + 3770: 00800344 movi r2,13 + 3774: 18800015 stw r2,0(r3) + 3778: 00000406 br 378c } } else { ALT_ERRNO = EBADFD; - 3778: 00036600 call 3660 - 377c: 1007883a mov r3,r2 - 3780: 00801444 movi r2,81 - 3784: 18800015 stw r2,0(r3) + 377c: 00036640 call 3664 + 3780: 1007883a mov r3,r2 + 3784: 00801444 movi r2,81 + 3788: 18800015 stw r2,0(r3) } return -1; - 3788: 00bfffc4 movi r2,-1 + 378c: 00bfffc4 movi r2,-1 } - 378c: e037883a mov sp,fp - 3790: dfc00117 ldw ra,4(sp) - 3794: df000017 ldw fp,0(sp) - 3798: dec00204 addi sp,sp,8 - 379c: f800283a ret + 3790: e037883a mov sp,fp + 3794: dfc00117 ldw ra,4(sp) + 3798: df000017 ldw fp,0(sp) + 379c: dec00204 addi sp,sp,8 + 37a0: f800283a ret -000037a0 : +000037a4 : * File descriptors correcponding to standard in, standard out and standard * error cannont be released backed to the pool. They are always reserved. */ void alt_release_fd (int fd) { - 37a0: defffc04 addi sp,sp,-16 - 37a4: dfc00315 stw ra,12(sp) - 37a8: df000215 stw fp,8(sp) - 37ac: dc000115 stw r16,4(sp) - 37b0: df000204 addi fp,sp,8 - 37b4: e13ffe15 stw r4,-8(fp) + 37a4: defffc04 addi sp,sp,-16 + 37a8: dfc00315 stw ra,12(sp) + 37ac: df000215 stw fp,8(sp) + 37b0: dc000115 stw r16,4(sp) + 37b4: df000204 addi fp,sp,8 + 37b8: e13ffe15 stw r4,-8(fp) if (fd > 2) - 37b8: e0bffe17 ldw r2,-8(fp) - 37bc: 108000d0 cmplti r2,r2,3 - 37c0: 1000111e bne r2,zero,3808 + 37bc: e0bffe17 ldw r2,-8(fp) + 37c0: 108000d0 cmplti r2,r2,3 + 37c4: 1000111e bne r2,zero,380c { alt_fd_list[fd].fd_flags = 0; - 37c4: 04000034 movhi r16,0 - 37c8: 84182b04 addi r16,r16,24748 - 37cc: e0bffe17 ldw r2,-8(fp) - 37d0: 01400304 movi r5,12 - 37d4: 1009883a mov r4,r2 - 37d8: 00031180 call 3118 <__mulsi3> - 37dc: 8085883a add r2,r16,r2 - 37e0: 10800204 addi r2,r2,8 - 37e4: 10000015 stw zero,0(r2) + 37c8: 04000034 movhi r16,0 + 37cc: 84182c04 addi r16,r16,24752 + 37d0: e0bffe17 ldw r2,-8(fp) + 37d4: 01400304 movi r5,12 + 37d8: 1009883a mov r4,r2 + 37dc: 000311c0 call 311c <__mulsi3> + 37e0: 8085883a add r2,r16,r2 + 37e4: 10800204 addi r2,r2,8 + 37e8: 10000015 stw zero,0(r2) alt_fd_list[fd].dev = 0; - 37e8: 04000034 movhi r16,0 - 37ec: 84182b04 addi r16,r16,24748 - 37f0: e0bffe17 ldw r2,-8(fp) - 37f4: 01400304 movi r5,12 - 37f8: 1009883a mov r4,r2 - 37fc: 00031180 call 3118 <__mulsi3> - 3800: 8085883a add r2,r16,r2 - 3804: 10000015 stw zero,0(r2) + 37ec: 04000034 movhi r16,0 + 37f0: 84182c04 addi r16,r16,24752 + 37f4: e0bffe17 ldw r2,-8(fp) + 37f8: 01400304 movi r5,12 + 37fc: 1009883a mov r4,r2 + 3800: 000311c0 call 311c <__mulsi3> + 3804: 8085883a add r2,r16,r2 + 3808: 10000015 stw zero,0(r2) } } - 3808: 0001883a nop - 380c: e6ffff04 addi sp,fp,-4 - 3810: dfc00217 ldw ra,8(sp) - 3814: df000117 ldw fp,4(sp) - 3818: dc000017 ldw r16,0(sp) - 381c: dec00304 addi sp,sp,12 - 3820: f800283a ret + 380c: 0001883a nop + 3810: e6ffff04 addi sp,fp,-4 + 3814: dfc00217 ldw ra,8(sp) + 3818: df000117 ldw fp,4(sp) + 381c: dc000017 ldw r16,0(sp) + 3820: dec00304 addi sp,sp,12 + 3824: f800283a ret -00003824 : +00003828 : #endif caddr_t ALT_SBRK (int incr) __attribute__ ((no_instrument_function )); caddr_t ALT_SBRK (int incr) { - 3824: defff904 addi sp,sp,-28 - 3828: df000615 stw fp,24(sp) - 382c: df000604 addi fp,sp,24 - 3830: e13fff15 stw r4,-4(fp) + 3828: defff904 addi sp,sp,-28 + 382c: df000615 stw fp,24(sp) + 3830: df000604 addi fp,sp,24 + 3834: e13fff15 stw r4,-4(fp) static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 3834: 0005303a rdctl r2,status - 3838: e0bffe15 stw r2,-8(fp) + 3838: 0005303a rdctl r2,status + 383c: e0bffe15 stw r2,-8(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 383c: e0fffe17 ldw r3,-8(fp) - 3840: 00bfff84 movi r2,-2 - 3844: 1884703a and r2,r3,r2 - 3848: 1001703a wrctl status,r2 + 3840: e0fffe17 ldw r3,-8(fp) + 3844: 00bfff84 movi r2,-2 + 3848: 1884703a and r2,r3,r2 + 384c: 1001703a wrctl status,r2 return context; - 384c: e0bffe17 ldw r2,-8(fp) + 3850: e0bffe17 ldw r2,-8(fp) alt_irq_context context; char *prev_heap_end; context = alt_irq_disable_all(); - 3850: e0bffb15 stw r2,-20(fp) + 3854: e0bffb15 stw r2,-20(fp) /* Always return data aligned on a word boundary */ heap_end = (char *)(((unsigned int)heap_end + 3) & ~3); - 3854: d0a00a17 ldw r2,-32728(gp) - 3858: 10c000c4 addi r3,r2,3 - 385c: 00bfff04 movi r2,-4 - 3860: 1884703a and r2,r3,r2 - 3864: d0a00a15 stw r2,-32728(gp) + 3858: d0a00a17 ldw r2,-32728(gp) + 385c: 10c000c4 addi r3,r2,3 + 3860: 00bfff04 movi r2,-4 + 3864: 1884703a and r2,r3,r2 + 3868: d0a00a15 stw r2,-32728(gp) if (((heap_end + incr) - __alt_heap_start) > ALT_MAX_HEAP_BYTES) { alt_irq_enable_all(context); return (caddr_t)-1; } #else if ((heap_end + incr) > __alt_heap_limit) { - 3868: d0e00a17 ldw r3,-32728(gp) - 386c: e0bfff17 ldw r2,-4(fp) - 3870: 1887883a add r3,r3,r2 - 3874: 008000b4 movhi r2,2 - 3878: 10800004 addi r2,r2,0 - 387c: 10c0062e bgeu r2,r3,3898 - 3880: e0bffb17 ldw r2,-20(fp) - 3884: e0bffa15 stw r2,-24(fp) + 386c: d0e00a17 ldw r3,-32728(gp) + 3870: e0bfff17 ldw r2,-4(fp) + 3874: 1887883a add r3,r3,r2 + 3878: 008000b4 movhi r2,2 + 387c: 10800004 addi r2,r2,0 + 3880: 10c0062e bgeu r2,r3,389c + 3884: e0bffb17 ldw r2,-20(fp) + 3888: e0bffa15 stw r2,-24(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 3888: e0bffa17 ldw r2,-24(fp) - 388c: 1001703a wrctl status,r2 + 388c: e0bffa17 ldw r2,-24(fp) + 3890: 1001703a wrctl status,r2 alt_irq_enable_all(context); return (caddr_t)-1; - 3890: 00bfffc4 movi r2,-1 - 3894: 00000b06 br 38c4 + 3894: 00bfffc4 movi r2,-1 + 3898: 00000b06 br 38c8 } #endif prev_heap_end = heap_end; - 3898: d0a00a17 ldw r2,-32728(gp) - 389c: e0bffd15 stw r2,-12(fp) + 389c: d0a00a17 ldw r2,-32728(gp) + 38a0: e0bffd15 stw r2,-12(fp) heap_end += incr; - 38a0: d0e00a17 ldw r3,-32728(gp) - 38a4: e0bfff17 ldw r2,-4(fp) - 38a8: 1885883a add r2,r3,r2 - 38ac: d0a00a15 stw r2,-32728(gp) - 38b0: e0bffb17 ldw r2,-20(fp) - 38b4: e0bffc15 stw r2,-16(fp) - 38b8: e0bffc17 ldw r2,-16(fp) - 38bc: 1001703a wrctl status,r2 + 38a4: d0e00a17 ldw r3,-32728(gp) + 38a8: e0bfff17 ldw r2,-4(fp) + 38ac: 1885883a add r2,r3,r2 + 38b0: d0a00a15 stw r2,-32728(gp) + 38b4: e0bffb17 ldw r2,-20(fp) + 38b8: e0bffc15 stw r2,-16(fp) + 38bc: e0bffc17 ldw r2,-16(fp) + 38c0: 1001703a wrctl status,r2 #endif alt_irq_enable_all(context); return (caddr_t) prev_heap_end; - 38c0: e0bffd17 ldw r2,-12(fp) + 38c4: e0bffd17 ldw r2,-12(fp) } - 38c4: e037883a mov sp,fp - 38c8: df000017 ldw fp,0(sp) - 38cc: dec00104 addi sp,sp,4 - 38d0: f800283a ret + 38c8: e037883a mov sp,fp + 38cc: df000017 ldw fp,0(sp) + 38d0: dec00104 addi sp,sp,4 + 38d4: f800283a ret -000038d4 : +000038d8 : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 38d4: defffe04 addi sp,sp,-8 - 38d8: dfc00115 stw ra,4(sp) - 38dc: df000015 stw fp,0(sp) - 38e0: d839883a mov fp,sp + 38d8: defffe04 addi sp,sp,-8 + 38dc: dfc00115 stw ra,4(sp) + 38e0: df000015 stw fp,0(sp) + 38e4: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 38e4: d0a00917 ldw r2,-32732(gp) - 38e8: 10000326 beq r2,zero,38f8 - 38ec: d0a00917 ldw r2,-32732(gp) - 38f0: 103ee83a callr r2 - 38f4: 00000106 br 38fc - 38f8: d0a01104 addi r2,gp,-32700 + 38e8: d0a00917 ldw r2,-32732(gp) + 38ec: 10000326 beq r2,zero,38fc + 38f0: d0a00917 ldw r2,-32732(gp) + 38f4: 103ee83a callr r2 + 38f8: 00000106 br 3900 + 38fc: d0a01104 addi r2,gp,-32700 } - 38fc: e037883a mov sp,fp - 3900: dfc00117 ldw ra,4(sp) - 3904: df000017 ldw fp,0(sp) - 3908: dec00204 addi sp,sp,8 - 390c: f800283a ret + 3900: e037883a mov sp,fp + 3904: dfc00117 ldw ra,4(sp) + 3908: df000017 ldw fp,0(sp) + 390c: dec00204 addi sp,sp,8 + 3910: f800283a ret -00003910 : +00003914 : } #else /* !ALT_USE_DIRECT_DRIVERS */ int ALT_WRITE (int file, const void *ptr, size_t len) { - 3910: defff904 addi sp,sp,-28 - 3914: dfc00615 stw ra,24(sp) - 3918: df000515 stw fp,20(sp) - 391c: df000504 addi fp,sp,20 - 3920: e13ffd15 stw r4,-12(fp) - 3924: e17ffe15 stw r5,-8(fp) - 3928: e1bfff15 stw r6,-4(fp) + 3914: defff904 addi sp,sp,-28 + 3918: dfc00615 stw ra,24(sp) + 391c: df000515 stw fp,20(sp) + 3920: df000504 addi fp,sp,20 + 3924: e13ffd15 stw r4,-12(fp) + 3928: e17ffe15 stw r5,-8(fp) + 392c: e1bfff15 stw r6,-4(fp) * A common error case is that when the file descriptor was created, the call * to open() failed resulting in a negative file descriptor. This is trapped * below so that we don't try and process an invalid file descriptor. */ fd = (file < 0) ? NULL : &alt_fd_list[file]; - 392c: e0bffd17 ldw r2,-12(fp) - 3930: 10000816 blt r2,zero,3954 - 3934: 01400304 movi r5,12 - 3938: e13ffd17 ldw r4,-12(fp) - 393c: 00031180 call 3118 <__mulsi3> - 3940: 1007883a mov r3,r2 - 3944: 00800034 movhi r2,0 - 3948: 10982b04 addi r2,r2,24748 - 394c: 1885883a add r2,r3,r2 - 3950: 00000106 br 3958 - 3954: 0005883a mov r2,zero - 3958: e0bffb15 stw r2,-20(fp) + 3930: e0bffd17 ldw r2,-12(fp) + 3934: 10000816 blt r2,zero,3958 + 3938: 01400304 movi r5,12 + 393c: e13ffd17 ldw r4,-12(fp) + 3940: 000311c0 call 311c <__mulsi3> + 3944: 1007883a mov r3,r2 + 3948: 00800034 movhi r2,0 + 394c: 10982c04 addi r2,r2,24752 + 3950: 1885883a add r2,r3,r2 + 3954: 00000106 br 395c + 3958: 0005883a mov r2,zero + 395c: e0bffb15 stw r2,-20(fp) if (fd) - 395c: e0bffb17 ldw r2,-20(fp) - 3960: 10002126 beq r2,zero,39e8 + 3960: e0bffb17 ldw r2,-20(fp) + 3964: 10002126 beq r2,zero,39ec * If the file has not been opened with write access, or if the driver does * not provide an implementation of write(), generate an error. Otherwise * call the drivers write() function to process the request. */ if (((fd->fd_flags & O_ACCMODE) != O_RDONLY) && fd->dev->write) - 3964: e0bffb17 ldw r2,-20(fp) - 3968: 10800217 ldw r2,8(r2) - 396c: 108000cc andi r2,r2,3 - 3970: 10001826 beq r2,zero,39d4 - 3974: e0bffb17 ldw r2,-20(fp) - 3978: 10800017 ldw r2,0(r2) - 397c: 10800617 ldw r2,24(r2) - 3980: 10001426 beq r2,zero,39d4 + 3968: e0bffb17 ldw r2,-20(fp) + 396c: 10800217 ldw r2,8(r2) + 3970: 108000cc andi r2,r2,3 + 3974: 10001826 beq r2,zero,39d8 + 3978: e0bffb17 ldw r2,-20(fp) + 397c: 10800017 ldw r2,0(r2) + 3980: 10800617 ldw r2,24(r2) + 3984: 10001426 beq r2,zero,39d8 { /* ALT_LOG - see altera_hal/HAL/inc/sys/alt_log_printf.h */ ALT_LOG_WRITE_FUNCTION(ptr,len); if ((rval = fd->dev->write(fd, ptr, len)) < 0) - 3984: e0bffb17 ldw r2,-20(fp) - 3988: 10800017 ldw r2,0(r2) - 398c: 10800617 ldw r2,24(r2) - 3990: e0ffff17 ldw r3,-4(fp) - 3994: 180d883a mov r6,r3 - 3998: e17ffe17 ldw r5,-8(fp) - 399c: e13ffb17 ldw r4,-20(fp) - 39a0: 103ee83a callr r2 - 39a4: e0bffc15 stw r2,-16(fp) - 39a8: e0bffc17 ldw r2,-16(fp) - 39ac: 1000070e bge r2,zero,39cc + 3988: e0bffb17 ldw r2,-20(fp) + 398c: 10800017 ldw r2,0(r2) + 3990: 10800617 ldw r2,24(r2) + 3994: e0ffff17 ldw r3,-4(fp) + 3998: 180d883a mov r6,r3 + 399c: e17ffe17 ldw r5,-8(fp) + 39a0: e13ffb17 ldw r4,-20(fp) + 39a4: 103ee83a callr r2 + 39a8: e0bffc15 stw r2,-16(fp) + 39ac: e0bffc17 ldw r2,-16(fp) + 39b0: 1000070e bge r2,zero,39d0 { ALT_ERRNO = -rval; - 39b0: 00038d40 call 38d4 - 39b4: 1007883a mov r3,r2 - 39b8: e0bffc17 ldw r2,-16(fp) - 39bc: 0085c83a sub r2,zero,r2 - 39c0: 18800015 stw r2,0(r3) + 39b4: 00038d80 call 38d8 + 39b8: 1007883a mov r3,r2 + 39bc: e0bffc17 ldw r2,-16(fp) + 39c0: 0085c83a sub r2,zero,r2 + 39c4: 18800015 stw r2,0(r3) return -1; - 39c4: 00bfffc4 movi r2,-1 - 39c8: 00000c06 br 39fc + 39c8: 00bfffc4 movi r2,-1 + 39cc: 00000c06 br 3a00 } return rval; - 39cc: e0bffc17 ldw r2,-16(fp) - 39d0: 00000a06 br 39fc + 39d0: e0bffc17 ldw r2,-16(fp) + 39d4: 00000a06 br 3a00 } else { ALT_ERRNO = EACCES; - 39d4: 00038d40 call 38d4 - 39d8: 1007883a mov r3,r2 - 39dc: 00800344 movi r2,13 - 39e0: 18800015 stw r2,0(r3) - 39e4: 00000406 br 39f8 + 39d8: 00038d80 call 38d8 + 39dc: 1007883a mov r3,r2 + 39e0: 00800344 movi r2,13 + 39e4: 18800015 stw r2,0(r3) + 39e8: 00000406 br 39fc } } else { ALT_ERRNO = EBADFD; - 39e8: 00038d40 call 38d4 - 39ec: 1007883a mov r3,r2 - 39f0: 00801444 movi r2,81 - 39f4: 18800015 stw r2,0(r3) + 39ec: 00038d80 call 38d8 + 39f0: 1007883a mov r3,r2 + 39f4: 00801444 movi r2,81 + 39f8: 18800015 stw r2,0(r3) } return -1; - 39f8: 00bfffc4 movi r2,-1 + 39fc: 00bfffc4 movi r2,-1 } - 39fc: e037883a mov sp,fp - 3a00: dfc00117 ldw ra,4(sp) - 3a04: df000017 ldw fp,0(sp) - 3a08: dec00204 addi sp,sp,8 - 3a0c: f800283a ret + 3a00: e037883a mov sp,fp + 3a04: dfc00117 ldw ra,4(sp) + 3a08: df000017 ldw fp,0(sp) + 3a0c: dec00204 addi sp,sp,8 + 3a10: f800283a ret -00003a10 : +00003a14 : */ extern int alt_fs_reg (alt_dev* dev); static ALT_INLINE int alt_dev_reg (alt_dev* dev) { - 3a10: defffd04 addi sp,sp,-12 - 3a14: dfc00215 stw ra,8(sp) - 3a18: df000115 stw fp,4(sp) - 3a1c: df000104 addi fp,sp,4 - 3a20: e13fff15 stw r4,-4(fp) + 3a14: defffd04 addi sp,sp,-12 + 3a18: dfc00215 stw ra,8(sp) + 3a1c: df000115 stw fp,4(sp) + 3a20: df000104 addi fp,sp,4 + 3a24: e13fff15 stw r4,-4(fp) extern alt_llist alt_dev_list; return alt_dev_llist_insert ((alt_dev_llist*) dev, &alt_dev_list); - 3a24: d1600604 addi r5,gp,-32744 - 3a28: e13fff17 ldw r4,-4(fp) - 3a2c: 00047a00 call 47a0 + 3a28: d1600604 addi r5,gp,-32744 + 3a2c: e13fff17 ldw r4,-4(fp) + 3a30: 00047a40 call 47a4 } - 3a30: e037883a mov sp,fp - 3a34: dfc00117 ldw ra,4(sp) - 3a38: df000017 ldw fp,0(sp) - 3a3c: dec00204 addi sp,sp,8 - 3a40: f800283a ret + 3a34: e037883a mov sp,fp + 3a38: dfc00117 ldw ra,4(sp) + 3a3c: df000017 ldw fp,0(sp) + 3a40: dec00204 addi sp,sp,8 + 3a44: f800283a ret -00003a44 : +00003a48 : * The "base" parameter is ignored and only * present for backwards-compatibility. */ void alt_irq_init ( const void* base ) { - 3a44: defffd04 addi sp,sp,-12 - 3a48: dfc00215 stw ra,8(sp) - 3a4c: df000115 stw fp,4(sp) - 3a50: df000104 addi fp,sp,4 - 3a54: e13fff15 stw r4,-4(fp) + 3a48: defffd04 addi sp,sp,-12 + 3a4c: dfc00215 stw ra,8(sp) + 3a50: df000115 stw fp,4(sp) + 3a54: df000104 addi fp,sp,4 + 3a58: e13fff15 stw r4,-4(fp) ALTERA_NIOS2_GEN2_IRQ_INIT ( CPU, cpu); - 3a58: 000512c0 call 512c + 3a5c: 00051300 call 5130 * alt_irq_cpu_enable_interrupts() enables the CPU to start taking interrupts. */ static ALT_INLINE void ALT_ALWAYS_INLINE alt_irq_cpu_enable_interrupts (void) { NIOS2_WRITE_STATUS(NIOS2_STATUS_PIE_MSK - 3a5c: 00800044 movi r2,1 - 3a60: 1001703a wrctl status,r2 + 3a60: 00800044 movi r2,1 + 3a64: 1001703a wrctl status,r2 alt_irq_cpu_enable_interrupts(); } - 3a64: 0001883a nop - 3a68: e037883a mov sp,fp - 3a6c: dfc00117 ldw ra,4(sp) - 3a70: df000017 ldw fp,0(sp) - 3a74: dec00204 addi sp,sp,8 - 3a78: f800283a ret + 3a68: 0001883a nop + 3a6c: e037883a mov sp,fp + 3a70: dfc00117 ldw ra,4(sp) + 3a74: df000017 ldw fp,0(sp) + 3a78: dec00204 addi sp,sp,8 + 3a7c: f800283a ret -00003a7c : +00003a80 : * Initialize the non-interrupt controller devices. * Called after alt_irq_init(). */ void alt_sys_init( void ) { - 3a7c: defffe04 addi sp,sp,-8 - 3a80: dfc00115 stw ra,4(sp) - 3a84: df000015 stw fp,0(sp) - 3a88: d839883a mov fp,sp + 3a80: defffe04 addi sp,sp,-8 + 3a84: dfc00115 stw ra,4(sp) + 3a88: df000015 stw fp,0(sp) + 3a8c: d839883a mov fp,sp ALTERA_AVALON_TIMER_INIT ( SYS_CLK_TIMER, sys_clk_timer); - 3a8c: 01c0fa04 movi r7,1000 - 3a90: 000d883a mov r6,zero - 3a94: 000b883a mov r5,zero - 3a98: 010000b4 movhi r4,2 - 3a9c: 21040004 addi r4,r4,4096 - 3aa0: 00045bc0 call 45bc + 3a90: 01c0fa04 movi r7,1000 + 3a94: 000d883a mov r6,zero + 3a98: 000b883a mov r5,zero + 3a9c: 010000b4 movhi r4,2 + 3aa0: 21041004 addi r4,r4,4160 + 3aa4: 00045c00 call 45c0 ALTERA_AVALON_JTAG_UART_INIT ( JTAG_UART, jtag_uart); - 3aa4: 01800044 movi r6,1 - 3aa8: 000b883a mov r5,zero - 3aac: 01000034 movhi r4,0 - 3ab0: 21189504 addi r4,r4,25172 - 3ab4: 0003c400 call 3c40 - 3ab8: 01000034 movhi r4,0 - 3abc: 21188b04 addi r4,r4,25132 - 3ac0: 0003a100 call 3a10 + 3aa8: 01800044 movi r6,1 + 3aac: 000b883a mov r5,zero + 3ab0: 01000034 movhi r4,0 + 3ab4: 21189604 addi r4,r4,25176 + 3ab8: 0003c440 call 3c44 + 3abc: 01000034 movhi r4,0 + 3ac0: 21188c04 addi r4,r4,25136 + 3ac4: 0003a140 call 3a14 } - 3ac4: 0001883a nop - 3ac8: e037883a mov sp,fp - 3acc: dfc00117 ldw ra,4(sp) - 3ad0: df000017 ldw fp,0(sp) - 3ad4: dec00204 addi sp,sp,8 - 3ad8: f800283a ret + 3ac8: 0001883a nop + 3acc: e037883a mov sp,fp + 3ad0: dfc00117 ldw ra,4(sp) + 3ad4: df000017 ldw fp,0(sp) + 3ad8: dec00204 addi sp,sp,8 + 3adc: f800283a ret -00003adc : +00003ae0 : * */ int altera_avalon_jtag_uart_read_fd(alt_fd* fd, char* buffer, int space) { - 3adc: defffa04 addi sp,sp,-24 - 3ae0: dfc00515 stw ra,20(sp) - 3ae4: df000415 stw fp,16(sp) - 3ae8: df000404 addi fp,sp,16 - 3aec: e13ffd15 stw r4,-12(fp) - 3af0: e17ffe15 stw r5,-8(fp) - 3af4: e1bfff15 stw r6,-4(fp) + 3ae0: defffa04 addi sp,sp,-24 + 3ae4: dfc00515 stw ra,20(sp) + 3ae8: df000415 stw fp,16(sp) + 3aec: df000404 addi fp,sp,16 + 3af0: e13ffd15 stw r4,-12(fp) + 3af4: e17ffe15 stw r5,-8(fp) + 3af8: e1bfff15 stw r6,-4(fp) altera_avalon_jtag_uart_dev* dev = (altera_avalon_jtag_uart_dev*) fd->dev; - 3af8: e0bffd17 ldw r2,-12(fp) - 3afc: 10800017 ldw r2,0(r2) - 3b00: e0bffc15 stw r2,-16(fp) + 3afc: e0bffd17 ldw r2,-12(fp) + 3b00: 10800017 ldw r2,0(r2) + 3b04: e0bffc15 stw r2,-16(fp) return altera_avalon_jtag_uart_read(&dev->state, buffer, space, - 3b04: e0bffc17 ldw r2,-16(fp) - 3b08: 10c00a04 addi r3,r2,40 - 3b0c: e0bffd17 ldw r2,-12(fp) - 3b10: 10800217 ldw r2,8(r2) - 3b14: 100f883a mov r7,r2 - 3b18: e1bfff17 ldw r6,-4(fp) - 3b1c: e17ffe17 ldw r5,-8(fp) - 3b20: 1809883a mov r4,r3 - 3b24: 00041040 call 4104 + 3b08: e0bffc17 ldw r2,-16(fp) + 3b0c: 10c00a04 addi r3,r2,40 + 3b10: e0bffd17 ldw r2,-12(fp) + 3b14: 10800217 ldw r2,8(r2) + 3b18: 100f883a mov r7,r2 + 3b1c: e1bfff17 ldw r6,-4(fp) + 3b20: e17ffe17 ldw r5,-8(fp) + 3b24: 1809883a mov r4,r3 + 3b28: 00041080 call 4108 fd->fd_flags); } - 3b28: e037883a mov sp,fp - 3b2c: dfc00117 ldw ra,4(sp) - 3b30: df000017 ldw fp,0(sp) - 3b34: dec00204 addi sp,sp,8 - 3b38: f800283a ret + 3b2c: e037883a mov sp,fp + 3b30: dfc00117 ldw ra,4(sp) + 3b34: df000017 ldw fp,0(sp) + 3b38: dec00204 addi sp,sp,8 + 3b3c: f800283a ret -00003b3c : +00003b40 : int altera_avalon_jtag_uart_write_fd(alt_fd* fd, const char* buffer, int space) { - 3b3c: defffa04 addi sp,sp,-24 - 3b40: dfc00515 stw ra,20(sp) - 3b44: df000415 stw fp,16(sp) - 3b48: df000404 addi fp,sp,16 - 3b4c: e13ffd15 stw r4,-12(fp) - 3b50: e17ffe15 stw r5,-8(fp) - 3b54: e1bfff15 stw r6,-4(fp) + 3b40: defffa04 addi sp,sp,-24 + 3b44: dfc00515 stw ra,20(sp) + 3b48: df000415 stw fp,16(sp) + 3b4c: df000404 addi fp,sp,16 + 3b50: e13ffd15 stw r4,-12(fp) + 3b54: e17ffe15 stw r5,-8(fp) + 3b58: e1bfff15 stw r6,-4(fp) altera_avalon_jtag_uart_dev* dev = (altera_avalon_jtag_uart_dev*) fd->dev; - 3b58: e0bffd17 ldw r2,-12(fp) - 3b5c: 10800017 ldw r2,0(r2) - 3b60: e0bffc15 stw r2,-16(fp) + 3b5c: e0bffd17 ldw r2,-12(fp) + 3b60: 10800017 ldw r2,0(r2) + 3b64: e0bffc15 stw r2,-16(fp) return altera_avalon_jtag_uart_write(&dev->state, buffer, space, - 3b64: e0bffc17 ldw r2,-16(fp) - 3b68: 10c00a04 addi r3,r2,40 - 3b6c: e0bffd17 ldw r2,-12(fp) - 3b70: 10800217 ldw r2,8(r2) - 3b74: 100f883a mov r7,r2 - 3b78: e1bfff17 ldw r6,-4(fp) - 3b7c: e17ffe17 ldw r5,-8(fp) - 3b80: 1809883a mov r4,r3 - 3b84: 00043200 call 4320 + 3b68: e0bffc17 ldw r2,-16(fp) + 3b6c: 10c00a04 addi r3,r2,40 + 3b70: e0bffd17 ldw r2,-12(fp) + 3b74: 10800217 ldw r2,8(r2) + 3b78: 100f883a mov r7,r2 + 3b7c: e1bfff17 ldw r6,-4(fp) + 3b80: e17ffe17 ldw r5,-8(fp) + 3b84: 1809883a mov r4,r3 + 3b88: 00043240 call 4324 fd->fd_flags); } - 3b88: e037883a mov sp,fp - 3b8c: dfc00117 ldw ra,4(sp) - 3b90: df000017 ldw fp,0(sp) - 3b94: dec00204 addi sp,sp,8 - 3b98: f800283a ret + 3b8c: e037883a mov sp,fp + 3b90: dfc00117 ldw ra,4(sp) + 3b94: df000017 ldw fp,0(sp) + 3b98: dec00204 addi sp,sp,8 + 3b9c: f800283a ret -00003b9c : +00003ba0 : #ifndef ALTERA_AVALON_JTAG_UART_SMALL int altera_avalon_jtag_uart_close_fd(alt_fd* fd) { - 3b9c: defffc04 addi sp,sp,-16 - 3ba0: dfc00315 stw ra,12(sp) - 3ba4: df000215 stw fp,8(sp) - 3ba8: df000204 addi fp,sp,8 - 3bac: e13fff15 stw r4,-4(fp) + 3ba0: defffc04 addi sp,sp,-16 + 3ba4: dfc00315 stw ra,12(sp) + 3ba8: df000215 stw fp,8(sp) + 3bac: df000204 addi fp,sp,8 + 3bb0: e13fff15 stw r4,-4(fp) altera_avalon_jtag_uart_dev* dev = (altera_avalon_jtag_uart_dev*) fd->dev; - 3bb0: e0bfff17 ldw r2,-4(fp) - 3bb4: 10800017 ldw r2,0(r2) - 3bb8: e0bffe15 stw r2,-8(fp) + 3bb4: e0bfff17 ldw r2,-4(fp) + 3bb8: 10800017 ldw r2,0(r2) + 3bbc: e0bffe15 stw r2,-8(fp) return altera_avalon_jtag_uart_close(&dev->state, fd->fd_flags); - 3bbc: e0bffe17 ldw r2,-8(fp) - 3bc0: 10c00a04 addi r3,r2,40 - 3bc4: e0bfff17 ldw r2,-4(fp) - 3bc8: 10800217 ldw r2,8(r2) - 3bcc: 100b883a mov r5,r2 - 3bd0: 1809883a mov r4,r3 - 3bd4: 0003fac0 call 3fac + 3bc0: e0bffe17 ldw r2,-8(fp) + 3bc4: 10c00a04 addi r3,r2,40 + 3bc8: e0bfff17 ldw r2,-4(fp) + 3bcc: 10800217 ldw r2,8(r2) + 3bd0: 100b883a mov r5,r2 + 3bd4: 1809883a mov r4,r3 + 3bd8: 0003fb00 call 3fb0 } - 3bd8: e037883a mov sp,fp - 3bdc: dfc00117 ldw ra,4(sp) - 3be0: df000017 ldw fp,0(sp) - 3be4: dec00204 addi sp,sp,8 - 3be8: f800283a ret + 3bdc: e037883a mov sp,fp + 3be0: dfc00117 ldw ra,4(sp) + 3be4: df000017 ldw fp,0(sp) + 3be8: dec00204 addi sp,sp,8 + 3bec: f800283a ret -00003bec : +00003bf0 : int altera_avalon_jtag_uart_ioctl_fd(alt_fd* fd, int req, void* arg) { - 3bec: defffa04 addi sp,sp,-24 - 3bf0: dfc00515 stw ra,20(sp) - 3bf4: df000415 stw fp,16(sp) - 3bf8: df000404 addi fp,sp,16 - 3bfc: e13ffd15 stw r4,-12(fp) - 3c00: e17ffe15 stw r5,-8(fp) - 3c04: e1bfff15 stw r6,-4(fp) + 3bf0: defffa04 addi sp,sp,-24 + 3bf4: dfc00515 stw ra,20(sp) + 3bf8: df000415 stw fp,16(sp) + 3bfc: df000404 addi fp,sp,16 + 3c00: e13ffd15 stw r4,-12(fp) + 3c04: e17ffe15 stw r5,-8(fp) + 3c08: e1bfff15 stw r6,-4(fp) altera_avalon_jtag_uart_dev* dev = (altera_avalon_jtag_uart_dev*) fd->dev; - 3c08: e0bffd17 ldw r2,-12(fp) - 3c0c: 10800017 ldw r2,0(r2) - 3c10: e0bffc15 stw r2,-16(fp) + 3c0c: e0bffd17 ldw r2,-12(fp) + 3c10: 10800017 ldw r2,0(r2) + 3c14: e0bffc15 stw r2,-16(fp) return altera_avalon_jtag_uart_ioctl(&dev->state, req, arg); - 3c14: e0bffc17 ldw r2,-16(fp) - 3c18: 10800a04 addi r2,r2,40 - 3c1c: e1bfff17 ldw r6,-4(fp) - 3c20: e17ffe17 ldw r5,-8(fp) - 3c24: 1009883a mov r4,r2 - 3c28: 00040140 call 4014 + 3c18: e0bffc17 ldw r2,-16(fp) + 3c1c: 10800a04 addi r2,r2,40 + 3c20: e1bfff17 ldw r6,-4(fp) + 3c24: e17ffe17 ldw r5,-8(fp) + 3c28: 1009883a mov r4,r2 + 3c2c: 00040180 call 4018 } - 3c2c: e037883a mov sp,fp - 3c30: dfc00117 ldw ra,4(sp) - 3c34: df000017 ldw fp,0(sp) - 3c38: dec00204 addi sp,sp,8 - 3c3c: f800283a ret + 3c30: e037883a mov sp,fp + 3c34: dfc00117 ldw ra,4(sp) + 3c38: df000017 ldw fp,0(sp) + 3c3c: dec00204 addi sp,sp,8 + 3c40: f800283a ret -00003c40 : +00003c44 : * Return 1 on sucessful IRQ register and 0 on failure. */ void altera_avalon_jtag_uart_init(altera_avalon_jtag_uart_state* sp, int irq_controller_id, int irq) { - 3c40: defffa04 addi sp,sp,-24 - 3c44: dfc00515 stw ra,20(sp) - 3c48: df000415 stw fp,16(sp) - 3c4c: df000404 addi fp,sp,16 - 3c50: e13ffd15 stw r4,-12(fp) - 3c54: e17ffe15 stw r5,-8(fp) - 3c58: e1bfff15 stw r6,-4(fp) + 3c44: defffa04 addi sp,sp,-24 + 3c48: dfc00515 stw ra,20(sp) + 3c4c: df000415 stw fp,16(sp) + 3c50: df000404 addi fp,sp,16 + 3c54: e13ffd15 stw r4,-12(fp) + 3c58: e17ffe15 stw r5,-8(fp) + 3c5c: e1bfff15 stw r6,-4(fp) ALT_FLAG_CREATE(&sp->events, 0); ALT_SEM_CREATE(&sp->read_lock, 1); ALT_SEM_CREATE(&sp->write_lock, 1); /* enable read interrupts at the device */ sp->irq_enable = ALTERA_AVALON_JTAG_UART_CONTROL_RE_MSK; - 3c5c: e0bffd17 ldw r2,-12(fp) - 3c60: 00c00044 movi r3,1 - 3c64: 10c00815 stw r3,32(r2) + 3c60: e0bffd17 ldw r2,-12(fp) + 3c64: 00c00044 movi r3,1 + 3c68: 10c00815 stw r3,32(r2) IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base, sp->irq_enable); - 3c68: e0bffd17 ldw r2,-12(fp) - 3c6c: 10800017 ldw r2,0(r2) - 3c70: 10800104 addi r2,r2,4 - 3c74: 1007883a mov r3,r2 - 3c78: e0bffd17 ldw r2,-12(fp) - 3c7c: 10800817 ldw r2,32(r2) - 3c80: 18800035 stwio r2,0(r3) + 3c6c: e0bffd17 ldw r2,-12(fp) + 3c70: 10800017 ldw r2,0(r2) + 3c74: 10800104 addi r2,r2,4 + 3c78: 1007883a mov r3,r2 + 3c7c: e0bffd17 ldw r2,-12(fp) + 3c80: 10800817 ldw r2,32(r2) + 3c84: 18800035 stwio r2,0(r3) /* register the interrupt handler */ #ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT alt_ic_isr_register(irq_controller_id, irq, altera_avalon_jtag_uart_irq, - 3c84: e0bffe17 ldw r2,-8(fp) - 3c88: e0ffff17 ldw r3,-4(fp) - 3c8c: d8000015 stw zero,0(sp) - 3c90: e1fffd17 ldw r7,-12(fp) - 3c94: 01800034 movhi r6,0 - 3c98: 318f4004 addi r6,r6,15616 - 3c9c: 180b883a mov r5,r3 - 3ca0: 1009883a mov r4,r2 - 3ca4: 00049040 call 4904 + 3c88: e0bffe17 ldw r2,-8(fp) + 3c8c: e0ffff17 ldw r3,-4(fp) + 3c90: d8000015 stw zero,0(sp) + 3c94: e1fffd17 ldw r7,-12(fp) + 3c98: 01800034 movhi r6,0 + 3c9c: 318f4104 addi r6,r6,15620 + 3ca0: 180b883a mov r5,r3 + 3ca4: 1009883a mov r4,r2 + 3ca8: 00049080 call 4908 #else alt_irq_register(irq, sp, altera_avalon_jtag_uart_irq); #endif /* Register an alarm to go off every second to check for presence of host */ sp->host_inactive = 0; - 3ca8: e0bffd17 ldw r2,-12(fp) - 3cac: 10000915 stw zero,36(r2) + 3cac: e0bffd17 ldw r2,-12(fp) + 3cb0: 10000915 stw zero,36(r2) if (alt_alarm_start(&sp->alarm, alt_ticks_per_second(), - 3cb0: e0bffd17 ldw r2,-12(fp) - 3cb4: 10800204 addi r2,r2,8 + 3cb4: e0bffd17 ldw r2,-12(fp) + 3cb8: 10800204 addi r2,r2,8 * Obtain the system clock rate in ticks/s. */ static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_ticks_per_second (void) { return _alt_tick_rate; - 3cb8: d0e01617 ldw r3,-32680(gp) - 3cbc: e1fffd17 ldw r7,-12(fp) - 3cc0: 01800034 movhi r6,0 - 3cc4: 318fc304 addi r6,r6,16140 - 3cc8: 180b883a mov r5,r3 - 3ccc: 1009883a mov r4,r2 - 3cd0: 00046380 call 4638 - 3cd4: 1000040e bge r2,zero,3ce8 + 3cbc: d0e01617 ldw r3,-32680(gp) + 3cc0: e1fffd17 ldw r7,-12(fp) + 3cc4: 01800034 movhi r6,0 + 3cc8: 318fc404 addi r6,r6,16144 + 3ccc: 180b883a mov r5,r3 + 3cd0: 1009883a mov r4,r2 + 3cd4: 000463c0 call 463c + 3cd8: 1000040e bge r2,zero,3cec &altera_avalon_jtag_uart_timeout, sp) < 0) { /* If we can't set the alarm then record "don't know if host present" * and behave as though the host is present. */ sp->timeout = INT_MAX; - 3cd8: e0fffd17 ldw r3,-12(fp) - 3cdc: 00a00034 movhi r2,32768 - 3ce0: 10bfffc4 addi r2,r2,-1 - 3ce4: 18800115 stw r2,4(r3) + 3cdc: e0fffd17 ldw r3,-12(fp) + 3ce0: 00a00034 movhi r2,32768 + 3ce4: 10bfffc4 addi r2,r2,-1 + 3ce8: 18800115 stw r2,4(r3) } /* ALT_LOG - see altera_hal/HAL/inc/sys/alt_log_printf.h */ ALT_LOG_JTAG_UART_ALARM_REGISTER(sp, sp->base); } - 3ce8: 0001883a nop - 3cec: e037883a mov sp,fp - 3cf0: dfc00117 ldw ra,4(sp) - 3cf4: df000017 ldw fp,0(sp) - 3cf8: dec00204 addi sp,sp,8 - 3cfc: f800283a ret + 3cec: 0001883a nop + 3cf0: e037883a mov sp,fp + 3cf4: dfc00117 ldw ra,4(sp) + 3cf8: df000017 ldw fp,0(sp) + 3cfc: dec00204 addi sp,sp,8 + 3d00: f800283a ret -00003d00 : +00003d04 : #ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT static void altera_avalon_jtag_uart_irq(void* context) #else static void altera_avalon_jtag_uart_irq(void* context, alt_u32 id) #endif { - 3d00: defff804 addi sp,sp,-32 - 3d04: df000715 stw fp,28(sp) - 3d08: df000704 addi fp,sp,28 - 3d0c: e13fff15 stw r4,-4(fp) + 3d04: defff804 addi sp,sp,-32 + 3d08: df000715 stw fp,28(sp) + 3d0c: df000704 addi fp,sp,28 + 3d10: e13fff15 stw r4,-4(fp) altera_avalon_jtag_uart_state* sp = (altera_avalon_jtag_uart_state*) context; - 3d10: e0bfff17 ldw r2,-4(fp) - 3d14: e0bffb15 stw r2,-20(fp) + 3d14: e0bfff17 ldw r2,-4(fp) + 3d18: e0bffb15 stw r2,-20(fp) unsigned int base = sp->base; - 3d18: e0bffb17 ldw r2,-20(fp) - 3d1c: 10800017 ldw r2,0(r2) - 3d20: e0bffc15 stw r2,-16(fp) + 3d1c: e0bffb17 ldw r2,-20(fp) + 3d20: 10800017 ldw r2,0(r2) + 3d24: e0bffc15 stw r2,-16(fp) /* ALT_LOG - see altera_hal/HAL/inc/sys/alt_log_printf.h */ ALT_LOG_JTAG_UART_ISR_FUNCTION(base, sp); for ( ; ; ) { unsigned int control = IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base); - 3d24: e0bffc17 ldw r2,-16(fp) - 3d28: 10800104 addi r2,r2,4 - 3d2c: 10800037 ldwio r2,0(r2) - 3d30: e0bffd15 stw r2,-12(fp) + 3d28: e0bffc17 ldw r2,-16(fp) + 3d2c: 10800104 addi r2,r2,4 + 3d30: 10800037 ldwio r2,0(r2) + 3d34: e0bffd15 stw r2,-12(fp) /* Return once nothing more to do */ if ((control & (ALTERA_AVALON_JTAG_UART_CONTROL_RI_MSK | ALTERA_AVALON_JTAG_UART_CONTROL_WI_MSK)) == 0) - 3d34: e0bffd17 ldw r2,-12(fp) - 3d38: 1080c00c andi r2,r2,768 - 3d3c: 10006d26 beq r2,zero,3ef4 + 3d38: e0bffd17 ldw r2,-12(fp) + 3d3c: 1080c00c andi r2,r2,768 + 3d40: 10006d26 beq r2,zero,3ef8 break; if (control & ALTERA_AVALON_JTAG_UART_CONTROL_RI_MSK) - 3d40: e0bffd17 ldw r2,-12(fp) - 3d44: 1080400c andi r2,r2,256 - 3d48: 10003526 beq r2,zero,3e20 + 3d44: e0bffd17 ldw r2,-12(fp) + 3d48: 1080400c andi r2,r2,256 + 3d4c: 10003526 beq r2,zero,3e24 { /* process a read irq. Start by assuming that there is data in the * receive FIFO (otherwise why would we have been interrupted?) */ unsigned int data = 1 << ALTERA_AVALON_JTAG_UART_DATA_RAVAIL_OFST; - 3d4c: 00800074 movhi r2,1 - 3d50: e0bff915 stw r2,-28(fp) + 3d50: 00800074 movhi r2,1 + 3d54: e0bff915 stw r2,-28(fp) for ( ; ; ) { /* Check whether there is space in the buffer. If not then we must not * read any characters from the buffer as they will be lost. */ unsigned int next = (sp->rx_in + 1) % ALTERA_AVALON_JTAG_UART_BUF_LEN; - 3d54: e0bffb17 ldw r2,-20(fp) - 3d58: 10800a17 ldw r2,40(r2) - 3d5c: 10800044 addi r2,r2,1 - 3d60: 1081ffcc andi r2,r2,2047 - 3d64: e0bffe15 stw r2,-8(fp) + 3d58: e0bffb17 ldw r2,-20(fp) + 3d5c: 10800a17 ldw r2,40(r2) + 3d60: 10800044 addi r2,r2,1 + 3d64: 1081ffcc andi r2,r2,2047 + 3d68: e0bffe15 stw r2,-8(fp) if (next == sp->rx_out) - 3d68: e0bffb17 ldw r2,-20(fp) - 3d6c: 10c00b17 ldw r3,44(r2) - 3d70: e0bffe17 ldw r2,-8(fp) - 3d74: 18801526 beq r3,r2,3dcc + 3d6c: e0bffb17 ldw r2,-20(fp) + 3d70: 10c00b17 ldw r3,44(r2) + 3d74: e0bffe17 ldw r2,-8(fp) + 3d78: 18801526 beq r3,r2,3dd0 break; /* Try to remove a character from the FIFO and find out whether there * are any more characters remaining. */ data = IORD_ALTERA_AVALON_JTAG_UART_DATA(base); - 3d78: e0bffc17 ldw r2,-16(fp) - 3d7c: 10800037 ldwio r2,0(r2) - 3d80: e0bff915 stw r2,-28(fp) + 3d7c: e0bffc17 ldw r2,-16(fp) + 3d80: 10800037 ldwio r2,0(r2) + 3d84: e0bff915 stw r2,-28(fp) if ((data & ALTERA_AVALON_JTAG_UART_DATA_RVALID_MSK) == 0) - 3d84: e0bff917 ldw r2,-28(fp) - 3d88: 10a0000c andi r2,r2,32768 - 3d8c: 10001126 beq r2,zero,3dd4 + 3d88: e0bff917 ldw r2,-28(fp) + 3d8c: 10a0000c andi r2,r2,32768 + 3d90: 10001126 beq r2,zero,3dd8 break; sp->rx_buf[sp->rx_in] = (data & ALTERA_AVALON_JTAG_UART_DATA_DATA_MSK) >> ALTERA_AVALON_JTAG_UART_DATA_DATA_OFST; - 3d90: e0bffb17 ldw r2,-20(fp) - 3d94: 10800a17 ldw r2,40(r2) - 3d98: e0fff917 ldw r3,-28(fp) - 3d9c: 1809883a mov r4,r3 - 3da0: e0fffb17 ldw r3,-20(fp) - 3da4: 1885883a add r2,r3,r2 - 3da8: 10800e04 addi r2,r2,56 - 3dac: 11000005 stb r4,0(r2) + 3d94: e0bffb17 ldw r2,-20(fp) + 3d98: 10800a17 ldw r2,40(r2) + 3d9c: e0fff917 ldw r3,-28(fp) + 3da0: 1809883a mov r4,r3 + 3da4: e0fffb17 ldw r3,-20(fp) + 3da8: 1885883a add r2,r3,r2 + 3dac: 10800e04 addi r2,r2,56 + 3db0: 11000005 stb r4,0(r2) sp->rx_in = (sp->rx_in + 1) % ALTERA_AVALON_JTAG_UART_BUF_LEN; - 3db0: e0bffb17 ldw r2,-20(fp) - 3db4: 10800a17 ldw r2,40(r2) - 3db8: 10800044 addi r2,r2,1 - 3dbc: 10c1ffcc andi r3,r2,2047 - 3dc0: e0bffb17 ldw r2,-20(fp) - 3dc4: 10c00a15 stw r3,40(r2) + 3db4: e0bffb17 ldw r2,-20(fp) + 3db8: 10800a17 ldw r2,40(r2) + 3dbc: 10800044 addi r2,r2,1 + 3dc0: 10c1ffcc andi r3,r2,2047 + 3dc4: e0bffb17 ldw r2,-20(fp) + 3dc8: 10c00a15 stw r3,40(r2) /* Post an event to notify jtag_uart_read that a character has been read */ ALT_FLAG_POST (sp->events, ALT_JTAG_UART_READ_RDY, OS_FLAG_SET); } - 3dc8: 003fe206 br 3d54 <__alt_data_end+0xfffe3d54> + 3dcc: 003fe206 br 3d58 <__alt_data_end+0xfffe3d58> /* Check whether there is space in the buffer. If not then we must not * read any characters from the buffer as they will be lost. */ unsigned int next = (sp->rx_in + 1) % ALTERA_AVALON_JTAG_UART_BUF_LEN; if (next == sp->rx_out) break; - 3dcc: 0001883a nop - 3dd0: 00000106 br 3dd8 + 3dd0: 0001883a nop + 3dd4: 00000106 br 3ddc * are any more characters remaining. */ data = IORD_ALTERA_AVALON_JTAG_UART_DATA(base); if ((data & ALTERA_AVALON_JTAG_UART_DATA_RVALID_MSK) == 0) break; - 3dd4: 0001883a nop + 3dd8: 0001883a nop /* Post an event to notify jtag_uart_read that a character has been read */ ALT_FLAG_POST (sp->events, ALT_JTAG_UART_READ_RDY, OS_FLAG_SET); } if (data & ALTERA_AVALON_JTAG_UART_DATA_RAVAIL_MSK) - 3dd8: e0bff917 ldw r2,-28(fp) - 3ddc: 10bfffec andhi r2,r2,65535 - 3de0: 10000f26 beq r2,zero,3e20 + 3ddc: e0bff917 ldw r2,-28(fp) + 3de0: 10bfffec andhi r2,r2,65535 + 3de4: 10000f26 beq r2,zero,3e24 { /* If there is still data available here then the buffer is full * so turn off receive interrupts until some space becomes available. */ sp->irq_enable &= ~ALTERA_AVALON_JTAG_UART_CONTROL_RE_MSK; - 3de4: e0bffb17 ldw r2,-20(fp) - 3de8: 10c00817 ldw r3,32(r2) - 3dec: 00bfff84 movi r2,-2 - 3df0: 1886703a and r3,r3,r2 - 3df4: e0bffb17 ldw r2,-20(fp) - 3df8: 10c00815 stw r3,32(r2) + 3de8: e0bffb17 ldw r2,-20(fp) + 3dec: 10c00817 ldw r3,32(r2) + 3df0: 00bfff84 movi r2,-2 + 3df4: 1886703a and r3,r3,r2 + 3df8: e0bffb17 ldw r2,-20(fp) + 3dfc: 10c00815 stw r3,32(r2) IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(base, sp->irq_enable); - 3dfc: e0bffc17 ldw r2,-16(fp) - 3e00: 10800104 addi r2,r2,4 - 3e04: 1007883a mov r3,r2 - 3e08: e0bffb17 ldw r2,-20(fp) - 3e0c: 10800817 ldw r2,32(r2) - 3e10: 18800035 stwio r2,0(r3) + 3e00: e0bffc17 ldw r2,-16(fp) + 3e04: 10800104 addi r2,r2,4 + 3e08: 1007883a mov r3,r2 + 3e0c: e0bffb17 ldw r2,-20(fp) + 3e10: 10800817 ldw r2,32(r2) + 3e14: 18800035 stwio r2,0(r3) /* Dummy read to ensure IRQ is cleared prior to ISR completion */ IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base); - 3e14: e0bffc17 ldw r2,-16(fp) - 3e18: 10800104 addi r2,r2,4 - 3e1c: 10800037 ldwio r2,0(r2) + 3e18: e0bffc17 ldw r2,-16(fp) + 3e1c: 10800104 addi r2,r2,4 + 3e20: 10800037 ldwio r2,0(r2) } } if (control & ALTERA_AVALON_JTAG_UART_CONTROL_WI_MSK) - 3e20: e0bffd17 ldw r2,-12(fp) - 3e24: 1080800c andi r2,r2,512 - 3e28: 103fbe26 beq r2,zero,3d24 <__alt_data_end+0xfffe3d24> + 3e24: e0bffd17 ldw r2,-12(fp) + 3e28: 1080800c andi r2,r2,512 + 3e2c: 103fbe26 beq r2,zero,3d28 <__alt_data_end+0xfffe3d28> { /* process a write irq */ unsigned int space = (control & ALTERA_AVALON_JTAG_UART_CONTROL_WSPACE_MSK) >> ALTERA_AVALON_JTAG_UART_CONTROL_WSPACE_OFST; - 3e2c: e0bffd17 ldw r2,-12(fp) - 3e30: 1004d43a srli r2,r2,16 - 3e34: e0bffa15 stw r2,-24(fp) + 3e30: e0bffd17 ldw r2,-12(fp) + 3e34: 1004d43a srli r2,r2,16 + 3e38: e0bffa15 stw r2,-24(fp) while (space > 0 && sp->tx_out != sp->tx_in) - 3e38: 00001406 br 3e8c + 3e3c: 00001406 br 3e90 { IOWR_ALTERA_AVALON_JTAG_UART_DATA(base, sp->tx_buf[sp->tx_out]); - 3e3c: e0bffc17 ldw r2,-16(fp) - 3e40: e0fffb17 ldw r3,-20(fp) - 3e44: 18c00d17 ldw r3,52(r3) - 3e48: e13ffb17 ldw r4,-20(fp) - 3e4c: 20c7883a add r3,r4,r3 - 3e50: 18c20e04 addi r3,r3,2104 - 3e54: 18c00003 ldbu r3,0(r3) - 3e58: 18c03fcc andi r3,r3,255 - 3e5c: 18c0201c xori r3,r3,128 - 3e60: 18ffe004 addi r3,r3,-128 - 3e64: 10c00035 stwio r3,0(r2) + 3e40: e0bffc17 ldw r2,-16(fp) + 3e44: e0fffb17 ldw r3,-20(fp) + 3e48: 18c00d17 ldw r3,52(r3) + 3e4c: e13ffb17 ldw r4,-20(fp) + 3e50: 20c7883a add r3,r4,r3 + 3e54: 18c20e04 addi r3,r3,2104 + 3e58: 18c00003 ldbu r3,0(r3) + 3e5c: 18c03fcc andi r3,r3,255 + 3e60: 18c0201c xori r3,r3,128 + 3e64: 18ffe004 addi r3,r3,-128 + 3e68: 10c00035 stwio r3,0(r2) sp->tx_out = (sp->tx_out + 1) % ALTERA_AVALON_JTAG_UART_BUF_LEN; - 3e68: e0bffb17 ldw r2,-20(fp) - 3e6c: 10800d17 ldw r2,52(r2) - 3e70: 10800044 addi r2,r2,1 - 3e74: 10c1ffcc andi r3,r2,2047 - 3e78: e0bffb17 ldw r2,-20(fp) - 3e7c: 10c00d15 stw r3,52(r2) + 3e6c: e0bffb17 ldw r2,-20(fp) + 3e70: 10800d17 ldw r2,52(r2) + 3e74: 10800044 addi r2,r2,1 + 3e78: 10c1ffcc andi r3,r2,2047 + 3e7c: e0bffb17 ldw r2,-20(fp) + 3e80: 10c00d15 stw r3,52(r2) /* Post an event to notify jtag_uart_write that a character has been written */ ALT_FLAG_POST (sp->events, ALT_JTAG_UART_WRITE_RDY, OS_FLAG_SET); space--; - 3e80: e0bffa17 ldw r2,-24(fp) - 3e84: 10bfffc4 addi r2,r2,-1 - 3e88: e0bffa15 stw r2,-24(fp) + 3e84: e0bffa17 ldw r2,-24(fp) + 3e88: 10bfffc4 addi r2,r2,-1 + 3e8c: e0bffa15 stw r2,-24(fp) if (control & ALTERA_AVALON_JTAG_UART_CONTROL_WI_MSK) { /* process a write irq */ unsigned int space = (control & ALTERA_AVALON_JTAG_UART_CONTROL_WSPACE_MSK) >> ALTERA_AVALON_JTAG_UART_CONTROL_WSPACE_OFST; while (space > 0 && sp->tx_out != sp->tx_in) - 3e8c: e0bffa17 ldw r2,-24(fp) - 3e90: 10000526 beq r2,zero,3ea8 - 3e94: e0bffb17 ldw r2,-20(fp) - 3e98: 10c00d17 ldw r3,52(r2) - 3e9c: e0bffb17 ldw r2,-20(fp) - 3ea0: 10800c17 ldw r2,48(r2) - 3ea4: 18bfe51e bne r3,r2,3e3c <__alt_data_end+0xfffe3e3c> + 3e90: e0bffa17 ldw r2,-24(fp) + 3e94: 10000526 beq r2,zero,3eac + 3e98: e0bffb17 ldw r2,-20(fp) + 3e9c: 10c00d17 ldw r3,52(r2) + 3ea0: e0bffb17 ldw r2,-20(fp) + 3ea4: 10800c17 ldw r2,48(r2) + 3ea8: 18bfe51e bne r3,r2,3e40 <__alt_data_end+0xfffe3e40> ALT_FLAG_POST (sp->events, ALT_JTAG_UART_WRITE_RDY, OS_FLAG_SET); space--; } if (space > 0) - 3ea8: e0bffa17 ldw r2,-24(fp) - 3eac: 103f9d26 beq r2,zero,3d24 <__alt_data_end+0xfffe3d24> + 3eac: e0bffa17 ldw r2,-24(fp) + 3eb0: 103f9d26 beq r2,zero,3d28 <__alt_data_end+0xfffe3d28> { /* If we don't have any more data available then turn off the TX interrupt */ sp->irq_enable &= ~ALTERA_AVALON_JTAG_UART_CONTROL_WE_MSK; - 3eb0: e0bffb17 ldw r2,-20(fp) - 3eb4: 10c00817 ldw r3,32(r2) - 3eb8: 00bfff44 movi r2,-3 - 3ebc: 1886703a and r3,r3,r2 - 3ec0: e0bffb17 ldw r2,-20(fp) - 3ec4: 10c00815 stw r3,32(r2) + 3eb4: e0bffb17 ldw r2,-20(fp) + 3eb8: 10c00817 ldw r3,32(r2) + 3ebc: 00bfff44 movi r2,-3 + 3ec0: 1886703a and r3,r3,r2 + 3ec4: e0bffb17 ldw r2,-20(fp) + 3ec8: 10c00815 stw r3,32(r2) IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base, sp->irq_enable); - 3ec8: e0bffb17 ldw r2,-20(fp) - 3ecc: 10800017 ldw r2,0(r2) - 3ed0: 10800104 addi r2,r2,4 - 3ed4: 1007883a mov r3,r2 - 3ed8: e0bffb17 ldw r2,-20(fp) - 3edc: 10800817 ldw r2,32(r2) - 3ee0: 18800035 stwio r2,0(r3) + 3ecc: e0bffb17 ldw r2,-20(fp) + 3ed0: 10800017 ldw r2,0(r2) + 3ed4: 10800104 addi r2,r2,4 + 3ed8: 1007883a mov r3,r2 + 3edc: e0bffb17 ldw r2,-20(fp) + 3ee0: 10800817 ldw r2,32(r2) + 3ee4: 18800035 stwio r2,0(r3) /* Dummy read to ensure IRQ is cleared prior to ISR completion */ IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base); - 3ee4: e0bffc17 ldw r2,-16(fp) - 3ee8: 10800104 addi r2,r2,4 - 3eec: 10800037 ldwio r2,0(r2) + 3ee8: e0bffc17 ldw r2,-16(fp) + 3eec: 10800104 addi r2,r2,4 + 3ef0: 10800037 ldwio r2,0(r2) } } } - 3ef0: 003f8c06 br 3d24 <__alt_data_end+0xfffe3d24> + 3ef4: 003f8c06 br 3d28 <__alt_data_end+0xfffe3d28> { unsigned int control = IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base); /* Return once nothing more to do */ if ((control & (ALTERA_AVALON_JTAG_UART_CONTROL_RI_MSK | ALTERA_AVALON_JTAG_UART_CONTROL_WI_MSK)) == 0) break; - 3ef4: 0001883a nop + 3ef8: 0001883a nop /* Dummy read to ensure IRQ is cleared prior to ISR completion */ IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base); } } } } - 3ef8: 0001883a nop - 3efc: e037883a mov sp,fp - 3f00: df000017 ldw fp,0(sp) - 3f04: dec00104 addi sp,sp,4 - 3f08: f800283a ret + 3efc: 0001883a nop + 3f00: e037883a mov sp,fp + 3f04: df000017 ldw fp,0(sp) + 3f08: dec00104 addi sp,sp,4 + 3f0c: f800283a ret -00003f0c : +00003f10 : * Timeout routine is called every second */ static alt_u32 altera_avalon_jtag_uart_timeout(void* context) { - 3f0c: defff804 addi sp,sp,-32 - 3f10: df000715 stw fp,28(sp) - 3f14: df000704 addi fp,sp,28 - 3f18: e13ffb15 stw r4,-20(fp) + 3f10: defff804 addi sp,sp,-32 + 3f14: df000715 stw fp,28(sp) + 3f18: df000704 addi fp,sp,28 + 3f1c: e13ffb15 stw r4,-20(fp) altera_avalon_jtag_uart_state* sp = (altera_avalon_jtag_uart_state *) context; - 3f1c: e0bffb17 ldw r2,-20(fp) - 3f20: e0bff915 stw r2,-28(fp) + 3f20: e0bffb17 ldw r2,-20(fp) + 3f24: e0bff915 stw r2,-28(fp) unsigned int control = IORD_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base); - 3f24: e0bff917 ldw r2,-28(fp) - 3f28: 10800017 ldw r2,0(r2) - 3f2c: 10800104 addi r2,r2,4 - 3f30: 10800037 ldwio r2,0(r2) - 3f34: e0bffa15 stw r2,-24(fp) + 3f28: e0bff917 ldw r2,-28(fp) + 3f2c: 10800017 ldw r2,0(r2) + 3f30: 10800104 addi r2,r2,4 + 3f34: 10800037 ldwio r2,0(r2) + 3f38: e0bffa15 stw r2,-24(fp) if (control & ALTERA_AVALON_JTAG_UART_CONTROL_AC_MSK) - 3f38: e0bffa17 ldw r2,-24(fp) - 3f3c: 1081000c andi r2,r2,1024 - 3f40: 10000b26 beq r2,zero,3f70 + 3f3c: e0bffa17 ldw r2,-24(fp) + 3f40: 1081000c andi r2,r2,1024 + 3f44: 10000b26 beq r2,zero,3f74 { IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base, sp->irq_enable | ALTERA_AVALON_JTAG_UART_CONTROL_AC_MSK); - 3f44: e0bff917 ldw r2,-28(fp) - 3f48: 10800017 ldw r2,0(r2) - 3f4c: 10800104 addi r2,r2,4 - 3f50: 1007883a mov r3,r2 - 3f54: e0bff917 ldw r2,-28(fp) - 3f58: 10800817 ldw r2,32(r2) - 3f5c: 10810014 ori r2,r2,1024 - 3f60: 18800035 stwio r2,0(r3) + 3f48: e0bff917 ldw r2,-28(fp) + 3f4c: 10800017 ldw r2,0(r2) + 3f50: 10800104 addi r2,r2,4 + 3f54: 1007883a mov r3,r2 + 3f58: e0bff917 ldw r2,-28(fp) + 3f5c: 10800817 ldw r2,32(r2) + 3f60: 10810014 ori r2,r2,1024 + 3f64: 18800035 stwio r2,0(r3) sp->host_inactive = 0; - 3f64: e0bff917 ldw r2,-28(fp) - 3f68: 10000915 stw zero,36(r2) - 3f6c: 00000a06 br 3f98 + 3f68: e0bff917 ldw r2,-28(fp) + 3f6c: 10000915 stw zero,36(r2) + 3f70: 00000a06 br 3f9c } else if (sp->host_inactive < INT_MAX - 2) { - 3f70: e0bff917 ldw r2,-28(fp) - 3f74: 10c00917 ldw r3,36(r2) - 3f78: 00a00034 movhi r2,32768 - 3f7c: 10bfff04 addi r2,r2,-4 - 3f80: 10c00536 bltu r2,r3,3f98 + 3f74: e0bff917 ldw r2,-28(fp) + 3f78: 10c00917 ldw r3,36(r2) + 3f7c: 00a00034 movhi r2,32768 + 3f80: 10bfff04 addi r2,r2,-4 + 3f84: 10c00536 bltu r2,r3,3f9c sp->host_inactive++; - 3f84: e0bff917 ldw r2,-28(fp) - 3f88: 10800917 ldw r2,36(r2) - 3f8c: 10c00044 addi r3,r2,1 - 3f90: e0bff917 ldw r2,-28(fp) - 3f94: 10c00915 stw r3,36(r2) - 3f98: d0a01617 ldw r2,-32680(gp) + 3f88: e0bff917 ldw r2,-28(fp) + 3f8c: 10800917 ldw r2,36(r2) + 3f90: 10c00044 addi r3,r2,1 + 3f94: e0bff917 ldw r2,-28(fp) + 3f98: 10c00915 stw r3,36(r2) + 3f9c: d0a01617 ldw r2,-32680(gp) ALT_FLAG_POST (sp->events, ALT_JTAG_UART_TIMEOUT, OS_FLAG_SET); } } return alt_ticks_per_second(); } - 3f9c: e037883a mov sp,fp - 3fa0: df000017 ldw fp,0(sp) - 3fa4: dec00104 addi sp,sp,4 - 3fa8: f800283a ret + 3fa0: e037883a mov sp,fp + 3fa4: df000017 ldw fp,0(sp) + 3fa8: dec00104 addi sp,sp,4 + 3fac: f800283a ret -00003fac : +00003fb0 : * The close routine is not implemented for the small driver; instead it will * map to null. This is because the small driver simply waits while characters * are transmitted; there is no interrupt-serviced buffer to empty */ int altera_avalon_jtag_uart_close(altera_avalon_jtag_uart_state* sp, int flags) { - 3fac: defffd04 addi sp,sp,-12 - 3fb0: df000215 stw fp,8(sp) - 3fb4: df000204 addi fp,sp,8 - 3fb8: e13ffe15 stw r4,-8(fp) - 3fbc: e17fff15 stw r5,-4(fp) + 3fb0: defffd04 addi sp,sp,-12 + 3fb4: df000215 stw fp,8(sp) + 3fb8: df000204 addi fp,sp,8 + 3fbc: e13ffe15 stw r4,-8(fp) + 3fc0: e17fff15 stw r5,-4(fp) /* * Wait for all transmit data to be emptied by the JTAG UART ISR, or * for a host-inactivity timeout, in which case transmit data will be lost */ while ( (sp->tx_out != sp->tx_in) && (sp->host_inactive < sp->timeout) ) { - 3fc0: 00000506 br 3fd8 + 3fc4: 00000506 br 3fdc if (flags & O_NONBLOCK) { - 3fc4: e0bfff17 ldw r2,-4(fp) - 3fc8: 1090000c andi r2,r2,16384 - 3fcc: 10000226 beq r2,zero,3fd8 + 3fc8: e0bfff17 ldw r2,-4(fp) + 3fcc: 1090000c andi r2,r2,16384 + 3fd0: 10000226 beq r2,zero,3fdc return -EWOULDBLOCK; - 3fd0: 00bffd44 movi r2,-11 - 3fd4: 00000b06 br 4004 + 3fd4: 00bffd44 movi r2,-11 + 3fd8: 00000b06 br 4008 { /* * Wait for all transmit data to be emptied by the JTAG UART ISR, or * for a host-inactivity timeout, in which case transmit data will be lost */ while ( (sp->tx_out != sp->tx_in) && (sp->host_inactive < sp->timeout) ) { - 3fd8: e0bffe17 ldw r2,-8(fp) - 3fdc: 10c00d17 ldw r3,52(r2) - 3fe0: e0bffe17 ldw r2,-8(fp) - 3fe4: 10800c17 ldw r2,48(r2) - 3fe8: 18800526 beq r3,r2,4000 - 3fec: e0bffe17 ldw r2,-8(fp) - 3ff0: 10c00917 ldw r3,36(r2) - 3ff4: e0bffe17 ldw r2,-8(fp) - 3ff8: 10800117 ldw r2,4(r2) - 3ffc: 18bff136 bltu r3,r2,3fc4 <__alt_data_end+0xfffe3fc4> + 3fdc: e0bffe17 ldw r2,-8(fp) + 3fe0: 10c00d17 ldw r3,52(r2) + 3fe4: e0bffe17 ldw r2,-8(fp) + 3fe8: 10800c17 ldw r2,48(r2) + 3fec: 18800526 beq r3,r2,4004 + 3ff0: e0bffe17 ldw r2,-8(fp) + 3ff4: 10c00917 ldw r3,36(r2) + 3ff8: e0bffe17 ldw r2,-8(fp) + 3ffc: 10800117 ldw r2,4(r2) + 4000: 18bff136 bltu r3,r2,3fc8 <__alt_data_end+0xfffe3fc8> if (flags & O_NONBLOCK) { return -EWOULDBLOCK; } } return 0; - 4000: 0005883a mov r2,zero + 4004: 0005883a mov r2,zero } - 4004: e037883a mov sp,fp - 4008: df000017 ldw fp,0(sp) - 400c: dec00104 addi sp,sp,4 - 4010: f800283a ret + 4008: e037883a mov sp,fp + 400c: df000017 ldw fp,0(sp) + 4010: dec00104 addi sp,sp,4 + 4014: f800283a ret -00004014 : +00004018 : /* ----------------------------------------------------------- */ int altera_avalon_jtag_uart_ioctl(altera_avalon_jtag_uart_state* sp, int req, void* arg) { - 4014: defffa04 addi sp,sp,-24 - 4018: df000515 stw fp,20(sp) - 401c: df000504 addi fp,sp,20 - 4020: e13ffd15 stw r4,-12(fp) - 4024: e17ffe15 stw r5,-8(fp) - 4028: e1bfff15 stw r6,-4(fp) + 4018: defffa04 addi sp,sp,-24 + 401c: df000515 stw fp,20(sp) + 4020: df000504 addi fp,sp,20 + 4024: e13ffd15 stw r4,-12(fp) + 4028: e17ffe15 stw r5,-8(fp) + 402c: e1bfff15 stw r6,-4(fp) int rc = -ENOTTY; - 402c: 00bff9c4 movi r2,-25 - 4030: e0bffb15 stw r2,-20(fp) + 4030: 00bff9c4 movi r2,-25 + 4034: e0bffb15 stw r2,-20(fp) switch (req) - 4034: e0bffe17 ldw r2,-8(fp) - 4038: 10da8060 cmpeqi r3,r2,27137 - 403c: 1800031e bne r3,zero,404c - 4040: 109a80a0 cmpeqi r2,r2,27138 - 4044: 1000181e bne r2,zero,40a8 + 4038: e0bffe17 ldw r2,-8(fp) + 403c: 10da8060 cmpeqi r3,r2,27137 + 4040: 1800031e bne r3,zero,4050 + 4044: 109a80a0 cmpeqi r2,r2,27138 + 4048: 1000181e bne r2,zero,40ac rc = 0; } break; default: break; - 4048: 00002906 br 40f0 + 404c: 00002906 br 40f4 switch (req) { case TIOCSTIMEOUT: /* Set the time to wait until assuming host is not connected */ if (sp->timeout != INT_MAX) - 404c: e0bffd17 ldw r2,-12(fp) - 4050: 10c00117 ldw r3,4(r2) - 4054: 00a00034 movhi r2,32768 - 4058: 10bfffc4 addi r2,r2,-1 - 405c: 18802126 beq r3,r2,40e4 + 4050: e0bffd17 ldw r2,-12(fp) + 4054: 10c00117 ldw r3,4(r2) + 4058: 00a00034 movhi r2,32768 + 405c: 10bfffc4 addi r2,r2,-1 + 4060: 18802126 beq r3,r2,40e8 { int timeout = *((int *)arg); - 4060: e0bfff17 ldw r2,-4(fp) - 4064: 10800017 ldw r2,0(r2) - 4068: e0bffc15 stw r2,-16(fp) + 4064: e0bfff17 ldw r2,-4(fp) + 4068: 10800017 ldw r2,0(r2) + 406c: e0bffc15 stw r2,-16(fp) sp->timeout = (timeout >= 2 && timeout < INT_MAX) ? timeout : INT_MAX - 1; - 406c: e0bffc17 ldw r2,-16(fp) - 4070: 10800090 cmplti r2,r2,2 - 4074: 1000061e bne r2,zero,4090 - 4078: e0fffc17 ldw r3,-16(fp) - 407c: 00a00034 movhi r2,32768 - 4080: 10bfffc4 addi r2,r2,-1 - 4084: 18800226 beq r3,r2,4090 - 4088: e0bffc17 ldw r2,-16(fp) - 408c: 00000206 br 4098 - 4090: 00a00034 movhi r2,32768 - 4094: 10bfff84 addi r2,r2,-2 - 4098: e0fffd17 ldw r3,-12(fp) - 409c: 18800115 stw r2,4(r3) + 4070: e0bffc17 ldw r2,-16(fp) + 4074: 10800090 cmplti r2,r2,2 + 4078: 1000061e bne r2,zero,4094 + 407c: e0fffc17 ldw r3,-16(fp) + 4080: 00a00034 movhi r2,32768 + 4084: 10bfffc4 addi r2,r2,-1 + 4088: 18800226 beq r3,r2,4094 + 408c: e0bffc17 ldw r2,-16(fp) + 4090: 00000206 br 409c + 4094: 00a00034 movhi r2,32768 + 4098: 10bfff84 addi r2,r2,-2 + 409c: e0fffd17 ldw r3,-12(fp) + 40a0: 18800115 stw r2,4(r3) rc = 0; - 40a0: e03ffb15 stw zero,-20(fp) + 40a4: e03ffb15 stw zero,-20(fp) } break; - 40a4: 00000f06 br 40e4 + 40a8: 00000f06 br 40e8 case TIOCGCONNECTED: /* Find out whether host is connected */ if (sp->timeout != INT_MAX) - 40a8: e0bffd17 ldw r2,-12(fp) - 40ac: 10c00117 ldw r3,4(r2) - 40b0: 00a00034 movhi r2,32768 - 40b4: 10bfffc4 addi r2,r2,-1 - 40b8: 18800c26 beq r3,r2,40ec + 40ac: e0bffd17 ldw r2,-12(fp) + 40b0: 10c00117 ldw r3,4(r2) + 40b4: 00a00034 movhi r2,32768 + 40b8: 10bfffc4 addi r2,r2,-1 + 40bc: 18800c26 beq r3,r2,40f0 { *((int *)arg) = (sp->host_inactive < sp->timeout) ? 1 : 0; - 40bc: e0bffd17 ldw r2,-12(fp) - 40c0: 10c00917 ldw r3,36(r2) - 40c4: e0bffd17 ldw r2,-12(fp) - 40c8: 10800117 ldw r2,4(r2) - 40cc: 1885803a cmpltu r2,r3,r2 - 40d0: 10c03fcc andi r3,r2,255 - 40d4: e0bfff17 ldw r2,-4(fp) - 40d8: 10c00015 stw r3,0(r2) + 40c0: e0bffd17 ldw r2,-12(fp) + 40c4: 10c00917 ldw r3,36(r2) + 40c8: e0bffd17 ldw r2,-12(fp) + 40cc: 10800117 ldw r2,4(r2) + 40d0: 1885803a cmpltu r2,r3,r2 + 40d4: 10c03fcc andi r3,r2,255 + 40d8: e0bfff17 ldw r2,-4(fp) + 40dc: 10c00015 stw r3,0(r2) rc = 0; - 40dc: e03ffb15 stw zero,-20(fp) + 40e0: e03ffb15 stw zero,-20(fp) } break; - 40e0: 00000206 br 40ec + 40e4: 00000206 br 40f0 { int timeout = *((int *)arg); sp->timeout = (timeout >= 2 && timeout < INT_MAX) ? timeout : INT_MAX - 1; rc = 0; } break; - 40e4: 0001883a nop - 40e8: 00000106 br 40f0 + 40e8: 0001883a nop + 40ec: 00000106 br 40f4 if (sp->timeout != INT_MAX) { *((int *)arg) = (sp->host_inactive < sp->timeout) ? 1 : 0; rc = 0; } break; - 40ec: 0001883a nop + 40f0: 0001883a nop default: break; } return rc; - 40f0: e0bffb17 ldw r2,-20(fp) + 40f4: e0bffb17 ldw r2,-20(fp) } - 40f4: e037883a mov sp,fp - 40f8: df000017 ldw fp,0(sp) - 40fc: dec00104 addi sp,sp,4 - 4100: f800283a ret + 40f8: e037883a mov sp,fp + 40fc: df000017 ldw fp,0(sp) + 4100: dec00104 addi sp,sp,4 + 4104: f800283a ret -00004104 : +00004108 : /* ----------------------------------------------------------- */ int altera_avalon_jtag_uart_read(altera_avalon_jtag_uart_state* sp, char * buffer, int space, int flags) { - 4104: defff304 addi sp,sp,-52 - 4108: dfc00c15 stw ra,48(sp) - 410c: df000b15 stw fp,44(sp) - 4110: df000b04 addi fp,sp,44 - 4114: e13ffc15 stw r4,-16(fp) - 4118: e17ffd15 stw r5,-12(fp) - 411c: e1bffe15 stw r6,-8(fp) - 4120: e1ffff15 stw r7,-4(fp) + 4108: defff304 addi sp,sp,-52 + 410c: dfc00c15 stw ra,48(sp) + 4110: df000b15 stw fp,44(sp) + 4114: df000b04 addi fp,sp,44 + 4118: e13ffc15 stw r4,-16(fp) + 411c: e17ffd15 stw r5,-12(fp) + 4120: e1bffe15 stw r6,-8(fp) + 4124: e1ffff15 stw r7,-4(fp) char * ptr = buffer; - 4124: e0bffd17 ldw r2,-12(fp) - 4128: e0bff515 stw r2,-44(fp) + 4128: e0bffd17 ldw r2,-12(fp) + 412c: e0bff515 stw r2,-44(fp) * When running in a multi threaded environment, obtain the "read_lock" * semaphore. This ensures that reading from the device is thread-safe. */ ALT_SEM_PEND (sp->read_lock, 0); while (space > 0) - 412c: 00004706 br 424c + 4130: 00004706 br 4250 unsigned int in, out; /* Read as much data as possible */ do { in = sp->rx_in; - 4130: e0bffc17 ldw r2,-16(fp) - 4134: 10800a17 ldw r2,40(r2) - 4138: e0bff715 stw r2,-36(fp) + 4134: e0bffc17 ldw r2,-16(fp) + 4138: 10800a17 ldw r2,40(r2) + 413c: e0bff715 stw r2,-36(fp) out = sp->rx_out; - 413c: e0bffc17 ldw r2,-16(fp) - 4140: 10800b17 ldw r2,44(r2) - 4144: e0bff815 stw r2,-32(fp) + 4140: e0bffc17 ldw r2,-16(fp) + 4144: 10800b17 ldw r2,44(r2) + 4148: e0bff815 stw r2,-32(fp) if (in >= out) - 4148: e0fff717 ldw r3,-36(fp) - 414c: e0bff817 ldw r2,-32(fp) - 4150: 18800536 bltu r3,r2,4168 + 414c: e0fff717 ldw r3,-36(fp) + 4150: e0bff817 ldw r2,-32(fp) + 4154: 18800536 bltu r3,r2,416c n = in - out; - 4154: e0fff717 ldw r3,-36(fp) - 4158: e0bff817 ldw r2,-32(fp) - 415c: 1885c83a sub r2,r3,r2 - 4160: e0bff615 stw r2,-40(fp) - 4164: 00000406 br 4178 + 4158: e0fff717 ldw r3,-36(fp) + 415c: e0bff817 ldw r2,-32(fp) + 4160: 1885c83a sub r2,r3,r2 + 4164: e0bff615 stw r2,-40(fp) + 4168: 00000406 br 417c else n = ALTERA_AVALON_JTAG_UART_BUF_LEN - out; - 4168: 00c20004 movi r3,2048 - 416c: e0bff817 ldw r2,-32(fp) - 4170: 1885c83a sub r2,r3,r2 - 4174: e0bff615 stw r2,-40(fp) + 416c: 00c20004 movi r3,2048 + 4170: e0bff817 ldw r2,-32(fp) + 4174: 1885c83a sub r2,r3,r2 + 4178: e0bff615 stw r2,-40(fp) if (n == 0) - 4178: e0bff617 ldw r2,-40(fp) - 417c: 10001e26 beq r2,zero,41f8 + 417c: e0bff617 ldw r2,-40(fp) + 4180: 10001e26 beq r2,zero,41fc break; /* No more data available */ if (n > space) - 4180: e0fffe17 ldw r3,-8(fp) - 4184: e0bff617 ldw r2,-40(fp) - 4188: 1880022e bgeu r3,r2,4194 + 4184: e0fffe17 ldw r3,-8(fp) + 4188: e0bff617 ldw r2,-40(fp) + 418c: 1880022e bgeu r3,r2,4198 n = space; - 418c: e0bffe17 ldw r2,-8(fp) - 4190: e0bff615 stw r2,-40(fp) + 4190: e0bffe17 ldw r2,-8(fp) + 4194: e0bff615 stw r2,-40(fp) memcpy(ptr, sp->rx_buf + out, n); - 4194: e0bffc17 ldw r2,-16(fp) - 4198: 10c00e04 addi r3,r2,56 - 419c: e0bff817 ldw r2,-32(fp) - 41a0: 1885883a add r2,r3,r2 - 41a4: e1bff617 ldw r6,-40(fp) - 41a8: 100b883a mov r5,r2 - 41ac: e13ff517 ldw r4,-44(fp) - 41b0: 00017900 call 1790 + 4198: e0bffc17 ldw r2,-16(fp) + 419c: 10c00e04 addi r3,r2,56 + 41a0: e0bff817 ldw r2,-32(fp) + 41a4: 1885883a add r2,r3,r2 + 41a8: e1bff617 ldw r6,-40(fp) + 41ac: 100b883a mov r5,r2 + 41b0: e13ff517 ldw r4,-44(fp) + 41b4: 00017940 call 1794 ptr += n; - 41b4: e0fff517 ldw r3,-44(fp) - 41b8: e0bff617 ldw r2,-40(fp) - 41bc: 1885883a add r2,r3,r2 - 41c0: e0bff515 stw r2,-44(fp) + 41b8: e0fff517 ldw r3,-44(fp) + 41bc: e0bff617 ldw r2,-40(fp) + 41c0: 1885883a add r2,r3,r2 + 41c4: e0bff515 stw r2,-44(fp) space -= n; - 41c4: e0fffe17 ldw r3,-8(fp) - 41c8: e0bff617 ldw r2,-40(fp) - 41cc: 1885c83a sub r2,r3,r2 - 41d0: e0bffe15 stw r2,-8(fp) + 41c8: e0fffe17 ldw r3,-8(fp) + 41cc: e0bff617 ldw r2,-40(fp) + 41d0: 1885c83a sub r2,r3,r2 + 41d4: e0bffe15 stw r2,-8(fp) sp->rx_out = (out + n) % ALTERA_AVALON_JTAG_UART_BUF_LEN; - 41d4: e0fff817 ldw r3,-32(fp) - 41d8: e0bff617 ldw r2,-40(fp) - 41dc: 1885883a add r2,r3,r2 - 41e0: 10c1ffcc andi r3,r2,2047 - 41e4: e0bffc17 ldw r2,-16(fp) - 41e8: 10c00b15 stw r3,44(r2) + 41d8: e0fff817 ldw r3,-32(fp) + 41dc: e0bff617 ldw r2,-40(fp) + 41e0: 1885883a add r2,r3,r2 + 41e4: 10c1ffcc andi r3,r2,2047 + 41e8: e0bffc17 ldw r2,-16(fp) + 41ec: 10c00b15 stw r3,44(r2) } while (space > 0); - 41ec: e0bffe17 ldw r2,-8(fp) - 41f0: 00bfcf16 blt zero,r2,4130 <__alt_data_end+0xfffe4130> - 41f4: 00000106 br 41fc + 41f0: e0bffe17 ldw r2,-8(fp) + 41f4: 00bfcf16 blt zero,r2,4134 <__alt_data_end+0xfffe4134> + 41f8: 00000106 br 4200 n = in - out; else n = ALTERA_AVALON_JTAG_UART_BUF_LEN - out; if (n == 0) break; /* No more data available */ - 41f8: 0001883a nop + 41fc: 0001883a nop sp->rx_out = (out + n) % ALTERA_AVALON_JTAG_UART_BUF_LEN; } while (space > 0); /* If we read any data then return it */ if (ptr != buffer) - 41fc: e0fff517 ldw r3,-44(fp) - 4200: e0bffd17 ldw r2,-12(fp) - 4204: 1880141e bne r3,r2,4258 + 4200: e0fff517 ldw r3,-44(fp) + 4204: e0bffd17 ldw r2,-12(fp) + 4208: 1880141e bne r3,r2,425c break; /* If in non-blocking mode then return error */ if (flags & O_NONBLOCK) - 4208: e0bfff17 ldw r2,-4(fp) - 420c: 1090000c andi r2,r2,16384 - 4210: 1000131e bne r2,zero,4260 + 420c: e0bfff17 ldw r2,-4(fp) + 4210: 1090000c andi r2,r2,16384 + 4214: 1000131e bne r2,zero,4264 while (in == sp->rx_in && sp->host_inactive < sp->timeout) ; } #else /* No OS: Always spin */ while (in == sp->rx_in && sp->host_inactive < sp->timeout) - 4214: 0001883a nop - 4218: e0bffc17 ldw r2,-16(fp) - 421c: 10c00a17 ldw r3,40(r2) - 4220: e0bff717 ldw r2,-36(fp) - 4224: 1880051e bne r3,r2,423c - 4228: e0bffc17 ldw r2,-16(fp) - 422c: 10c00917 ldw r3,36(r2) - 4230: e0bffc17 ldw r2,-16(fp) - 4234: 10800117 ldw r2,4(r2) - 4238: 18bff736 bltu r3,r2,4218 <__alt_data_end+0xfffe4218> + 4218: 0001883a nop + 421c: e0bffc17 ldw r2,-16(fp) + 4220: 10c00a17 ldw r3,40(r2) + 4224: e0bff717 ldw r2,-36(fp) + 4228: 1880051e bne r3,r2,4240 + 422c: e0bffc17 ldw r2,-16(fp) + 4230: 10c00917 ldw r3,36(r2) + 4234: e0bffc17 ldw r2,-16(fp) + 4238: 10800117 ldw r2,4(r2) + 423c: 18bff736 bltu r3,r2,421c <__alt_data_end+0xfffe421c> ; #endif /* __ucosii__ */ if (in == sp->rx_in) - 423c: e0bffc17 ldw r2,-16(fp) - 4240: 10c00a17 ldw r3,40(r2) - 4244: e0bff717 ldw r2,-36(fp) - 4248: 18800726 beq r3,r2,4268 + 4240: e0bffc17 ldw r2,-16(fp) + 4244: 10c00a17 ldw r3,40(r2) + 4248: e0bff717 ldw r2,-36(fp) + 424c: 18800726 beq r3,r2,426c * When running in a multi threaded environment, obtain the "read_lock" * semaphore. This ensures that reading from the device is thread-safe. */ ALT_SEM_PEND (sp->read_lock, 0); while (space > 0) - 424c: e0bffe17 ldw r2,-8(fp) - 4250: 00bfb716 blt zero,r2,4130 <__alt_data_end+0xfffe4130> - 4254: 00000506 br 426c + 4250: e0bffe17 ldw r2,-8(fp) + 4254: 00bfb716 blt zero,r2,4134 <__alt_data_end+0xfffe4134> + 4258: 00000506 br 4270 } while (space > 0); /* If we read any data then return it */ if (ptr != buffer) break; - 4258: 0001883a nop - 425c: 00000306 br 426c + 425c: 0001883a nop + 4260: 00000306 br 4270 /* If in non-blocking mode then return error */ if (flags & O_NONBLOCK) break; - 4260: 0001883a nop - 4264: 00000106 br 426c + 4264: 0001883a nop + 4268: 00000106 br 4270 while (in == sp->rx_in && sp->host_inactive < sp->timeout) ; #endif /* __ucosii__ */ if (in == sp->rx_in) break; - 4268: 0001883a nop + 426c: 0001883a nop * semaphore so that other threads can access the buffer. */ ALT_SEM_POST (sp->read_lock); if (ptr != buffer) - 426c: e0fff517 ldw r3,-44(fp) - 4270: e0bffd17 ldw r2,-12(fp) - 4274: 18801826 beq r3,r2,42d8 + 4270: e0fff517 ldw r3,-44(fp) + 4274: e0bffd17 ldw r2,-12(fp) + 4278: 18801826 beq r3,r2,42dc static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 4278: 0005303a rdctl r2,status - 427c: e0bffb15 stw r2,-20(fp) + 427c: 0005303a rdctl r2,status + 4280: e0bffb15 stw r2,-20(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 4280: e0fffb17 ldw r3,-20(fp) - 4284: 00bfff84 movi r2,-2 - 4288: 1884703a and r2,r3,r2 - 428c: 1001703a wrctl status,r2 + 4284: e0fffb17 ldw r3,-20(fp) + 4288: 00bfff84 movi r2,-2 + 428c: 1884703a and r2,r3,r2 + 4290: 1001703a wrctl status,r2 return context; - 4290: e0bffb17 ldw r2,-20(fp) + 4294: e0bffb17 ldw r2,-20(fp) { /* If we read any data then there is space in the buffer so enable interrupts */ context = alt_irq_disable_all(); - 4294: e0bffa15 stw r2,-24(fp) + 4298: e0bffa15 stw r2,-24(fp) sp->irq_enable |= ALTERA_AVALON_JTAG_UART_CONTROL_RE_MSK; - 4298: e0bffc17 ldw r2,-16(fp) - 429c: 10800817 ldw r2,32(r2) - 42a0: 10c00054 ori r3,r2,1 - 42a4: e0bffc17 ldw r2,-16(fp) - 42a8: 10c00815 stw r3,32(r2) + 429c: e0bffc17 ldw r2,-16(fp) + 42a0: 10800817 ldw r2,32(r2) + 42a4: 10c00054 ori r3,r2,1 + 42a8: e0bffc17 ldw r2,-16(fp) + 42ac: 10c00815 stw r3,32(r2) IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base, sp->irq_enable); - 42ac: e0bffc17 ldw r2,-16(fp) - 42b0: 10800017 ldw r2,0(r2) - 42b4: 10800104 addi r2,r2,4 - 42b8: 1007883a mov r3,r2 - 42bc: e0bffc17 ldw r2,-16(fp) - 42c0: 10800817 ldw r2,32(r2) - 42c4: 18800035 stwio r2,0(r3) - 42c8: e0bffa17 ldw r2,-24(fp) - 42cc: e0bff915 stw r2,-28(fp) + 42b0: e0bffc17 ldw r2,-16(fp) + 42b4: 10800017 ldw r2,0(r2) + 42b8: 10800104 addi r2,r2,4 + 42bc: 1007883a mov r3,r2 + 42c0: e0bffc17 ldw r2,-16(fp) + 42c4: 10800817 ldw r2,32(r2) + 42c8: 18800035 stwio r2,0(r3) + 42cc: e0bffa17 ldw r2,-24(fp) + 42d0: e0bff915 stw r2,-28(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 42d0: e0bff917 ldw r2,-28(fp) - 42d4: 1001703a wrctl status,r2 + 42d4: e0bff917 ldw r2,-28(fp) + 42d8: 1001703a wrctl status,r2 alt_irq_enable_all(context); } if (ptr != buffer) - 42d8: e0fff517 ldw r3,-44(fp) - 42dc: e0bffd17 ldw r2,-12(fp) - 42e0: 18800426 beq r3,r2,42f4 + 42dc: e0fff517 ldw r3,-44(fp) + 42e0: e0bffd17 ldw r2,-12(fp) + 42e4: 18800426 beq r3,r2,42f8 return ptr - buffer; - 42e4: e0fff517 ldw r3,-44(fp) - 42e8: e0bffd17 ldw r2,-12(fp) - 42ec: 1885c83a sub r2,r3,r2 - 42f0: 00000606 br 430c + 42e8: e0fff517 ldw r3,-44(fp) + 42ec: e0bffd17 ldw r2,-12(fp) + 42f0: 1885c83a sub r2,r3,r2 + 42f4: 00000606 br 4310 else if (flags & O_NONBLOCK) - 42f4: e0bfff17 ldw r2,-4(fp) - 42f8: 1090000c andi r2,r2,16384 - 42fc: 10000226 beq r2,zero,4308 + 42f8: e0bfff17 ldw r2,-4(fp) + 42fc: 1090000c andi r2,r2,16384 + 4300: 10000226 beq r2,zero,430c return -EWOULDBLOCK; - 4300: 00bffd44 movi r2,-11 - 4304: 00000106 br 430c + 4304: 00bffd44 movi r2,-11 + 4308: 00000106 br 4310 else return -EIO; - 4308: 00bffec4 movi r2,-5 + 430c: 00bffec4 movi r2,-5 } - 430c: e037883a mov sp,fp - 4310: dfc00117 ldw ra,4(sp) - 4314: df000017 ldw fp,0(sp) - 4318: dec00204 addi sp,sp,8 - 431c: f800283a ret + 4310: e037883a mov sp,fp + 4314: dfc00117 ldw ra,4(sp) + 4318: df000017 ldw fp,0(sp) + 431c: dec00204 addi sp,sp,8 + 4320: f800283a ret -00004320 : +00004324 : /* ----------------------------------------------------------- */ int altera_avalon_jtag_uart_write(altera_avalon_jtag_uart_state* sp, const char * ptr, int count, int flags) { - 4320: defff304 addi sp,sp,-52 - 4324: dfc00c15 stw ra,48(sp) - 4328: df000b15 stw fp,44(sp) - 432c: df000b04 addi fp,sp,44 - 4330: e13ffc15 stw r4,-16(fp) - 4334: e17ffd15 stw r5,-12(fp) - 4338: e1bffe15 stw r6,-8(fp) - 433c: e1ffff15 stw r7,-4(fp) + 4324: defff304 addi sp,sp,-52 + 4328: dfc00c15 stw ra,48(sp) + 432c: df000b15 stw fp,44(sp) + 4330: df000b04 addi fp,sp,44 + 4334: e13ffc15 stw r4,-16(fp) + 4338: e17ffd15 stw r5,-12(fp) + 433c: e1bffe15 stw r6,-8(fp) + 4340: e1ffff15 stw r7,-4(fp) /* Remove warning at optimisation level 03 by seting out to 0 */ unsigned int in, out=0; - 4340: e03ff515 stw zero,-44(fp) + 4344: e03ff515 stw zero,-44(fp) unsigned int n; alt_irq_context context; const char * start = ptr; - 4344: e0bffd17 ldw r2,-12(fp) - 4348: e0bff715 stw r2,-36(fp) + 4348: e0bffd17 ldw r2,-12(fp) + 434c: e0bff715 stw r2,-36(fp) ALT_SEM_PEND (sp->write_lock, 0); do { /* Copy as much as we can into the transmit buffer */ while (count > 0) - 434c: 00003706 br 442c + 4350: 00003706 br 4430 { /* We need a stable value of the out pointer to calculate the space available */ in = sp->tx_in; - 4350: e0bffc17 ldw r2,-16(fp) - 4354: 10800c17 ldw r2,48(r2) - 4358: e0bff915 stw r2,-28(fp) + 4354: e0bffc17 ldw r2,-16(fp) + 4358: 10800c17 ldw r2,48(r2) + 435c: e0bff915 stw r2,-28(fp) out = sp->tx_out; - 435c: e0bffc17 ldw r2,-16(fp) - 4360: 10800d17 ldw r2,52(r2) - 4364: e0bff515 stw r2,-44(fp) + 4360: e0bffc17 ldw r2,-16(fp) + 4364: 10800d17 ldw r2,52(r2) + 4368: e0bff515 stw r2,-44(fp) if (in < out) - 4368: e0fff917 ldw r3,-28(fp) - 436c: e0bff517 ldw r2,-44(fp) - 4370: 1880062e bgeu r3,r2,438c + 436c: e0fff917 ldw r3,-28(fp) + 4370: e0bff517 ldw r2,-44(fp) + 4374: 1880062e bgeu r3,r2,4390 n = out - 1 - in; - 4374: e0fff517 ldw r3,-44(fp) - 4378: e0bff917 ldw r2,-28(fp) - 437c: 1885c83a sub r2,r3,r2 - 4380: 10bfffc4 addi r2,r2,-1 - 4384: e0bff615 stw r2,-40(fp) - 4388: 00000b06 br 43b8 + 4378: e0fff517 ldw r3,-44(fp) + 437c: e0bff917 ldw r2,-28(fp) + 4380: 1885c83a sub r2,r3,r2 + 4384: 10bfffc4 addi r2,r2,-1 + 4388: e0bff615 stw r2,-40(fp) + 438c: 00000b06 br 43bc else if (out > 0) - 438c: e0bff517 ldw r2,-44(fp) - 4390: 10000526 beq r2,zero,43a8 + 4390: e0bff517 ldw r2,-44(fp) + 4394: 10000526 beq r2,zero,43ac n = ALTERA_AVALON_JTAG_UART_BUF_LEN - in; - 4394: 00c20004 movi r3,2048 - 4398: e0bff917 ldw r2,-28(fp) - 439c: 1885c83a sub r2,r3,r2 - 43a0: e0bff615 stw r2,-40(fp) - 43a4: 00000406 br 43b8 + 4398: 00c20004 movi r3,2048 + 439c: e0bff917 ldw r2,-28(fp) + 43a0: 1885c83a sub r2,r3,r2 + 43a4: e0bff615 stw r2,-40(fp) + 43a8: 00000406 br 43bc else n = ALTERA_AVALON_JTAG_UART_BUF_LEN - 1 - in; - 43a8: 00c1ffc4 movi r3,2047 - 43ac: e0bff917 ldw r2,-28(fp) - 43b0: 1885c83a sub r2,r3,r2 - 43b4: e0bff615 stw r2,-40(fp) + 43ac: 00c1ffc4 movi r3,2047 + 43b0: e0bff917 ldw r2,-28(fp) + 43b4: 1885c83a sub r2,r3,r2 + 43b8: e0bff615 stw r2,-40(fp) if (n == 0) - 43b8: e0bff617 ldw r2,-40(fp) - 43bc: 10001e26 beq r2,zero,4438 + 43bc: e0bff617 ldw r2,-40(fp) + 43c0: 10001e26 beq r2,zero,443c break; if (n > count) - 43c0: e0fffe17 ldw r3,-8(fp) - 43c4: e0bff617 ldw r2,-40(fp) - 43c8: 1880022e bgeu r3,r2,43d4 + 43c4: e0fffe17 ldw r3,-8(fp) + 43c8: e0bff617 ldw r2,-40(fp) + 43cc: 1880022e bgeu r3,r2,43d8 n = count; - 43cc: e0bffe17 ldw r2,-8(fp) - 43d0: e0bff615 stw r2,-40(fp) + 43d0: e0bffe17 ldw r2,-8(fp) + 43d4: e0bff615 stw r2,-40(fp) memcpy(sp->tx_buf + in, ptr, n); - 43d4: e0bffc17 ldw r2,-16(fp) - 43d8: 10c20e04 addi r3,r2,2104 - 43dc: e0bff917 ldw r2,-28(fp) - 43e0: 1885883a add r2,r3,r2 - 43e4: e1bff617 ldw r6,-40(fp) - 43e8: e17ffd17 ldw r5,-12(fp) - 43ec: 1009883a mov r4,r2 - 43f0: 00017900 call 1790 + 43d8: e0bffc17 ldw r2,-16(fp) + 43dc: 10c20e04 addi r3,r2,2104 + 43e0: e0bff917 ldw r2,-28(fp) + 43e4: 1885883a add r2,r3,r2 + 43e8: e1bff617 ldw r6,-40(fp) + 43ec: e17ffd17 ldw r5,-12(fp) + 43f0: 1009883a mov r4,r2 + 43f4: 00017940 call 1794 ptr += n; - 43f4: e0fffd17 ldw r3,-12(fp) - 43f8: e0bff617 ldw r2,-40(fp) - 43fc: 1885883a add r2,r3,r2 - 4400: e0bffd15 stw r2,-12(fp) + 43f8: e0fffd17 ldw r3,-12(fp) + 43fc: e0bff617 ldw r2,-40(fp) + 4400: 1885883a add r2,r3,r2 + 4404: e0bffd15 stw r2,-12(fp) count -= n; - 4404: e0fffe17 ldw r3,-8(fp) - 4408: e0bff617 ldw r2,-40(fp) - 440c: 1885c83a sub r2,r3,r2 - 4410: e0bffe15 stw r2,-8(fp) + 4408: e0fffe17 ldw r3,-8(fp) + 440c: e0bff617 ldw r2,-40(fp) + 4410: 1885c83a sub r2,r3,r2 + 4414: e0bffe15 stw r2,-8(fp) sp->tx_in = (in + n) % ALTERA_AVALON_JTAG_UART_BUF_LEN; - 4414: e0fff917 ldw r3,-28(fp) - 4418: e0bff617 ldw r2,-40(fp) - 441c: 1885883a add r2,r3,r2 - 4420: 10c1ffcc andi r3,r2,2047 - 4424: e0bffc17 ldw r2,-16(fp) - 4428: 10c00c15 stw r3,48(r2) + 4418: e0fff917 ldw r3,-28(fp) + 441c: e0bff617 ldw r2,-40(fp) + 4420: 1885883a add r2,r3,r2 + 4424: 10c1ffcc andi r3,r2,2047 + 4428: e0bffc17 ldw r2,-16(fp) + 442c: 10c00c15 stw r3,48(r2) ALT_SEM_PEND (sp->write_lock, 0); do { /* Copy as much as we can into the transmit buffer */ while (count > 0) - 442c: e0bffe17 ldw r2,-8(fp) - 4430: 00bfc716 blt zero,r2,4350 <__alt_data_end+0xfffe4350> - 4434: 00000106 br 443c + 4430: e0bffe17 ldw r2,-8(fp) + 4434: 00bfc716 blt zero,r2,4354 <__alt_data_end+0xfffe4354> + 4438: 00000106 br 4440 n = ALTERA_AVALON_JTAG_UART_BUF_LEN - in; else n = ALTERA_AVALON_JTAG_UART_BUF_LEN - 1 - in; if (n == 0) break; - 4438: 0001883a nop + 443c: 0001883a nop static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 443c: 0005303a rdctl r2,status - 4440: e0bffb15 stw r2,-20(fp) + 4440: 0005303a rdctl r2,status + 4444: e0bffb15 stw r2,-20(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 4444: e0fffb17 ldw r3,-20(fp) - 4448: 00bfff84 movi r2,-2 - 444c: 1884703a and r2,r3,r2 - 4450: 1001703a wrctl status,r2 + 4448: e0fffb17 ldw r3,-20(fp) + 444c: 00bfff84 movi r2,-2 + 4450: 1884703a and r2,r3,r2 + 4454: 1001703a wrctl status,r2 return context; - 4454: e0bffb17 ldw r2,-20(fp) + 4458: e0bffb17 ldw r2,-20(fp) * to enable interrupts if there is no space left in the FIFO * * For now kick the interrupt routine every time to make it transmit * the data */ context = alt_irq_disable_all(); - 4458: e0bffa15 stw r2,-24(fp) + 445c: e0bffa15 stw r2,-24(fp) sp->irq_enable |= ALTERA_AVALON_JTAG_UART_CONTROL_WE_MSK; - 445c: e0bffc17 ldw r2,-16(fp) - 4460: 10800817 ldw r2,32(r2) - 4464: 10c00094 ori r3,r2,2 - 4468: e0bffc17 ldw r2,-16(fp) - 446c: 10c00815 stw r3,32(r2) + 4460: e0bffc17 ldw r2,-16(fp) + 4464: 10800817 ldw r2,32(r2) + 4468: 10c00094 ori r3,r2,2 + 446c: e0bffc17 ldw r2,-16(fp) + 4470: 10c00815 stw r3,32(r2) IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base, sp->irq_enable); - 4470: e0bffc17 ldw r2,-16(fp) - 4474: 10800017 ldw r2,0(r2) - 4478: 10800104 addi r2,r2,4 - 447c: 1007883a mov r3,r2 - 4480: e0bffc17 ldw r2,-16(fp) - 4484: 10800817 ldw r2,32(r2) - 4488: 18800035 stwio r2,0(r3) - 448c: e0bffa17 ldw r2,-24(fp) - 4490: e0bff815 stw r2,-32(fp) + 4474: e0bffc17 ldw r2,-16(fp) + 4478: 10800017 ldw r2,0(r2) + 447c: 10800104 addi r2,r2,4 + 4480: 1007883a mov r3,r2 + 4484: e0bffc17 ldw r2,-16(fp) + 4488: 10800817 ldw r2,32(r2) + 448c: 18800035 stwio r2,0(r3) + 4490: e0bffa17 ldw r2,-24(fp) + 4494: e0bff815 stw r2,-32(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 4494: e0bff817 ldw r2,-32(fp) - 4498: 1001703a wrctl status,r2 + 4498: e0bff817 ldw r2,-32(fp) + 449c: 1001703a wrctl status,r2 /* * If there is any data left then either return now or block until * some has been sent */ /* consider: test whether there is anything there while doing this and delay for at most 2s. */ if (count > 0) - 449c: e0bffe17 ldw r2,-8(fp) - 44a0: 0080100e bge zero,r2,44e4 + 44a0: e0bffe17 ldw r2,-8(fp) + 44a4: 0080100e bge zero,r2,44e8 { if (flags & O_NONBLOCK) - 44a4: e0bfff17 ldw r2,-4(fp) - 44a8: 1090000c andi r2,r2,16384 - 44ac: 1000101e bne r2,zero,44f0 + 44a8: e0bfff17 ldw r2,-4(fp) + 44ac: 1090000c andi r2,r2,16384 + 44b0: 1000101e bne r2,zero,44f4 /* * No OS present: Always wait for data to be removed from buffer. Once * the interrupt routine has removed some data then we will be able to * insert some more. */ while (out == sp->tx_out && sp->host_inactive < sp->timeout) - 44b0: 0001883a nop - 44b4: e0bffc17 ldw r2,-16(fp) - 44b8: 10c00d17 ldw r3,52(r2) - 44bc: e0bff517 ldw r2,-44(fp) - 44c0: 1880051e bne r3,r2,44d8 - 44c4: e0bffc17 ldw r2,-16(fp) - 44c8: 10c00917 ldw r3,36(r2) - 44cc: e0bffc17 ldw r2,-16(fp) - 44d0: 10800117 ldw r2,4(r2) - 44d4: 18bff736 bltu r3,r2,44b4 <__alt_data_end+0xfffe44b4> + 44b4: 0001883a nop + 44b8: e0bffc17 ldw r2,-16(fp) + 44bc: 10c00d17 ldw r3,52(r2) + 44c0: e0bff517 ldw r2,-44(fp) + 44c4: 1880051e bne r3,r2,44dc + 44c8: e0bffc17 ldw r2,-16(fp) + 44cc: 10c00917 ldw r3,36(r2) + 44d0: e0bffc17 ldw r2,-16(fp) + 44d4: 10800117 ldw r2,4(r2) + 44d8: 18bff736 bltu r3,r2,44b8 <__alt_data_end+0xfffe44b8> ; #endif /* __ucosii__ */ if (sp->host_inactive) - 44d8: e0bffc17 ldw r2,-16(fp) - 44dc: 10800917 ldw r2,36(r2) - 44e0: 1000051e bne r2,zero,44f8 + 44dc: e0bffc17 ldw r2,-16(fp) + 44e0: 10800917 ldw r2,36(r2) + 44e4: 1000051e bne r2,zero,44fc break; } } while (count > 0); - 44e4: e0bffe17 ldw r2,-8(fp) - 44e8: 00bfd016 blt zero,r2,442c <__alt_data_end+0xfffe442c> - 44ec: 00000306 br 44fc + 44e8: e0bffe17 ldw r2,-8(fp) + 44ec: 00bfd016 blt zero,r2,4430 <__alt_data_end+0xfffe4430> + 44f0: 00000306 br 4500 */ /* consider: test whether there is anything there while doing this and delay for at most 2s. */ if (count > 0) { if (flags & O_NONBLOCK) break; - 44f0: 0001883a nop - 44f4: 00000106 br 44fc + 44f4: 0001883a nop + 44f8: 00000106 br 4500 while (out == sp->tx_out && sp->host_inactive < sp->timeout) ; #endif /* __ucosii__ */ if (sp->host_inactive) break; - 44f8: 0001883a nop + 44fc: 0001883a nop * Now that access to the circular buffer is complete, release the write * semaphore so that other threads can access the buffer. */ ALT_SEM_POST (sp->write_lock); if (ptr != start) - 44fc: e0fffd17 ldw r3,-12(fp) - 4500: e0bff717 ldw r2,-36(fp) - 4504: 18800426 beq r3,r2,4518 + 4500: e0fffd17 ldw r3,-12(fp) + 4504: e0bff717 ldw r2,-36(fp) + 4508: 18800426 beq r3,r2,451c return ptr - start; - 4508: e0fffd17 ldw r3,-12(fp) - 450c: e0bff717 ldw r2,-36(fp) - 4510: 1885c83a sub r2,r3,r2 - 4514: 00000606 br 4530 + 450c: e0fffd17 ldw r3,-12(fp) + 4510: e0bff717 ldw r2,-36(fp) + 4514: 1885c83a sub r2,r3,r2 + 4518: 00000606 br 4534 else if (flags & O_NONBLOCK) - 4518: e0bfff17 ldw r2,-4(fp) - 451c: 1090000c andi r2,r2,16384 - 4520: 10000226 beq r2,zero,452c + 451c: e0bfff17 ldw r2,-4(fp) + 4520: 1090000c andi r2,r2,16384 + 4524: 10000226 beq r2,zero,4530 return -EWOULDBLOCK; - 4524: 00bffd44 movi r2,-11 - 4528: 00000106 br 4530 + 4528: 00bffd44 movi r2,-11 + 452c: 00000106 br 4534 sp->tx_out = sp->tx_in = 0; return ptr - start + count; } #endif else return -EIO; /* Host not connected */ - 452c: 00bffec4 movi r2,-5 + 4530: 00bffec4 movi r2,-5 } - 4530: e037883a mov sp,fp - 4534: dfc00117 ldw ra,4(sp) - 4538: df000017 ldw fp,0(sp) - 453c: dec00204 addi sp,sp,8 - 4540: f800283a ret + 4534: e037883a mov sp,fp + 4538: dfc00117 ldw ra,4(sp) + 453c: df000017 ldw fp,0(sp) + 4540: dec00204 addi sp,sp,8 + 4544: f800283a ret -00004544 : +00004548 : #ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT static void alt_avalon_timer_sc_irq (void* base) #else static void alt_avalon_timer_sc_irq (void* base, alt_u32 id) #endif { - 4544: defffa04 addi sp,sp,-24 - 4548: dfc00515 stw ra,20(sp) - 454c: df000415 stw fp,16(sp) - 4550: df000404 addi fp,sp,16 - 4554: e13fff15 stw r4,-4(fp) + 4548: defffa04 addi sp,sp,-24 + 454c: dfc00515 stw ra,20(sp) + 4550: df000415 stw fp,16(sp) + 4554: df000404 addi fp,sp,16 + 4558: e13fff15 stw r4,-4(fp) alt_irq_context cpu_sr; /* clear the interrupt */ IOWR_ALTERA_AVALON_TIMER_STATUS (base, 0); - 4558: 0007883a mov r3,zero - 455c: e0bfff17 ldw r2,-4(fp) - 4560: 10c00035 stwio r3,0(r2) + 455c: 0007883a mov r3,zero + 4560: e0bfff17 ldw r2,-4(fp) + 4564: 10c00035 stwio r3,0(r2) /* * Dummy read to ensure IRQ is negated before the ISR returns. * The control register is read because reading the status * register has side-effects per the register map documentation. */ IORD_ALTERA_AVALON_TIMER_CONTROL (base); - 4564: e0bfff17 ldw r2,-4(fp) - 4568: 10800104 addi r2,r2,4 - 456c: 10800037 ldwio r2,0(r2) + 4568: e0bfff17 ldw r2,-4(fp) + 456c: 10800104 addi r2,r2,4 + 4570: 10800037 ldwio r2,0(r2) static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 4570: 0005303a rdctl r2,status - 4574: e0bffd15 stw r2,-12(fp) + 4574: 0005303a rdctl r2,status + 4578: e0bffd15 stw r2,-12(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 4578: e0fffd17 ldw r3,-12(fp) - 457c: 00bfff84 movi r2,-2 - 4580: 1884703a and r2,r3,r2 - 4584: 1001703a wrctl status,r2 + 457c: e0fffd17 ldw r3,-12(fp) + 4580: 00bfff84 movi r2,-2 + 4584: 1884703a and r2,r3,r2 + 4588: 1001703a wrctl status,r2 return context; - 4588: e0bffd17 ldw r2,-12(fp) + 458c: e0bffd17 ldw r2,-12(fp) /* * Notify the system of a clock tick. disable interrupts * during this time to safely support ISR preemption */ cpu_sr = alt_irq_disable_all(); - 458c: e0bffc15 stw r2,-16(fp) + 4590: e0bffc15 stw r2,-16(fp) alt_tick (); - 4590: 00050240 call 5024 - 4594: e0bffc17 ldw r2,-16(fp) - 4598: e0bffe15 stw r2,-8(fp) + 4594: 00050280 call 5028 + 4598: e0bffc17 ldw r2,-16(fp) + 459c: e0bffe15 stw r2,-8(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 459c: e0bffe17 ldw r2,-8(fp) - 45a0: 1001703a wrctl status,r2 + 45a0: e0bffe17 ldw r2,-8(fp) + 45a4: 1001703a wrctl status,r2 alt_irq_enable_all(cpu_sr); } - 45a4: 0001883a nop - 45a8: e037883a mov sp,fp - 45ac: dfc00117 ldw ra,4(sp) - 45b0: df000017 ldw fp,0(sp) - 45b4: dec00204 addi sp,sp,8 - 45b8: f800283a ret + 45a8: 0001883a nop + 45ac: e037883a mov sp,fp + 45b0: dfc00117 ldw ra,4(sp) + 45b4: df000017 ldw fp,0(sp) + 45b8: dec00204 addi sp,sp,8 + 45bc: f800283a ret -000045bc : +000045c0 : * auto-generated alt_sys_init() function. */ void alt_avalon_timer_sc_init (void* base, alt_u32 irq_controller_id, alt_u32 irq, alt_u32 freq) { - 45bc: defff804 addi sp,sp,-32 - 45c0: dfc00715 stw ra,28(sp) - 45c4: df000615 stw fp,24(sp) - 45c8: df000604 addi fp,sp,24 - 45cc: e13ffc15 stw r4,-16(fp) - 45d0: e17ffd15 stw r5,-12(fp) - 45d4: e1bffe15 stw r6,-8(fp) - 45d8: e1ffff15 stw r7,-4(fp) - 45dc: e0bfff17 ldw r2,-4(fp) - 45e0: e0bffb15 stw r2,-20(fp) + 45c0: defff804 addi sp,sp,-32 + 45c4: dfc00715 stw ra,28(sp) + 45c8: df000615 stw fp,24(sp) + 45cc: df000604 addi fp,sp,24 + 45d0: e13ffc15 stw r4,-16(fp) + 45d4: e17ffd15 stw r5,-12(fp) + 45d8: e1bffe15 stw r6,-8(fp) + 45dc: e1ffff15 stw r7,-4(fp) + 45e0: e0bfff17 ldw r2,-4(fp) + 45e4: e0bffb15 stw r2,-20(fp) * in order to initialise the value of the clock frequency. */ static ALT_INLINE int ALT_ALWAYS_INLINE alt_sysclk_init (alt_u32 nticks) { if (! _alt_tick_rate) - 45e4: d0a01617 ldw r2,-32680(gp) - 45e8: 1000021e bne r2,zero,45f4 + 45e8: d0a01617 ldw r2,-32680(gp) + 45ec: 1000021e bne r2,zero,45f8 { _alt_tick_rate = nticks; - 45ec: e0bffb17 ldw r2,-20(fp) - 45f0: d0a01615 stw r2,-32680(gp) + 45f0: e0bffb17 ldw r2,-20(fp) + 45f4: d0a01615 stw r2,-32680(gp) alt_sysclk_init (freq); /* set to free running mode */ IOWR_ALTERA_AVALON_TIMER_CONTROL (base, - 45f4: e0bffc17 ldw r2,-16(fp) - 45f8: 10800104 addi r2,r2,4 - 45fc: 00c001c4 movi r3,7 - 4600: 10c00035 stwio r3,0(r2) + 45f8: e0bffc17 ldw r2,-16(fp) + 45fc: 10800104 addi r2,r2,4 + 4600: 00c001c4 movi r3,7 + 4604: 10c00035 stwio r3,0(r2) ALTERA_AVALON_TIMER_CONTROL_CONT_MSK | ALTERA_AVALON_TIMER_CONTROL_START_MSK); /* register the interrupt handler, and enable the interrupt */ #ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT alt_ic_isr_register(irq_controller_id, irq, alt_avalon_timer_sc_irq, - 4604: d8000015 stw zero,0(sp) - 4608: e1fffc17 ldw r7,-16(fp) - 460c: 01800034 movhi r6,0 - 4610: 31915104 addi r6,r6,17732 - 4614: e17ffe17 ldw r5,-8(fp) - 4618: e13ffd17 ldw r4,-12(fp) - 461c: 00049040 call 4904 + 4608: d8000015 stw zero,0(sp) + 460c: e1fffc17 ldw r7,-16(fp) + 4610: 01800034 movhi r6,0 + 4614: 31915204 addi r6,r6,17736 + 4618: e17ffe17 ldw r5,-8(fp) + 461c: e13ffd17 ldw r4,-12(fp) + 4620: 00049080 call 4908 base, NULL); #else alt_irq_register (irq, base, alt_avalon_timer_sc_irq); #endif } - 4620: 0001883a nop - 4624: e037883a mov sp,fp - 4628: dfc00117 ldw ra,4(sp) - 462c: df000017 ldw fp,0(sp) - 4630: dec00204 addi sp,sp,8 - 4634: f800283a ret + 4624: 0001883a nop + 4628: e037883a mov sp,fp + 462c: dfc00117 ldw ra,4(sp) + 4630: df000017 ldw fp,0(sp) + 4634: dec00204 addi sp,sp,8 + 4638: f800283a ret -00004638 : +0000463c : */ int alt_alarm_start (alt_alarm* alarm, alt_u32 nticks, alt_u32 (*callback) (void* context), void* context) { - 4638: defff504 addi sp,sp,-44 - 463c: df000a15 stw fp,40(sp) - 4640: df000a04 addi fp,sp,40 - 4644: e13ffc15 stw r4,-16(fp) - 4648: e17ffd15 stw r5,-12(fp) - 464c: e1bffe15 stw r6,-8(fp) - 4650: e1ffff15 stw r7,-4(fp) + 463c: defff504 addi sp,sp,-44 + 4640: df000a15 stw fp,40(sp) + 4644: df000a04 addi fp,sp,40 + 4648: e13ffc15 stw r4,-16(fp) + 464c: e17ffd15 stw r5,-12(fp) + 4650: e1bffe15 stw r6,-8(fp) + 4654: e1ffff15 stw r7,-4(fp) alt_irq_context irq_context; alt_u32 current_nticks = 0; - 4654: e03ff615 stw zero,-40(fp) + 4658: e03ff615 stw zero,-40(fp) * Obtain the system clock rate in ticks/s. */ static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_ticks_per_second (void) { return _alt_tick_rate; - 4658: d0a01617 ldw r2,-32680(gp) + 465c: d0a01617 ldw r2,-32680(gp) if (alt_ticks_per_second ()) - 465c: 10003c26 beq r2,zero,4750 + 4660: 10003c26 beq r2,zero,4754 { if (alarm) - 4660: e0bffc17 ldw r2,-16(fp) - 4664: 10003826 beq r2,zero,4748 + 4664: e0bffc17 ldw r2,-16(fp) + 4668: 10003826 beq r2,zero,474c { alarm->callback = callback; - 4668: e0bffc17 ldw r2,-16(fp) - 466c: e0fffe17 ldw r3,-8(fp) - 4670: 10c00315 stw r3,12(r2) + 466c: e0bffc17 ldw r2,-16(fp) + 4670: e0fffe17 ldw r3,-8(fp) + 4674: 10c00315 stw r3,12(r2) alarm->context = context; - 4674: e0bffc17 ldw r2,-16(fp) - 4678: e0ffff17 ldw r3,-4(fp) - 467c: 10c00515 stw r3,20(r2) + 4678: e0bffc17 ldw r2,-16(fp) + 467c: e0ffff17 ldw r3,-4(fp) + 4680: 10c00515 stw r3,20(r2) static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 4680: 0005303a rdctl r2,status - 4684: e0bff915 stw r2,-28(fp) + 4684: 0005303a rdctl r2,status + 4688: e0bff915 stw r2,-28(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 4688: e0fff917 ldw r3,-28(fp) - 468c: 00bfff84 movi r2,-2 - 4690: 1884703a and r2,r3,r2 - 4694: 1001703a wrctl status,r2 + 468c: e0fff917 ldw r3,-28(fp) + 4690: 00bfff84 movi r2,-2 + 4694: 1884703a and r2,r3,r2 + 4698: 1001703a wrctl status,r2 return context; - 4698: e0bff917 ldw r2,-28(fp) + 469c: e0bff917 ldw r2,-28(fp) irq_context = alt_irq_disable_all (); - 469c: e0bff815 stw r2,-32(fp) + 46a0: e0bff815 stw r2,-32(fp) * alt_nticks() returns the elapsed number of system clock ticks since reset. */ static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_nticks (void) { return _alt_nticks; - 46a0: d0a01717 ldw r2,-32676(gp) + 46a4: d0a01717 ldw r2,-32676(gp) current_nticks = alt_nticks(); - 46a4: e0bff615 stw r2,-40(fp) + 46a8: e0bff615 stw r2,-40(fp) alarm->time = nticks + current_nticks + 1; - 46a8: e0fffd17 ldw r3,-12(fp) - 46ac: e0bff617 ldw r2,-40(fp) - 46b0: 1885883a add r2,r3,r2 - 46b4: 10c00044 addi r3,r2,1 - 46b8: e0bffc17 ldw r2,-16(fp) - 46bc: 10c00215 stw r3,8(r2) + 46ac: e0fffd17 ldw r3,-12(fp) + 46b0: e0bff617 ldw r2,-40(fp) + 46b4: 1885883a add r2,r3,r2 + 46b8: 10c00044 addi r3,r2,1 + 46bc: e0bffc17 ldw r2,-16(fp) + 46c0: 10c00215 stw r3,8(r2) /* * If the desired alarm time causes a roll-over, set the rollover * flag. This will prevent the subsequent tick event from causing * an alarm too early. */ if(alarm->time < current_nticks) - 46c0: e0bffc17 ldw r2,-16(fp) - 46c4: 10c00217 ldw r3,8(r2) - 46c8: e0bff617 ldw r2,-40(fp) - 46cc: 1880042e bgeu r3,r2,46e0 + 46c4: e0bffc17 ldw r2,-16(fp) + 46c8: 10c00217 ldw r3,8(r2) + 46cc: e0bff617 ldw r2,-40(fp) + 46d0: 1880042e bgeu r3,r2,46e4 { alarm->rollover = 1; - 46d0: e0bffc17 ldw r2,-16(fp) - 46d4: 00c00044 movi r3,1 - 46d8: 10c00405 stb r3,16(r2) - 46dc: 00000206 br 46e8 + 46d4: e0bffc17 ldw r2,-16(fp) + 46d8: 00c00044 movi r3,1 + 46dc: 10c00405 stb r3,16(r2) + 46e0: 00000206 br 46ec } else { alarm->rollover = 0; - 46e0: e0bffc17 ldw r2,-16(fp) - 46e4: 10000405 stb zero,16(r2) + 46e4: e0bffc17 ldw r2,-16(fp) + 46e8: 10000405 stb zero,16(r2) } alt_llist_insert (&alt_alarm_list, &alarm->llist); - 46e8: e0bffc17 ldw r2,-16(fp) - 46ec: d0e00c04 addi r3,gp,-32720 - 46f0: e0fffa15 stw r3,-24(fp) - 46f4: e0bffb15 stw r2,-20(fp) + 46ec: e0bffc17 ldw r2,-16(fp) + 46f0: d0e00c04 addi r3,gp,-32720 + 46f4: e0fffa15 stw r3,-24(fp) + 46f8: e0bffb15 stw r2,-20(fp) */ static ALT_INLINE void ALT_ALWAYS_INLINE alt_llist_insert(alt_llist* list, alt_llist* entry) { entry->previous = list; - 46f8: e0bffb17 ldw r2,-20(fp) - 46fc: e0fffa17 ldw r3,-24(fp) - 4700: 10c00115 stw r3,4(r2) + 46fc: e0bffb17 ldw r2,-20(fp) + 4700: e0fffa17 ldw r3,-24(fp) + 4704: 10c00115 stw r3,4(r2) entry->next = list->next; - 4704: e0bffa17 ldw r2,-24(fp) - 4708: 10c00017 ldw r3,0(r2) - 470c: e0bffb17 ldw r2,-20(fp) - 4710: 10c00015 stw r3,0(r2) + 4708: e0bffa17 ldw r2,-24(fp) + 470c: 10c00017 ldw r3,0(r2) + 4710: e0bffb17 ldw r2,-20(fp) + 4714: 10c00015 stw r3,0(r2) list->next->previous = entry; - 4714: e0bffa17 ldw r2,-24(fp) - 4718: 10800017 ldw r2,0(r2) - 471c: e0fffb17 ldw r3,-20(fp) - 4720: 10c00115 stw r3,4(r2) + 4718: e0bffa17 ldw r2,-24(fp) + 471c: 10800017 ldw r2,0(r2) + 4720: e0fffb17 ldw r3,-20(fp) + 4724: 10c00115 stw r3,4(r2) list->next = entry; - 4724: e0bffa17 ldw r2,-24(fp) - 4728: e0fffb17 ldw r3,-20(fp) - 472c: 10c00015 stw r3,0(r2) - 4730: e0bff817 ldw r2,-32(fp) - 4734: e0bff715 stw r2,-36(fp) + 4728: e0bffa17 ldw r2,-24(fp) + 472c: e0fffb17 ldw r3,-20(fp) + 4730: 10c00015 stw r3,0(r2) + 4734: e0bff817 ldw r2,-32(fp) + 4738: e0bff715 stw r2,-36(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 4738: e0bff717 ldw r2,-36(fp) - 473c: 1001703a wrctl status,r2 + 473c: e0bff717 ldw r2,-36(fp) + 4740: 1001703a wrctl status,r2 alt_irq_enable_all (irq_context); return 0; - 4740: 0005883a mov r2,zero - 4744: 00000306 br 4754 + 4744: 0005883a mov r2,zero + 4748: 00000306 br 4758 } else { return -EINVAL; - 4748: 00bffa84 movi r2,-22 - 474c: 00000106 br 4754 + 474c: 00bffa84 movi r2,-22 + 4750: 00000106 br 4758 } } else { return -ENOTSUP; - 4750: 00bfde84 movi r2,-134 + 4754: 00bfde84 movi r2,-134 } } - 4754: e037883a mov sp,fp - 4758: df000017 ldw fp,0(sp) - 475c: dec00104 addi sp,sp,4 - 4760: f800283a ret + 4758: e037883a mov sp,fp + 475c: df000017 ldw fp,0(sp) + 4760: dec00104 addi sp,sp,4 + 4764: f800283a ret -00004764 : +00004768 : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 4764: defffe04 addi sp,sp,-8 - 4768: dfc00115 stw ra,4(sp) - 476c: df000015 stw fp,0(sp) - 4770: d839883a mov fp,sp + 4768: defffe04 addi sp,sp,-8 + 476c: dfc00115 stw ra,4(sp) + 4770: df000015 stw fp,0(sp) + 4774: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 4774: d0a00917 ldw r2,-32732(gp) - 4778: 10000326 beq r2,zero,4788 - 477c: d0a00917 ldw r2,-32732(gp) - 4780: 103ee83a callr r2 - 4784: 00000106 br 478c - 4788: d0a01104 addi r2,gp,-32700 + 4778: d0a00917 ldw r2,-32732(gp) + 477c: 10000326 beq r2,zero,478c + 4780: d0a00917 ldw r2,-32732(gp) + 4784: 103ee83a callr r2 + 4788: 00000106 br 4790 + 478c: d0a01104 addi r2,gp,-32700 } - 478c: e037883a mov sp,fp - 4790: dfc00117 ldw ra,4(sp) - 4794: df000017 ldw fp,0(sp) - 4798: dec00204 addi sp,sp,8 - 479c: f800283a ret + 4790: e037883a mov sp,fp + 4794: dfc00117 ldw ra,4(sp) + 4798: df000017 ldw fp,0(sp) + 479c: dec00204 addi sp,sp,8 + 47a0: f800283a ret -000047a0 : +000047a4 : /* * */ int alt_dev_llist_insert (alt_dev_llist* dev, alt_llist* list) { - 47a0: defffa04 addi sp,sp,-24 - 47a4: dfc00515 stw ra,20(sp) - 47a8: df000415 stw fp,16(sp) - 47ac: df000404 addi fp,sp,16 - 47b0: e13ffe15 stw r4,-8(fp) - 47b4: e17fff15 stw r5,-4(fp) + 47a4: defffa04 addi sp,sp,-24 + 47a8: dfc00515 stw ra,20(sp) + 47ac: df000415 stw fp,16(sp) + 47b0: df000404 addi fp,sp,16 + 47b4: e13ffe15 stw r4,-8(fp) + 47b8: e17fff15 stw r5,-4(fp) /* * check that the device exists, and that it has a valid name. */ if (!dev || !dev->name) - 47b8: e0bffe17 ldw r2,-8(fp) - 47bc: 10000326 beq r2,zero,47cc - 47c0: e0bffe17 ldw r2,-8(fp) - 47c4: 10800217 ldw r2,8(r2) - 47c8: 1000061e bne r2,zero,47e4 + 47bc: e0bffe17 ldw r2,-8(fp) + 47c0: 10000326 beq r2,zero,47d0 + 47c4: e0bffe17 ldw r2,-8(fp) + 47c8: 10800217 ldw r2,8(r2) + 47cc: 1000061e bne r2,zero,47e8 { ALT_ERRNO = EINVAL; - 47cc: 00047640 call 4764 - 47d0: 1007883a mov r3,r2 - 47d4: 00800584 movi r2,22 - 47d8: 18800015 stw r2,0(r3) + 47d0: 00047680 call 4768 + 47d4: 1007883a mov r3,r2 + 47d8: 00800584 movi r2,22 + 47dc: 18800015 stw r2,0(r3) return -EINVAL; - 47dc: 00bffa84 movi r2,-22 - 47e0: 00001306 br 4830 + 47e0: 00bffa84 movi r2,-22 + 47e4: 00001306 br 4834 /* * register the device. */ alt_llist_insert(list, &dev->llist); - 47e4: e0bffe17 ldw r2,-8(fp) - 47e8: e0ffff17 ldw r3,-4(fp) - 47ec: e0fffc15 stw r3,-16(fp) - 47f0: e0bffd15 stw r2,-12(fp) + 47e8: e0bffe17 ldw r2,-8(fp) + 47ec: e0ffff17 ldw r3,-4(fp) + 47f0: e0fffc15 stw r3,-16(fp) + 47f4: e0bffd15 stw r2,-12(fp) */ static ALT_INLINE void ALT_ALWAYS_INLINE alt_llist_insert(alt_llist* list, alt_llist* entry) { entry->previous = list; - 47f4: e0bffd17 ldw r2,-12(fp) - 47f8: e0fffc17 ldw r3,-16(fp) - 47fc: 10c00115 stw r3,4(r2) + 47f8: e0bffd17 ldw r2,-12(fp) + 47fc: e0fffc17 ldw r3,-16(fp) + 4800: 10c00115 stw r3,4(r2) entry->next = list->next; - 4800: e0bffc17 ldw r2,-16(fp) - 4804: 10c00017 ldw r3,0(r2) - 4808: e0bffd17 ldw r2,-12(fp) - 480c: 10c00015 stw r3,0(r2) + 4804: e0bffc17 ldw r2,-16(fp) + 4808: 10c00017 ldw r3,0(r2) + 480c: e0bffd17 ldw r2,-12(fp) + 4810: 10c00015 stw r3,0(r2) list->next->previous = entry; - 4810: e0bffc17 ldw r2,-16(fp) - 4814: 10800017 ldw r2,0(r2) - 4818: e0fffd17 ldw r3,-12(fp) - 481c: 10c00115 stw r3,4(r2) + 4814: e0bffc17 ldw r2,-16(fp) + 4818: 10800017 ldw r2,0(r2) + 481c: e0fffd17 ldw r3,-12(fp) + 4820: 10c00115 stw r3,4(r2) list->next = entry; - 4820: e0bffc17 ldw r2,-16(fp) - 4824: e0fffd17 ldw r3,-12(fp) - 4828: 10c00015 stw r3,0(r2) + 4824: e0bffc17 ldw r2,-16(fp) + 4828: e0fffd17 ldw r3,-12(fp) + 482c: 10c00015 stw r3,0(r2) return 0; - 482c: 0005883a mov r2,zero + 4830: 0005883a mov r2,zero } - 4830: e037883a mov sp,fp - 4834: dfc00117 ldw ra,4(sp) - 4838: df000017 ldw fp,0(sp) - 483c: dec00204 addi sp,sp,8 - 4840: f800283a ret + 4834: e037883a mov sp,fp + 4838: dfc00117 ldw ra,4(sp) + 483c: df000017 ldw fp,0(sp) + 4840: dec00204 addi sp,sp,8 + 4844: f800283a ret -00004844 <_do_ctors>: +00004848 <_do_ctors>: /* * Run the C++ static constructors. */ void _do_ctors(void) { - 4844: defffd04 addi sp,sp,-12 - 4848: dfc00215 stw ra,8(sp) - 484c: df000115 stw fp,4(sp) - 4850: df000104 addi fp,sp,4 + 4848: defffd04 addi sp,sp,-12 + 484c: dfc00215 stw ra,8(sp) + 4850: df000115 stw fp,4(sp) + 4854: df000104 addi fp,sp,4 constructor* ctor; for (ctor = &__CTOR_END__[-1]; ctor >= __CTOR_LIST__; ctor--) - 4854: 00800034 movhi r2,0 - 4858: 1095f604 addi r2,r2,22488 - 485c: e0bfff15 stw r2,-4(fp) - 4860: 00000606 br 487c <_do_ctors+0x38> + 4858: 00800034 movhi r2,0 + 485c: 1095f704 addi r2,r2,22492 + 4860: e0bfff15 stw r2,-4(fp) + 4864: 00000606 br 4880 <_do_ctors+0x38> (*ctor) (); - 4864: e0bfff17 ldw r2,-4(fp) - 4868: 10800017 ldw r2,0(r2) - 486c: 103ee83a callr r2 + 4868: e0bfff17 ldw r2,-4(fp) + 486c: 10800017 ldw r2,0(r2) + 4870: 103ee83a callr r2 void _do_ctors(void) { constructor* ctor; for (ctor = &__CTOR_END__[-1]; ctor >= __CTOR_LIST__; ctor--) - 4870: e0bfff17 ldw r2,-4(fp) - 4874: 10bfff04 addi r2,r2,-4 - 4878: e0bfff15 stw r2,-4(fp) - 487c: e0ffff17 ldw r3,-4(fp) - 4880: 00800034 movhi r2,0 - 4884: 1095f704 addi r2,r2,22492 - 4888: 18bff62e bgeu r3,r2,4864 <__alt_data_end+0xfffe4864> + 4874: e0bfff17 ldw r2,-4(fp) + 4878: 10bfff04 addi r2,r2,-4 + 487c: e0bfff15 stw r2,-4(fp) + 4880: e0ffff17 ldw r3,-4(fp) + 4884: 00800034 movhi r2,0 + 4888: 1095f804 addi r2,r2,22496 + 488c: 18bff62e bgeu r3,r2,4868 <__alt_data_end+0xfffe4868> (*ctor) (); } - 488c: 0001883a nop - 4890: e037883a mov sp,fp - 4894: dfc00117 ldw ra,4(sp) - 4898: df000017 ldw fp,0(sp) - 489c: dec00204 addi sp,sp,8 - 48a0: f800283a ret + 4890: 0001883a nop + 4894: e037883a mov sp,fp + 4898: dfc00117 ldw ra,4(sp) + 489c: df000017 ldw fp,0(sp) + 48a0: dec00204 addi sp,sp,8 + 48a4: f800283a ret -000048a4 <_do_dtors>: +000048a8 <_do_dtors>: /* * Run the C++ static destructors. */ void _do_dtors(void) { - 48a4: defffd04 addi sp,sp,-12 - 48a8: dfc00215 stw ra,8(sp) - 48ac: df000115 stw fp,4(sp) - 48b0: df000104 addi fp,sp,4 + 48a8: defffd04 addi sp,sp,-12 + 48ac: dfc00215 stw ra,8(sp) + 48b0: df000115 stw fp,4(sp) + 48b4: df000104 addi fp,sp,4 destructor* dtor; for (dtor = &__DTOR_END__[-1]; dtor >= __DTOR_LIST__; dtor--) - 48b4: 00800034 movhi r2,0 - 48b8: 1095f604 addi r2,r2,22488 - 48bc: e0bfff15 stw r2,-4(fp) - 48c0: 00000606 br 48dc <_do_dtors+0x38> + 48b8: 00800034 movhi r2,0 + 48bc: 1095f704 addi r2,r2,22492 + 48c0: e0bfff15 stw r2,-4(fp) + 48c4: 00000606 br 48e0 <_do_dtors+0x38> (*dtor) (); - 48c4: e0bfff17 ldw r2,-4(fp) - 48c8: 10800017 ldw r2,0(r2) - 48cc: 103ee83a callr r2 + 48c8: e0bfff17 ldw r2,-4(fp) + 48cc: 10800017 ldw r2,0(r2) + 48d0: 103ee83a callr r2 void _do_dtors(void) { destructor* dtor; for (dtor = &__DTOR_END__[-1]; dtor >= __DTOR_LIST__; dtor--) - 48d0: e0bfff17 ldw r2,-4(fp) - 48d4: 10bfff04 addi r2,r2,-4 - 48d8: e0bfff15 stw r2,-4(fp) - 48dc: e0ffff17 ldw r3,-4(fp) - 48e0: 00800034 movhi r2,0 - 48e4: 1095f704 addi r2,r2,22492 - 48e8: 18bff62e bgeu r3,r2,48c4 <__alt_data_end+0xfffe48c4> + 48d4: e0bfff17 ldw r2,-4(fp) + 48d8: 10bfff04 addi r2,r2,-4 + 48dc: e0bfff15 stw r2,-4(fp) + 48e0: e0ffff17 ldw r3,-4(fp) + 48e4: 00800034 movhi r2,0 + 48e8: 1095f804 addi r2,r2,22496 + 48ec: 18bff62e bgeu r3,r2,48c8 <__alt_data_end+0xfffe48c8> (*dtor) (); } - 48ec: 0001883a nop - 48f0: e037883a mov sp,fp - 48f4: dfc00117 ldw ra,4(sp) - 48f8: df000017 ldw fp,0(sp) - 48fc: dec00204 addi sp,sp,8 - 4900: f800283a ret + 48f0: 0001883a nop + 48f4: e037883a mov sp,fp + 48f8: dfc00117 ldw ra,4(sp) + 48fc: df000017 ldw fp,0(sp) + 4900: dec00204 addi sp,sp,8 + 4904: f800283a ret -00004904 : +00004908 : * @param irq IRQ number * @return 0 if successful, else error (-1) */ int alt_ic_isr_register(alt_u32 ic_id, alt_u32 irq, alt_isr_func isr, void *isr_context, void *flags) { - 4904: defff904 addi sp,sp,-28 - 4908: dfc00615 stw ra,24(sp) - 490c: df000515 stw fp,20(sp) - 4910: df000504 addi fp,sp,20 - 4914: e13ffc15 stw r4,-16(fp) - 4918: e17ffd15 stw r5,-12(fp) - 491c: e1bffe15 stw r6,-8(fp) - 4920: e1ffff15 stw r7,-4(fp) + 4908: defff904 addi sp,sp,-28 + 490c: dfc00615 stw ra,24(sp) + 4910: df000515 stw fp,20(sp) + 4914: df000504 addi fp,sp,20 + 4918: e13ffc15 stw r4,-16(fp) + 491c: e17ffd15 stw r5,-12(fp) + 4920: e1bffe15 stw r6,-8(fp) + 4924: e1ffff15 stw r7,-4(fp) return alt_iic_isr_register(ic_id, irq, isr, isr_context, flags); - 4924: e0800217 ldw r2,8(fp) - 4928: d8800015 stw r2,0(sp) - 492c: e1ffff17 ldw r7,-4(fp) - 4930: e1bffe17 ldw r6,-8(fp) - 4934: e17ffd17 ldw r5,-12(fp) - 4938: e13ffc17 ldw r4,-16(fp) - 493c: 0004ab40 call 4ab4 + 4928: e0800217 ldw r2,8(fp) + 492c: d8800015 stw r2,0(sp) + 4930: e1ffff17 ldw r7,-4(fp) + 4934: e1bffe17 ldw r6,-8(fp) + 4938: e17ffd17 ldw r5,-12(fp) + 493c: e13ffc17 ldw r4,-16(fp) + 4940: 0004ab80 call 4ab8 } - 4940: e037883a mov sp,fp - 4944: dfc00117 ldw ra,4(sp) - 4948: df000017 ldw fp,0(sp) - 494c: dec00204 addi sp,sp,8 - 4950: f800283a ret + 4944: e037883a mov sp,fp + 4948: dfc00117 ldw ra,4(sp) + 494c: df000017 ldw fp,0(sp) + 4950: dec00204 addi sp,sp,8 + 4954: f800283a ret -00004954 : +00004958 : * @param ic_id Ignored. * @param irq IRQ number * @return 0 if successful, else error (-1) */ int alt_ic_irq_enable (alt_u32 ic_id, alt_u32 irq) { - 4954: defff904 addi sp,sp,-28 - 4958: df000615 stw fp,24(sp) - 495c: df000604 addi fp,sp,24 - 4960: e13ffe15 stw r4,-8(fp) - 4964: e17fff15 stw r5,-4(fp) - 4968: e0bfff17 ldw r2,-4(fp) - 496c: e0bffa15 stw r2,-24(fp) + 4958: defff904 addi sp,sp,-28 + 495c: df000615 stw fp,24(sp) + 4960: df000604 addi fp,sp,24 + 4964: e13ffe15 stw r4,-8(fp) + 4968: e17fff15 stw r5,-4(fp) + 496c: e0bfff17 ldw r2,-4(fp) + 4970: e0bffa15 stw r2,-24(fp) static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 4970: 0005303a rdctl r2,status - 4974: e0bffb15 stw r2,-20(fp) + 4974: 0005303a rdctl r2,status + 4978: e0bffb15 stw r2,-20(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 4978: e0fffb17 ldw r3,-20(fp) - 497c: 00bfff84 movi r2,-2 - 4980: 1884703a and r2,r3,r2 - 4984: 1001703a wrctl status,r2 + 497c: e0fffb17 ldw r3,-20(fp) + 4980: 00bfff84 movi r2,-2 + 4984: 1884703a and r2,r3,r2 + 4988: 1001703a wrctl status,r2 return context; - 4988: e0bffb17 ldw r2,-20(fp) + 498c: e0bffb17 ldw r2,-20(fp) static ALT_INLINE int ALT_ALWAYS_INLINE alt_irq_enable (alt_u32 id) { alt_irq_context status; extern volatile alt_u32 alt_irq_active; status = alt_irq_disable_all (); - 498c: e0bffc15 stw r2,-16(fp) + 4990: e0bffc15 stw r2,-16(fp) alt_irq_active |= (1 << id); - 4990: 00c00044 movi r3,1 - 4994: e0bffa17 ldw r2,-24(fp) - 4998: 1884983a sll r2,r3,r2 - 499c: 1007883a mov r3,r2 - 49a0: d0a01517 ldw r2,-32684(gp) - 49a4: 1884b03a or r2,r3,r2 - 49a8: d0a01515 stw r2,-32684(gp) + 4994: 00c00044 movi r3,1 + 4998: e0bffa17 ldw r2,-24(fp) + 499c: 1884983a sll r2,r3,r2 + 49a0: 1007883a mov r3,r2 + 49a4: d0a01517 ldw r2,-32684(gp) + 49a8: 1884b03a or r2,r3,r2 + 49ac: d0a01515 stw r2,-32684(gp) NIOS2_WRITE_IENABLE (alt_irq_active); - 49ac: d0a01517 ldw r2,-32684(gp) - 49b0: 100170fa wrctl ienable,r2 - 49b4: e0bffc17 ldw r2,-16(fp) - 49b8: e0bffd15 stw r2,-12(fp) + 49b0: d0a01517 ldw r2,-32684(gp) + 49b4: 100170fa wrctl ienable,r2 + 49b8: e0bffc17 ldw r2,-16(fp) + 49bc: e0bffd15 stw r2,-12(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 49bc: e0bffd17 ldw r2,-12(fp) - 49c0: 1001703a wrctl status,r2 + 49c0: e0bffd17 ldw r2,-12(fp) + 49c4: 1001703a wrctl status,r2 alt_irq_enable_all(status); return 0; - 49c4: 0005883a mov r2,zero + 49c8: 0005883a mov r2,zero return alt_irq_enable(irq); - 49c8: 0001883a nop + 49cc: 0001883a nop } - 49cc: e037883a mov sp,fp - 49d0: df000017 ldw fp,0(sp) - 49d4: dec00104 addi sp,sp,4 - 49d8: f800283a ret + 49d0: e037883a mov sp,fp + 49d4: df000017 ldw fp,0(sp) + 49d8: dec00104 addi sp,sp,4 + 49dc: f800283a ret -000049dc : +000049e0 : * @param ic_id Ignored. * @param irq IRQ number * @return 0 if successful, else error (-1) */ int alt_ic_irq_disable(alt_u32 ic_id, alt_u32 irq) { - 49dc: defff904 addi sp,sp,-28 - 49e0: df000615 stw fp,24(sp) - 49e4: df000604 addi fp,sp,24 - 49e8: e13ffe15 stw r4,-8(fp) - 49ec: e17fff15 stw r5,-4(fp) - 49f0: e0bfff17 ldw r2,-4(fp) - 49f4: e0bffa15 stw r2,-24(fp) + 49e0: defff904 addi sp,sp,-28 + 49e4: df000615 stw fp,24(sp) + 49e8: df000604 addi fp,sp,24 + 49ec: e13ffe15 stw r4,-8(fp) + 49f0: e17fff15 stw r5,-4(fp) + 49f4: e0bfff17 ldw r2,-4(fp) + 49f8: e0bffa15 stw r2,-24(fp) static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 49f8: 0005303a rdctl r2,status - 49fc: e0bffb15 stw r2,-20(fp) + 49fc: 0005303a rdctl r2,status + 4a00: e0bffb15 stw r2,-20(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 4a00: e0fffb17 ldw r3,-20(fp) - 4a04: 00bfff84 movi r2,-2 - 4a08: 1884703a and r2,r3,r2 - 4a0c: 1001703a wrctl status,r2 + 4a04: e0fffb17 ldw r3,-20(fp) + 4a08: 00bfff84 movi r2,-2 + 4a0c: 1884703a and r2,r3,r2 + 4a10: 1001703a wrctl status,r2 return context; - 4a10: e0bffb17 ldw r2,-20(fp) + 4a14: e0bffb17 ldw r2,-20(fp) static ALT_INLINE int ALT_ALWAYS_INLINE alt_irq_disable (alt_u32 id) { alt_irq_context status; extern volatile alt_u32 alt_irq_active; status = alt_irq_disable_all (); - 4a14: e0bffc15 stw r2,-16(fp) + 4a18: e0bffc15 stw r2,-16(fp) alt_irq_active &= ~(1 << id); - 4a18: 00c00044 movi r3,1 - 4a1c: e0bffa17 ldw r2,-24(fp) - 4a20: 1884983a sll r2,r3,r2 - 4a24: 0084303a nor r2,zero,r2 - 4a28: 1007883a mov r3,r2 - 4a2c: d0a01517 ldw r2,-32684(gp) - 4a30: 1884703a and r2,r3,r2 - 4a34: d0a01515 stw r2,-32684(gp) + 4a1c: 00c00044 movi r3,1 + 4a20: e0bffa17 ldw r2,-24(fp) + 4a24: 1884983a sll r2,r3,r2 + 4a28: 0084303a nor r2,zero,r2 + 4a2c: 1007883a mov r3,r2 + 4a30: d0a01517 ldw r2,-32684(gp) + 4a34: 1884703a and r2,r3,r2 + 4a38: d0a01515 stw r2,-32684(gp) NIOS2_WRITE_IENABLE (alt_irq_active); - 4a38: d0a01517 ldw r2,-32684(gp) - 4a3c: 100170fa wrctl ienable,r2 - 4a40: e0bffc17 ldw r2,-16(fp) - 4a44: e0bffd15 stw r2,-12(fp) + 4a3c: d0a01517 ldw r2,-32684(gp) + 4a40: 100170fa wrctl ienable,r2 + 4a44: e0bffc17 ldw r2,-16(fp) + 4a48: e0bffd15 stw r2,-12(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 4a48: e0bffd17 ldw r2,-12(fp) - 4a4c: 1001703a wrctl status,r2 + 4a4c: e0bffd17 ldw r2,-12(fp) + 4a50: 1001703a wrctl status,r2 alt_irq_enable_all(status); return 0; - 4a50: 0005883a mov r2,zero + 4a54: 0005883a mov r2,zero return alt_irq_disable(irq); - 4a54: 0001883a nop + 4a58: 0001883a nop } - 4a58: e037883a mov sp,fp - 4a5c: df000017 ldw fp,0(sp) - 4a60: dec00104 addi sp,sp,4 - 4a64: f800283a ret + 4a5c: e037883a mov sp,fp + 4a60: df000017 ldw fp,0(sp) + 4a64: dec00104 addi sp,sp,4 + 4a68: f800283a ret -00004a68 : +00004a6c : * @param irq IRQ number * @return Zero if corresponding interrupt is disabled and * non-zero otherwise. */ alt_u32 alt_ic_irq_enabled(alt_u32 ic_id, alt_u32 irq) { - 4a68: defffc04 addi sp,sp,-16 - 4a6c: df000315 stw fp,12(sp) - 4a70: df000304 addi fp,sp,12 - 4a74: e13ffe15 stw r4,-8(fp) - 4a78: e17fff15 stw r5,-4(fp) + 4a6c: defffc04 addi sp,sp,-16 + 4a70: df000315 stw fp,12(sp) + 4a74: df000304 addi fp,sp,12 + 4a78: e13ffe15 stw r4,-8(fp) + 4a7c: e17fff15 stw r5,-4(fp) alt_u32 irq_enabled; NIOS2_READ_IENABLE(irq_enabled); - 4a7c: 000530fa rdctl r2,ienable - 4a80: e0bffd15 stw r2,-12(fp) + 4a80: 000530fa rdctl r2,ienable + 4a84: e0bffd15 stw r2,-12(fp) return (irq_enabled & (1 << irq)) ? 1: 0; - 4a84: 00c00044 movi r3,1 - 4a88: e0bfff17 ldw r2,-4(fp) - 4a8c: 1884983a sll r2,r3,r2 - 4a90: 1007883a mov r3,r2 - 4a94: e0bffd17 ldw r2,-12(fp) - 4a98: 1884703a and r2,r3,r2 - 4a9c: 1004c03a cmpne r2,r2,zero - 4aa0: 10803fcc andi r2,r2,255 + 4a88: 00c00044 movi r3,1 + 4a8c: e0bfff17 ldw r2,-4(fp) + 4a90: 1884983a sll r2,r3,r2 + 4a94: 1007883a mov r3,r2 + 4a98: e0bffd17 ldw r2,-12(fp) + 4a9c: 1884703a and r2,r3,r2 + 4aa0: 1004c03a cmpne r2,r2,zero + 4aa4: 10803fcc andi r2,r2,255 } - 4aa4: e037883a mov sp,fp - 4aa8: df000017 ldw fp,0(sp) - 4aac: dec00104 addi sp,sp,4 - 4ab0: f800283a ret + 4aa8: e037883a mov sp,fp + 4aac: df000017 ldw fp,0(sp) + 4ab0: dec00104 addi sp,sp,4 + 4ab4: f800283a ret -00004ab4 : +00004ab8 : * @param flags * @return 0 if successful, else error (-1) */ int alt_iic_isr_register(alt_u32 ic_id, alt_u32 irq, alt_isr_func isr, void *isr_context, void *flags) { - 4ab4: defff504 addi sp,sp,-44 - 4ab8: dfc00a15 stw ra,40(sp) - 4abc: df000915 stw fp,36(sp) - 4ac0: df000904 addi fp,sp,36 - 4ac4: e13ffc15 stw r4,-16(fp) - 4ac8: e17ffd15 stw r5,-12(fp) - 4acc: e1bffe15 stw r6,-8(fp) - 4ad0: e1ffff15 stw r7,-4(fp) + 4ab8: defff504 addi sp,sp,-44 + 4abc: dfc00a15 stw ra,40(sp) + 4ac0: df000915 stw fp,36(sp) + 4ac4: df000904 addi fp,sp,36 + 4ac8: e13ffc15 stw r4,-16(fp) + 4acc: e17ffd15 stw r5,-12(fp) + 4ad0: e1bffe15 stw r6,-8(fp) + 4ad4: e1ffff15 stw r7,-4(fp) int rc = -EINVAL; - 4ad4: 00bffa84 movi r2,-22 - 4ad8: e0bff715 stw r2,-36(fp) + 4ad8: 00bffa84 movi r2,-22 + 4adc: e0bff715 stw r2,-36(fp) int id = irq; /* IRQ interpreted as the interrupt ID. */ - 4adc: e0bffd17 ldw r2,-12(fp) - 4ae0: e0bff815 stw r2,-32(fp) + 4ae0: e0bffd17 ldw r2,-12(fp) + 4ae4: e0bff815 stw r2,-32(fp) alt_irq_context status; if (id < ALT_NIRQ) - 4ae4: e0bff817 ldw r2,-32(fp) - 4ae8: 10800808 cmpgei r2,r2,32 - 4aec: 1000271e bne r2,zero,4b8c + 4ae8: e0bff817 ldw r2,-32(fp) + 4aec: 10800808 cmpgei r2,r2,32 + 4af0: 1000271e bne r2,zero,4b90 static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 4af0: 0005303a rdctl r2,status - 4af4: e0bffb15 stw r2,-20(fp) + 4af4: 0005303a rdctl r2,status + 4af8: e0bffb15 stw r2,-20(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 4af8: e0fffb17 ldw r3,-20(fp) - 4afc: 00bfff84 movi r2,-2 - 4b00: 1884703a and r2,r3,r2 - 4b04: 1001703a wrctl status,r2 + 4afc: e0fffb17 ldw r3,-20(fp) + 4b00: 00bfff84 movi r2,-2 + 4b04: 1884703a and r2,r3,r2 + 4b08: 1001703a wrctl status,r2 return context; - 4b08: e0bffb17 ldw r2,-20(fp) + 4b0c: e0bffb17 ldw r2,-20(fp) * interrupts are disabled while the handler tables are updated to ensure * that an interrupt doesn't occur while the tables are in an inconsistant * state. */ status = alt_irq_disable_all(); - 4b0c: e0bffa15 stw r2,-24(fp) + 4b10: e0bffa15 stw r2,-24(fp) alt_irq[id].handler = isr; - 4b10: 00800034 movhi r2,0 - 4b14: 109cc604 addi r2,r2,29464 - 4b18: e0fff817 ldw r3,-32(fp) - 4b1c: 180690fa slli r3,r3,3 - 4b20: 10c5883a add r2,r2,r3 - 4b24: e0fffe17 ldw r3,-8(fp) - 4b28: 10c00015 stw r3,0(r2) + 4b14: 00800034 movhi r2,0 + 4b18: 109cc704 addi r2,r2,29468 + 4b1c: e0fff817 ldw r3,-32(fp) + 4b20: 180690fa slli r3,r3,3 + 4b24: 10c5883a add r2,r2,r3 + 4b28: e0fffe17 ldw r3,-8(fp) + 4b2c: 10c00015 stw r3,0(r2) alt_irq[id].context = isr_context; - 4b2c: 00800034 movhi r2,0 - 4b30: 109cc604 addi r2,r2,29464 - 4b34: e0fff817 ldw r3,-32(fp) - 4b38: 180690fa slli r3,r3,3 - 4b3c: 10c5883a add r2,r2,r3 - 4b40: 10800104 addi r2,r2,4 - 4b44: e0ffff17 ldw r3,-4(fp) - 4b48: 10c00015 stw r3,0(r2) + 4b30: 00800034 movhi r2,0 + 4b34: 109cc704 addi r2,r2,29468 + 4b38: e0fff817 ldw r3,-32(fp) + 4b3c: 180690fa slli r3,r3,3 + 4b40: 10c5883a add r2,r2,r3 + 4b44: 10800104 addi r2,r2,4 + 4b48: e0ffff17 ldw r3,-4(fp) + 4b4c: 10c00015 stw r3,0(r2) rc = (isr) ? alt_ic_irq_enable(ic_id, id) : alt_ic_irq_disable(ic_id, id); - 4b4c: e0bffe17 ldw r2,-8(fp) - 4b50: 10000526 beq r2,zero,4b68 - 4b54: e0bff817 ldw r2,-32(fp) - 4b58: 100b883a mov r5,r2 - 4b5c: e13ffc17 ldw r4,-16(fp) - 4b60: 00049540 call 4954 - 4b64: 00000406 br 4b78 - 4b68: e0bff817 ldw r2,-32(fp) - 4b6c: 100b883a mov r5,r2 - 4b70: e13ffc17 ldw r4,-16(fp) - 4b74: 00049dc0 call 49dc - 4b78: e0bff715 stw r2,-36(fp) - 4b7c: e0bffa17 ldw r2,-24(fp) - 4b80: e0bff915 stw r2,-28(fp) + 4b50: e0bffe17 ldw r2,-8(fp) + 4b54: 10000526 beq r2,zero,4b6c + 4b58: e0bff817 ldw r2,-32(fp) + 4b5c: 100b883a mov r5,r2 + 4b60: e13ffc17 ldw r4,-16(fp) + 4b64: 00049580 call 4958 + 4b68: 00000406 br 4b7c + 4b6c: e0bff817 ldw r2,-32(fp) + 4b70: 100b883a mov r5,r2 + 4b74: e13ffc17 ldw r4,-16(fp) + 4b78: 00049e00 call 49e0 + 4b7c: e0bff715 stw r2,-36(fp) + 4b80: e0bffa17 ldw r2,-24(fp) + 4b84: e0bff915 stw r2,-28(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 4b84: e0bff917 ldw r2,-28(fp) - 4b88: 1001703a wrctl status,r2 + 4b88: e0bff917 ldw r2,-28(fp) + 4b8c: 1001703a wrctl status,r2 alt_irq_enable_all(status); } return rc; - 4b8c: e0bff717 ldw r2,-36(fp) + 4b90: e0bff717 ldw r2,-36(fp) } - 4b90: e037883a mov sp,fp - 4b94: dfc00117 ldw ra,4(sp) - 4b98: df000017 ldw fp,0(sp) - 4b9c: dec00204 addi sp,sp,8 - 4ba0: f800283a ret + 4b94: e037883a mov sp,fp + 4b98: dfc00117 ldw ra,4(sp) + 4b9c: df000017 ldw fp,0(sp) + 4ba0: dec00204 addi sp,sp,8 + 4ba4: f800283a ret -00004ba4 : +00004ba8 : * If the device can not be succesfully opened, then the input file descriptor * remains unchanged. */ static void alt_open_fd(alt_fd* fd, const char* name, int flags, int mode) { - 4ba4: defff804 addi sp,sp,-32 - 4ba8: dfc00715 stw ra,28(sp) - 4bac: df000615 stw fp,24(sp) - 4bb0: dc000515 stw r16,20(sp) - 4bb4: df000604 addi fp,sp,24 - 4bb8: e13ffb15 stw r4,-20(fp) - 4bbc: e17ffc15 stw r5,-16(fp) - 4bc0: e1bffd15 stw r6,-12(fp) - 4bc4: e1fffe15 stw r7,-8(fp) + 4ba8: defff804 addi sp,sp,-32 + 4bac: dfc00715 stw ra,28(sp) + 4bb0: df000615 stw fp,24(sp) + 4bb4: dc000515 stw r16,20(sp) + 4bb8: df000604 addi fp,sp,24 + 4bbc: e13ffb15 stw r4,-20(fp) + 4bc0: e17ffc15 stw r5,-16(fp) + 4bc4: e1bffd15 stw r6,-12(fp) + 4bc8: e1fffe15 stw r7,-8(fp) int old; old = open (name, flags, mode); - 4bc8: e1bffe17 ldw r6,-8(fp) - 4bcc: e17ffd17 ldw r5,-12(fp) - 4bd0: e13ffc17 ldw r4,-16(fp) - 4bd4: 0004e2c0 call 4e2c - 4bd8: e0bffa15 stw r2,-24(fp) + 4bcc: e1bffe17 ldw r6,-8(fp) + 4bd0: e17ffd17 ldw r5,-12(fp) + 4bd4: e13ffc17 ldw r4,-16(fp) + 4bd8: 0004e300 call 4e30 + 4bdc: e0bffa15 stw r2,-24(fp) if (old >= 0) - 4bdc: e0bffa17 ldw r2,-24(fp) - 4be0: 10002216 blt r2,zero,4c6c + 4be0: e0bffa17 ldw r2,-24(fp) + 4be4: 10002216 blt r2,zero,4c70 { fd->dev = alt_fd_list[old].dev; - 4be4: 04000034 movhi r16,0 - 4be8: 84182b04 addi r16,r16,24748 - 4bec: e0bffa17 ldw r2,-24(fp) - 4bf0: 01400304 movi r5,12 - 4bf4: 1009883a mov r4,r2 - 4bf8: 00031180 call 3118 <__mulsi3> - 4bfc: 8085883a add r2,r16,r2 - 4c00: 10c00017 ldw r3,0(r2) - 4c04: e0bffb17 ldw r2,-20(fp) - 4c08: 10c00015 stw r3,0(r2) + 4be8: 04000034 movhi r16,0 + 4bec: 84182c04 addi r16,r16,24752 + 4bf0: e0bffa17 ldw r2,-24(fp) + 4bf4: 01400304 movi r5,12 + 4bf8: 1009883a mov r4,r2 + 4bfc: 000311c0 call 311c <__mulsi3> + 4c00: 8085883a add r2,r16,r2 + 4c04: 10c00017 ldw r3,0(r2) + 4c08: e0bffb17 ldw r2,-20(fp) + 4c0c: 10c00015 stw r3,0(r2) fd->priv = alt_fd_list[old].priv; - 4c0c: 04000034 movhi r16,0 - 4c10: 84182b04 addi r16,r16,24748 - 4c14: e0bffa17 ldw r2,-24(fp) - 4c18: 01400304 movi r5,12 - 4c1c: 1009883a mov r4,r2 - 4c20: 00031180 call 3118 <__mulsi3> - 4c24: 8085883a add r2,r16,r2 - 4c28: 10800104 addi r2,r2,4 - 4c2c: 10c00017 ldw r3,0(r2) - 4c30: e0bffb17 ldw r2,-20(fp) - 4c34: 10c00115 stw r3,4(r2) + 4c10: 04000034 movhi r16,0 + 4c14: 84182c04 addi r16,r16,24752 + 4c18: e0bffa17 ldw r2,-24(fp) + 4c1c: 01400304 movi r5,12 + 4c20: 1009883a mov r4,r2 + 4c24: 000311c0 call 311c <__mulsi3> + 4c28: 8085883a add r2,r16,r2 + 4c2c: 10800104 addi r2,r2,4 + 4c30: 10c00017 ldw r3,0(r2) + 4c34: e0bffb17 ldw r2,-20(fp) + 4c38: 10c00115 stw r3,4(r2) fd->fd_flags = alt_fd_list[old].fd_flags; - 4c38: 04000034 movhi r16,0 - 4c3c: 84182b04 addi r16,r16,24748 - 4c40: e0bffa17 ldw r2,-24(fp) - 4c44: 01400304 movi r5,12 - 4c48: 1009883a mov r4,r2 - 4c4c: 00031180 call 3118 <__mulsi3> - 4c50: 8085883a add r2,r16,r2 - 4c54: 10800204 addi r2,r2,8 - 4c58: 10c00017 ldw r3,0(r2) - 4c5c: e0bffb17 ldw r2,-20(fp) - 4c60: 10c00215 stw r3,8(r2) + 4c3c: 04000034 movhi r16,0 + 4c40: 84182c04 addi r16,r16,24752 + 4c44: e0bffa17 ldw r2,-24(fp) + 4c48: 01400304 movi r5,12 + 4c4c: 1009883a mov r4,r2 + 4c50: 000311c0 call 311c <__mulsi3> + 4c54: 8085883a add r2,r16,r2 + 4c58: 10800204 addi r2,r2,8 + 4c5c: 10c00017 ldw r3,0(r2) + 4c60: e0bffb17 ldw r2,-20(fp) + 4c64: 10c00215 stw r3,8(r2) alt_release_fd (old); - 4c64: e13ffa17 ldw r4,-24(fp) - 4c68: 00037a00 call 37a0 + 4c68: e13ffa17 ldw r4,-24(fp) + 4c6c: 00037a40 call 37a4 } } - 4c6c: 0001883a nop - 4c70: e6ffff04 addi sp,fp,-4 - 4c74: dfc00217 ldw ra,8(sp) - 4c78: df000117 ldw fp,4(sp) - 4c7c: dc000017 ldw r16,0(sp) - 4c80: dec00304 addi sp,sp,12 - 4c84: f800283a ret + 4c70: 0001883a nop + 4c74: e6ffff04 addi sp,fp,-4 + 4c78: dfc00217 ldw ra,8(sp) + 4c7c: df000117 ldw fp,4(sp) + 4c80: dc000017 ldw r16,0(sp) + 4c84: dec00304 addi sp,sp,12 + 4c88: f800283a ret -00004c88 : +00004c8c : */ void alt_io_redirect(const char* stdout_dev, const char* stdin_dev, const char* stderr_dev) { - 4c88: defffb04 addi sp,sp,-20 - 4c8c: dfc00415 stw ra,16(sp) - 4c90: df000315 stw fp,12(sp) - 4c94: df000304 addi fp,sp,12 - 4c98: e13ffd15 stw r4,-12(fp) - 4c9c: e17ffe15 stw r5,-8(fp) - 4ca0: e1bfff15 stw r6,-4(fp) + 4c8c: defffb04 addi sp,sp,-20 + 4c90: dfc00415 stw ra,16(sp) + 4c94: df000315 stw fp,12(sp) + 4c98: df000304 addi fp,sp,12 + 4c9c: e13ffd15 stw r4,-12(fp) + 4ca0: e17ffe15 stw r5,-8(fp) + 4ca4: e1bfff15 stw r6,-4(fp) /* Redirect the channels */ alt_open_fd (&alt_fd_list[STDOUT_FILENO], stdout_dev, O_WRONLY, 0777); - 4ca4: 01c07fc4 movi r7,511 - 4ca8: 01800044 movi r6,1 - 4cac: e17ffd17 ldw r5,-12(fp) - 4cb0: 01000034 movhi r4,0 - 4cb4: 21182e04 addi r4,r4,24760 - 4cb8: 0004ba40 call 4ba4 + 4ca8: 01c07fc4 movi r7,511 + 4cac: 01800044 movi r6,1 + 4cb0: e17ffd17 ldw r5,-12(fp) + 4cb4: 01000034 movhi r4,0 + 4cb8: 21182f04 addi r4,r4,24764 + 4cbc: 0004ba80 call 4ba8 alt_open_fd (&alt_fd_list[STDIN_FILENO], stdin_dev, O_RDONLY, 0777); - 4cbc: 01c07fc4 movi r7,511 - 4cc0: 000d883a mov r6,zero - 4cc4: e17ffe17 ldw r5,-8(fp) - 4cc8: 01000034 movhi r4,0 - 4ccc: 21182b04 addi r4,r4,24748 - 4cd0: 0004ba40 call 4ba4 + 4cc0: 01c07fc4 movi r7,511 + 4cc4: 000d883a mov r6,zero + 4cc8: e17ffe17 ldw r5,-8(fp) + 4ccc: 01000034 movhi r4,0 + 4cd0: 21182c04 addi r4,r4,24752 + 4cd4: 0004ba80 call 4ba8 alt_open_fd (&alt_fd_list[STDERR_FILENO], stderr_dev, O_WRONLY, 0777); - 4cd4: 01c07fc4 movi r7,511 - 4cd8: 01800044 movi r6,1 - 4cdc: e17fff17 ldw r5,-4(fp) - 4ce0: 01000034 movhi r4,0 - 4ce4: 21183104 addi r4,r4,24772 - 4ce8: 0004ba40 call 4ba4 + 4cd8: 01c07fc4 movi r7,511 + 4cdc: 01800044 movi r6,1 + 4ce0: e17fff17 ldw r5,-4(fp) + 4ce4: 01000034 movhi r4,0 + 4ce8: 21183204 addi r4,r4,24776 + 4cec: 0004ba80 call 4ba8 } - 4cec: 0001883a nop - 4cf0: e037883a mov sp,fp - 4cf4: dfc00117 ldw ra,4(sp) - 4cf8: df000017 ldw fp,0(sp) - 4cfc: dec00204 addi sp,sp,8 - 4d00: f800283a ret + 4cf0: 0001883a nop + 4cf4: e037883a mov sp,fp + 4cf8: dfc00117 ldw ra,4(sp) + 4cfc: df000017 ldw fp,0(sp) + 4d00: dec00204 addi sp,sp,8 + 4d04: f800283a ret -00004d04 : +00004d08 : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 4d04: defffe04 addi sp,sp,-8 - 4d08: dfc00115 stw ra,4(sp) - 4d0c: df000015 stw fp,0(sp) - 4d10: d839883a mov fp,sp + 4d08: defffe04 addi sp,sp,-8 + 4d0c: dfc00115 stw ra,4(sp) + 4d10: df000015 stw fp,0(sp) + 4d14: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 4d14: d0a00917 ldw r2,-32732(gp) - 4d18: 10000326 beq r2,zero,4d28 - 4d1c: d0a00917 ldw r2,-32732(gp) - 4d20: 103ee83a callr r2 - 4d24: 00000106 br 4d2c - 4d28: d0a01104 addi r2,gp,-32700 + 4d18: d0a00917 ldw r2,-32732(gp) + 4d1c: 10000326 beq r2,zero,4d2c + 4d20: d0a00917 ldw r2,-32732(gp) + 4d24: 103ee83a callr r2 + 4d28: 00000106 br 4d30 + 4d2c: d0a01104 addi r2,gp,-32700 } - 4d2c: e037883a mov sp,fp - 4d30: dfc00117 ldw ra,4(sp) - 4d34: df000017 ldw fp,0(sp) - 4d38: dec00204 addi sp,sp,8 - 4d3c: f800283a ret + 4d30: e037883a mov sp,fp + 4d34: dfc00117 ldw ra,4(sp) + 4d38: df000017 ldw fp,0(sp) + 4d3c: dec00204 addi sp,sp,8 + 4d40: f800283a ret -00004d40 : +00004d44 : * performed for devices. Filesystems are required to handle the ioctl() call * themselves, and report the error from the filesystems open() function. */ static int alt_file_locked (alt_fd* fd) { - 4d40: defffb04 addi sp,sp,-20 - 4d44: dfc00415 stw ra,16(sp) - 4d48: df000315 stw fp,12(sp) - 4d4c: dc000215 stw r16,8(sp) - 4d50: df000304 addi fp,sp,12 - 4d54: e13ffe15 stw r4,-8(fp) + 4d44: defffb04 addi sp,sp,-20 + 4d48: dfc00415 stw ra,16(sp) + 4d4c: df000315 stw fp,12(sp) + 4d50: dc000215 stw r16,8(sp) + 4d54: df000304 addi fp,sp,12 + 4d58: e13ffe15 stw r4,-8(fp) /* * Mark the file descriptor as belonging to a device. */ fd->fd_flags |= ALT_FD_DEV; - 4d58: e0bffe17 ldw r2,-8(fp) - 4d5c: 10800217 ldw r2,8(r2) - 4d60: 10d00034 orhi r3,r2,16384 - 4d64: e0bffe17 ldw r2,-8(fp) - 4d68: 10c00215 stw r3,8(r2) + 4d5c: e0bffe17 ldw r2,-8(fp) + 4d60: 10800217 ldw r2,8(r2) + 4d64: 10d00034 orhi r3,r2,16384 + 4d68: e0bffe17 ldw r2,-8(fp) + 4d6c: 10c00215 stw r3,8(r2) /* * Loop through all current file descriptors searching for one that's locked * for exclusive access. If a match is found, generate an error. */ for (i = 0; i <= alt_max_fd; i++) - 4d6c: e03ffd15 stw zero,-12(fp) - 4d70: 00002306 br 4e00 + 4d70: e03ffd15 stw zero,-12(fp) + 4d74: 00002306 br 4e04 { if ((alt_fd_list[i].dev == fd->dev) && - 4d74: 04000034 movhi r16,0 - 4d78: 84182b04 addi r16,r16,24748 - 4d7c: e0bffd17 ldw r2,-12(fp) - 4d80: 01400304 movi r5,12 - 4d84: 1009883a mov r4,r2 - 4d88: 00031180 call 3118 <__mulsi3> - 4d8c: 8085883a add r2,r16,r2 - 4d90: 10c00017 ldw r3,0(r2) - 4d94: e0bffe17 ldw r2,-8(fp) - 4d98: 10800017 ldw r2,0(r2) - 4d9c: 1880151e bne r3,r2,4df4 + 4d78: 04000034 movhi r16,0 + 4d7c: 84182c04 addi r16,r16,24752 + 4d80: e0bffd17 ldw r2,-12(fp) + 4d84: 01400304 movi r5,12 + 4d88: 1009883a mov r4,r2 + 4d8c: 000311c0 call 311c <__mulsi3> + 4d90: 8085883a add r2,r16,r2 + 4d94: 10c00017 ldw r3,0(r2) + 4d98: e0bffe17 ldw r2,-8(fp) + 4d9c: 10800017 ldw r2,0(r2) + 4da0: 1880151e bne r3,r2,4df8 (alt_fd_list[i].fd_flags & ALT_FD_EXCL) && - 4da0: 04000034 movhi r16,0 - 4da4: 84182b04 addi r16,r16,24748 - 4da8: e0bffd17 ldw r2,-12(fp) - 4dac: 01400304 movi r5,12 - 4db0: 1009883a mov r4,r2 - 4db4: 00031180 call 3118 <__mulsi3> - 4db8: 8085883a add r2,r16,r2 - 4dbc: 10800204 addi r2,r2,8 - 4dc0: 10800017 ldw r2,0(r2) + 4da4: 04000034 movhi r16,0 + 4da8: 84182c04 addi r16,r16,24752 + 4dac: e0bffd17 ldw r2,-12(fp) + 4db0: 01400304 movi r5,12 + 4db4: 1009883a mov r4,r2 + 4db8: 000311c0 call 311c <__mulsi3> + 4dbc: 8085883a add r2,r16,r2 + 4dc0: 10800204 addi r2,r2,8 + 4dc4: 10800017 ldw r2,0(r2) * for exclusive access. If a match is found, generate an error. */ for (i = 0; i <= alt_max_fd; i++) { if ((alt_fd_list[i].dev == fd->dev) && - 4dc4: 10000b0e bge r2,zero,4df4 + 4dc8: 10000b0e bge r2,zero,4df8 (alt_fd_list[i].fd_flags & ALT_FD_EXCL) && (&alt_fd_list[i] != fd)) - 4dc8: 01400304 movi r5,12 - 4dcc: e13ffd17 ldw r4,-12(fp) - 4dd0: 00031180 call 3118 <__mulsi3> - 4dd4: 1007883a mov r3,r2 - 4dd8: 00800034 movhi r2,0 - 4ddc: 10982b04 addi r2,r2,24748 - 4de0: 1887883a add r3,r3,r2 + 4dcc: 01400304 movi r5,12 + 4dd0: e13ffd17 ldw r4,-12(fp) + 4dd4: 000311c0 call 311c <__mulsi3> + 4dd8: 1007883a mov r3,r2 + 4ddc: 00800034 movhi r2,0 + 4de0: 10982c04 addi r2,r2,24752 + 4de4: 1887883a add r3,r3,r2 */ for (i = 0; i <= alt_max_fd; i++) { if ((alt_fd_list[i].dev == fd->dev) && (alt_fd_list[i].fd_flags & ALT_FD_EXCL) && - 4de4: e0bffe17 ldw r2,-8(fp) - 4de8: 18800226 beq r3,r2,4df4 + 4de8: e0bffe17 ldw r2,-8(fp) + 4dec: 18800226 beq r3,r2,4df8 (&alt_fd_list[i] != fd)) { return -EACCES; - 4dec: 00bffcc4 movi r2,-13 - 4df0: 00000806 br 4e14 + 4df0: 00bffcc4 movi r2,-13 + 4df4: 00000806 br 4e18 /* * Loop through all current file descriptors searching for one that's locked * for exclusive access. If a match is found, generate an error. */ for (i = 0; i <= alt_max_fd; i++) - 4df4: e0bffd17 ldw r2,-12(fp) - 4df8: 10800044 addi r2,r2,1 - 4dfc: e0bffd15 stw r2,-12(fp) - 4e00: d0a00817 ldw r2,-32736(gp) - 4e04: 1007883a mov r3,r2 - 4e08: e0bffd17 ldw r2,-12(fp) - 4e0c: 18bfd92e bgeu r3,r2,4d74 <__alt_data_end+0xfffe4d74> + 4df8: e0bffd17 ldw r2,-12(fp) + 4dfc: 10800044 addi r2,r2,1 + 4e00: e0bffd15 stw r2,-12(fp) + 4e04: d0a00817 ldw r2,-32736(gp) + 4e08: 1007883a mov r3,r2 + 4e0c: e0bffd17 ldw r2,-12(fp) + 4e10: 18bfd92e bgeu r3,r2,4d78 <__alt_data_end+0xfffe4d78> } } /* The device is not locked */ return 0; - 4e10: 0005883a mov r2,zero + 4e14: 0005883a mov r2,zero } - 4e14: e6ffff04 addi sp,fp,-4 - 4e18: dfc00217 ldw ra,8(sp) - 4e1c: df000117 ldw fp,4(sp) - 4e20: dc000017 ldw r16,0(sp) - 4e24: dec00304 addi sp,sp,12 - 4e28: f800283a ret + 4e18: e6ffff04 addi sp,fp,-4 + 4e1c: dfc00217 ldw ra,8(sp) + 4e20: df000117 ldw fp,4(sp) + 4e24: dc000017 ldw r16,0(sp) + 4e28: dec00304 addi sp,sp,12 + 4e2c: f800283a ret -00004e2c : +00004e30 : * * ALT_OPEN is mapped onto the open() system call in alt_syscall.h */ int ALT_OPEN (const char* file, int flags, int mode) { - 4e2c: defff604 addi sp,sp,-40 - 4e30: dfc00915 stw ra,36(sp) - 4e34: df000815 stw fp,32(sp) - 4e38: df000804 addi fp,sp,32 - 4e3c: e13ffd15 stw r4,-12(fp) - 4e40: e17ffe15 stw r5,-8(fp) - 4e44: e1bfff15 stw r6,-4(fp) + 4e30: defff604 addi sp,sp,-40 + 4e34: dfc00915 stw ra,36(sp) + 4e38: df000815 stw fp,32(sp) + 4e3c: df000804 addi fp,sp,32 + 4e40: e13ffd15 stw r4,-12(fp) + 4e44: e17ffe15 stw r5,-8(fp) + 4e48: e1bfff15 stw r6,-4(fp) alt_dev* dev; alt_fd* fd; int index = -1; - 4e48: 00bfffc4 movi r2,-1 - 4e4c: e0bff915 stw r2,-28(fp) + 4e4c: 00bfffc4 movi r2,-1 + 4e50: e0bff915 stw r2,-28(fp) int status = -ENODEV; - 4e50: 00bffb44 movi r2,-19 - 4e54: e0bffa15 stw r2,-24(fp) + 4e54: 00bffb44 movi r2,-19 + 4e58: e0bffa15 stw r2,-24(fp) int isafs = 0; - 4e58: e03ffb15 stw zero,-20(fp) + 4e5c: e03ffb15 stw zero,-20(fp) /* * Check the device list, to see if a device with a matching name is * registered. */ if (!(dev = alt_find_dev (file, &alt_dev_list))) - 4e5c: d1600604 addi r5,gp,-32744 - 4e60: e13ffd17 ldw r4,-12(fp) - 4e64: 00051500 call 5150 - 4e68: e0bff815 stw r2,-32(fp) - 4e6c: e0bff817 ldw r2,-32(fp) - 4e70: 1000051e bne r2,zero,4e88 + 4e60: d1600604 addi r5,gp,-32744 + 4e64: e13ffd17 ldw r4,-12(fp) + 4e68: 00051540 call 5154 + 4e6c: e0bff815 stw r2,-32(fp) + 4e70: e0bff817 ldw r2,-32(fp) + 4e74: 1000051e bne r2,zero,4e8c { /* No matching device, so try the filesystem list */ dev = alt_find_file (file); - 4e74: e13ffd17 ldw r4,-12(fp) - 4e78: 00051e00 call 51e0 - 4e7c: e0bff815 stw r2,-32(fp) + 4e78: e13ffd17 ldw r4,-12(fp) + 4e7c: 00051e40 call 51e4 + 4e80: e0bff815 stw r2,-32(fp) isafs = 1; - 4e80: 00800044 movi r2,1 - 4e84: e0bffb15 stw r2,-20(fp) + 4e84: 00800044 movi r2,1 + 4e88: e0bffb15 stw r2,-20(fp) /* * If a matching device or filesystem is found, allocate a file descriptor. */ if (dev) - 4e88: e0bff817 ldw r2,-32(fp) - 4e8c: 10002b26 beq r2,zero,4f3c + 4e8c: e0bff817 ldw r2,-32(fp) + 4e90: 10002b26 beq r2,zero,4f40 { if ((index = alt_get_fd (dev)) < 0) - 4e90: e13ff817 ldw r4,-32(fp) - 4e94: 00052e80 call 52e8 - 4e98: e0bff915 stw r2,-28(fp) - 4e9c: e0bff917 ldw r2,-28(fp) - 4ea0: 1000030e bge r2,zero,4eb0 + 4e94: e13ff817 ldw r4,-32(fp) + 4e98: 00052ec0 call 52ec + 4e9c: e0bff915 stw r2,-28(fp) + 4ea0: e0bff917 ldw r2,-28(fp) + 4ea4: 1000030e bge r2,zero,4eb4 { status = index; - 4ea4: e0bff917 ldw r2,-28(fp) - 4ea8: e0bffa15 stw r2,-24(fp) - 4eac: 00002506 br 4f44 + 4ea8: e0bff917 ldw r2,-28(fp) + 4eac: e0bffa15 stw r2,-24(fp) + 4eb0: 00002506 br 4f48 } else { fd = &alt_fd_list[index]; - 4eb0: 01400304 movi r5,12 - 4eb4: e13ff917 ldw r4,-28(fp) - 4eb8: 00031180 call 3118 <__mulsi3> - 4ebc: 1007883a mov r3,r2 - 4ec0: 00800034 movhi r2,0 - 4ec4: 10982b04 addi r2,r2,24748 - 4ec8: 1885883a add r2,r3,r2 - 4ecc: e0bffc15 stw r2,-16(fp) + 4eb4: 01400304 movi r5,12 + 4eb8: e13ff917 ldw r4,-28(fp) + 4ebc: 000311c0 call 311c <__mulsi3> + 4ec0: 1007883a mov r3,r2 + 4ec4: 00800034 movhi r2,0 + 4ec8: 10982c04 addi r2,r2,24752 + 4ecc: 1885883a add r2,r3,r2 + 4ed0: e0bffc15 stw r2,-16(fp) fd->fd_flags = (flags & ~ALT_FD_FLAGS_MASK); - 4ed0: e0fffe17 ldw r3,-8(fp) - 4ed4: 00900034 movhi r2,16384 - 4ed8: 10bfffc4 addi r2,r2,-1 - 4edc: 1886703a and r3,r3,r2 - 4ee0: e0bffc17 ldw r2,-16(fp) - 4ee4: 10c00215 stw r3,8(r2) + 4ed4: e0fffe17 ldw r3,-8(fp) + 4ed8: 00900034 movhi r2,16384 + 4edc: 10bfffc4 addi r2,r2,-1 + 4ee0: 1886703a and r3,r3,r2 + 4ee4: e0bffc17 ldw r2,-16(fp) + 4ee8: 10c00215 stw r3,8(r2) /* If this is a device, ensure it isn't already locked */ if (isafs || ((status = alt_file_locked (fd)) >= 0)) - 4ee8: e0bffb17 ldw r2,-20(fp) - 4eec: 1000051e bne r2,zero,4f04 - 4ef0: e13ffc17 ldw r4,-16(fp) - 4ef4: 0004d400 call 4d40 - 4ef8: e0bffa15 stw r2,-24(fp) - 4efc: e0bffa17 ldw r2,-24(fp) - 4f00: 10001016 blt r2,zero,4f44 + 4eec: e0bffb17 ldw r2,-20(fp) + 4ef0: 1000051e bne r2,zero,4f08 + 4ef4: e13ffc17 ldw r4,-16(fp) + 4ef8: 0004d440 call 4d44 + 4efc: e0bffa15 stw r2,-24(fp) + 4f00: e0bffa17 ldw r2,-24(fp) + 4f04: 10001016 blt r2,zero,4f48 /* * If the device or filesystem provides an open() callback function, * call it now to perform any device/filesystem specific operations. */ status = (dev->open) ? dev->open(fd, file, flags, mode): 0; - 4f04: e0bff817 ldw r2,-32(fp) - 4f08: 10800317 ldw r2,12(r2) - 4f0c: 10000826 beq r2,zero,4f30 - 4f10: e0bff817 ldw r2,-32(fp) - 4f14: 10800317 ldw r2,12(r2) - 4f18: e1ffff17 ldw r7,-4(fp) - 4f1c: e1bffe17 ldw r6,-8(fp) - 4f20: e17ffd17 ldw r5,-12(fp) - 4f24: e13ffc17 ldw r4,-16(fp) - 4f28: 103ee83a callr r2 - 4f2c: 00000106 br 4f34 - 4f30: 0005883a mov r2,zero - 4f34: e0bffa15 stw r2,-24(fp) - 4f38: 00000206 br 4f44 + 4f08: e0bff817 ldw r2,-32(fp) + 4f0c: 10800317 ldw r2,12(r2) + 4f10: 10000826 beq r2,zero,4f34 + 4f14: e0bff817 ldw r2,-32(fp) + 4f18: 10800317 ldw r2,12(r2) + 4f1c: e1ffff17 ldw r7,-4(fp) + 4f20: e1bffe17 ldw r6,-8(fp) + 4f24: e17ffd17 ldw r5,-12(fp) + 4f28: e13ffc17 ldw r4,-16(fp) + 4f2c: 103ee83a callr r2 + 4f30: 00000106 br 4f38 + 4f34: 0005883a mov r2,zero + 4f38: e0bffa15 stw r2,-24(fp) + 4f3c: 00000206 br 4f48 } } } else { status = -ENODEV; - 4f3c: 00bffb44 movi r2,-19 - 4f40: e0bffa15 stw r2,-24(fp) + 4f40: 00bffb44 movi r2,-19 + 4f44: e0bffa15 stw r2,-24(fp) } /* Allocation failed, so clean up and return an error */ if (status < 0) - 4f44: e0bffa17 ldw r2,-24(fp) - 4f48: 1000090e bge r2,zero,4f70 + 4f48: e0bffa17 ldw r2,-24(fp) + 4f4c: 1000090e bge r2,zero,4f74 { alt_release_fd (index); - 4f4c: e13ff917 ldw r4,-28(fp) - 4f50: 00037a00 call 37a0 + 4f50: e13ff917 ldw r4,-28(fp) + 4f54: 00037a40 call 37a4 ALT_ERRNO = -status; - 4f54: 0004d040 call 4d04 - 4f58: 1007883a mov r3,r2 - 4f5c: e0bffa17 ldw r2,-24(fp) - 4f60: 0085c83a sub r2,zero,r2 - 4f64: 18800015 stw r2,0(r3) + 4f58: 0004d080 call 4d08 + 4f5c: 1007883a mov r3,r2 + 4f60: e0bffa17 ldw r2,-24(fp) + 4f64: 0085c83a sub r2,zero,r2 + 4f68: 18800015 stw r2,0(r3) return -1; - 4f68: 00bfffc4 movi r2,-1 - 4f6c: 00000106 br 4f74 + 4f6c: 00bfffc4 movi r2,-1 + 4f70: 00000106 br 4f78 } /* return the reference upon success */ return index; - 4f70: e0bff917 ldw r2,-28(fp) + 4f74: e0bff917 ldw r2,-28(fp) } - 4f74: e037883a mov sp,fp - 4f78: dfc00117 ldw ra,4(sp) - 4f7c: df000017 ldw fp,0(sp) - 4f80: dec00204 addi sp,sp,8 - 4f84: f800283a ret + 4f78: e037883a mov sp,fp + 4f7c: dfc00117 ldw ra,4(sp) + 4f80: df000017 ldw fp,0(sp) + 4f84: dec00204 addi sp,sp,8 + 4f88: f800283a ret -00004f88 : +00004f8c : * alarms. Alternatively an alarm can unregister itself by returning zero when * the alarm executes. */ void alt_alarm_stop (alt_alarm* alarm) { - 4f88: defffa04 addi sp,sp,-24 - 4f8c: df000515 stw fp,20(sp) - 4f90: df000504 addi fp,sp,20 - 4f94: e13fff15 stw r4,-4(fp) + 4f8c: defffa04 addi sp,sp,-24 + 4f90: df000515 stw fp,20(sp) + 4f94: df000504 addi fp,sp,20 + 4f98: e13fff15 stw r4,-4(fp) static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 4f98: 0005303a rdctl r2,status - 4f9c: e0bffc15 stw r2,-16(fp) + 4f9c: 0005303a rdctl r2,status + 4fa0: e0bffc15 stw r2,-16(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 4fa0: e0fffc17 ldw r3,-16(fp) - 4fa4: 00bfff84 movi r2,-2 - 4fa8: 1884703a and r2,r3,r2 - 4fac: 1001703a wrctl status,r2 + 4fa4: e0fffc17 ldw r3,-16(fp) + 4fa8: 00bfff84 movi r2,-2 + 4fac: 1884703a and r2,r3,r2 + 4fb0: 1001703a wrctl status,r2 return context; - 4fb0: e0bffc17 ldw r2,-16(fp) + 4fb4: e0bffc17 ldw r2,-16(fp) alt_irq_context irq_context; irq_context = alt_irq_disable_all(); - 4fb4: e0bffb15 stw r2,-20(fp) + 4fb8: e0bffb15 stw r2,-20(fp) alt_llist_remove (&alarm->llist); - 4fb8: e0bfff17 ldw r2,-4(fp) - 4fbc: e0bffd15 stw r2,-12(fp) + 4fbc: e0bfff17 ldw r2,-4(fp) + 4fc0: e0bffd15 stw r2,-12(fp) * input argument is the element to remove. */ static ALT_INLINE void ALT_ALWAYS_INLINE alt_llist_remove(alt_llist* entry) { entry->next->previous = entry->previous; - 4fc0: e0bffd17 ldw r2,-12(fp) - 4fc4: 10800017 ldw r2,0(r2) - 4fc8: e0fffd17 ldw r3,-12(fp) - 4fcc: 18c00117 ldw r3,4(r3) - 4fd0: 10c00115 stw r3,4(r2) + 4fc4: e0bffd17 ldw r2,-12(fp) + 4fc8: 10800017 ldw r2,0(r2) + 4fcc: e0fffd17 ldw r3,-12(fp) + 4fd0: 18c00117 ldw r3,4(r3) + 4fd4: 10c00115 stw r3,4(r2) entry->previous->next = entry->next; - 4fd4: e0bffd17 ldw r2,-12(fp) - 4fd8: 10800117 ldw r2,4(r2) - 4fdc: e0fffd17 ldw r3,-12(fp) - 4fe0: 18c00017 ldw r3,0(r3) - 4fe4: 10c00015 stw r3,0(r2) + 4fd8: e0bffd17 ldw r2,-12(fp) + 4fdc: 10800117 ldw r2,4(r2) + 4fe0: e0fffd17 ldw r3,-12(fp) + 4fe4: 18c00017 ldw r3,0(r3) + 4fe8: 10c00015 stw r3,0(r2) /* * Set the entry to point to itself, so that any further calls to * alt_llist_remove() are harmless. */ entry->previous = entry; - 4fe8: e0bffd17 ldw r2,-12(fp) - 4fec: e0fffd17 ldw r3,-12(fp) - 4ff0: 10c00115 stw r3,4(r2) + 4fec: e0bffd17 ldw r2,-12(fp) + 4ff0: e0fffd17 ldw r3,-12(fp) + 4ff4: 10c00115 stw r3,4(r2) entry->next = entry; - 4ff4: e0bffd17 ldw r2,-12(fp) - 4ff8: e0fffd17 ldw r3,-12(fp) - 4ffc: 10c00015 stw r3,0(r2) - 5000: e0bffb17 ldw r2,-20(fp) - 5004: e0bffe15 stw r2,-8(fp) + 4ff8: e0bffd17 ldw r2,-12(fp) + 4ffc: e0fffd17 ldw r3,-12(fp) + 5000: 10c00015 stw r3,0(r2) + 5004: e0bffb17 ldw r2,-20(fp) + 5008: e0bffe15 stw r2,-8(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 5008: e0bffe17 ldw r2,-8(fp) - 500c: 1001703a wrctl status,r2 + 500c: e0bffe17 ldw r2,-8(fp) + 5010: 1001703a wrctl status,r2 alt_irq_enable_all (irq_context); } - 5010: 0001883a nop - 5014: e037883a mov sp,fp - 5018: df000017 ldw fp,0(sp) - 501c: dec00104 addi sp,sp,4 - 5020: f800283a ret + 5014: 0001883a nop + 5018: e037883a mov sp,fp + 501c: df000017 ldw fp,0(sp) + 5020: dec00104 addi sp,sp,4 + 5024: f800283a ret -00005024 : +00005028 : * * alt_tick() is expected to run at interrupt level. */ void alt_tick (void) { - 5024: defffb04 addi sp,sp,-20 - 5028: dfc00415 stw ra,16(sp) - 502c: df000315 stw fp,12(sp) - 5030: df000304 addi fp,sp,12 + 5028: defffb04 addi sp,sp,-20 + 502c: dfc00415 stw ra,16(sp) + 5030: df000315 stw fp,12(sp) + 5034: df000304 addi fp,sp,12 alt_alarm* next; alt_alarm* alarm = (alt_alarm*) alt_alarm_list.next; - 5034: d0a00c17 ldw r2,-32720(gp) - 5038: e0bffd15 stw r2,-12(fp) + 5038: d0a00c17 ldw r2,-32720(gp) + 503c: e0bffd15 stw r2,-12(fp) alt_u32 next_callback; /* update the tick counter */ _alt_nticks++; - 503c: d0a01717 ldw r2,-32676(gp) - 5040: 10800044 addi r2,r2,1 - 5044: d0a01715 stw r2,-32676(gp) + 5040: d0a01717 ldw r2,-32676(gp) + 5044: 10800044 addi r2,r2,1 + 5048: d0a01715 stw r2,-32676(gp) /* process the registered callbacks */ while (alarm != (alt_alarm*) &alt_alarm_list) - 5048: 00002e06 br 5104 + 504c: 00002e06 br 5108 { next = (alt_alarm*) alarm->llist.next; - 504c: e0bffd17 ldw r2,-12(fp) - 5050: 10800017 ldw r2,0(r2) - 5054: e0bffe15 stw r2,-8(fp) + 5050: e0bffd17 ldw r2,-12(fp) + 5054: 10800017 ldw r2,0(r2) + 5058: e0bffe15 stw r2,-8(fp) /* * Upon the tick-counter rolling over it is safe to clear the * roll-over flag; once the flag is cleared this (or subsequnt) * tick events are enabled to generate an alarm event. */ if ((alarm->rollover) && (_alt_nticks == 0)) - 5058: e0bffd17 ldw r2,-12(fp) - 505c: 10800403 ldbu r2,16(r2) - 5060: 10803fcc andi r2,r2,255 - 5064: 10000426 beq r2,zero,5078 - 5068: d0a01717 ldw r2,-32676(gp) - 506c: 1000021e bne r2,zero,5078 + 505c: e0bffd17 ldw r2,-12(fp) + 5060: 10800403 ldbu r2,16(r2) + 5064: 10803fcc andi r2,r2,255 + 5068: 10000426 beq r2,zero,507c + 506c: d0a01717 ldw r2,-32676(gp) + 5070: 1000021e bne r2,zero,507c { alarm->rollover = 0; - 5070: e0bffd17 ldw r2,-12(fp) - 5074: 10000405 stb zero,16(r2) + 5074: e0bffd17 ldw r2,-12(fp) + 5078: 10000405 stb zero,16(r2) } /* if the alarm period has expired, make the callback */ if ((alarm->time <= _alt_nticks) && (alarm->rollover == 0)) - 5078: e0bffd17 ldw r2,-12(fp) - 507c: 10800217 ldw r2,8(r2) - 5080: d0e01717 ldw r3,-32676(gp) - 5084: 18801d36 bltu r3,r2,50fc - 5088: e0bffd17 ldw r2,-12(fp) - 508c: 10800403 ldbu r2,16(r2) - 5090: 10803fcc andi r2,r2,255 - 5094: 1000191e bne r2,zero,50fc + 507c: e0bffd17 ldw r2,-12(fp) + 5080: 10800217 ldw r2,8(r2) + 5084: d0e01717 ldw r3,-32676(gp) + 5088: 18801d36 bltu r3,r2,5100 + 508c: e0bffd17 ldw r2,-12(fp) + 5090: 10800403 ldbu r2,16(r2) + 5094: 10803fcc andi r2,r2,255 + 5098: 1000191e bne r2,zero,5100 { next_callback = alarm->callback (alarm->context); - 5098: e0bffd17 ldw r2,-12(fp) - 509c: 10800317 ldw r2,12(r2) - 50a0: e0fffd17 ldw r3,-12(fp) - 50a4: 18c00517 ldw r3,20(r3) - 50a8: 1809883a mov r4,r3 - 50ac: 103ee83a callr r2 - 50b0: e0bfff15 stw r2,-4(fp) + 509c: e0bffd17 ldw r2,-12(fp) + 50a0: 10800317 ldw r2,12(r2) + 50a4: e0fffd17 ldw r3,-12(fp) + 50a8: 18c00517 ldw r3,20(r3) + 50ac: 1809883a mov r4,r3 + 50b0: 103ee83a callr r2 + 50b4: e0bfff15 stw r2,-4(fp) /* deactivate the alarm if the return value is zero */ if (next_callback == 0) - 50b4: e0bfff17 ldw r2,-4(fp) - 50b8: 1000031e bne r2,zero,50c8 + 50b8: e0bfff17 ldw r2,-4(fp) + 50bc: 1000031e bne r2,zero,50cc { alt_alarm_stop (alarm); - 50bc: e13ffd17 ldw r4,-12(fp) - 50c0: 0004f880 call 4f88 - 50c4: 00000d06 br 50fc + 50c0: e13ffd17 ldw r4,-12(fp) + 50c4: 0004f8c0 call 4f8c + 50c8: 00000d06 br 5100 } else { alarm->time += next_callback; - 50c8: e0bffd17 ldw r2,-12(fp) - 50cc: 10c00217 ldw r3,8(r2) - 50d0: e0bfff17 ldw r2,-4(fp) - 50d4: 1887883a add r3,r3,r2 - 50d8: e0bffd17 ldw r2,-12(fp) - 50dc: 10c00215 stw r3,8(r2) + 50cc: e0bffd17 ldw r2,-12(fp) + 50d0: 10c00217 ldw r3,8(r2) + 50d4: e0bfff17 ldw r2,-4(fp) + 50d8: 1887883a add r3,r3,r2 + 50dc: e0bffd17 ldw r2,-12(fp) + 50e0: 10c00215 stw r3,8(r2) /* * If the desired alarm time causes a roll-over, set the rollover * flag. This will prevent the subsequent tick event from causing * an alarm too early. */ if(alarm->time < _alt_nticks) - 50e0: e0bffd17 ldw r2,-12(fp) - 50e4: 10c00217 ldw r3,8(r2) - 50e8: d0a01717 ldw r2,-32676(gp) - 50ec: 1880032e bgeu r3,r2,50fc + 50e4: e0bffd17 ldw r2,-12(fp) + 50e8: 10c00217 ldw r3,8(r2) + 50ec: d0a01717 ldw r2,-32676(gp) + 50f0: 1880032e bgeu r3,r2,5100 { alarm->rollover = 1; - 50f0: e0bffd17 ldw r2,-12(fp) - 50f4: 00c00044 movi r3,1 - 50f8: 10c00405 stb r3,16(r2) + 50f4: e0bffd17 ldw r2,-12(fp) + 50f8: 00c00044 movi r3,1 + 50fc: 10c00405 stb r3,16(r2) } } } alarm = next; - 50fc: e0bffe17 ldw r2,-8(fp) - 5100: e0bffd15 stw r2,-12(fp) + 5100: e0bffe17 ldw r2,-8(fp) + 5104: e0bffd15 stw r2,-12(fp) _alt_nticks++; /* process the registered callbacks */ while (alarm != (alt_alarm*) &alt_alarm_list) - 5104: e0fffd17 ldw r3,-12(fp) - 5108: d0a00c04 addi r2,gp,-32720 - 510c: 18bfcf1e bne r3,r2,504c <__alt_data_end+0xfffe504c> + 5108: e0fffd17 ldw r3,-12(fp) + 510c: d0a00c04 addi r2,gp,-32720 + 5110: 18bfcf1e bne r3,r2,5050 <__alt_data_end+0xfffe5050> /* * Update the operating system specific timer facilities. */ ALT_OS_TIME_TICK(); - 5110: 0001883a nop -} 5114: 0001883a nop - 5118: e037883a mov sp,fp - 511c: dfc00117 ldw ra,4(sp) - 5120: df000017 ldw fp,0(sp) - 5124: dec00204 addi sp,sp,8 - 5128: f800283a ret +} + 5118: 0001883a nop + 511c: e037883a mov sp,fp + 5120: dfc00117 ldw ra,4(sp) + 5124: df000017 ldw fp,0(sp) + 5128: dec00204 addi sp,sp,8 + 512c: f800283a ret -0000512c : +00005130 : /* * To initialize the internal interrupt controller, just clear the IENABLE * register so that all possible IRQs are disabled. */ void altera_nios2_gen2_irq_init(void) { - 512c: deffff04 addi sp,sp,-4 - 5130: df000015 stw fp,0(sp) - 5134: d839883a mov fp,sp + 5130: deffff04 addi sp,sp,-4 + 5134: df000015 stw fp,0(sp) + 5138: d839883a mov fp,sp NIOS2_WRITE_IENABLE(0); - 5138: 000170fa wrctl ienable,zero + 513c: 000170fa wrctl ienable,zero } - 513c: 0001883a nop - 5140: e037883a mov sp,fp - 5144: df000017 ldw fp,0(sp) - 5148: dec00104 addi sp,sp,4 - 514c: f800283a ret + 5140: 0001883a nop + 5144: e037883a mov sp,fp + 5148: df000017 ldw fp,0(sp) + 514c: dec00104 addi sp,sp,4 + 5150: f800283a ret -00005150 : +00005154 : * "name" must be an exact match for the devices registered name for a match to * be found. */ alt_dev* alt_find_dev(const char* name, alt_llist* llist) { - 5150: defffa04 addi sp,sp,-24 - 5154: dfc00515 stw ra,20(sp) - 5158: df000415 stw fp,16(sp) - 515c: df000404 addi fp,sp,16 - 5160: e13ffe15 stw r4,-8(fp) - 5164: e17fff15 stw r5,-4(fp) + 5154: defffa04 addi sp,sp,-24 + 5158: dfc00515 stw ra,20(sp) + 515c: df000415 stw fp,16(sp) + 5160: df000404 addi fp,sp,16 + 5164: e13ffe15 stw r4,-8(fp) + 5168: e17fff15 stw r5,-4(fp) alt_dev* next = (alt_dev*) llist->next; - 5168: e0bfff17 ldw r2,-4(fp) - 516c: 10800017 ldw r2,0(r2) - 5170: e0bffc15 stw r2,-16(fp) + 516c: e0bfff17 ldw r2,-4(fp) + 5170: 10800017 ldw r2,0(r2) + 5174: e0bffc15 stw r2,-16(fp) alt_32 len; len = strlen(name) + 1; - 5174: e13ffe17 ldw r4,-8(fp) - 5178: 00004080 call 408 - 517c: 10800044 addi r2,r2,1 - 5180: e0bffd15 stw r2,-12(fp) + 5178: e13ffe17 ldw r4,-8(fp) + 517c: 000040c0 call 40c + 5180: 10800044 addi r2,r2,1 + 5184: e0bffd15 stw r2,-12(fp) /* * Check each list entry in turn, until a match is found, or we reach the * end of the list (i.e. next winds up pointing back to the list head). */ while (next != (alt_dev*) llist) - 5184: 00000d06 br 51bc + 5188: 00000d06 br 51c0 /* * memcmp() is used here rather than strcmp() in order to reduce the size * of the executable. */ if (!memcmp (next->name, name, len)) - 5188: e0bffc17 ldw r2,-16(fp) - 518c: 10800217 ldw r2,8(r2) - 5190: e0fffd17 ldw r3,-12(fp) - 5194: 180d883a mov r6,r3 - 5198: e17ffe17 ldw r5,-8(fp) - 519c: 1009883a mov r4,r2 - 51a0: 00054940 call 5494 - 51a4: 1000021e bne r2,zero,51b0 + 518c: e0bffc17 ldw r2,-16(fp) + 5190: 10800217 ldw r2,8(r2) + 5194: e0fffd17 ldw r3,-12(fp) + 5198: 180d883a mov r6,r3 + 519c: e17ffe17 ldw r5,-8(fp) + 51a0: 1009883a mov r4,r2 + 51a4: 00054980 call 5498 + 51a8: 1000021e bne r2,zero,51b4 { /* match found */ return next; - 51a8: e0bffc17 ldw r2,-16(fp) - 51ac: 00000706 br 51cc + 51ac: e0bffc17 ldw r2,-16(fp) + 51b0: 00000706 br 51d0 } next = (alt_dev*) next->llist.next; - 51b0: e0bffc17 ldw r2,-16(fp) - 51b4: 10800017 ldw r2,0(r2) - 51b8: e0bffc15 stw r2,-16(fp) + 51b4: e0bffc17 ldw r2,-16(fp) + 51b8: 10800017 ldw r2,0(r2) + 51bc: e0bffc15 stw r2,-16(fp) /* * Check each list entry in turn, until a match is found, or we reach the * end of the list (i.e. next winds up pointing back to the list head). */ while (next != (alt_dev*) llist) - 51bc: e0fffc17 ldw r3,-16(fp) - 51c0: e0bfff17 ldw r2,-4(fp) - 51c4: 18bff01e bne r3,r2,5188 <__alt_data_end+0xfffe5188> + 51c0: e0fffc17 ldw r3,-16(fp) + 51c4: e0bfff17 ldw r2,-4(fp) + 51c8: 18bff01e bne r3,r2,518c <__alt_data_end+0xfffe518c> next = (alt_dev*) next->llist.next; } /* No match found */ return NULL; - 51c8: 0005883a mov r2,zero + 51cc: 0005883a mov r2,zero } - 51cc: e037883a mov sp,fp - 51d0: dfc00117 ldw ra,4(sp) - 51d4: df000017 ldw fp,0(sp) - 51d8: dec00204 addi sp,sp,8 - 51dc: f800283a ret + 51d0: e037883a mov sp,fp + 51d4: dfc00117 ldw ra,4(sp) + 51d8: df000017 ldw fp,0(sp) + 51dc: dec00204 addi sp,sp,8 + 51e0: f800283a ret -000051e0 : +000051e4 : * either '/' or '\0' is the prefix of the filename. For example the filename: * "/myfilesystem/junk.txt" would match: "/myfilesystem", but not: "/myfile". */ alt_dev* alt_find_file (const char* name) { - 51e0: defffb04 addi sp,sp,-20 - 51e4: dfc00415 stw ra,16(sp) - 51e8: df000315 stw fp,12(sp) - 51ec: df000304 addi fp,sp,12 - 51f0: e13fff15 stw r4,-4(fp) + 51e4: defffb04 addi sp,sp,-20 + 51e8: dfc00415 stw ra,16(sp) + 51ec: df000315 stw fp,12(sp) + 51f0: df000304 addi fp,sp,12 + 51f4: e13fff15 stw r4,-4(fp) alt_dev* next = (alt_dev*) alt_fs_list.next; - 51f4: d0a00417 ldw r2,-32752(gp) - 51f8: e0bffd15 stw r2,-12(fp) + 51f8: d0a00417 ldw r2,-32752(gp) + 51fc: e0bffd15 stw r2,-12(fp) /* * Check each list entry in turn, until a match is found, or we reach the * end of the list (i.e. next winds up pointing back to the list head). */ while (next != (alt_dev*) &alt_fs_list) - 51fc: 00003106 br 52c4 + 5200: 00003106 br 52c8 { len = strlen(next->name); - 5200: e0bffd17 ldw r2,-12(fp) - 5204: 10800217 ldw r2,8(r2) - 5208: 1009883a mov r4,r2 - 520c: 00004080 call 408 - 5210: e0bffe15 stw r2,-8(fp) + 5204: e0bffd17 ldw r2,-12(fp) + 5208: 10800217 ldw r2,8(r2) + 520c: 1009883a mov r4,r2 + 5210: 000040c0 call 40c + 5214: e0bffe15 stw r2,-8(fp) if (next->name[len-1] == '/') - 5214: e0bffd17 ldw r2,-12(fp) - 5218: 10c00217 ldw r3,8(r2) - 521c: e0bffe17 ldw r2,-8(fp) - 5220: 10bfffc4 addi r2,r2,-1 - 5224: 1885883a add r2,r3,r2 - 5228: 10800003 ldbu r2,0(r2) - 522c: 10803fcc andi r2,r2,255 - 5230: 1080201c xori r2,r2,128 - 5234: 10bfe004 addi r2,r2,-128 - 5238: 10800bd8 cmpnei r2,r2,47 - 523c: 1000031e bne r2,zero,524c + 5218: e0bffd17 ldw r2,-12(fp) + 521c: 10c00217 ldw r3,8(r2) + 5220: e0bffe17 ldw r2,-8(fp) + 5224: 10bfffc4 addi r2,r2,-1 + 5228: 1885883a add r2,r3,r2 + 522c: 10800003 ldbu r2,0(r2) + 5230: 10803fcc andi r2,r2,255 + 5234: 1080201c xori r2,r2,128 + 5238: 10bfe004 addi r2,r2,-128 + 523c: 10800bd8 cmpnei r2,r2,47 + 5240: 1000031e bne r2,zero,5250 { len -= 1; - 5240: e0bffe17 ldw r2,-8(fp) - 5244: 10bfffc4 addi r2,r2,-1 - 5248: e0bffe15 stw r2,-8(fp) + 5244: e0bffe17 ldw r2,-8(fp) + 5248: 10bfffc4 addi r2,r2,-1 + 524c: e0bffe15 stw r2,-8(fp) } if (((name[len] == '/') || (name[len] == '\0')) && - 524c: e0bffe17 ldw r2,-8(fp) - 5250: e0ffff17 ldw r3,-4(fp) - 5254: 1885883a add r2,r3,r2 - 5258: 10800003 ldbu r2,0(r2) - 525c: 10803fcc andi r2,r2,255 - 5260: 1080201c xori r2,r2,128 - 5264: 10bfe004 addi r2,r2,-128 - 5268: 10800be0 cmpeqi r2,r2,47 - 526c: 1000081e bne r2,zero,5290 - 5270: e0bffe17 ldw r2,-8(fp) - 5274: e0ffff17 ldw r3,-4(fp) - 5278: 1885883a add r2,r3,r2 - 527c: 10800003 ldbu r2,0(r2) - 5280: 10803fcc andi r2,r2,255 - 5284: 1080201c xori r2,r2,128 - 5288: 10bfe004 addi r2,r2,-128 - 528c: 10000a1e bne r2,zero,52b8 + 5250: e0bffe17 ldw r2,-8(fp) + 5254: e0ffff17 ldw r3,-4(fp) + 5258: 1885883a add r2,r3,r2 + 525c: 10800003 ldbu r2,0(r2) + 5260: 10803fcc andi r2,r2,255 + 5264: 1080201c xori r2,r2,128 + 5268: 10bfe004 addi r2,r2,-128 + 526c: 10800be0 cmpeqi r2,r2,47 + 5270: 1000081e bne r2,zero,5294 + 5274: e0bffe17 ldw r2,-8(fp) + 5278: e0ffff17 ldw r3,-4(fp) + 527c: 1885883a add r2,r3,r2 + 5280: 10800003 ldbu r2,0(r2) + 5284: 10803fcc andi r2,r2,255 + 5288: 1080201c xori r2,r2,128 + 528c: 10bfe004 addi r2,r2,-128 + 5290: 10000a1e bne r2,zero,52bc !memcmp (next->name, name, len)) - 5290: e0bffd17 ldw r2,-12(fp) - 5294: 10800217 ldw r2,8(r2) - 5298: e0fffe17 ldw r3,-8(fp) - 529c: 180d883a mov r6,r3 - 52a0: e17fff17 ldw r5,-4(fp) - 52a4: 1009883a mov r4,r2 - 52a8: 00054940 call 5494 + 5294: e0bffd17 ldw r2,-12(fp) + 5298: 10800217 ldw r2,8(r2) + 529c: e0fffe17 ldw r3,-8(fp) + 52a0: 180d883a mov r6,r3 + 52a4: e17fff17 ldw r5,-4(fp) + 52a8: 1009883a mov r4,r2 + 52ac: 00054980 call 5498 if (next->name[len-1] == '/') { len -= 1; } if (((name[len] == '/') || (name[len] == '\0')) && - 52ac: 1000021e bne r2,zero,52b8 + 52b0: 1000021e bne r2,zero,52bc !memcmp (next->name, name, len)) { /* match found */ return next; - 52b0: e0bffd17 ldw r2,-12(fp) - 52b4: 00000706 br 52d4 + 52b4: e0bffd17 ldw r2,-12(fp) + 52b8: 00000706 br 52d8 } next = (alt_dev*) next->llist.next; - 52b8: e0bffd17 ldw r2,-12(fp) - 52bc: 10800017 ldw r2,0(r2) - 52c0: e0bffd15 stw r2,-12(fp) + 52bc: e0bffd17 ldw r2,-12(fp) + 52c0: 10800017 ldw r2,0(r2) + 52c4: e0bffd15 stw r2,-12(fp) /* * Check each list entry in turn, until a match is found, or we reach the * end of the list (i.e. next winds up pointing back to the list head). */ while (next != (alt_dev*) &alt_fs_list) - 52c4: e0fffd17 ldw r3,-12(fp) - 52c8: d0a00404 addi r2,gp,-32752 - 52cc: 18bfcc1e bne r3,r2,5200 <__alt_data_end+0xfffe5200> + 52c8: e0fffd17 ldw r3,-12(fp) + 52cc: d0a00404 addi r2,gp,-32752 + 52d0: 18bfcc1e bne r3,r2,5204 <__alt_data_end+0xfffe5204> next = (alt_dev*) next->llist.next; } /* No match found */ return NULL; - 52d0: 0005883a mov r2,zero + 52d4: 0005883a mov r2,zero } - 52d4: e037883a mov sp,fp - 52d8: dfc00117 ldw ra,4(sp) - 52dc: df000017 ldw fp,0(sp) - 52e0: dec00204 addi sp,sp,8 - 52e4: f800283a ret + 52d8: e037883a mov sp,fp + 52dc: dfc00117 ldw ra,4(sp) + 52e0: df000017 ldw fp,0(sp) + 52e4: dec00204 addi sp,sp,8 + 52e8: f800283a ret -000052e8 : +000052ec : * the offset of the file descriptor within the file descriptor array). A * negative value indicates failure. */ int alt_get_fd (alt_dev* dev) { - 52e8: defffa04 addi sp,sp,-24 - 52ec: dfc00515 stw ra,20(sp) - 52f0: df000415 stw fp,16(sp) - 52f4: dc000315 stw r16,12(sp) - 52f8: df000404 addi fp,sp,16 - 52fc: e13ffe15 stw r4,-8(fp) + 52ec: defffa04 addi sp,sp,-24 + 52f0: dfc00515 stw ra,20(sp) + 52f4: df000415 stw fp,16(sp) + 52f8: dc000315 stw r16,12(sp) + 52fc: df000404 addi fp,sp,16 + 5300: e13ffe15 stw r4,-8(fp) alt_32 i; int rc = -EMFILE; - 5300: 00bffa04 movi r2,-24 - 5304: e0bffd15 stw r2,-12(fp) + 5304: 00bffa04 movi r2,-24 + 5308: e0bffd15 stw r2,-12(fp) * indicates the highest file descriptor ever allocated. This is used to * improve efficency when searching the file descriptor list, and * therefore reduce contention on the alt_fd_list_lock semaphore. */ for (i = 0; i < ALT_MAX_FD; i++) - 5308: e03ffc15 stw zero,-16(fp) - 530c: 00001d06 br 5384 + 530c: e03ffc15 stw zero,-16(fp) + 5310: 00001d06 br 5388 { if (!alt_fd_list[i].dev) - 5310: 04000034 movhi r16,0 - 5314: 84182b04 addi r16,r16,24748 - 5318: e0bffc17 ldw r2,-16(fp) - 531c: 01400304 movi r5,12 - 5320: 1009883a mov r4,r2 - 5324: 00031180 call 3118 <__mulsi3> - 5328: 8085883a add r2,r16,r2 - 532c: 10800017 ldw r2,0(r2) - 5330: 1000111e bne r2,zero,5378 + 5314: 04000034 movhi r16,0 + 5318: 84182c04 addi r16,r16,24752 + 531c: e0bffc17 ldw r2,-16(fp) + 5320: 01400304 movi r5,12 + 5324: 1009883a mov r4,r2 + 5328: 000311c0 call 311c <__mulsi3> + 532c: 8085883a add r2,r16,r2 + 5330: 10800017 ldw r2,0(r2) + 5334: 1000111e bne r2,zero,537c { alt_fd_list[i].dev = dev; - 5334: 04000034 movhi r16,0 - 5338: 84182b04 addi r16,r16,24748 - 533c: e0bffc17 ldw r2,-16(fp) - 5340: 01400304 movi r5,12 - 5344: 1009883a mov r4,r2 - 5348: 00031180 call 3118 <__mulsi3> - 534c: 8085883a add r2,r16,r2 - 5350: e0fffe17 ldw r3,-8(fp) - 5354: 10c00015 stw r3,0(r2) + 5338: 04000034 movhi r16,0 + 533c: 84182c04 addi r16,r16,24752 + 5340: e0bffc17 ldw r2,-16(fp) + 5344: 01400304 movi r5,12 + 5348: 1009883a mov r4,r2 + 534c: 000311c0 call 311c <__mulsi3> + 5350: 8085883a add r2,r16,r2 + 5354: e0fffe17 ldw r3,-8(fp) + 5358: 10c00015 stw r3,0(r2) if (i > alt_max_fd) - 5358: d0e00817 ldw r3,-32736(gp) - 535c: e0bffc17 ldw r2,-16(fp) - 5360: 1880020e bge r3,r2,536c + 535c: d0e00817 ldw r3,-32736(gp) + 5360: e0bffc17 ldw r2,-16(fp) + 5364: 1880020e bge r3,r2,5370 { alt_max_fd = i; - 5364: e0bffc17 ldw r2,-16(fp) - 5368: d0a00815 stw r2,-32736(gp) + 5368: e0bffc17 ldw r2,-16(fp) + 536c: d0a00815 stw r2,-32736(gp) } rc = i; - 536c: e0bffc17 ldw r2,-16(fp) - 5370: e0bffd15 stw r2,-12(fp) + 5370: e0bffc17 ldw r2,-16(fp) + 5374: e0bffd15 stw r2,-12(fp) goto alt_get_fd_exit; - 5374: 00000606 br 5390 + 5378: 00000606 br 5394 * indicates the highest file descriptor ever allocated. This is used to * improve efficency when searching the file descriptor list, and * therefore reduce contention on the alt_fd_list_lock semaphore. */ for (i = 0; i < ALT_MAX_FD; i++) - 5378: e0bffc17 ldw r2,-16(fp) - 537c: 10800044 addi r2,r2,1 - 5380: e0bffc15 stw r2,-16(fp) - 5384: e0bffc17 ldw r2,-16(fp) - 5388: 10800810 cmplti r2,r2,32 - 538c: 103fe01e bne r2,zero,5310 <__alt_data_end+0xfffe5310> + 537c: e0bffc17 ldw r2,-16(fp) + 5380: 10800044 addi r2,r2,1 + 5384: e0bffc15 stw r2,-16(fp) + 5388: e0bffc17 ldw r2,-16(fp) + 538c: 10800810 cmplti r2,r2,32 + 5390: 103fe01e bne r2,zero,5314 <__alt_data_end+0xfffe5314> * file descriptor pool. */ ALT_SEM_POST(alt_fd_list_lock); return rc; - 5390: e0bffd17 ldw r2,-12(fp) + 5394: e0bffd17 ldw r2,-12(fp) } - 5394: e6ffff04 addi sp,fp,-4 - 5398: dfc00217 ldw ra,8(sp) - 539c: df000117 ldw fp,4(sp) - 53a0: dc000017 ldw r16,0(sp) - 53a4: dec00304 addi sp,sp,12 - 53a8: f800283a ret + 5398: e6ffff04 addi sp,fp,-4 + 539c: dfc00217 ldw ra,8(sp) + 53a0: df000117 ldw fp,4(sp) + 53a4: dc000017 ldw r16,0(sp) + 53a8: dec00304 addi sp,sp,12 + 53ac: f800283a ret -000053ac : +000053b0 : * Return: 1: BADADDR (bad_addr argument to handler) is valid * 0: BADADDR is not valid */ int alt_exception_cause_generated_bad_addr(alt_exception_cause cause) { - 53ac: defffe04 addi sp,sp,-8 - 53b0: df000115 stw fp,4(sp) - 53b4: df000104 addi fp,sp,4 - 53b8: e13fff15 stw r4,-4(fp) + 53b0: defffe04 addi sp,sp,-8 + 53b4: df000115 stw fp,4(sp) + 53b8: df000104 addi fp,sp,4 + 53bc: e13fff15 stw r4,-4(fp) switch (cause) { - 53bc: e0bfff17 ldw r2,-4(fp) - 53c0: 10bffe84 addi r2,r2,-6 - 53c4: 10c00428 cmpgeui r3,r2,16 - 53c8: 18001a1e bne r3,zero,5434 - 53cc: 100690ba slli r3,r2,2 - 53d0: 00800034 movhi r2,0 - 53d4: 1094f904 addi r2,r2,21476 - 53d8: 1885883a add r2,r3,r2 - 53dc: 10800017 ldw r2,0(r2) - 53e0: 1000683a jmp r2 - 53e4: 00005424 muli zero,zero,336 - 53e8: 00005424 muli zero,zero,336 - 53ec: 00005434 movhi zero,336 - 53f0: 00005434 movhi zero,336 - 53f4: 00005434 movhi zero,336 - 53f8: 00005424 muli zero,zero,336 - 53fc: 0000542c andhi zero,zero,336 - 5400: 00005434 movhi zero,336 - 5404: 00005424 muli zero,zero,336 - 5408: 00005424 muli zero,zero,336 - 540c: 00005434 movhi zero,336 - 5410: 00005424 muli zero,zero,336 - 5414: 0000542c andhi zero,zero,336 - 5418: 00005434 movhi zero,336 - 541c: 00005434 movhi zero,336 - 5420: 00005424 muli zero,zero,336 + 53c0: e0bfff17 ldw r2,-4(fp) + 53c4: 10bffe84 addi r2,r2,-6 + 53c8: 10c00428 cmpgeui r3,r2,16 + 53cc: 18001a1e bne r3,zero,5438 + 53d0: 100690ba slli r3,r2,2 + 53d4: 00800034 movhi r2,0 + 53d8: 1094fa04 addi r2,r2,21480 + 53dc: 1885883a add r2,r3,r2 + 53e0: 10800017 ldw r2,0(r2) + 53e4: 1000683a jmp r2 + 53e8: 00005428 cmpgeui zero,zero,336 + 53ec: 00005428 cmpgeui zero,zero,336 + 53f0: 00005438 rdprs zero,zero,336 + 53f4: 00005438 rdprs zero,zero,336 + 53f8: 00005438 rdprs zero,zero,336 + 53fc: 00005428 cmpgeui zero,zero,336 + 5400: 00005430 cmpltui zero,zero,336 + 5404: 00005438 rdprs zero,zero,336 + 5408: 00005428 cmpgeui zero,zero,336 + 540c: 00005428 cmpgeui zero,zero,336 + 5410: 00005438 rdprs zero,zero,336 + 5414: 00005428 cmpgeui zero,zero,336 + 5418: 00005430 cmpltui zero,zero,336 + 541c: 00005438 rdprs zero,zero,336 + 5420: 00005438 rdprs zero,zero,336 + 5424: 00005428 cmpgeui zero,zero,336 case NIOS2_EXCEPTION_MISALIGNED_TARGET_PC: case NIOS2_EXCEPTION_TLB_READ_PERM_VIOLATION: case NIOS2_EXCEPTION_TLB_WRITE_PERM_VIOLATION: case NIOS2_EXCEPTION_MPU_DATA_REGION_VIOLATION: case NIOS2_EXCEPTION_ECC_DATA_ERR: return 1; - 5424: 00800044 movi r2,1 - 5428: 00000306 br 5438 + 5428: 00800044 movi r2,1 + 542c: 00000306 br 543c case NIOS2_EXCEPTION_TLB_MISS: case NIOS2_EXCEPTION_ECC_TLB_ERR: return 0; - 542c: 0005883a mov r2,zero - 5430: 00000106 br 5438 + 5430: 0005883a mov r2,zero + 5434: 00000106 br 543c default: return 0; - 5434: 0005883a mov r2,zero + 5438: 0005883a mov r2,zero } } - 5438: e037883a mov sp,fp - 543c: df000017 ldw fp,0(sp) - 5440: dec00104 addi sp,sp,4 - 5444: f800283a ret + 543c: e037883a mov sp,fp + 5440: df000017 ldw fp,0(sp) + 5444: dec00104 addi sp,sp,4 + 5448: f800283a ret -00005448 : - 5448: 200b883a mov r5,r4 - 544c: 000f883a mov r7,zero - 5450: 000d883a mov r6,zero - 5454: 0009883a mov r4,zero - 5458: 00055101 jmpi 5510 <__register_exitproc> +0000544c : + 544c: 200b883a mov r5,r4 + 5450: 000f883a mov r7,zero + 5454: 000d883a mov r6,zero + 5458: 0009883a mov r4,zero + 545c: 00055141 jmpi 5514 <__register_exitproc> -0000545c : - 545c: defffe04 addi sp,sp,-8 - 5460: 000b883a mov r5,zero - 5464: dc000015 stw r16,0(sp) - 5468: dfc00115 stw ra,4(sp) - 546c: 2021883a mov r16,r4 - 5470: 00056280 call 5628 <__call_exitprocs> - 5474: 00800034 movhi r2,0 - 5478: 109ca304 addi r2,r2,29324 - 547c: 11000017 ldw r4,0(r2) - 5480: 20800f17 ldw r2,60(r4) - 5484: 10000126 beq r2,zero,548c - 5488: 103ee83a callr r2 - 548c: 8009883a mov r4,r16 - 5490: 00057a80 call 57a8 <_exit> +00005460 : + 5460: defffe04 addi sp,sp,-8 + 5464: 000b883a mov r5,zero + 5468: dc000015 stw r16,0(sp) + 546c: dfc00115 stw ra,4(sp) + 5470: 2021883a mov r16,r4 + 5474: 000562c0 call 562c <__call_exitprocs> + 5478: 00800034 movhi r2,0 + 547c: 109ca404 addi r2,r2,29328 + 5480: 11000017 ldw r4,0(r2) + 5484: 20800f17 ldw r2,60(r4) + 5488: 10000126 beq r2,zero,5490 + 548c: 103ee83a callr r2 + 5490: 8009883a mov r4,r16 + 5494: 00057ac0 call 57ac <_exit> -00005494 : - 5494: 01c000c4 movi r7,3 - 5498: 3980192e bgeu r7,r6,5500 - 549c: 2144b03a or r2,r4,r5 - 54a0: 11c4703a and r2,r2,r7 - 54a4: 10000f26 beq r2,zero,54e4 - 54a8: 20800003 ldbu r2,0(r4) - 54ac: 28c00003 ldbu r3,0(r5) - 54b0: 10c0151e bne r2,r3,5508 - 54b4: 31bfff84 addi r6,r6,-2 - 54b8: 01ffffc4 movi r7,-1 - 54bc: 00000406 br 54d0 - 54c0: 20800003 ldbu r2,0(r4) - 54c4: 28c00003 ldbu r3,0(r5) - 54c8: 31bfffc4 addi r6,r6,-1 - 54cc: 10c00e1e bne r2,r3,5508 - 54d0: 21000044 addi r4,r4,1 - 54d4: 29400044 addi r5,r5,1 - 54d8: 31fff91e bne r6,r7,54c0 <__alt_data_end+0xfffe54c0> - 54dc: 0005883a mov r2,zero - 54e0: f800283a ret - 54e4: 20c00017 ldw r3,0(r4) - 54e8: 28800017 ldw r2,0(r5) - 54ec: 18bfee1e bne r3,r2,54a8 <__alt_data_end+0xfffe54a8> - 54f0: 31bfff04 addi r6,r6,-4 - 54f4: 21000104 addi r4,r4,4 - 54f8: 29400104 addi r5,r5,4 - 54fc: 39bff936 bltu r7,r6,54e4 <__alt_data_end+0xfffe54e4> - 5500: 303fe91e bne r6,zero,54a8 <__alt_data_end+0xfffe54a8> - 5504: 003ff506 br 54dc <__alt_data_end+0xfffe54dc> - 5508: 10c5c83a sub r2,r2,r3 - 550c: f800283a ret +00005498 : + 5498: 01c000c4 movi r7,3 + 549c: 3980192e bgeu r7,r6,5504 + 54a0: 2144b03a or r2,r4,r5 + 54a4: 11c4703a and r2,r2,r7 + 54a8: 10000f26 beq r2,zero,54e8 + 54ac: 20800003 ldbu r2,0(r4) + 54b0: 28c00003 ldbu r3,0(r5) + 54b4: 10c0151e bne r2,r3,550c + 54b8: 31bfff84 addi r6,r6,-2 + 54bc: 01ffffc4 movi r7,-1 + 54c0: 00000406 br 54d4 + 54c4: 20800003 ldbu r2,0(r4) + 54c8: 28c00003 ldbu r3,0(r5) + 54cc: 31bfffc4 addi r6,r6,-1 + 54d0: 10c00e1e bne r2,r3,550c + 54d4: 21000044 addi r4,r4,1 + 54d8: 29400044 addi r5,r5,1 + 54dc: 31fff91e bne r6,r7,54c4 <__alt_data_end+0xfffe54c4> + 54e0: 0005883a mov r2,zero + 54e4: f800283a ret + 54e8: 20c00017 ldw r3,0(r4) + 54ec: 28800017 ldw r2,0(r5) + 54f0: 18bfee1e bne r3,r2,54ac <__alt_data_end+0xfffe54ac> + 54f4: 31bfff04 addi r6,r6,-4 + 54f8: 21000104 addi r4,r4,4 + 54fc: 29400104 addi r5,r5,4 + 5500: 39bff936 bltu r7,r6,54e8 <__alt_data_end+0xfffe54e8> + 5504: 303fe91e bne r6,zero,54ac <__alt_data_end+0xfffe54ac> + 5508: 003ff506 br 54e0 <__alt_data_end+0xfffe54e0> + 550c: 10c5c83a sub r2,r2,r3 + 5510: f800283a ret -00005510 <__register_exitproc>: - 5510: defffa04 addi sp,sp,-24 - 5514: dc000315 stw r16,12(sp) - 5518: 04000034 movhi r16,0 - 551c: 841ca304 addi r16,r16,29324 - 5520: 80c00017 ldw r3,0(r16) - 5524: dc400415 stw r17,16(sp) - 5528: dfc00515 stw ra,20(sp) - 552c: 18805217 ldw r2,328(r3) - 5530: 2023883a mov r17,r4 - 5534: 10003726 beq r2,zero,5614 <__register_exitproc+0x104> - 5538: 10c00117 ldw r3,4(r2) - 553c: 010007c4 movi r4,31 - 5540: 20c00e16 blt r4,r3,557c <__register_exitproc+0x6c> - 5544: 1a000044 addi r8,r3,1 - 5548: 8800221e bne r17,zero,55d4 <__register_exitproc+0xc4> - 554c: 18c00084 addi r3,r3,2 - 5550: 18c7883a add r3,r3,r3 +00005514 <__register_exitproc>: + 5514: defffa04 addi sp,sp,-24 + 5518: dc000315 stw r16,12(sp) + 551c: 04000034 movhi r16,0 + 5520: 841ca404 addi r16,r16,29328 + 5524: 80c00017 ldw r3,0(r16) + 5528: dc400415 stw r17,16(sp) + 552c: dfc00515 stw ra,20(sp) + 5530: 18805217 ldw r2,328(r3) + 5534: 2023883a mov r17,r4 + 5538: 10003726 beq r2,zero,5618 <__register_exitproc+0x104> + 553c: 10c00117 ldw r3,4(r2) + 5540: 010007c4 movi r4,31 + 5544: 20c00e16 blt r4,r3,5580 <__register_exitproc+0x6c> + 5548: 1a000044 addi r8,r3,1 + 554c: 8800221e bne r17,zero,55d8 <__register_exitproc+0xc4> + 5550: 18c00084 addi r3,r3,2 5554: 18c7883a add r3,r3,r3 - 5558: 12000115 stw r8,4(r2) - 555c: 10c7883a add r3,r2,r3 - 5560: 19400015 stw r5,0(r3) - 5564: 0005883a mov r2,zero - 5568: dfc00517 ldw ra,20(sp) - 556c: dc400417 ldw r17,16(sp) - 5570: dc000317 ldw r16,12(sp) - 5574: dec00604 addi sp,sp,24 - 5578: f800283a ret - 557c: 00800034 movhi r2,0 - 5580: 10800004 addi r2,r2,0 - 5584: 10002626 beq r2,zero,5620 <__register_exitproc+0x110> - 5588: 01006404 movi r4,400 - 558c: d9400015 stw r5,0(sp) - 5590: d9800115 stw r6,4(sp) - 5594: d9c00215 stw r7,8(sp) - 5598: 00000000 call 0 <__reset> - 559c: d9400017 ldw r5,0(sp) - 55a0: d9800117 ldw r6,4(sp) - 55a4: d9c00217 ldw r7,8(sp) - 55a8: 10001d26 beq r2,zero,5620 <__register_exitproc+0x110> - 55ac: 81000017 ldw r4,0(r16) - 55b0: 10000115 stw zero,4(r2) - 55b4: 02000044 movi r8,1 - 55b8: 22405217 ldw r9,328(r4) - 55bc: 0007883a mov r3,zero - 55c0: 12400015 stw r9,0(r2) - 55c4: 20805215 stw r2,328(r4) - 55c8: 10006215 stw zero,392(r2) - 55cc: 10006315 stw zero,396(r2) - 55d0: 883fde26 beq r17,zero,554c <__alt_data_end+0xfffe554c> - 55d4: 18c9883a add r4,r3,r3 - 55d8: 2109883a add r4,r4,r4 - 55dc: 1109883a add r4,r2,r4 - 55e0: 21802215 stw r6,136(r4) - 55e4: 01800044 movi r6,1 - 55e8: 12406217 ldw r9,392(r2) - 55ec: 30cc983a sll r6,r6,r3 - 55f0: 4992b03a or r9,r9,r6 - 55f4: 12406215 stw r9,392(r2) - 55f8: 21c04215 stw r7,264(r4) - 55fc: 01000084 movi r4,2 - 5600: 893fd21e bne r17,r4,554c <__alt_data_end+0xfffe554c> - 5604: 11006317 ldw r4,396(r2) - 5608: 218cb03a or r6,r4,r6 - 560c: 11806315 stw r6,396(r2) - 5610: 003fce06 br 554c <__alt_data_end+0xfffe554c> - 5614: 18805304 addi r2,r3,332 - 5618: 18805215 stw r2,328(r3) - 561c: 003fc606 br 5538 <__alt_data_end+0xfffe5538> - 5620: 00bfffc4 movi r2,-1 - 5624: 003fd006 br 5568 <__alt_data_end+0xfffe5568> + 5558: 18c7883a add r3,r3,r3 + 555c: 12000115 stw r8,4(r2) + 5560: 10c7883a add r3,r2,r3 + 5564: 19400015 stw r5,0(r3) + 5568: 0005883a mov r2,zero + 556c: dfc00517 ldw ra,20(sp) + 5570: dc400417 ldw r17,16(sp) + 5574: dc000317 ldw r16,12(sp) + 5578: dec00604 addi sp,sp,24 + 557c: f800283a ret + 5580: 00800034 movhi r2,0 + 5584: 10800004 addi r2,r2,0 + 5588: 10002626 beq r2,zero,5624 <__register_exitproc+0x110> + 558c: 01006404 movi r4,400 + 5590: d9400015 stw r5,0(sp) + 5594: d9800115 stw r6,4(sp) + 5598: d9c00215 stw r7,8(sp) + 559c: 00000000 call 0 <__alt_mem_mem> + 55a0: d9400017 ldw r5,0(sp) + 55a4: d9800117 ldw r6,4(sp) + 55a8: d9c00217 ldw r7,8(sp) + 55ac: 10001d26 beq r2,zero,5624 <__register_exitproc+0x110> + 55b0: 81000017 ldw r4,0(r16) + 55b4: 10000115 stw zero,4(r2) + 55b8: 02000044 movi r8,1 + 55bc: 22405217 ldw r9,328(r4) + 55c0: 0007883a mov r3,zero + 55c4: 12400015 stw r9,0(r2) + 55c8: 20805215 stw r2,328(r4) + 55cc: 10006215 stw zero,392(r2) + 55d0: 10006315 stw zero,396(r2) + 55d4: 883fde26 beq r17,zero,5550 <__alt_data_end+0xfffe5550> + 55d8: 18c9883a add r4,r3,r3 + 55dc: 2109883a add r4,r4,r4 + 55e0: 1109883a add r4,r2,r4 + 55e4: 21802215 stw r6,136(r4) + 55e8: 01800044 movi r6,1 + 55ec: 12406217 ldw r9,392(r2) + 55f0: 30cc983a sll r6,r6,r3 + 55f4: 4992b03a or r9,r9,r6 + 55f8: 12406215 stw r9,392(r2) + 55fc: 21c04215 stw r7,264(r4) + 5600: 01000084 movi r4,2 + 5604: 893fd21e bne r17,r4,5550 <__alt_data_end+0xfffe5550> + 5608: 11006317 ldw r4,396(r2) + 560c: 218cb03a or r6,r4,r6 + 5610: 11806315 stw r6,396(r2) + 5614: 003fce06 br 5550 <__alt_data_end+0xfffe5550> + 5618: 18805304 addi r2,r3,332 + 561c: 18805215 stw r2,328(r3) + 5620: 003fc606 br 553c <__alt_data_end+0xfffe553c> + 5624: 00bfffc4 movi r2,-1 + 5628: 003fd006 br 556c <__alt_data_end+0xfffe556c> -00005628 <__call_exitprocs>: - 5628: defff504 addi sp,sp,-44 - 562c: df000915 stw fp,36(sp) - 5630: dd400615 stw r21,24(sp) - 5634: dc800315 stw r18,12(sp) - 5638: dfc00a15 stw ra,40(sp) - 563c: ddc00815 stw r23,32(sp) - 5640: dd800715 stw r22,28(sp) - 5644: dd000515 stw r20,20(sp) - 5648: dcc00415 stw r19,16(sp) - 564c: dc400215 stw r17,8(sp) - 5650: dc000115 stw r16,4(sp) - 5654: d9000015 stw r4,0(sp) - 5658: 2839883a mov fp,r5 - 565c: 04800044 movi r18,1 - 5660: 057fffc4 movi r21,-1 - 5664: 00800034 movhi r2,0 - 5668: 109ca304 addi r2,r2,29324 - 566c: 12000017 ldw r8,0(r2) - 5670: 45005217 ldw r20,328(r8) - 5674: 44c05204 addi r19,r8,328 - 5678: a0001c26 beq r20,zero,56ec <__call_exitprocs+0xc4> - 567c: a0800117 ldw r2,4(r20) - 5680: 15ffffc4 addi r23,r2,-1 - 5684: b8000d16 blt r23,zero,56bc <__call_exitprocs+0x94> - 5688: 14000044 addi r16,r2,1 - 568c: 8421883a add r16,r16,r16 +0000562c <__call_exitprocs>: + 562c: defff504 addi sp,sp,-44 + 5630: df000915 stw fp,36(sp) + 5634: dd400615 stw r21,24(sp) + 5638: dc800315 stw r18,12(sp) + 563c: dfc00a15 stw ra,40(sp) + 5640: ddc00815 stw r23,32(sp) + 5644: dd800715 stw r22,28(sp) + 5648: dd000515 stw r20,20(sp) + 564c: dcc00415 stw r19,16(sp) + 5650: dc400215 stw r17,8(sp) + 5654: dc000115 stw r16,4(sp) + 5658: d9000015 stw r4,0(sp) + 565c: 2839883a mov fp,r5 + 5660: 04800044 movi r18,1 + 5664: 057fffc4 movi r21,-1 + 5668: 00800034 movhi r2,0 + 566c: 109ca404 addi r2,r2,29328 + 5670: 12000017 ldw r8,0(r2) + 5674: 45005217 ldw r20,328(r8) + 5678: 44c05204 addi r19,r8,328 + 567c: a0001c26 beq r20,zero,56f0 <__call_exitprocs+0xc4> + 5680: a0800117 ldw r2,4(r20) + 5684: 15ffffc4 addi r23,r2,-1 + 5688: b8000d16 blt r23,zero,56c0 <__call_exitprocs+0x94> + 568c: 14000044 addi r16,r2,1 5690: 8421883a add r16,r16,r16 - 5694: 84402004 addi r17,r16,128 - 5698: a463883a add r17,r20,r17 - 569c: a421883a add r16,r20,r16 - 56a0: e0001e26 beq fp,zero,571c <__call_exitprocs+0xf4> - 56a4: 80804017 ldw r2,256(r16) - 56a8: e0801c26 beq fp,r2,571c <__call_exitprocs+0xf4> - 56ac: bdffffc4 addi r23,r23,-1 - 56b0: 843fff04 addi r16,r16,-4 - 56b4: 8c7fff04 addi r17,r17,-4 - 56b8: bd7ff91e bne r23,r21,56a0 <__alt_data_end+0xfffe56a0> - 56bc: 00800034 movhi r2,0 - 56c0: 10800004 addi r2,r2,0 - 56c4: 10000926 beq r2,zero,56ec <__call_exitprocs+0xc4> - 56c8: a0800117 ldw r2,4(r20) - 56cc: 1000301e bne r2,zero,5790 <__call_exitprocs+0x168> - 56d0: a0800017 ldw r2,0(r20) - 56d4: 10003226 beq r2,zero,57a0 <__call_exitprocs+0x178> - 56d8: a009883a mov r4,r20 - 56dc: 98800015 stw r2,0(r19) - 56e0: 00000000 call 0 <__reset> - 56e4: 9d000017 ldw r20,0(r19) - 56e8: a03fe41e bne r20,zero,567c <__alt_data_end+0xfffe567c> - 56ec: dfc00a17 ldw ra,40(sp) - 56f0: df000917 ldw fp,36(sp) - 56f4: ddc00817 ldw r23,32(sp) - 56f8: dd800717 ldw r22,28(sp) - 56fc: dd400617 ldw r21,24(sp) - 5700: dd000517 ldw r20,20(sp) - 5704: dcc00417 ldw r19,16(sp) - 5708: dc800317 ldw r18,12(sp) - 570c: dc400217 ldw r17,8(sp) - 5710: dc000117 ldw r16,4(sp) - 5714: dec00b04 addi sp,sp,44 - 5718: f800283a ret - 571c: a0800117 ldw r2,4(r20) - 5720: 80c00017 ldw r3,0(r16) - 5724: 10bfffc4 addi r2,r2,-1 - 5728: 15c01426 beq r2,r23,577c <__call_exitprocs+0x154> - 572c: 80000015 stw zero,0(r16) - 5730: 183fde26 beq r3,zero,56ac <__alt_data_end+0xfffe56ac> - 5734: 95c8983a sll r4,r18,r23 - 5738: a0806217 ldw r2,392(r20) - 573c: a5800117 ldw r22,4(r20) - 5740: 2084703a and r2,r4,r2 - 5744: 10000b26 beq r2,zero,5774 <__call_exitprocs+0x14c> - 5748: a0806317 ldw r2,396(r20) - 574c: 2088703a and r4,r4,r2 - 5750: 20000c1e bne r4,zero,5784 <__call_exitprocs+0x15c> - 5754: 89400017 ldw r5,0(r17) - 5758: d9000017 ldw r4,0(sp) - 575c: 183ee83a callr r3 - 5760: a0800117 ldw r2,4(r20) - 5764: 15bfbf1e bne r2,r22,5664 <__alt_data_end+0xfffe5664> - 5768: 98800017 ldw r2,0(r19) - 576c: 153fcf26 beq r2,r20,56ac <__alt_data_end+0xfffe56ac> - 5770: 003fbc06 br 5664 <__alt_data_end+0xfffe5664> - 5774: 183ee83a callr r3 - 5778: 003ff906 br 5760 <__alt_data_end+0xfffe5760> - 577c: a5c00115 stw r23,4(r20) - 5780: 003feb06 br 5730 <__alt_data_end+0xfffe5730> - 5784: 89000017 ldw r4,0(r17) - 5788: 183ee83a callr r3 - 578c: 003ff406 br 5760 <__alt_data_end+0xfffe5760> - 5790: a0800017 ldw r2,0(r20) - 5794: a027883a mov r19,r20 - 5798: 1029883a mov r20,r2 - 579c: 003fb606 br 5678 <__alt_data_end+0xfffe5678> - 57a0: 0005883a mov r2,zero - 57a4: 003ffb06 br 5794 <__alt_data_end+0xfffe5794> + 5694: 8421883a add r16,r16,r16 + 5698: 84402004 addi r17,r16,128 + 569c: a463883a add r17,r20,r17 + 56a0: a421883a add r16,r20,r16 + 56a4: e0001e26 beq fp,zero,5720 <__call_exitprocs+0xf4> + 56a8: 80804017 ldw r2,256(r16) + 56ac: e0801c26 beq fp,r2,5720 <__call_exitprocs+0xf4> + 56b0: bdffffc4 addi r23,r23,-1 + 56b4: 843fff04 addi r16,r16,-4 + 56b8: 8c7fff04 addi r17,r17,-4 + 56bc: bd7ff91e bne r23,r21,56a4 <__alt_data_end+0xfffe56a4> + 56c0: 00800034 movhi r2,0 + 56c4: 10800004 addi r2,r2,0 + 56c8: 10000926 beq r2,zero,56f0 <__call_exitprocs+0xc4> + 56cc: a0800117 ldw r2,4(r20) + 56d0: 1000301e bne r2,zero,5794 <__call_exitprocs+0x168> + 56d4: a0800017 ldw r2,0(r20) + 56d8: 10003226 beq r2,zero,57a4 <__call_exitprocs+0x178> + 56dc: a009883a mov r4,r20 + 56e0: 98800015 stw r2,0(r19) + 56e4: 00000000 call 0 <__alt_mem_mem> + 56e8: 9d000017 ldw r20,0(r19) + 56ec: a03fe41e bne r20,zero,5680 <__alt_data_end+0xfffe5680> + 56f0: dfc00a17 ldw ra,40(sp) + 56f4: df000917 ldw fp,36(sp) + 56f8: ddc00817 ldw r23,32(sp) + 56fc: dd800717 ldw r22,28(sp) + 5700: dd400617 ldw r21,24(sp) + 5704: dd000517 ldw r20,20(sp) + 5708: dcc00417 ldw r19,16(sp) + 570c: dc800317 ldw r18,12(sp) + 5710: dc400217 ldw r17,8(sp) + 5714: dc000117 ldw r16,4(sp) + 5718: dec00b04 addi sp,sp,44 + 571c: f800283a ret + 5720: a0800117 ldw r2,4(r20) + 5724: 80c00017 ldw r3,0(r16) + 5728: 10bfffc4 addi r2,r2,-1 + 572c: 15c01426 beq r2,r23,5780 <__call_exitprocs+0x154> + 5730: 80000015 stw zero,0(r16) + 5734: 183fde26 beq r3,zero,56b0 <__alt_data_end+0xfffe56b0> + 5738: 95c8983a sll r4,r18,r23 + 573c: a0806217 ldw r2,392(r20) + 5740: a5800117 ldw r22,4(r20) + 5744: 2084703a and r2,r4,r2 + 5748: 10000b26 beq r2,zero,5778 <__call_exitprocs+0x14c> + 574c: a0806317 ldw r2,396(r20) + 5750: 2088703a and r4,r4,r2 + 5754: 20000c1e bne r4,zero,5788 <__call_exitprocs+0x15c> + 5758: 89400017 ldw r5,0(r17) + 575c: d9000017 ldw r4,0(sp) + 5760: 183ee83a callr r3 + 5764: a0800117 ldw r2,4(r20) + 5768: 15bfbf1e bne r2,r22,5668 <__alt_data_end+0xfffe5668> + 576c: 98800017 ldw r2,0(r19) + 5770: 153fcf26 beq r2,r20,56b0 <__alt_data_end+0xfffe56b0> + 5774: 003fbc06 br 5668 <__alt_data_end+0xfffe5668> + 5778: 183ee83a callr r3 + 577c: 003ff906 br 5764 <__alt_data_end+0xfffe5764> + 5780: a5c00115 stw r23,4(r20) + 5784: 003feb06 br 5734 <__alt_data_end+0xfffe5734> + 5788: 89000017 ldw r4,0(r17) + 578c: 183ee83a callr r3 + 5790: 003ff406 br 5764 <__alt_data_end+0xfffe5764> + 5794: a0800017 ldw r2,0(r20) + 5798: a027883a mov r19,r20 + 579c: 1029883a mov r20,r2 + 57a0: 003fb606 br 567c <__alt_data_end+0xfffe567c> + 57a4: 0005883a mov r2,zero + 57a8: 003ffb06 br 5798 <__alt_data_end+0xfffe5798> -000057a8 <_exit>: +000057ac <_exit>: * * ALT_EXIT is mapped onto the _exit() system call in alt_syscall.h */ void ALT_EXIT (int exit_code) { - 57a8: defffd04 addi sp,sp,-12 - 57ac: df000215 stw fp,8(sp) - 57b0: df000204 addi fp,sp,8 - 57b4: e13fff15 stw r4,-4(fp) + 57ac: defffd04 addi sp,sp,-12 + 57b0: df000215 stw fp,8(sp) + 57b4: df000204 addi fp,sp,8 + 57b8: e13fff15 stw r4,-4(fp) ALT_LOG_PRINT_BOOT("[alt_exit.c] Entering _exit() function.\r\n"); ALT_LOG_PRINT_BOOT("[alt_exit.c] Exit code from main was %d.\r\n",exit_code); /* Stop all other threads */ ALT_LOG_PRINT_BOOT("[alt_exit.c] Calling ALT_OS_STOP().\r\n"); ALT_OS_STOP(); - 57b8: 0001883a nop - 57bc: e0bfff17 ldw r2,-4(fp) - 57c0: e0bffe15 stw r2,-8(fp) + 57bc: 0001883a nop + 57c0: e0bfff17 ldw r2,-4(fp) + 57c4: e0bffe15 stw r2,-8(fp) /* * Routine called on exit. */ static ALT_INLINE ALT_ALWAYS_INLINE void alt_sim_halt(int exit_code) { register int r2 asm ("r2") = exit_code; - 57c4: e0bffe17 ldw r2,-8(fp) + 57c8: e0bffe17 ldw r2,-8(fp) __asm__ volatile ("\n0:\n\taddi %0,%0, -1\n\tbgt %0,zero,0b" : : "r" (ALT_CPU_FREQ/100) ); /* Delay for >30ms */ __asm__ volatile ("break 2" : : "r"(r2), "r"(r3) ALT_GMON_DATA ); #else /* !DEBUG_STUB */ if (r2) { - 57c8: 10000226 beq r2,zero,57d4 <_exit+0x2c> + 57cc: 10000226 beq r2,zero,57d8 <_exit+0x2c> ALT_SIM_FAIL(); - 57cc: 002af070 cmpltui zero,zero,43969 - 57d0: 00000106 br 57d8 <_exit+0x30> + 57d0: 002af070 cmpltui zero,zero,43969 + 57d4: 00000106 br 57dc <_exit+0x30> } else { ALT_SIM_PASS(); - 57d4: 002af0b0 cmpltui zero,zero,43970 + 57d8: 002af0b0 cmpltui zero,zero,43970 ALT_SIM_HALT(exit_code); /* spin forever, since there's no where to go back to */ ALT_LOG_PRINT_BOOT("[alt_exit.c] Spinning forever.\r\n"); while (1); - 57d8: 003fff06 br 57d8 <__alt_data_end+0xfffe57d8> + 57dc: 003fff06 br 57dc <__alt_data_end+0xfffe57dc> diff --git a/Top/software/semafor/mem_init/hdl_sim/niosII_mem.dat b/Top/software/semafor/mem_init/hdl_sim/niosII_mem.dat index 5e374a4..4f38832 100644 --- a/Top/software/semafor/mem_init/hdl_sim/niosII_mem.dat +++ b/Top/software/semafor/mem_init/hdl_sim/niosII_mem.dat @@ -78,13 +78,13 @@ @004D 1884703A @004E 10001426 @004F 00800034 -@0050 109CC604 +@0050 109CC704 @0051 E0FFFD17 @0052 180690FA @0053 10C5883A @0054 10C00017 @0055 00800034 -@0056 109CC604 +@0056 109CC704 @0057 E13FFD17 @0058 200890FA @0059 1105883A @@ -141,5522 +141,5522 @@ @008C 06C000B4 @008D DEC00014 @008E 06800034 -@008F D6BCA314 -@0090 000359C0 +@008F D6BCA414 +@0090 00035A00 @0091 003FFF06 @0092 DEFFFA04 @0093 DFC00515 @0094 DF000415 @0095 DF000404 @0096 008000B4 -@0097 10840804 +@0097 10840004 @0098 E0BFFE15 @0099 E03FFC15 -@009A 00002206 +@009A 00002306 @009B E03FFF15 @009C 00800104 @009D E0BFFD15 -@009E 00001306 -@009F E0BFFF17 -@00A0 1008923A -@00A1 E0BFFD17 -@00A2 117FFFC4 -@00A3 00800034 -@00A4 1095F704 -@00A5 E0FFFC17 -@00A6 18C7883A +@009E 00001406 +@009F E0FFFF17 +@00A0 00800804 +@00A1 1888983A +@00A2 E0BFFD17 +@00A3 117FFFC4 +@00A4 00800034 +@00A5 1095F804 +@00A6 E0FFFC17 @00A7 18C7883A -@00A8 1947883A -@00A9 18C7883A +@00A8 18C7883A +@00A9 1947883A @00AA 18C7883A -@00AB 10C5883A -@00AC 10800017 -@00AD 2084B03A -@00AE E0BFFF15 -@00AF E0BFFD17 -@00B0 10BFFFC4 -@00B1 E0BFFD15 -@00B2 E0BFFD17 -@00B3 00BFEB16 -@00B4 E0BFFE17 -@00B5 E0FFFF17 -@00B6 10C00015 -@00B7 E0BFFE17 -@00B8 10800104 -@00B9 E0BFFE15 -@00BA E0BFFC17 -@00BB 10800044 -@00BC E0BFFC15 -@00BD E0BFFC17 -@00BE 10800110 -@00BF 103FDB1E -@00C0 00032540 -@00C1 0007883A -@00C2 008000B4 -@00C3 10840D04 -@00C4 10C00035 -@00C5 00C00044 -@00C6 008000B4 -@00C7 10840C04 -@00C8 10C00035 -@00C9 01000034 -@00CA 21160704 -@00CB 00003F40 -@00CC 003FFF06 -@00CD DEFFF604 -@00CE DC000715 -@00CF 2021883A -@00D0 2809883A -@00D1 DC400815 -@00D2 DFC00915 -@00D3 2823883A -@00D4 00004080 -@00D5 10C00044 -@00D6 D8800115 -@00D7 00800034 -@00D8 10960904 -@00D9 D8800215 -@00DA 00800044 -@00DB D8800315 -@00DC 00800084 -@00DD DC400015 -@00DE D8C00615 -@00DF DEC00415 -@00E0 D8800515 -@00E1 80000226 -@00E2 80800E17 -@00E3 10001426 -@00E4 81400217 -@00E5 2880030B -@00E6 10C8000C -@00E7 1800061E -@00E8 29001917 -@00E9 00F7FFC4 -@00EA 10880014 -@00EB 20C6703A -@00EC 2880030D -@00ED 28C01915 -@00EE D9800404 -@00EF 8009883A -@00F0 00008500 -@00F1 1000091E -@00F2 00800284 -@00F3 DFC00917 -@00F4 DC400817 -@00F5 DC000717 -@00F6 DEC00A04 -@00F7 F800283A -@00F8 8009883A -@00F9 00008000 -@00FA 003FE906 -@00FB 00BFFFC4 -@00FC 003FF606 -@00FD 00800034 -@00FE 109CA404 -@00FF 200B883A -@0100 11000017 -@0101 00003341 -@0102 208000CC -@0103 10002026 -@0104 20800007 -@0105 10002026 -@0106 2005883A -@0107 00000206 -@0108 10C00007 -@0109 18001826 -@010A 10800044 -@010B 10C000CC -@010C 183FFB1E -@010D 10C00017 -@010E 01FFBFF4 -@010F 39FFBFC4 -@0110 00CA303A -@0111 01A02074 -@0112 19C7883A -@0113 31A02004 -@0114 1946703A -@0115 1986703A -@0116 1800091E -@0117 10800104 -@0118 10C00017 -@0119 19CB883A -@011A 00C6303A -@011B 28C6703A -@011C 1986703A -@011D 183FF926 -@011E 00000106 -@011F 10800044 -@0120 10C00007 -@0121 183FFD1E -@0122 1105C83A -@0123 F800283A -@0124 2005883A -@0125 003FE706 -@0126 0005883A -@0127 F800283A -@0128 0005883A -@0129 F800283A -@012A 01400034 -@012B 29491604 -@012C 0000DDC1 -@012D DEFFF704 -@012E 00C00034 -@012F DFC00815 -@0130 DDC00715 -@0131 DD800615 -@0132 DD400515 -@0133 DD000415 -@0134 DCC00315 -@0135 DC800215 -@0136 DC400115 -@0137 DC000015 -@0138 18C12A04 -@0139 24000117 -@013A 20C00F15 -@013B 2080BB04 -@013C 00C000C4 -@013D 20C0B915 -@013E 2080BA15 -@013F 2000B815 -@0140 05C00204 -@0141 00800104 -@0142 2025883A -@0143 B80D883A -@0144 81001704 -@0145 000B883A -@0146 80000015 -@0147 80000115 -@0148 80000215 -@0149 8080030D -@014A 80001915 -@014B 8000038D -@014C 80000415 -@014D 80000515 -@014E 80000615 -@014F 0001A340 -@0150 05800034 -@0151 94400217 -@0152 05400034 -@0153 05000034 -@0154 04C00034 -@0155 B5884504 -@0156 AD485C04 -@0157 A5087B04 -@0158 9CC89204 -@0159 85800815 -@015A 85400915 -@015B 85000A15 -@015C 84C00B15 -@015D 84000715 -@015E 00800284 -@015F 8880030D -@0160 00800044 -@0161 B80D883A -@0162 89001704 -@0163 000B883A -@0164 88000015 -@0165 88000115 -@0166 88000215 -@0167 88001915 -@0168 8880038D -@0169 88000415 -@016A 88000515 -@016B 88000615 -@016C 0001A340 -@016D 94000317 -@016E 00800484 -@016F 8C400715 -@0170 8D800815 -@0171 8D400915 -@0172 8D000A15 -@0173 8CC00B15 -@0174 8080030D -@0175 00800084 -@0176 80000015 -@0177 80000115 -@0178 80000215 -@0179 80001915 -@017A 8080038D -@017B 80000415 -@017C 80000515 -@017D 80000615 -@017E B80D883A -@017F 000B883A -@0180 81001704 -@0181 0001A340 -@0182 00800044 -@0183 84000715 -@0184 85800815 -@0185 85400915 -@0186 85000A15 -@0187 84C00B15 -@0188 90800E15 -@0189 DFC00817 -@018A DDC00717 -@018B DD800617 -@018C DD400517 -@018D DD000417 -@018E DCC00317 -@018F DC800217 -@0190 DC400117 -@0191 DC000017 -@0192 DEC00904 -@0193 F800283A -@0194 0005883A -@0195 F800283A -@0196 DEFFFC04 -@0197 DC800215 -@0198 2825883A -@0199 DC000015 -@019A 01401A04 -@019B 2021883A -@019C 913FFFC4 -@019D DFC00315 -@019E DC400115 -@019F 00031180 -@01A0 8009883A -@01A1 11401D04 -@01A2 1023883A -@01A3 0000EA00 -@01A4 1021883A -@01A5 10000726 -@01A6 11000304 -@01A7 10000015 -@01A8 14800115 -@01A9 11000215 -@01AA 89801A04 -@01AB 000B883A -@01AC 0001A340 -@01AD 8005883A -@01AE DFC00317 -@01AF DC800217 -@01B0 DC400117 -@01B1 DC000017 -@01B2 DEC00404 -@01B3 F800283A -@01B4 DEFFFB04 -@01B5 DC000015 -@01B6 04000034 -@01B7 841CA304 -@01B8 DCC00315 -@01B9 2027883A -@01BA 81000017 -@01BB DFC00415 -@01BC DC800215 -@01BD 20800E17 -@01BE DC400115 -@01BF 1000021E -@01C0 00004B40 -@01C1 81000017 -@01C2 2480B804 -@01C3 047FFFC4 -@01C4 91000117 -@01C5 94000217 -@01C6 213FFFC4 -@01C7 20000A16 -@01C8 8080030F -@01C9 10000C26 -@01CA 80C01D04 -@01CB 00000206 -@01CC 18BFE60F -@01CD 10000826 -@01CE 213FFFC4 -@01CF 1C3FFD04 -@01D0 18C01A04 -@01D1 247FFA1E -@01D2 90800017 -@01D3 10001D26 -@01D4 1025883A -@01D5 003FEE06 -@01D6 00BFFFC4 -@01D7 8080038D -@01D8 00800044 -@01D9 8080030D -@01DA 80001915 -@01DB 80000015 -@01DC 80000215 -@01DD 80000115 -@01DE 80000415 -@01DF 80000515 -@01E0 80000615 -@01E1 01800204 -@01E2 000B883A -@01E3 81001704 -@01E4 0001A340 -@01E5 8005883A -@01E6 80000C15 -@01E7 80000D15 -@01E8 80001115 -@01E9 80001215 -@01EA DFC00417 -@01EB DCC00317 -@01EC DC800217 -@01ED DC400117 -@01EE DC000017 -@01EF DEC00504 -@01F0 F800283A -@01F1 01400104 -@01F2 9809883A -@01F3 00006580 -@01F4 90800015 -@01F5 103FDE1E -@01F6 00800304 -@01F7 98800015 -@01F8 0005883A -@01F9 003FF006 -@01FA 00800034 -@01FB 109CA304 -@01FC 11000017 -@01FD 01400034 -@01FE 29491604 -@01FF 0000DDC1 -@0200 20800E17 -@0201 10000126 -@0202 F800283A -@0203 00004B41 -@0204 F800283A +@00AB 18C7883A +@00AC 10C5883A +@00AD 10800017 +@00AE 2084B03A +@00AF E0BFFF15 +@00B0 E0BFFD17 +@00B1 10BFFFC4 +@00B2 E0BFFD15 +@00B3 E0BFFD17 +@00B4 00BFEA16 +@00B5 E0BFFE17 +@00B6 E0FFFF17 +@00B7 10C00015 +@00B8 E0BFFE17 +@00B9 10800104 +@00BA E0BFFE15 +@00BB E0BFFC17 +@00BC 10800044 +@00BD E0BFFC15 +@00BE E0BFFC17 +@00BF 10800110 +@00C0 103FDA1E +@00C1 00032580 +@00C2 0007883A +@00C3 008000B4 +@00C4 10841904 +@00C5 10C00035 +@00C6 00C00044 +@00C7 008000B4 +@00C8 10841804 +@00C9 10C00035 +@00CA 01000034 +@00CB 21160804 +@00CC 00003F80 +@00CD 003FFF06 +@00CE DEFFF604 +@00CF DC000715 +@00D0 2021883A +@00D1 2809883A +@00D2 DC400815 +@00D3 DFC00915 +@00D4 2823883A +@00D5 000040C0 +@00D6 10C00044 +@00D7 D8800115 +@00D8 00800034 +@00D9 10960A04 +@00DA D8800215 +@00DB 00800044 +@00DC D8800315 +@00DD 00800084 +@00DE DC400015 +@00DF D8C00615 +@00E0 DEC00415 +@00E1 D8800515 +@00E2 80000226 +@00E3 80800E17 +@00E4 10001426 +@00E5 81400217 +@00E6 2880030B +@00E7 10C8000C +@00E8 1800061E +@00E9 29001917 +@00EA 00F7FFC4 +@00EB 10880014 +@00EC 20C6703A +@00ED 2880030D +@00EE 28C01915 +@00EF D9800404 +@00F0 8009883A +@00F1 00008540 +@00F2 1000091E +@00F3 00800284 +@00F4 DFC00917 +@00F5 DC400817 +@00F6 DC000717 +@00F7 DEC00A04 +@00F8 F800283A +@00F9 8009883A +@00FA 00008040 +@00FB 003FE906 +@00FC 00BFFFC4 +@00FD 003FF606 +@00FE 00800034 +@00FF 109CA504 +@0100 200B883A +@0101 11000017 +@0102 00003381 +@0103 208000CC +@0104 10002026 +@0105 20800007 +@0106 10002026 +@0107 2005883A +@0108 00000206 +@0109 10C00007 +@010A 18001826 +@010B 10800044 +@010C 10C000CC +@010D 183FFB1E +@010E 10C00017 +@010F 01FFBFF4 +@0110 39FFBFC4 +@0111 00CA303A +@0112 01A02074 +@0113 19C7883A +@0114 31A02004 +@0115 1946703A +@0116 1986703A +@0117 1800091E +@0118 10800104 +@0119 10C00017 +@011A 19CB883A +@011B 00C6303A +@011C 28C6703A +@011D 1986703A +@011E 183FF926 +@011F 00000106 +@0120 10800044 +@0121 10C00007 +@0122 183FFD1E +@0123 1105C83A +@0124 F800283A +@0125 2005883A +@0126 003FE706 +@0127 0005883A +@0128 F800283A +@0129 0005883A +@012A F800283A +@012B 01400034 +@012C 29491704 +@012D 0000DE01 +@012E DEFFF704 +@012F 00C00034 +@0130 DFC00815 +@0131 DDC00715 +@0132 DD800615 +@0133 DD400515 +@0134 DD000415 +@0135 DCC00315 +@0136 DC800215 +@0137 DC400115 +@0138 DC000015 +@0139 18C12B04 +@013A 24000117 +@013B 20C00F15 +@013C 2080BB04 +@013D 00C000C4 +@013E 20C0B915 +@013F 2080BA15 +@0140 2000B815 +@0141 05C00204 +@0142 00800104 +@0143 2025883A +@0144 B80D883A +@0145 81001704 +@0146 000B883A +@0147 80000015 +@0148 80000115 +@0149 80000215 +@014A 8080030D +@014B 80001915 +@014C 8000038D +@014D 80000415 +@014E 80000515 +@014F 80000615 +@0150 0001A380 +@0151 05800034 +@0152 94400217 +@0153 05400034 +@0154 05000034 +@0155 04C00034 +@0156 B5884604 +@0157 AD485D04 +@0158 A5087C04 +@0159 9CC89304 +@015A 85800815 +@015B 85400915 +@015C 85000A15 +@015D 84C00B15 +@015E 84000715 +@015F 00800284 +@0160 8880030D +@0161 00800044 +@0162 B80D883A +@0163 89001704 +@0164 000B883A +@0165 88000015 +@0166 88000115 +@0167 88000215 +@0168 88001915 +@0169 8880038D +@016A 88000415 +@016B 88000515 +@016C 88000615 +@016D 0001A380 +@016E 94000317 +@016F 00800484 +@0170 8C400715 +@0171 8D800815 +@0172 8D400915 +@0173 8D000A15 +@0174 8CC00B15 +@0175 8080030D +@0176 00800084 +@0177 80000015 +@0178 80000115 +@0179 80000215 +@017A 80001915 +@017B 8080038D +@017C 80000415 +@017D 80000515 +@017E 80000615 +@017F B80D883A +@0180 000B883A +@0181 81001704 +@0182 0001A380 +@0183 00800044 +@0184 84000715 +@0185 85800815 +@0186 85400915 +@0187 85000A15 +@0188 84C00B15 +@0189 90800E15 +@018A DFC00817 +@018B DDC00717 +@018C DD800617 +@018D DD400517 +@018E DD000417 +@018F DCC00317 +@0190 DC800217 +@0191 DC400117 +@0192 DC000017 +@0193 DEC00904 +@0194 F800283A +@0195 0005883A +@0196 F800283A +@0197 DEFFFC04 +@0198 DC800215 +@0199 2825883A +@019A DC000015 +@019B 01401A04 +@019C 2021883A +@019D 913FFFC4 +@019E DFC00315 +@019F DC400115 +@01A0 000311C0 +@01A1 8009883A +@01A2 11401D04 +@01A3 1023883A +@01A4 0000EA40 +@01A5 1021883A +@01A6 10000726 +@01A7 11000304 +@01A8 10000015 +@01A9 14800115 +@01AA 11000215 +@01AB 89801A04 +@01AC 000B883A +@01AD 0001A380 +@01AE 8005883A +@01AF DFC00317 +@01B0 DC800217 +@01B1 DC400117 +@01B2 DC000017 +@01B3 DEC00404 +@01B4 F800283A +@01B5 DEFFFB04 +@01B6 DC000015 +@01B7 04000034 +@01B8 841CA404 +@01B9 DCC00315 +@01BA 2027883A +@01BB 81000017 +@01BC DFC00415 +@01BD DC800215 +@01BE 20800E17 +@01BF DC400115 +@01C0 1000021E +@01C1 00004B80 +@01C2 81000017 +@01C3 2480B804 +@01C4 047FFFC4 +@01C5 91000117 +@01C6 94000217 +@01C7 213FFFC4 +@01C8 20000A16 +@01C9 8080030F +@01CA 10000C26 +@01CB 80C01D04 +@01CC 00000206 +@01CD 18BFE60F +@01CE 10000826 +@01CF 213FFFC4 +@01D0 1C3FFD04 +@01D1 18C01A04 +@01D2 247FFA1E +@01D3 90800017 +@01D4 10001D26 +@01D5 1025883A +@01D6 003FEE06 +@01D7 00BFFFC4 +@01D8 8080038D +@01D9 00800044 +@01DA 8080030D +@01DB 80001915 +@01DC 80000015 +@01DD 80000215 +@01DE 80000115 +@01DF 80000415 +@01E0 80000515 +@01E1 80000615 +@01E2 01800204 +@01E3 000B883A +@01E4 81001704 +@01E5 0001A380 +@01E6 8005883A +@01E7 80000C15 +@01E8 80000D15 +@01E9 80001115 +@01EA 80001215 +@01EB DFC00417 +@01EC DCC00317 +@01ED DC800217 +@01EE DC400117 +@01EF DC000017 +@01F0 DEC00504 +@01F1 F800283A +@01F2 01400104 +@01F3 9809883A +@01F4 000065C0 +@01F5 90800015 +@01F6 103FDE1E +@01F7 00800304 +@01F8 98800015 +@01F9 0005883A +@01FA 003FF006 +@01FB 00800034 +@01FC 109CA404 +@01FD 11000017 +@01FE 01400034 +@01FF 29491704 +@0200 0000DE01 +@0201 20800E17 +@0202 10000126 +@0203 F800283A +@0204 00004B81 @0205 F800283A @0206 F800283A @0207 F800283A -@0208 00800034 -@0209 109CA404 -@020A 11000017 -@020B 01400034 -@020C 29419404 -@020D 0000D181 -@020E 00800034 -@020F 109CA404 -@0210 11000017 -@0211 01400034 -@0212 29412804 -@0213 0000D181 -@0214 30800217 -@0215 10006726 -@0216 28C0030B -@0217 DEFFF404 -@0218 DD400715 -@0219 DD000615 -@021A DC000215 -@021B DFC00B15 -@021C DF000A15 -@021D DDC00915 -@021E DD800815 -@021F DCC00515 -@0220 DC800415 -@0221 DC400315 -@0222 1880020C -@0223 2821883A -@0224 202B883A -@0225 3029883A -@0226 10002726 -@0227 28800417 -@0228 10002526 -@0229 1880008C -@022A A4400017 -@022B 10002A26 -@022C 05A00034 -@022D 0027883A -@022E 0025883A -@022F B5BF0004 -@0230 980D883A -@0231 A809883A -@0232 90004626 -@0233 900F883A -@0234 B480022E -@0235 01E00034 -@0236 39FF0004 -@0237 80800917 -@0238 81400717 -@0239 103EE83A -@023A 00805A0E -@023B A0C00217 -@023C 98A7883A -@023D 90A5C83A -@023E 1885C83A -@023F A0800215 -@0240 103FEF1E -@0241 0005883A -@0242 DFC00B17 -@0243 DF000A17 -@0244 DDC00917 -@0245 DD800817 -@0246 DD400717 -@0247 DD000617 -@0248 DCC00517 -@0249 DC800417 -@024A DC400317 -@024B DC000217 -@024C DEC00C04 -@024D F800283A -@024E 800B883A -@024F A809883A -@0250 00022B00 -@0251 1000EE1E -@0252 80C0030B -@0253 A4400017 -@0254 1880008C -@0255 103FD61E -@0256 1880004C -@0257 1000421E -@0258 0039883A -@0259 0025883A -@025A 90001A26 -@025B 1880800C -@025C 84C00217 -@025D 10002126 -@025E 982F883A -@025F 94C09636 -@0260 1881200C -@0261 1000A11E -@0262 81000017 -@0263 B80D883A -@0264 E00B883A -@0265 00018D80 -@0266 80C00217 -@0267 81000017 -@0268 9005883A -@0269 1CE7C83A -@026A 25CF883A -@026B 84C00215 -@026C 81C00015 -@026D A0C00217 -@026E E0B9883A -@026F 90A5C83A -@0270 18A7C83A -@0271 A4C00215 -@0272 983FCE26 -@0273 80C0030B -@0274 903FE61E -@0275 8F000017 -@0276 8C800117 -@0277 8C400204 -@0278 003FE106 -@0279 8CC00017 -@027A 8C800117 -@027B 8C400204 -@027C 003FB306 -@027D 0005883A -@027E F800283A -@027F 81000017 -@0280 80800417 -@0281 11005A36 -@0282 85C00517 -@0283 95C05836 -@0284 00A00034 -@0285 10BFFFC4 -@0286 9009883A -@0287 1480012E -@0288 1009883A -@0289 B80B883A -@028A 0002F640 -@028B B80B883A -@028C 1009883A -@028D 00031180 -@028E 81400717 -@028F 80C00917 -@0290 100F883A -@0291 E00D883A -@0292 A809883A -@0293 183EE83A -@0294 00BFD816 -@0295 8080030B -@0296 10801014 -@0297 8080030D -@0298 00BFFFC4 -@0299 003FA806 -@029A 0027883A -@029B 0011883A -@029C 0039883A -@029D 0025883A -@029E 90001F26 -@029F 40005A26 -@02A0 982D883A -@02A1 94C0012E -@02A2 902D883A -@02A3 81000017 -@02A4 80800417 -@02A5 B02F883A -@02A6 81C00517 -@02A7 1100032E -@02A8 80C00217 -@02A9 38C7883A -@02AA 1D801816 -@02AB B1C03E16 -@02AC 80800917 -@02AD 81400717 -@02AE E00D883A -@02AF DA000115 -@02B0 A809883A -@02B1 103EE83A -@02B2 102F883A -@02B3 DA000117 -@02B4 00BFE00E -@02B5 9DE7C83A -@02B6 98001F26 -@02B7 A0800217 -@02B8 E5F9883A -@02B9 95E5C83A -@02BA 15EFC83A -@02BB A5C00215 -@02BC B83F8426 -@02BD 903FE11E -@02BE 8F000017 -@02BF 8C800117 -@02C0 0011883A -@02C1 8C400204 -@02C2 003FDB06 -@02C3 180D883A -@02C4 E00B883A -@02C5 DA000115 -@02C6 D8C00015 -@02C7 00018D80 -@02C8 D8C00017 -@02C9 80800017 -@02CA 800B883A -@02CB A809883A -@02CC 10C5883A -@02CD 80800015 -@02CE D8C00015 -@02CF 00027780 -@02D0 D8C00017 -@02D1 DA000117 -@02D2 103FC21E -@02D3 182F883A -@02D4 9DE7C83A -@02D5 983FE11E -@02D6 800B883A -@02D7 A809883A -@02D8 00027780 -@02D9 103FBB1E -@02DA 0011883A -@02DB 003FDB06 -@02DC 94C0012E -@02DD 9027883A -@02DE 980D883A -@02DF E00B883A -@02E0 00018D80 -@02E1 80800217 -@02E2 80C00017 -@02E3 14C5C83A -@02E4 1CC7883A -@02E5 80800215 -@02E6 80C00015 -@02E7 10004326 -@02E8 9805883A -@02E9 003F8306 -@02EA B00D883A -@02EB E00B883A -@02EC DA000115 -@02ED 00018D80 -@02EE 80800217 -@02EF 80C00017 -@02F0 DA000117 -@02F1 1585C83A -@02F2 1DAD883A -@02F3 80800215 -@02F4 85800015 -@02F5 003FBF06 -@02F6 81000017 -@02F7 9027883A -@02F8 902F883A -@02F9 003F6906 -@02FA 900D883A -@02FB 01400284 -@02FC E009883A -@02FD 00016AC0 -@02FE 10003E26 -@02FF 10800044 -@0300 1727C83A -@0301 02000044 -@0302 003F9D06 -@0303 80800517 -@0304 81400417 -@0305 81C00017 -@0306 10A7883A -@0307 9885883A -@0308 1026D7FA -@0309 396DC83A -@030A B1000044 -@030B 9885883A -@030C 1027D07A -@030D 2485883A -@030E 980D883A -@030F 9880022E -@0310 1027883A -@0311 100D883A -@0312 18C1000C -@0313 18001C26 -@0314 300B883A -@0315 A809883A -@0316 0000EA00 -@0317 102F883A -@0318 10002926 -@0319 81400417 -@031A B00D883A -@031B 1009883A -@031C 00017900 -@031D 8080030B -@031E 00FEDFC4 -@031F 10C4703A -@0320 10802014 -@0321 8080030D -@0322 BD89883A -@0323 9D8FC83A -@0324 85C00415 -@0325 84C00515 -@0326 81000015 -@0327 9027883A -@0328 81C00215 -@0329 902F883A -@032A 003F3806 -@032B 800B883A -@032C A809883A -@032D 00027780 -@032E 103FB926 -@032F 003F6506 -@0330 A809883A -@0331 0001B5C0 -@0332 102F883A -@0333 103FEE1E -@0334 81400417 -@0335 A809883A -@0336 00029280 -@0337 8080030B -@0338 00FFDFC4 -@0339 1884703A -@033A 00C00304 -@033B A8C00015 -@033C 003F5906 -@033D 94C00044 -@033E 02000044 -@033F 003F6006 -@0340 00BFFFC4 -@0341 003F0006 -@0342 00800304 -@0343 A8800015 -@0344 8080030B -@0345 003F5006 -@0346 DEFFF704 -@0347 DD000415 -@0348 DFC00815 -@0349 DDC00715 -@034A DD800615 -@034B DD400515 -@034C DCC00315 -@034D DC800215 -@034E DC400115 -@034F DC000015 -@0350 2500B804 -@0351 A0002326 -@0352 282B883A -@0353 002F883A -@0354 05800044 -@0355 04FFFFC4 -@0356 A4400117 -@0357 A4800217 -@0358 8C7FFFC4 -@0359 88000D16 -@035A 94000304 -@035B 94800384 -@035C 8080000B -@035D 8C7FFFC4 -@035E 813FFD04 -@035F B080042E -@0360 9080000F -@0361 14C00226 -@0362 A83EE83A -@0363 B8AEB03A -@0364 84001A04 -@0365 94801A04 -@0366 8CFFF51E -@0367 A5000017 -@0368 A03FED1E -@0369 B805883A -@036A DFC00817 -@036B DDC00717 -@036C DD800617 -@036D DD400517 -@036E DD000417 -@036F DCC00317 -@0370 DC800217 -@0371 DC400117 -@0372 DC000017 -@0373 DEC00904 -@0374 F800283A -@0375 002F883A -@0376 003FF206 -@0377 DEFFF704 -@0378 DD000415 -@0379 DFC00815 -@037A DDC00715 -@037B DD800615 -@037C DD400515 -@037D DCC00315 -@037E DC800215 -@037F DC400115 -@0380 DC000015 -@0381 2500B804 -@0382 A0002326 -@0383 282B883A -@0384 2027883A -@0385 002F883A -@0386 05800044 -@0387 04BFFFC4 -@0388 A4400117 -@0389 A4000217 -@038A 8C7FFFC4 -@038B 88000C16 -@038C 84000304 -@038D 8080000B -@038E 8C7FFFC4 -@038F 817FFD04 -@0390 B080052E -@0391 8080008F -@0392 9809883A -@0393 14800226 -@0394 A83EE83A -@0395 B8AEB03A -@0396 84001A04 -@0397 8CBFF51E -@0398 A5000017 -@0399 A03FEE1E -@039A B805883A -@039B DFC00817 -@039C DDC00717 -@039D DD800617 -@039E DD400517 -@039F DD000417 -@03A0 DCC00317 -@03A1 DC800217 -@03A2 DC400117 -@03A3 DC000017 -@03A4 DEC00904 -@03A5 F800283A -@03A6 002F883A -@03A7 003FF206 -@03A8 DEFFF504 -@03A9 DC800315 -@03AA DFC00A15 -@03AB DF000915 -@03AC DDC00815 -@03AD DD800715 -@03AE DD400615 -@03AF DD000515 -@03B0 DCC00415 -@03B1 DC400215 -@03B2 DC000115 -@03B3 288002C4 -@03B4 00C00584 -@03B5 2025883A -@03B6 18807F2E -@03B7 047FFE04 -@03B8 1462703A -@03B9 8800A316 -@03BA 8940A236 -@03BB 00036180 -@03BC 00807DC4 -@03BD 1441E92E -@03BE 8804D27A -@03BF 1000A126 -@03C0 00C00104 -@03C1 18811E36 -@03C2 8804D1BA -@03C3 12000E44 -@03C4 11C00E04 -@03C5 4209883A -@03C6 04C00034 -@03C7 2109883A -@03C8 9CD71F04 -@03C9 2109883A -@03CA 9909883A -@03CB 24000117 -@03CC 213FFE04 -@03CD 24009726 -@03CE 80800117 -@03CF 01BFFF04 -@03D0 014003C4 -@03D1 1184703A -@03D2 1447C83A -@03D3 28C00716 -@03D4 1800920E -@03D5 84000317 -@03D6 24008E26 -@03D7 80800117 -@03D8 1184703A -@03D9 1447C83A -@03DA 28FFF90E -@03DB 3809883A -@03DC 01800034 -@03DD 9C000417 -@03DE 31971F04 -@03DF 32000204 -@03E0 82013426 -@03E1 80C00117 -@03E2 00BFFF04 -@03E3 188E703A -@03E4 3C45C83A -@03E5 00C003C4 -@03E6 18811F16 -@03E7 32000515 -@03E8 32000415 -@03E9 10007F0E -@03EA 00807FC4 -@03EB 11C0FD36 -@03EC 3806D0FA -@03ED 01C00044 -@03EE 30800117 -@03EF 19400044 -@03F0 294B883A -@03F1 1807D0BA -@03F2 294B883A +@0208 F800283A +@0209 00800034 +@020A 109CA504 +@020B 11000017 +@020C 01400034 +@020D 29419504 +@020E 0000D1C1 +@020F 00800034 +@0210 109CA504 +@0211 11000017 +@0212 01400034 +@0213 29412904 +@0214 0000D1C1 +@0215 30800217 +@0216 10006726 +@0217 28C0030B +@0218 DEFFF404 +@0219 DD400715 +@021A DD000615 +@021B DC000215 +@021C DFC00B15 +@021D DF000A15 +@021E DDC00915 +@021F DD800815 +@0220 DCC00515 +@0221 DC800415 +@0222 DC400315 +@0223 1880020C +@0224 2821883A +@0225 202B883A +@0226 3029883A +@0227 10002726 +@0228 28800417 +@0229 10002526 +@022A 1880008C +@022B A4400017 +@022C 10002A26 +@022D 05A00034 +@022E 0027883A +@022F 0025883A +@0230 B5BF0004 +@0231 980D883A +@0232 A809883A +@0233 90004626 +@0234 900F883A +@0235 B480022E +@0236 01E00034 +@0237 39FF0004 +@0238 80800917 +@0239 81400717 +@023A 103EE83A +@023B 00805A0E +@023C A0C00217 +@023D 98A7883A +@023E 90A5C83A +@023F 1885C83A +@0240 A0800215 +@0241 103FEF1E +@0242 0005883A +@0243 DFC00B17 +@0244 DF000A17 +@0245 DDC00917 +@0246 DD800817 +@0247 DD400717 +@0248 DD000617 +@0249 DCC00517 +@024A DC800417 +@024B DC400317 +@024C DC000217 +@024D DEC00C04 +@024E F800283A +@024F 800B883A +@0250 A809883A +@0251 00022B40 +@0252 1000EE1E +@0253 80C0030B +@0254 A4400017 +@0255 1880008C +@0256 103FD61E +@0257 1880004C +@0258 1000421E +@0259 0039883A +@025A 0025883A +@025B 90001A26 +@025C 1880800C +@025D 84C00217 +@025E 10002126 +@025F 982F883A +@0260 94C09636 +@0261 1881200C +@0262 1000A11E +@0263 81000017 +@0264 B80D883A +@0265 E00B883A +@0266 00018DC0 +@0267 80C00217 +@0268 81000017 +@0269 9005883A +@026A 1CE7C83A +@026B 25CF883A +@026C 84C00215 +@026D 81C00015 +@026E A0C00217 +@026F E0B9883A +@0270 90A5C83A +@0271 18A7C83A +@0272 A4C00215 +@0273 983FCE26 +@0274 80C0030B +@0275 903FE61E +@0276 8F000017 +@0277 8C800117 +@0278 8C400204 +@0279 003FE106 +@027A 8CC00017 +@027B 8C800117 +@027C 8C400204 +@027D 003FB306 +@027E 0005883A +@027F F800283A +@0280 81000017 +@0281 80800417 +@0282 11005A36 +@0283 85C00517 +@0284 95C05836 +@0285 00A00034 +@0286 10BFFFC4 +@0287 9009883A +@0288 1480012E +@0289 1009883A +@028A B80B883A +@028B 0002F680 +@028C B80B883A +@028D 1009883A +@028E 000311C0 +@028F 81400717 +@0290 80C00917 +@0291 100F883A +@0292 E00D883A +@0293 A809883A +@0294 183EE83A +@0295 00BFD816 +@0296 8080030B +@0297 10801014 +@0298 8080030D +@0299 00BFFFC4 +@029A 003FA806 +@029B 0027883A +@029C 0011883A +@029D 0039883A +@029E 0025883A +@029F 90001F26 +@02A0 40005A26 +@02A1 982D883A +@02A2 94C0012E +@02A3 902D883A +@02A4 81000017 +@02A5 80800417 +@02A6 B02F883A +@02A7 81C00517 +@02A8 1100032E +@02A9 80C00217 +@02AA 38C7883A +@02AB 1D801816 +@02AC B1C03E16 +@02AD 80800917 +@02AE 81400717 +@02AF E00D883A +@02B0 DA000115 +@02B1 A809883A +@02B2 103EE83A +@02B3 102F883A +@02B4 DA000117 +@02B5 00BFE00E +@02B6 9DE7C83A +@02B7 98001F26 +@02B8 A0800217 +@02B9 E5F9883A +@02BA 95E5C83A +@02BB 15EFC83A +@02BC A5C00215 +@02BD B83F8426 +@02BE 903FE11E +@02BF 8F000017 +@02C0 8C800117 +@02C1 0011883A +@02C2 8C400204 +@02C3 003FDB06 +@02C4 180D883A +@02C5 E00B883A +@02C6 DA000115 +@02C7 D8C00015 +@02C8 00018DC0 +@02C9 D8C00017 +@02CA 80800017 +@02CB 800B883A +@02CC A809883A +@02CD 10C5883A +@02CE 80800015 +@02CF D8C00015 +@02D0 000277C0 +@02D1 D8C00017 +@02D2 DA000117 +@02D3 103FC21E +@02D4 182F883A +@02D5 9DE7C83A +@02D6 983FE11E +@02D7 800B883A +@02D8 A809883A +@02D9 000277C0 +@02DA 103FBB1E +@02DB 0011883A +@02DC 003FDB06 +@02DD 94C0012E +@02DE 9027883A +@02DF 980D883A +@02E0 E00B883A +@02E1 00018DC0 +@02E2 80800217 +@02E3 80C00017 +@02E4 14C5C83A +@02E5 1CC7883A +@02E6 80800215 +@02E7 80C00015 +@02E8 10004326 +@02E9 9805883A +@02EA 003F8306 +@02EB B00D883A +@02EC E00B883A +@02ED DA000115 +@02EE 00018DC0 +@02EF 80800217 +@02F0 80C00017 +@02F1 DA000117 +@02F2 1585C83A +@02F3 1DAD883A +@02F4 80800215 +@02F5 85800015 +@02F6 003FBF06 +@02F7 81000017 +@02F8 9027883A +@02F9 902F883A +@02FA 003F6906 +@02FB 900D883A +@02FC 01400284 +@02FD E009883A +@02FE 00016B00 +@02FF 10003E26 +@0300 10800044 +@0301 1727C83A +@0302 02000044 +@0303 003F9D06 +@0304 80800517 +@0305 81400417 +@0306 81C00017 +@0307 10A7883A +@0308 9885883A +@0309 1026D7FA +@030A 396DC83A +@030B B1000044 +@030C 9885883A +@030D 1027D07A +@030E 2485883A +@030F 980D883A +@0310 9880022E +@0311 1027883A +@0312 100D883A +@0313 18C1000C +@0314 18001C26 +@0315 300B883A +@0316 A809883A +@0317 0000EA40 +@0318 102F883A +@0319 10002926 +@031A 81400417 +@031B B00D883A +@031C 1009883A +@031D 00017940 +@031E 8080030B +@031F 00FEDFC4 +@0320 10C4703A +@0321 10802014 +@0322 8080030D +@0323 BD89883A +@0324 9D8FC83A +@0325 85C00415 +@0326 84C00515 +@0327 81000015 +@0328 9027883A +@0329 81C00215 +@032A 902F883A +@032B 003F3806 +@032C 800B883A +@032D A809883A +@032E 000277C0 +@032F 103FB926 +@0330 003F6506 +@0331 A809883A +@0332 0001B600 +@0333 102F883A +@0334 103FEE1E +@0335 81400417 +@0336 A809883A +@0337 000292C0 +@0338 8080030B +@0339 00FFDFC4 +@033A 1884703A +@033B 00C00304 +@033C A8C00015 +@033D 003F5906 +@033E 94C00044 +@033F 02000044 +@0340 003F6006 +@0341 00BFFFC4 +@0342 003F0006 +@0343 00800304 +@0344 A8800015 +@0345 8080030B +@0346 003F5006 +@0347 DEFFF704 +@0348 DD000415 +@0349 DFC00815 +@034A DDC00715 +@034B DD800615 +@034C DD400515 +@034D DCC00315 +@034E DC800215 +@034F DC400115 +@0350 DC000015 +@0351 2500B804 +@0352 A0002326 +@0353 282B883A +@0354 002F883A +@0355 05800044 +@0356 04FFFFC4 +@0357 A4400117 +@0358 A4800217 +@0359 8C7FFFC4 +@035A 88000D16 +@035B 94000304 +@035C 94800384 +@035D 8080000B +@035E 8C7FFFC4 +@035F 813FFD04 +@0360 B080042E +@0361 9080000F +@0362 14C00226 +@0363 A83EE83A +@0364 B8AEB03A +@0365 84001A04 +@0366 94801A04 +@0367 8CFFF51E +@0368 A5000017 +@0369 A03FED1E +@036A B805883A +@036B DFC00817 +@036C DDC00717 +@036D DD800617 +@036E DD400517 +@036F DD000417 +@0370 DCC00317 +@0371 DC800217 +@0372 DC400117 +@0373 DC000017 +@0374 DEC00904 +@0375 F800283A +@0376 002F883A +@0377 003FF206 +@0378 DEFFF704 +@0379 DD000415 +@037A DFC00815 +@037B DDC00715 +@037C DD800615 +@037D DD400515 +@037E DCC00315 +@037F DC800215 +@0380 DC400115 +@0381 DC000015 +@0382 2500B804 +@0383 A0002326 +@0384 282B883A +@0385 2027883A +@0386 002F883A +@0387 05800044 +@0388 04BFFFC4 +@0389 A4400117 +@038A A4000217 +@038B 8C7FFFC4 +@038C 88000C16 +@038D 84000304 +@038E 8080000B +@038F 8C7FFFC4 +@0390 817FFD04 +@0391 B080052E +@0392 8080008F +@0393 9809883A +@0394 14800226 +@0395 A83EE83A +@0396 B8AEB03A +@0397 84001A04 +@0398 8CBFF51E +@0399 A5000017 +@039A A03FEE1E +@039B B805883A +@039C DFC00817 +@039D DDC00717 +@039E DD800617 +@039F DD400517 +@03A0 DD000417 +@03A1 DCC00317 +@03A2 DC800217 +@03A3 DC400117 +@03A4 DC000017 +@03A5 DEC00904 +@03A6 F800283A +@03A7 002F883A +@03A8 003FF206 +@03A9 DEFFF504 +@03AA DC800315 +@03AB DFC00A15 +@03AC DF000915 +@03AD DDC00815 +@03AE DD800715 +@03AF DD400615 +@03B0 DD000515 +@03B1 DCC00415 +@03B2 DC400215 +@03B3 DC000115 +@03B4 288002C4 +@03B5 00C00584 +@03B6 2025883A +@03B7 18807F2E +@03B8 047FFE04 +@03B9 1462703A +@03BA 8800A316 +@03BB 8940A236 +@03BC 000361C0 +@03BD 00807DC4 +@03BE 1441E92E +@03BF 8804D27A +@03C0 1000A126 +@03C1 00C00104 +@03C2 18811E36 +@03C3 8804D1BA +@03C4 12000E44 +@03C5 11C00E04 +@03C6 4209883A +@03C7 04C00034 +@03C8 2109883A +@03C9 9CD72004 +@03CA 2109883A +@03CB 9909883A +@03CC 24000117 +@03CD 213FFE04 +@03CE 24009726 +@03CF 80800117 +@03D0 01BFFF04 +@03D1 014003C4 +@03D2 1184703A +@03D3 1447C83A +@03D4 28C00716 +@03D5 1800920E +@03D6 84000317 +@03D7 24008E26 +@03D8 80800117 +@03D9 1184703A +@03DA 1447C83A +@03DB 28FFF90E +@03DC 3809883A +@03DD 01800034 +@03DE 9C000417 +@03DF 31972004 +@03E0 32000204 +@03E1 82013426 +@03E2 80C00117 +@03E3 00BFFF04 +@03E4 188E703A +@03E5 3C45C83A +@03E6 00C003C4 +@03E7 18811F16 +@03E8 32000515 +@03E9 32000415 +@03EA 10007F0E +@03EB 00807FC4 +@03EC 11C0FD36 +@03ED 3806D0FA +@03EE 01C00044 +@03EF 30800117 +@03F0 19400044 +@03F1 294B883A +@03F2 1807D0BA @03F3 294B883A -@03F4 298B883A -@03F5 38C6983A -@03F6 29C00017 -@03F7 2A7FFE04 -@03F8 1886B03A -@03F9 82400315 -@03FA 81C00215 -@03FB 30C00115 -@03FC 2C000015 -@03FD 3C000315 -@03FE 2005D0BA -@03FF 01400044 -@0400 288A983A -@0401 19406F36 -@0402 28C4703A -@0403 10000A1E -@0404 00BFFF04 -@0405 294B883A -@0406 2088703A -@0407 28C4703A -@0408 21000104 -@0409 1000041E -@040A 294B883A -@040B 28C4703A -@040C 21000104 -@040D 103FFC26 -@040E 02BFFF04 -@040F 024003C4 -@0410 21800044 -@0411 318D883A +@03F4 294B883A +@03F5 298B883A +@03F6 38C6983A +@03F7 29C00017 +@03F8 2A7FFE04 +@03F9 1886B03A +@03FA 82400315 +@03FB 81C00215 +@03FC 30C00115 +@03FD 2C000015 +@03FE 3C000315 +@03FF 2005D0BA +@0400 01400044 +@0401 288A983A +@0402 19406F36 +@0403 28C4703A +@0404 10000A1E +@0405 00BFFF04 +@0406 294B883A +@0407 2088703A +@0408 28C4703A +@0409 21000104 +@040A 1000041E +@040B 294B883A +@040C 28C4703A +@040D 21000104 +@040E 103FFC26 +@040F 02BFFF04 +@0410 024003C4 +@0411 21800044 @0412 318D883A @0413 318D883A -@0414 998D883A -@0415 333FFE04 -@0416 2017883A -@0417 31800104 -@0418 34000017 -@0419 31FFFD04 -@041A 81C0041E -@041B 0000FB06 -@041C 1801030E -@041D 84000317 -@041E 81C0F826 -@041F 80800117 -@0420 1284703A -@0421 1447C83A -@0422 48FFF90E -@0423 80800317 -@0424 81000217 -@0425 89400054 -@0426 81400115 -@0427 20800315 -@0428 11000215 -@0429 8463883A -@042A 9C400515 -@042B 9C400415 -@042C 18800054 -@042D 88800115 -@042E 8A000315 -@042F 8A000215 -@0430 88E3883A -@0431 88C00015 -@0432 9009883A -@0433 000363C0 -@0434 80800204 -@0435 00001B06 -@0436 04400404 -@0437 89402536 -@0438 00036180 -@0439 00800184 -@043A 01000084 -@043B 04C00034 -@043C 1085883A -@043D 9CD71F04 -@043E 1085883A -@043F 9885883A -@0440 14000117 -@0441 10FFFE04 -@0442 80C0D926 -@0443 80C00117 -@0444 81000317 -@0445 00BFFF04 -@0446 1884703A -@0447 81400217 -@0448 8085883A -@0449 10C00117 -@044A 29000315 -@044B 21400215 -@044C 18C00054 -@044D 10C00115 -@044E 9009883A -@044F 000363C0 -@0450 80800204 -@0451 DFC00A17 -@0452 DF000917 -@0453 DDC00817 -@0454 DD800717 -@0455 DD400617 -@0456 DD000517 -@0457 DCC00417 -@0458 DC800317 -@0459 DC400217 -@045A DC000117 -@045B DEC00B04 -@045C F800283A -@045D 00800304 -@045E 90800015 -@045F 0005883A -@0460 003FF006 -@0461 01002004 -@0462 02001004 -@0463 01C00FC4 -@0464 003F6106 -@0465 4009883A -@0466 003F7506 -@0467 81000317 -@0468 003FDE06 -@0469 81C5883A -@046A 11400117 -@046B 9009883A -@046C 29400054 -@046D 11400115 -@046E 000363C0 -@046F 80800204 -@0470 003FE006 -@0471 9C000217 -@0472 00BFFF04 -@0473 85800117 -@0474 B0AC703A -@0475 B4400336 -@0476 B445C83A -@0477 00C003C4 -@0478 18805D16 -@0479 05C00034 -@047A 00800034 -@047B 109CB304 -@047C BDDCA504 -@047D 15400017 -@047E B8C00017 -@047F 00BFFFC4 -@0480 858D883A -@0481 8D6B883A -@0482 1880EA26 -@0483 AD4403C4 -@0484 00BC0004 -@0485 A8AA703A -@0486 A80B883A -@0487 9009883A -@0488 D9800015 -@0489 00020C00 -@048A 1029883A -@048B 00BFFFC4 -@048C D9800017 -@048D A080E826 -@048E A180A636 -@048F 07000034 -@0490 E71CBC04 -@0491 E0800017 -@0492 A887883A -@0493 E0C00015 -@0494 3500E626 -@0495 B9000017 -@0496 00BFFFC4 -@0497 2080EE26 -@0498 A185C83A -@0499 10C5883A -@049A E0800015 -@049B A0C001CC -@049C 1800BC26 -@049D A0E9C83A -@049E 00840204 -@049F A5000204 -@04A0 10C7C83A -@04A1 A545883A -@04A2 1083FFCC -@04A3 18ABC83A -@04A4 A80B883A -@04A5 9009883A -@04A6 00020C00 -@04A7 00FFFFC4 -@04A8 10C0E126 -@04A9 1505C83A -@04AA 1545883A -@04AB 10800054 -@04AC E0C00017 -@04AD 9D000215 -@04AE A0800115 -@04AF A8C7883A -@04B0 E0C00015 -@04B1 84C00E26 -@04B2 018003C4 -@04B3 3580A72E -@04B4 81400117 -@04B5 013FFE04 -@04B6 B0BFFD04 -@04B7 1104703A -@04B8 2900004C -@04B9 2088B03A -@04BA 81000115 -@04BB 01400144 -@04BC 8089883A -@04BD 21400115 -@04BE 21400215 -@04BF 3080CD36 -@04C0 00800034 -@04C1 109CB204 -@04C2 11000017 -@04C3 20C0012E -@04C4 10C00015 -@04C5 00800034 -@04C6 109CB104 -@04C7 11000017 -@04C8 9C000217 -@04C9 20C0012E -@04CA 10C00015 -@04CB 80C00117 -@04CC 00BFFF04 -@04CD 1886703A -@04CE 1C45C83A -@04CF 1C400236 -@04D0 00C003C4 -@04D1 18800416 -@04D2 9009883A -@04D3 000363C0 -@04D4 0005883A -@04D5 003F7B06 -@04D6 88C00054 -@04D7 80C00115 -@04D8 8463883A -@04D9 10800054 -@04DA 9C400215 -@04DB 88800115 -@04DC 9009883A -@04DD 000363C0 -@04DE 80800204 -@04DF 003F7106 -@04E0 00C00504 -@04E1 18804A2E -@04E2 00C01504 -@04E3 18806E36 -@04E4 8804D33A -@04E5 12001BC4 -@04E6 11C01B84 -@04E7 4209883A -@04E8 003EDD06 -@04E9 3804D27A -@04EA 00C00104 -@04EB 1880442E -@04EC 00C00504 -@04ED 18808136 -@04EE 11401704 -@04EF 10C016C4 -@04F0 294B883A +@0414 318D883A +@0415 998D883A +@0416 333FFE04 +@0417 2017883A +@0418 31800104 +@0419 34000017 +@041A 31FFFD04 +@041B 81C0041E +@041C 0000FB06 +@041D 1801030E +@041E 84000317 +@041F 81C0F826 +@0420 80800117 +@0421 1284703A +@0422 1447C83A +@0423 48FFF90E +@0424 80800317 +@0425 81000217 +@0426 89400054 +@0427 81400115 +@0428 20800315 +@0429 11000215 +@042A 8463883A +@042B 9C400515 +@042C 9C400415 +@042D 18800054 +@042E 88800115 +@042F 8A000315 +@0430 8A000215 +@0431 88E3883A +@0432 88C00015 +@0433 9009883A +@0434 00036400 +@0435 80800204 +@0436 00001B06 +@0437 04400404 +@0438 89402536 +@0439 000361C0 +@043A 00800184 +@043B 01000084 +@043C 04C00034 +@043D 1085883A +@043E 9CD72004 +@043F 1085883A +@0440 9885883A +@0441 14000117 +@0442 10FFFE04 +@0443 80C0D926 +@0444 80C00117 +@0445 81000317 +@0446 00BFFF04 +@0447 1884703A +@0448 81400217 +@0449 8085883A +@044A 10C00117 +@044B 29000315 +@044C 21400215 +@044D 18C00054 +@044E 10C00115 +@044F 9009883A +@0450 00036400 +@0451 80800204 +@0452 DFC00A17 +@0453 DF000917 +@0454 DDC00817 +@0455 DD800717 +@0456 DD400617 +@0457 DD000517 +@0458 DCC00417 +@0459 DC800317 +@045A DC400217 +@045B DC000117 +@045C DEC00B04 +@045D F800283A +@045E 00800304 +@045F 90800015 +@0460 0005883A +@0461 003FF006 +@0462 01002004 +@0463 02001004 +@0464 01C00FC4 +@0465 003F6106 +@0466 4009883A +@0467 003F7506 +@0468 81000317 +@0469 003FDE06 +@046A 81C5883A +@046B 11400117 +@046C 9009883A +@046D 29400054 +@046E 11400115 +@046F 00036400 +@0470 80800204 +@0471 003FE006 +@0472 9C000217 +@0473 00BFFF04 +@0474 85800117 +@0475 B0AC703A +@0476 B4400336 +@0477 B445C83A +@0478 00C003C4 +@0479 18805D16 +@047A 05C00034 +@047B 00800034 +@047C 109CB404 +@047D BDDCA604 +@047E 15400017 +@047F B8C00017 +@0480 00BFFFC4 +@0481 858D883A +@0482 8D6B883A +@0483 1880EA26 +@0484 AD4403C4 +@0485 00BC0004 +@0486 A8AA703A +@0487 A80B883A +@0488 9009883A +@0489 D9800015 +@048A 00020C40 +@048B 1029883A +@048C 00BFFFC4 +@048D D9800017 +@048E A080E826 +@048F A180A636 +@0490 07000034 +@0491 E71CBD04 +@0492 E0800017 +@0493 A887883A +@0494 E0C00015 +@0495 3500E626 +@0496 B9000017 +@0497 00BFFFC4 +@0498 2080EE26 +@0499 A185C83A +@049A 10C5883A +@049B E0800015 +@049C A0C001CC +@049D 1800BC26 +@049E A0E9C83A +@049F 00840204 +@04A0 A5000204 +@04A1 10C7C83A +@04A2 A545883A +@04A3 1083FFCC +@04A4 18ABC83A +@04A5 A80B883A +@04A6 9009883A +@04A7 00020C40 +@04A8 00FFFFC4 +@04A9 10C0E126 +@04AA 1505C83A +@04AB 1545883A +@04AC 10800054 +@04AD E0C00017 +@04AE 9D000215 +@04AF A0800115 +@04B0 A8C7883A +@04B1 E0C00015 +@04B2 84C00E26 +@04B3 018003C4 +@04B4 3580A72E +@04B5 81400117 +@04B6 013FFE04 +@04B7 B0BFFD04 +@04B8 1104703A +@04B9 2900004C +@04BA 2088B03A +@04BB 81000115 +@04BC 01400144 +@04BD 8089883A +@04BE 21400115 +@04BF 21400215 +@04C0 3080CD36 +@04C1 00800034 +@04C2 109CB304 +@04C3 11000017 +@04C4 20C0012E +@04C5 10C00015 +@04C6 00800034 +@04C7 109CB204 +@04C8 11000017 +@04C9 9C000217 +@04CA 20C0012E +@04CB 10C00015 +@04CC 80C00117 +@04CD 00BFFF04 +@04CE 1886703A +@04CF 1C45C83A +@04D0 1C400236 +@04D1 00C003C4 +@04D2 18800416 +@04D3 9009883A +@04D4 00036400 +@04D5 0005883A +@04D6 003F7B06 +@04D7 88C00054 +@04D8 80C00115 +@04D9 8463883A +@04DA 10800054 +@04DB 9C400215 +@04DC 88800115 +@04DD 9009883A +@04DE 00036400 +@04DF 80800204 +@04E0 003F7106 +@04E1 00C00504 +@04E2 18804A2E +@04E3 00C01504 +@04E4 18806E36 +@04E5 8804D33A +@04E6 12001BC4 +@04E7 11C01B84 +@04E8 4209883A +@04E9 003EDD06 +@04EA 3804D27A +@04EB 00C00104 +@04EC 1880442E +@04ED 00C00504 +@04EE 18808136 +@04EF 11401704 +@04F0 10C016C4 @04F1 294B883A @04F2 294B883A -@04F3 994B883A -@04F4 28800017 -@04F5 01800034 -@04F6 297FFE04 -@04F7 31971F04 -@04F8 28806526 -@04F9 01BFFF04 -@04FA 10C00117 -@04FB 1986703A -@04FC 38C0022E -@04FD 10800217 -@04FE 28BFFB1E -@04FF 11400317 -@0500 98C00117 -@0501 81400315 -@0502 80800215 -@0503 2C000215 -@0504 14000315 -@0505 003EF806 -@0506 88C00054 -@0507 80C00115 -@0508 8463883A -@0509 34400515 -@050A 34400415 -@050B 10C00054 -@050C 8A000315 -@050D 8A000215 -@050E 88C00115 -@050F 88A3883A -@0510 88800015 -@0511 9009883A -@0512 000363C0 -@0513 80800204 -@0514 003F3C06 -@0515 30C00117 -@0516 003EE706 -@0517 5AC00044 -@0518 588000CC -@0519 31800204 -@051A 103EFD1E -@051B 00002406 -@051C 14000317 -@051D 143F251E -@051E 21000084 -@051F 003EBC06 -@0520 8085883A -@0521 10C00117 -@0522 81000317 -@0523 81400217 -@0524 18C00054 -@0525 10C00115 -@0526 29000315 -@0527 21400215 -@0528 9009883A -@0529 000363C0 -@052A 80800204 -@052B 003F2506 -@052C 12001704 -@052D 11C016C4 -@052E 4209883A -@052F 003E9606 -@0530 3804D1BA -@0531 11400E44 -@0532 10C00E04 -@0533 294B883A -@0534 003FBC06 -@0535 84FF5926 -@0536 00800034 -@0537 10971F04 -@0538 14000217 -@0539 00BFFF04 -@053A 80C00117 -@053B 1886703A -@053C 003F9106 -@053D 60800217 -@053E 213FFFC4 -@053F 1300651E -@0540 208000CC -@0541 633FFE04 -@0542 103FFA1E -@0543 98800117 -@0544 0146303A -@0545 1884703A -@0546 98800115 -@0547 294B883A -@0548 117F2836 -@0549 283F2726 -@054A 2886703A -@054B 5809883A -@054C 183EC31E -@054D 294B883A -@054E 2886703A -@054F 21000104 -@0550 183FFC26 -@0551 003EBE06 -@0552 00C05504 -@0553 18801236 -@0554 8804D3FA -@0555 12001E04 -@0556 11C01DC4 -@0557 4209883A -@0558 003E6D06 -@0559 00C40004 -@055A 003F4606 -@055B 00800044 -@055C A0800115 -@055D 003F7406 -@055E 1805D0BA -@055F 01C00044 -@0560 30C00117 -@0561 388E983A -@0562 2805883A -@0563 38C6B03A -@0564 30C00115 -@0565 003F9B06 -@0566 00C15504 -@0567 18801A36 -@0568 8804D4BA -@0569 12001F44 -@056A 11C01F04 -@056B 4209883A -@056C 003E5906 -@056D AD400404 -@056E 003F1706 -@056F 00C01504 -@0570 18802336 -@0571 3804D33A -@0572 11401BC4 -@0573 10C01B84 -@0574 294B883A -@0575 003F7B06 -@0576 9C000217 -@0577 00BFFF04 -@0578 80C00117 -@0579 1886703A -@057A 003F5306 -@057B 3083FFCC -@057C 103F181E -@057D 99000217 -@057E B545883A -@057F 10800054 -@0580 20800115 -@0581 003F3E06 -@0582 01003F84 -@0583 02001FC4 -@0584 01C01F84 -@0585 003E4006 -@0586 00800034 -@0587 109CA504 -@0588 15000015 -@0589 003F1106 -@058A 00800044 -@058B 002B883A -@058C 003F1F06 -@058D 81400204 -@058E 9009883A -@058F 00029280 -@0590 00800034 -@0591 109CBC04 -@0592 10C00017 -@0593 003F2C06 -@0594 00C05504 -@0595 18800536 -@0596 3804D3FA -@0597 11401E04 -@0598 10C01DC4 -@0599 294B883A -@059A 003F5606 -@059B 00C15504 -@059C 18800536 -@059D 3804D4BA -@059E 11401F44 -@059F 10C01F04 -@05A0 294B883A -@05A1 003F4F06 -@05A2 01403F84 -@05A3 00C01F84 -@05A4 003F4C06 -@05A5 98800117 -@05A6 003FA006 -@05A7 8808D0FA -@05A8 20800044 -@05A9 1085883A -@05AA 003E9006 -@05AB 208000CC -@05AC 280F883A -@05AD 10003426 -@05AE 30BFFFC4 -@05AF 30001A26 -@05B0 20C00003 -@05B1 29803FCC -@05B2 30C0051E -@05B3 00001806 -@05B4 10001526 -@05B5 20C00003 -@05B6 10BFFFC4 -@05B7 30C01426 -@05B8 21000044 -@05B9 20C000CC -@05BA 183FF91E -@05BB 020000C4 -@05BC 40801136 -@05BD 10000C26 -@05BE 20C00003 -@05BF 29403FCC -@05C0 28C00B26 -@05C1 20C00044 -@05C2 39803FCC -@05C3 2089883A -@05C4 00000306 -@05C5 18C00044 -@05C6 197FFFC3 -@05C7 31400526 -@05C8 1805883A -@05C9 20FFFB1E -@05CA 0005883A -@05CB F800283A -@05CC 2005883A -@05CD F800283A -@05CE 28C03FCC -@05CF 1812923A -@05D0 02FFBFF4 -@05D1 02A02074 -@05D2 48D2B03A -@05D3 4806943A -@05D4 5AFFBFC4 -@05D5 52A02004 -@05D6 48D2B03A -@05D7 20C00017 -@05D8 48C6F03A -@05D9 1ACD883A -@05DA 00C6303A -@05DB 30C6703A -@05DC 1A86703A -@05DD 183FE01E -@05DE 10BFFF04 -@05DF 21000104 -@05E0 40BFF636 -@05E1 003FDB06 -@05E2 3005883A -@05E3 003FD706 -@05E4 DEFFFD04 -@05E5 DFC00215 -@05E6 DC400115 -@05E7 DC000015 -@05E8 00C003C4 -@05E9 2005883A -@05EA 1980452E -@05EB 2906B03A -@05EC 18C000CC -@05ED 1800441E -@05EE 347FFC04 -@05EF 8822D13A -@05F0 28C00104 -@05F1 23400104 -@05F2 8820913A -@05F3 2B000204 -@05F4 22C00204 -@05F5 84000504 -@05F6 2A800304 -@05F7 22400304 -@05F8 2C21883A -@05F9 2811883A -@05FA 200F883A -@05FB 41000017 -@05FC 1FC00017 -@05FD 63C00017 -@05FE 39000015 -@05FF 53800017 -@0600 6FC00015 -@0601 5BC00015 -@0602 4B800015 -@0603 18C00404 -@0604 39C00404 -@0605 42000404 -@0606 6B400404 -@0607 63000404 -@0608 5AC00404 -@0609 52800404 -@060A 4A400404 -@060B 1C3FEF1E -@060C 89C00044 -@060D 380E913A -@060E 310003CC -@060F 02C000C4 -@0610 11C7883A -@0611 29CB883A -@0612 5900212E -@0613 1813883A -@0614 2811883A -@0615 200F883A -@0616 42800017 -@0617 4A400104 -@0618 39FFFF04 -@0619 4ABFFF15 -@061A 42000104 -@061B 59FFFA36 -@061C 213FFF04 -@061D 2008D0BA -@061E 318000CC -@061F 21000044 -@0620 2109883A +@04F3 294B883A +@04F4 994B883A +@04F5 28800017 +@04F6 01800034 +@04F7 297FFE04 +@04F8 31972004 +@04F9 28806526 +@04FA 01BFFF04 +@04FB 10C00117 +@04FC 1986703A +@04FD 38C0022E +@04FE 10800217 +@04FF 28BFFB1E +@0500 11400317 +@0501 98C00117 +@0502 81400315 +@0503 80800215 +@0504 2C000215 +@0505 14000315 +@0506 003EF806 +@0507 88C00054 +@0508 80C00115 +@0509 8463883A +@050A 34400515 +@050B 34400415 +@050C 10C00054 +@050D 8A000315 +@050E 8A000215 +@050F 88C00115 +@0510 88A3883A +@0511 88800015 +@0512 9009883A +@0513 00036400 +@0514 80800204 +@0515 003F3C06 +@0516 30C00117 +@0517 003EE706 +@0518 5AC00044 +@0519 588000CC +@051A 31800204 +@051B 103EFD1E +@051C 00002406 +@051D 14000317 +@051E 143F251E +@051F 21000084 +@0520 003EBC06 +@0521 8085883A +@0522 10C00117 +@0523 81000317 +@0524 81400217 +@0525 18C00054 +@0526 10C00115 +@0527 29000315 +@0528 21400215 +@0529 9009883A +@052A 00036400 +@052B 80800204 +@052C 003F2506 +@052D 12001704 +@052E 11C016C4 +@052F 4209883A +@0530 003E9606 +@0531 3804D1BA +@0532 11400E44 +@0533 10C00E04 +@0534 294B883A +@0535 003FBC06 +@0536 84FF5926 +@0537 00800034 +@0538 10972004 +@0539 14000217 +@053A 00BFFF04 +@053B 80C00117 +@053C 1886703A +@053D 003F9106 +@053E 60800217 +@053F 213FFFC4 +@0540 1300651E +@0541 208000CC +@0542 633FFE04 +@0543 103FFA1E +@0544 98800117 +@0545 0146303A +@0546 1884703A +@0547 98800115 +@0548 294B883A +@0549 117F2836 +@054A 283F2726 +@054B 2886703A +@054C 5809883A +@054D 183EC31E +@054E 294B883A +@054F 2886703A +@0550 21000104 +@0551 183FFC26 +@0552 003EBE06 +@0553 00C05504 +@0554 18801236 +@0555 8804D3FA +@0556 12001E04 +@0557 11C01DC4 +@0558 4209883A +@0559 003E6D06 +@055A 00C40004 +@055B 003F4606 +@055C 00800044 +@055D A0800115 +@055E 003F7406 +@055F 1805D0BA +@0560 01C00044 +@0561 30C00117 +@0562 388E983A +@0563 2805883A +@0564 38C6B03A +@0565 30C00115 +@0566 003F9B06 +@0567 00C15504 +@0568 18801A36 +@0569 8804D4BA +@056A 12001F44 +@056B 11C01F04 +@056C 4209883A +@056D 003E5906 +@056E AD400404 +@056F 003F1706 +@0570 00C01504 +@0571 18802336 +@0572 3804D33A +@0573 11401BC4 +@0574 10C01B84 +@0575 294B883A +@0576 003F7B06 +@0577 9C000217 +@0578 00BFFF04 +@0579 80C00117 +@057A 1886703A +@057B 003F5306 +@057C 3083FFCC +@057D 103F181E +@057E 99000217 +@057F B545883A +@0580 10800054 +@0581 20800115 +@0582 003F3E06 +@0583 01003F84 +@0584 02001FC4 +@0585 01C01F84 +@0586 003E4006 +@0587 00800034 +@0588 109CA604 +@0589 15000015 +@058A 003F1106 +@058B 00800044 +@058C 002B883A +@058D 003F1F06 +@058E 81400204 +@058F 9009883A +@0590 000292C0 +@0591 00800034 +@0592 109CBD04 +@0593 10C00017 +@0594 003F2C06 +@0595 00C05504 +@0596 18800536 +@0597 3804D3FA +@0598 11401E04 +@0599 10C01DC4 +@059A 294B883A +@059B 003F5606 +@059C 00C15504 +@059D 18800536 +@059E 3804D4BA +@059F 11401F44 +@05A0 10C01F04 +@05A1 294B883A +@05A2 003F4F06 +@05A3 01403F84 +@05A4 00C01F84 +@05A5 003F4C06 +@05A6 98800117 +@05A7 003FA006 +@05A8 8808D0FA +@05A9 20800044 +@05AA 1085883A +@05AB 003E9006 +@05AC 208000CC +@05AD 280F883A +@05AE 10003426 +@05AF 30BFFFC4 +@05B0 30001A26 +@05B1 20C00003 +@05B2 29803FCC +@05B3 30C0051E +@05B4 00001806 +@05B5 10001526 +@05B6 20C00003 +@05B7 10BFFFC4 +@05B8 30C01426 +@05B9 21000044 +@05BA 20C000CC +@05BB 183FF91E +@05BC 020000C4 +@05BD 40801136 +@05BE 10000C26 +@05BF 20C00003 +@05C0 29403FCC +@05C1 28C00B26 +@05C2 20C00044 +@05C3 39803FCC +@05C4 2089883A +@05C5 00000306 +@05C6 18C00044 +@05C7 197FFFC3 +@05C8 31400526 +@05C9 1805883A +@05CA 20FFFB1E +@05CB 0005883A +@05CC F800283A +@05CD 2005883A +@05CE F800283A +@05CF 28C03FCC +@05D0 1812923A +@05D1 02FFBFF4 +@05D2 02A02074 +@05D3 48D2B03A +@05D4 4806943A +@05D5 5AFFBFC4 +@05D6 52A02004 +@05D7 48D2B03A +@05D8 20C00017 +@05D9 48C6F03A +@05DA 1ACD883A +@05DB 00C6303A +@05DC 30C6703A +@05DD 1A86703A +@05DE 183FE01E +@05DF 10BFFF04 +@05E0 21000104 +@05E1 40BFF636 +@05E2 003FDB06 +@05E3 3005883A +@05E4 003FD706 +@05E5 DEFFFD04 +@05E6 DFC00215 +@05E7 DC400115 +@05E8 DC000015 +@05E9 00C003C4 +@05EA 2005883A +@05EB 1980452E +@05EC 2906B03A +@05ED 18C000CC +@05EE 1800441E +@05EF 347FFC04 +@05F0 8822D13A +@05F1 28C00104 +@05F2 23400104 +@05F3 8820913A +@05F4 2B000204 +@05F5 22C00204 +@05F6 84000504 +@05F7 2A800304 +@05F8 22400304 +@05F9 2C21883A +@05FA 2811883A +@05FB 200F883A +@05FC 41000017 +@05FD 1FC00017 +@05FE 63C00017 +@05FF 39000015 +@0600 53800017 +@0601 6FC00015 +@0602 5BC00015 +@0603 4B800015 +@0604 18C00404 +@0605 39C00404 +@0606 42000404 +@0607 6B400404 +@0608 63000404 +@0609 5AC00404 +@060A 52800404 +@060B 4A400404 +@060C 1C3FEF1E +@060D 89C00044 +@060E 380E913A +@060F 310003CC +@0610 02C000C4 +@0611 11C7883A +@0612 29CB883A +@0613 5900212E +@0614 1813883A +@0615 2811883A +@0616 200F883A +@0617 42800017 +@0618 4A400104 +@0619 39FFFF04 +@061A 4ABFFF15 +@061B 42000104 +@061C 59FFFA36 +@061D 213FFF04 +@061E 2008D0BA +@061F 318000CC +@0620 21000044 @0621 2109883A -@0622 1907883A -@0623 290B883A -@0624 30000626 -@0625 198D883A -@0626 29C00003 -@0627 18C00044 -@0628 29400044 -@0629 19FFFFC5 -@062A 19BFFB1E -@062B DFC00217 -@062C DC400117 -@062D DC000017 -@062E DEC00304 -@062F F800283A -@0630 2007883A -@0631 003FF206 -@0632 2007883A -@0633 003FF106 -@0634 200D883A -@0635 003FEE06 -@0636 2005883A -@0637 29000B2E -@0638 298F883A -@0639 21C0092E -@063A 2187883A -@063B 198BC83A -@063C 30004826 -@063D 39FFFFC4 -@063E 39000003 -@063F 18FFFFC4 -@0640 19000005 -@0641 28FFFB1E -@0642 F800283A -@0643 00C003C4 -@0644 1980412E -@0645 2886B03A -@0646 18C000CC -@0647 1800401E -@0648 33FFFC04 -@0649 781ED13A -@064A 28C00104 -@064B 13400104 -@064C 781C913A -@064D 2B000204 -@064E 12C00204 -@064F 73800504 -@0650 2A800304 -@0651 12400304 -@0652 2B9D883A -@0653 2811883A -@0654 100F883A -@0655 41000017 -@0656 39C00404 -@0657 18C00404 -@0658 393FFC15 -@0659 193FFC17 -@065A 6B400404 -@065B 5AC00404 -@065C 693FFC15 -@065D 61000017 -@065E 4A400404 -@065F 42000404 -@0660 593FFC15 -@0661 51000017 -@0662 63000404 -@0663 52800404 -@0664 493FFC15 -@0665 1BBFEF1E -@0666 79000044 -@0667 2008913A -@0668 328003CC -@0669 02C000C4 -@066A 1107883A -@066B 290B883A -@066C 5A801E2E -@066D 1813883A -@066E 2811883A -@066F 500F883A -@0670 41000017 -@0671 4A400104 -@0672 39FFFF04 -@0673 493FFF15 -@0674 42000104 -@0675 59FFFA36 -@0676 513FFF04 -@0677 2008D0BA -@0678 318000CC -@0679 21000044 -@067A 2109883A +@0622 2109883A +@0623 1907883A +@0624 290B883A +@0625 30000626 +@0626 198D883A +@0627 29C00003 +@0628 18C00044 +@0629 29400044 +@062A 19FFFFC5 +@062B 19BFFB1E +@062C DFC00217 +@062D DC400117 +@062E DC000017 +@062F DEC00304 +@0630 F800283A +@0631 2007883A +@0632 003FF206 +@0633 2007883A +@0634 003FF106 +@0635 200D883A +@0636 003FEE06 +@0637 2005883A +@0638 29000B2E +@0639 298F883A +@063A 21C0092E +@063B 2187883A +@063C 198BC83A +@063D 30004826 +@063E 39FFFFC4 +@063F 39000003 +@0640 18FFFFC4 +@0641 19000005 +@0642 28FFFB1E +@0643 F800283A +@0644 00C003C4 +@0645 1980412E +@0646 2886B03A +@0647 18C000CC +@0648 1800401E +@0649 33FFFC04 +@064A 781ED13A +@064B 28C00104 +@064C 13400104 +@064D 781C913A +@064E 2B000204 +@064F 12C00204 +@0650 73800504 +@0651 2A800304 +@0652 12400304 +@0653 2B9D883A +@0654 2811883A +@0655 100F883A +@0656 41000017 +@0657 39C00404 +@0658 18C00404 +@0659 393FFC15 +@065A 193FFC17 +@065B 6B400404 +@065C 5AC00404 +@065D 693FFC15 +@065E 61000017 +@065F 4A400404 +@0660 42000404 +@0661 593FFC15 +@0662 51000017 +@0663 63000404 +@0664 52800404 +@0665 493FFC15 +@0666 1BBFEF1E +@0667 79000044 +@0668 2008913A +@0669 328003CC +@066A 02C000C4 +@066B 1107883A +@066C 290B883A +@066D 5A801E2E +@066E 1813883A +@066F 2811883A +@0670 500F883A +@0671 41000017 +@0672 4A400104 +@0673 39FFFF04 +@0674 493FFF15 +@0675 42000104 +@0676 59FFFA36 +@0677 513FFF04 +@0678 2008D0BA +@0679 318000CC +@067A 21000044 @067B 2109883A -@067C 1907883A -@067D 290B883A -@067E 30000B26 -@067F 198D883A -@0680 29C00003 -@0681 18C00044 -@0682 29400044 -@0683 19FFFFC5 -@0684 19BFFB1E -@0685 F800283A -@0686 1007883A -@0687 003FF606 -@0688 1007883A -@0689 003FF506 -@068A F800283A -@068B 500D883A -@068C 003FF106 -@068D 20C000CC -@068E 2005883A -@068F 18004426 -@0690 31FFFFC4 -@0691 30004026 -@0692 2813883A -@0693 200D883A -@0694 2007883A -@0695 00000406 -@0696 3A3FFFC4 -@0697 31800044 -@0698 38003926 -@0699 400F883A -@069A 18C00044 -@069B 32400005 -@069C 1A0000CC -@069D 403FF81E -@069E 010000C4 -@069F 21C02D2E -@06A0 29003FCC -@06A1 200C923A -@06A2 3108B03A -@06A3 200C943A -@06A4 218CB03A -@06A5 010003C4 -@06A6 21C0182E -@06A7 3B3FFC04 -@06A8 6018D13A -@06A9 1A000104 -@06AA 1AC00204 -@06AB 6008913A -@06AC 1A800304 -@06AD 1813883A -@06AE 21000504 -@06AF 1909883A -@06B0 49800015 -@06B1 41800015 -@06B2 59800015 -@06B3 51800015 -@06B4 42000404 -@06B5 4A400404 -@06B6 5AC00404 -@06B7 52800404 -@06B8 413FF71E -@06B9 63000044 -@06BA 6018913A -@06BB 39C003CC -@06BC 010000C4 -@06BD 1B07883A -@06BE 21C00E2E -@06BF 1813883A -@06C0 3811883A -@06C1 010000C4 -@06C2 49800015 -@06C3 423FFF04 -@06C4 4A400104 -@06C5 223FFC36 -@06C6 393FFF04 -@06C7 2008D0BA -@06C8 39C000CC -@06C9 21000044 -@06CA 2109883A +@067C 2109883A +@067D 1907883A +@067E 290B883A +@067F 30000B26 +@0680 198D883A +@0681 29C00003 +@0682 18C00044 +@0683 29400044 +@0684 19FFFFC5 +@0685 19BFFB1E +@0686 F800283A +@0687 1007883A +@0688 003FF606 +@0689 1007883A +@068A 003FF506 +@068B F800283A +@068C 500D883A +@068D 003FF106 +@068E 20C000CC +@068F 2005883A +@0690 18004426 +@0691 31FFFFC4 +@0692 30004026 +@0693 2813883A +@0694 200D883A +@0695 2007883A +@0696 00000406 +@0697 3A3FFFC4 +@0698 31800044 +@0699 38003926 +@069A 400F883A +@069B 18C00044 +@069C 32400005 +@069D 1A0000CC +@069E 403FF81E +@069F 010000C4 +@06A0 21C02D2E +@06A1 29003FCC +@06A2 200C923A +@06A3 3108B03A +@06A4 200C943A +@06A5 218CB03A +@06A6 010003C4 +@06A7 21C0182E +@06A8 3B3FFC04 +@06A9 6018D13A +@06AA 1A000104 +@06AB 1AC00204 +@06AC 6008913A +@06AD 1A800304 +@06AE 1813883A +@06AF 21000504 +@06B0 1909883A +@06B1 49800015 +@06B2 41800015 +@06B3 59800015 +@06B4 51800015 +@06B5 42000404 +@06B6 4A400404 +@06B7 5AC00404 +@06B8 52800404 +@06B9 413FF71E +@06BA 63000044 +@06BB 6018913A +@06BC 39C003CC +@06BD 010000C4 +@06BE 1B07883A +@06BF 21C00E2E +@06C0 1813883A +@06C1 3811883A +@06C2 010000C4 +@06C3 49800015 +@06C4 423FFF04 +@06C5 4A400104 +@06C6 223FFC36 +@06C7 393FFF04 +@06C8 2008D0BA +@06C9 39C000CC +@06CA 21000044 @06CB 2109883A -@06CC 1907883A -@06CD 38000526 -@06CE 19CF883A -@06CF 19400005 -@06D0 18C00044 -@06D1 38FFFD1E -@06D2 F800283A +@06CC 2109883A +@06CD 1907883A +@06CE 38000526 +@06CF 19CF883A +@06D0 19400005 +@06D1 18C00044 +@06D2 38FFFD1E @06D3 F800283A -@06D4 2007883A -@06D5 300F883A -@06D6 003FC706 -@06D7 DEFFF604 -@06D8 DC800215 -@06D9 DFC00915 -@06DA DF000815 -@06DB DDC00715 -@06DC DD800615 -@06DD DD400515 -@06DE DD000415 -@06DF DCC00315 -@06E0 DC400115 -@06E1 DC000015 -@06E2 3025883A -@06E3 2800B726 -@06E4 282B883A -@06E5 2029883A -@06E6 00036180 -@06E7 A8BFFF17 -@06E8 043FFF04 -@06E9 90C002C4 -@06EA 01000584 -@06EB ACFFFE04 -@06EC 1420703A -@06ED 20C0332E -@06EE 047FFE04 -@06EF 1C62703A -@06F0 8807883A -@06F1 88005816 -@06F2 8C805736 -@06F3 80C0300E -@06F4 07000034 -@06F5 E7171F04 -@06F6 E1C00217 -@06F7 9C09883A -@06F8 22000117 -@06F9 21C06326 -@06FA 017FFF84 -@06FB 414A703A -@06FC 214B883A -@06FD 29800117 -@06FE 3180004C -@06FF 30003F26 -@0700 1080004C -@0701 10008326 -@0702 900B883A -@0703 A009883A -@0704 0000EA00 -@0705 1025883A -@0706 10011E26 -@0707 A93FFF17 -@0708 10FFFE04 -@0709 00BFFF84 -@070A 2084703A -@070B 9885883A -@070C 1880EE26 -@070D 81BFFF04 -@070E 00800904 -@070F 1180B836 -@0710 00C004C4 -@0711 19809636 -@0712 9005883A -@0713 A807883A -@0714 19000017 -@0715 11000015 -@0716 19000117 -@0717 11000115 -@0718 18C00217 -@0719 10C00215 -@071A A80B883A -@071B A009883A -@071C 00029280 -@071D A009883A -@071E 000363C0 -@071F 9005883A -@0720 00001206 -@0721 00C00404 -@0722 1823883A -@0723 003FCE06 -@0724 A825883A -@0725 8445C83A -@0726 00C003C4 -@0727 18802636 -@0728 99800117 -@0729 9C07883A -@072A 3180004C -@072B 3420B03A -@072C 9C000115 -@072D 18800117 -@072E 10800054 -@072F 18800115 -@0730 A009883A -@0731 000363C0 -@0732 9005883A -@0733 DFC00917 -@0734 DF000817 -@0735 DDC00717 -@0736 DD800617 -@0737 DD400517 -@0738 DD000417 -@0739 DCC00317 -@073A DC800217 -@073B DC400117 -@073C DC000017 -@073D DEC00A04 -@073E F800283A -@073F 017FFF04 -@0740 414A703A -@0741 814D883A -@0742 30C01F16 -@0743 20800317 -@0744 20C00217 -@0745 A825883A -@0746 3021883A -@0747 18800315 -@0748 10C00215 -@0749 003FDB06 -@074A 00800304 -@074B A0800015 -@074C 0005883A -@074D 003FE506 -@074E 98C00117 -@074F 9C4B883A -@0750 11000054 -@0751 18C0004C -@0752 1C62B03A -@0753 9C400115 -@0754 29000115 -@0755 2885883A -@0756 10C00117 -@0757 29400204 -@0758 A009883A -@0759 18C00054 -@075A 10C00115 -@075B 00029280 -@075C 003FD306 -@075D 017FFF04 -@075E 414A703A -@075F 89800404 -@0760 8151883A -@0761 4180590E -@0762 1080004C -@0763 103F9E1E -@0764 ADBFFE17 -@0765 00BFFF04 -@0766 9DADC83A -@0767 B1800117 -@0768 3084703A -@0769 20002026 -@076A 80AF883A -@076B B96F883A -@076C 21C05F26 -@076D B8C01C16 -@076E 20800317 -@076F 20C00217 -@0770 81BFFF04 -@0771 01000904 -@0772 18800315 -@0773 10C00215 -@0774 B0C00217 -@0775 B0800317 -@0776 B4800204 -@0777 18800315 -@0778 10C00215 -@0779 21801B36 -@077A 008004C4 -@077B 1180352E -@077C A8800017 -@077D B0800215 -@077E A8800117 -@077F B0800315 -@0780 008006C4 -@0781 11807F36 -@0782 B0800404 -@0783 AD400204 -@0784 00002D06 -@0785 ADBFFE17 -@0786 00BFFF04 -@0787 9DADC83A -@0788 B1000117 -@0789 2084703A -@078A B03F7726 -@078B 80AF883A -@078C B8FF7516 -@078D B0800317 -@078E B0C00217 -@078F 81BFFF04 -@0790 01000904 -@0791 18800315 -@0792 10C00215 -@0793 B4800204 -@0794 21BFE52E -@0795 A80B883A -@0796 9009883A -@0797 00018D80 -@0798 B821883A -@0799 B027883A -@079A 003F8A06 -@079B 300B883A -@079C DFC00917 -@079D DF000817 -@079E DDC00717 -@079F DD800617 -@07A0 DD400517 -@07A1 DD000417 -@07A2 DCC00317 -@07A3 DC800217 -@07A4 DC400117 -@07A5 DC000017 -@07A6 DEC00A04 -@07A7 0000EA01 -@07A8 A8C00017 -@07A9 90C00015 -@07AA A8C00117 -@07AB 90C00115 -@07AC 00C006C4 -@07AD 19804536 -@07AE 90800204 -@07AF A8C00204 -@07B0 003F6306 -@07B1 9005883A -@07B2 A8C00017 -@07B3 B821883A -@07B4 B027883A -@07B5 10C00015 -@07B6 A8C00117 -@07B7 10C00115 -@07B8 A8C00217 -@07B9 10C00215 -@07BA 003F6A06 -@07BB 9C67883A -@07BC 4445C83A -@07BD E4C00215 -@07BE 10800054 -@07BF 98800115 -@07C0 A8BFFF17 -@07C1 A009883A -@07C2 1080004C -@07C3 1462B03A -@07C4 AC7FFF15 -@07C5 000363C0 -@07C6 A805883A -@07C7 003F6B06 -@07C8 A80B883A -@07C9 9009883A -@07CA 00018D80 -@07CB 003F4E06 -@07CC 89000404 -@07CD B93FBC16 -@07CE B0800317 -@07CF B0C00217 -@07D0 81BFFF04 -@07D1 01000904 -@07D2 18800315 -@07D3 10C00215 -@07D4 B4800204 -@07D5 21804336 -@07D6 008004C4 -@07D7 11803F2E -@07D8 A8800017 -@07D9 B0800215 -@07DA A8800117 -@07DB B0800315 -@07DC 008006C4 -@07DD 11803F36 -@07DE B0800404 -@07DF AD400204 -@07E0 A8C00017 -@07E1 10C00015 -@07E2 A8C00117 -@07E3 10C00115 -@07E4 A8C00217 -@07E5 10C00215 -@07E6 B447883A -@07E7 BC45C83A -@07E8 E0C00215 -@07E9 10800054 -@07EA 18800115 -@07EB B0800117 -@07EC A009883A -@07ED 1080004C -@07EE 1462B03A -@07EF B4400115 -@07F0 000363C0 -@07F1 9005883A -@07F2 003F4006 -@07F3 A8C00217 -@07F4 90C00215 -@07F5 A8C00317 -@07F6 90C00315 -@07F7 30801126 -@07F8 90800404 -@07F9 A8C00404 -@07FA 003F1906 -@07FB 90FFFF17 -@07FC 00BFFF04 -@07FD A825883A -@07FE 1884703A -@07FF 80A1883A -@0800 003F2406 -@0801 A8800217 -@0802 B0800415 -@0803 A8800317 -@0804 B0800515 -@0805 31000A26 -@0806 B0800604 -@0807 AD400404 -@0808 003FA906 -@0809 A9000417 -@080A 90800604 -@080B A8C00604 -@080C 91000415 -@080D A9000517 -@080E 91000515 -@080F 003F0406 -@0810 A8C00417 -@0811 AD400604 -@0812 B0800804 -@0813 B0C00615 -@0814 A8FFFF17 -@0815 B0C00715 -@0816 003F9B06 -@0817 9005883A -@0818 003FC706 -@0819 A80B883A -@081A 9009883A -@081B 00018D80 -@081C 003FC906 -@081D A8800217 -@081E B0800415 -@081F A8800317 -@0820 B0800515 -@0821 31000726 -@0822 B0800604 -@0823 AD400404 -@0824 003FBB06 -@0825 A009883A -@0826 000363C0 -@0827 0005883A -@0828 003F0A06 -@0829 A8C00417 -@082A AD400604 -@082B B0800804 -@082C B0C00615 -@082D A8FFFF17 -@082E B0C00715 -@082F 003FB006 -@0830 DEFFFD04 -@0831 DC000015 -@0832 04000034 -@0833 DC400115 -@0834 841CB404 -@0835 2023883A -@0836 2809883A -@0837 DFC00215 -@0838 80000015 -@0839 00038240 -@083A 00FFFFC4 -@083B 10C00526 -@083C DFC00217 -@083D DC400117 -@083E DC000017 -@083F DEC00304 -@0840 F800283A -@0841 80C00017 -@0842 183FF926 -@0843 88C00015 -@0844 003FF706 -@0845 DEFFFE04 -@0846 DC000015 -@0847 2821883A -@0848 2940038F -@0849 DFC00115 -@084A 0002E540 -@084B 10000716 -@084C 80C01417 -@084D 1887883A -@084E 80C01415 -@084F DFC00117 -@0850 DC000017 -@0851 DEC00204 -@0852 F800283A -@0853 80C0030B -@0854 18FBFFCC -@0855 80C0030D -@0856 DFC00117 -@0857 DC000017 -@0858 DEC00204 -@0859 F800283A -@085A 0005883A -@085B F800283A -@085C 2880030B -@085D DEFFFB04 -@085E DCC00315 -@085F DC800215 -@0860 DC400115 -@0861 DC000015 -@0862 DFC00415 -@0863 10C0400C -@0864 2821883A -@0865 2023883A -@0866 3025883A -@0867 3827883A -@0868 18000526 -@0869 2940038F -@086A 01C00084 -@086B 000D883A -@086C 0002C380 -@086D 8080030B -@086E 8140038F -@086F 10BBFFCC -@0870 980F883A -@0871 900D883A -@0872 8809883A -@0873 8080030D -@0874 DFC00417 -@0875 DCC00317 -@0876 DC800217 -@0877 DC400117 -@0878 DC000017 -@0879 DEC00504 -@087A 00022501 -@087B DEFFFE04 -@087C DC000015 -@087D 2821883A -@087E 2940038F -@087F DFC00115 -@0880 0002C380 -@0881 00FFFFC4 -@0882 10C00826 -@0883 80C0030B -@0884 80801415 -@0885 18C40014 -@0886 80C0030D -@0887 DFC00117 -@0888 DC000017 -@0889 DEC00204 -@088A F800283A -@088B 80C0030B -@088C 18FBFFCC -@088D 80C0030D -@088E DFC00117 -@088F DC000017 -@0890 DEC00204 -@0891 F800283A -@0892 2940038F -@0893 00024041 -@0894 DEFFFD04 -@0895 2805883A -@0896 DC000015 -@0897 04000034 -@0898 DC400115 -@0899 300B883A -@089A 841CB404 -@089B 2023883A -@089C 380D883A -@089D 1009883A -@089E DFC00215 -@089F 80000015 -@08A0 00039100 -@08A1 00FFFFC4 -@08A2 10C00526 -@08A3 DFC00217 -@08A4 DC400117 -@08A5 DC000017 -@08A6 DEC00304 -@08A7 F800283A -@08A8 80C00017 -@08A9 183FF926 -@08AA 88C00015 -@08AB 003FF706 -@08AC 00800034 -@08AD DEFFFD04 -@08AE 109CA404 -@08AF DC400115 -@08B0 2023883A -@08B1 11000017 -@08B2 DC000015 -@08B3 DFC00215 -@08B4 2821883A -@08B5 20000226 -@08B6 20800E17 -@08B7 10003126 -@08B8 8080030B -@08B9 10C0020C -@08BA 1009883A -@08BB 18000F26 -@08BC 80C00417 -@08BD 18001526 -@08BE 1100004C -@08BF 20001C1E -@08C0 1080008C -@08C1 1000291E -@08C2 80800517 -@08C3 80800215 -@08C4 18001C26 -@08C5 0005883A -@08C6 DFC00217 -@08C7 DC400117 -@08C8 DC000017 -@08C9 DEC00304 -@08CA F800283A -@08CB 2080040C -@08CC 10002E26 -@08CD 2080010C -@08CE 10001E1E -@08CF 80C00417 -@08D0 20800214 -@08D1 8080030D -@08D2 183FEB1E -@08D3 1100A00C -@08D4 01408004 -@08D5 217FE826 -@08D6 800B883A -@08D7 8809883A -@08D8 0002C980 -@08D9 8080030B -@08DA 80C00417 -@08DB 003FE206 -@08DC 80800517 -@08DD 80000215 -@08DE 0085C83A -@08DF 80800615 -@08E0 183FE41E -@08E1 80C0030B -@08E2 0005883A -@08E3 1900200C -@08E4 203FE126 -@08E5 18C01014 -@08E6 80C0030D -@08E7 00BFFFC4 -@08E8 003FDD06 -@08E9 00008000 -@08EA 003FCD06 -@08EB 0005883A -@08EC 003FD606 -@08ED 81400C17 -@08EE 28000626 -@08EF 80801004 -@08F0 28800326 -@08F1 8809883A -@08F2 00029280 -@08F3 8100030B -@08F4 80000C15 -@08F5 80C00417 -@08F6 00BFF6C4 -@08F7 1108703A -@08F8 80000115 -@08F9 80C00015 -@08FA 003FD506 -@08FB 00800244 -@08FC 88800015 -@08FD 20801014 -@08FE 8080030D -@08FF 00BFFFC4 -@0900 003FC506 -@0901 DEFFFD04 -@0902 DC000015 -@0903 04000034 -@0904 DC400115 -@0905 841CB404 -@0906 2023883A -@0907 2809883A -@0908 DFC00215 -@0909 80000015 -@090A 000317C0 -@090B 00FFFFC4 -@090C 10C00526 -@090D DFC00217 -@090E DC400117 -@090F DC000017 -@0910 DEC00304 -@0911 F800283A -@0912 80C00017 -@0913 183FF926 -@0914 88C00015 -@0915 003FF706 -@0916 28003926 -@0917 DEFFFC04 -@0918 DC400115 -@0919 DC000015 -@091A DFC00315 -@091B DC800215 -@091C 2023883A -@091D 2821883A -@091E 20000226 -@091F 20800E17 -@0920 10002726 -@0921 8080030F -@0922 1000071E -@0923 0005883A -@0924 DFC00317 -@0925 DC800217 -@0926 DC400117 -@0927 DC000017 -@0928 DEC00404 -@0929 F800283A -@092A 800B883A -@092B 8809883A -@092C 000255C0 -@092D 1025883A -@092E 80800B17 -@092F 10000426 -@0930 81400717 -@0931 8809883A -@0932 103EE83A -@0933 10001616 -@0934 8080030B -@0935 1080200C -@0936 1000151E -@0937 81400C17 -@0938 28000526 -@0939 80801004 -@093A 28800226 -@093B 8809883A -@093C 00029280 -@093D 80000C15 -@093E 81401117 -@093F 28000326 -@0940 8809883A -@0941 00029280 -@0942 80001115 -@0943 00008100 -@0944 8000030D -@0945 00008140 -@0946 9005883A -@0947 003FDC06 -@0948 00008000 -@0949 003FD706 -@094A 04BFFFC4 -@094B 003FE806 -@094C 81400417 -@094D 8809883A -@094E 00029280 -@094F 003FE706 -@0950 0005883A -@0951 F800283A -@0952 00800034 -@0953 109CA404 -@0954 200B883A -@0955 11000017 -@0956 00024581 -@0957 2880030B -@0958 DEFFFB04 -@0959 DCC00315 -@095A DC400115 -@095B DFC00415 -@095C DC800215 -@095D DC000015 -@095E 10C0020C -@095F 2823883A -@0960 2027883A -@0961 1800311E -@0962 28C00117 -@0963 10820014 -@0964 2880030D -@0965 00C04B0E -@0966 8A000A17 -@0967 40002326 -@0968 9C000017 -@0969 10C4000C -@096A 98000015 -@096B 18004826 -@096C 89801417 -@096D 10C0010C -@096E 18000626 -@096F 88C00117 -@0970 88800C17 -@0971 30CDC83A -@0972 10000226 -@0973 88800F17 -@0974 308DC83A -@0975 89400717 -@0976 000F883A -@0977 9809883A -@0978 403EE83A -@0979 00FFFFC4 -@097A 10C04426 -@097B 88C0030B -@097C 89000417 -@097D 88000115 -@097E 197DFFCC -@097F 8940030D -@0980 89000015 -@0981 18C4000C -@0982 18002C1E -@0983 89400C17 -@0984 9C000015 -@0985 28000526 -@0986 88801004 -@0987 28800226 -@0988 9809883A -@0989 00029280 -@098A 88000C15 -@098B 0005883A -@098C DFC00417 -@098D DCC00317 -@098E DC800217 -@098F DC400117 -@0990 DC000017 -@0991 DEC00504 -@0992 F800283A -@0993 2C800417 -@0994 903FF626 -@0995 2C000017 -@0996 108000CC -@0997 2C800015 -@0998 84A1C83A -@0999 1000131E -@099A 28800517 -@099B 88800215 -@099C 04000316 -@099D 003FED06 -@099E 90A5883A -@099F 043FEB0E -@09A0 88800917 -@09A1 89400717 -@09A2 800F883A -@09A3 900D883A -@09A4 9809883A -@09A5 103EE83A -@09A6 80A1C83A -@09A7 00BFF616 -@09A8 88C0030B -@09A9 00BFFFC4 -@09AA 18C01014 -@09AB 88C0030D -@09AC 003FDF06 -@09AD 0005883A -@09AE 003FEC06 -@09AF 88801415 -@09B0 003FD206 -@09B1 28C00F17 -@09B2 00FFB316 -@09B3 003FD706 -@09B4 89400717 -@09B5 000D883A -@09B6 01C00044 -@09B7 9809883A -@09B8 403EE83A -@09B9 100D883A -@09BA 00BFFFC4 -@09BB 30801426 -@09BC 8880030B -@09BD 8A000A17 -@09BE 003FAE06 -@09BF 98C00017 -@09C0 183FBA26 -@09C1 01000744 -@09C2 19000626 -@09C3 01000584 -@09C4 19000426 -@09C5 88C0030B -@09C6 18C01014 -@09C7 88C0030D -@09C8 003FC306 -@09C9 8880030B -@09CA 88C00417 -@09CB 88000115 -@09CC 10BDFFCC -@09CD 8880030D -@09CE 88C00015 -@09CF 003FB306 -@09D0 98800017 -@09D1 103FEA26 -@09D2 00C00744 -@09D3 10C00226 -@09D4 00C00584 -@09D5 10C0031E -@09D6 9C000015 -@09D7 0005883A -@09D8 003FB306 -@09D9 88C0030B -@09DA 3005883A -@09DB 18C01014 -@09DC 88C0030D -@09DD 003FAE06 -@09DE DEFFFD04 -@09DF DC000115 -@09E0 DFC00215 -@09E1 2021883A -@09E2 20000226 -@09E3 20800E17 -@09E4 10000C26 -@09E5 2880030F -@09E6 1000051E -@09E7 0005883A -@09E8 DFC00217 -@09E9 DC000117 -@09EA DEC00304 -@09EB F800283A -@09EC 8009883A -@09ED DFC00217 -@09EE DC000117 -@09EF DEC00304 -@09F0 000255C1 -@09F1 D9400015 -@09F2 00008000 -@09F3 D9400017 -@09F4 003FF006 -@09F5 20000526 -@09F6 00800034 -@09F7 109CA404 -@09F8 200B883A -@09F9 11000017 -@09FA 00027781 -@09FB 00800034 -@09FC 109CA304 -@09FD 11000017 -@09FE 01400034 -@09FF 2949DE04 -@0A00 0000DDC1 -@0A01 DEFFFB04 -@0A02 DCC00315 -@0A03 04C00034 -@0A04 DC800215 -@0A05 DC400115 -@0A06 DC000015 -@0A07 DFC00415 -@0A08 2821883A -@0A09 9CD71F04 -@0A0A 2025883A -@0A0B 00036180 -@0A0C 98800217 -@0A0D 14400117 -@0A0E 00BFFF04 -@0A0F 88A2703A -@0A10 8C21C83A -@0A11 8403FBC4 -@0A12 8020D33A -@0A13 0083FFC4 -@0A14 843FFFC4 -@0A15 8020933A -@0A16 1400060E -@0A17 000B883A -@0A18 9009883A -@0A19 00020C00 -@0A1A 98C00217 -@0A1B 1C47883A -@0A1C 10C00A26 -@0A1D 9009883A -@0A1E 000363C0 -@0A1F 0005883A -@0A20 DFC00417 -@0A21 DCC00317 -@0A22 DC800217 -@0A23 DC400117 -@0A24 DC000017 -@0A25 DEC00504 -@0A26 F800283A -@0A27 040BC83A -@0A28 9009883A -@0A29 00020C00 -@0A2A 00FFFFC4 -@0A2B 10C00D26 -@0A2C 00C00034 -@0A2D 18DCBC04 -@0A2E 18800017 -@0A2F 99000217 -@0A30 8C23C83A -@0A31 8C400054 -@0A32 1421C83A -@0A33 24400115 -@0A34 9009883A -@0A35 1C000015 -@0A36 000363C0 -@0A37 00800044 -@0A38 003FE706 -@0A39 000B883A -@0A3A 9009883A -@0A3B 00020C00 -@0A3C 99000217 -@0A3D 014003C4 -@0A3E 1107C83A -@0A3F 28FFDD0E -@0A40 01400034 -@0A41 295CA504 -@0A42 29400017 -@0A43 18C00054 -@0A44 20C00115 -@0A45 00C00034 -@0A46 1145C83A -@0A47 18DCBC04 -@0A48 18800015 -@0A49 003FD306 -@0A4A 28004126 -@0A4B DEFFFD04 -@0A4C DC400115 -@0A4D DC000015 -@0A4E 2023883A -@0A4F 2821883A -@0A50 DFC00215 -@0A51 00036180 -@0A52 81FFFF17 -@0A53 00BFFF84 -@0A54 01000034 -@0A55 81BFFE04 -@0A56 3884703A -@0A57 21171F04 -@0A58 308B883A -@0A59 2A400117 -@0A5A 22000217 -@0A5B 00FFFF04 -@0A5C 48C6703A -@0A5D 2A005726 -@0A5E 28C00115 -@0A5F 39C0004C -@0A60 3800091E -@0A61 823FFE17 -@0A62 22400204 -@0A63 320DC83A -@0A64 31C00217 -@0A65 1205883A -@0A66 3A406526 -@0A67 32000317 -@0A68 3A000315 -@0A69 41C00215 -@0A6A 28CF883A -@0A6B 39C00117 -@0A6C 39C0004C -@0A6D 38003A26 -@0A6E 10C00054 -@0A6F 30C00115 -@0A70 3087883A -@0A71 18800015 -@0A72 00C07FC4 -@0A73 18801936 -@0A74 1004D0FA -@0A75 01C00044 -@0A76 21400117 -@0A77 10C00044 -@0A78 18C7883A -@0A79 1005D0BA -@0A7A 18C7883A +@06D4 F800283A +@06D5 2007883A +@06D6 300F883A +@06D7 003FC706 +@06D8 DEFFF604 +@06D9 DC800215 +@06DA DFC00915 +@06DB DF000815 +@06DC DDC00715 +@06DD DD800615 +@06DE DD400515 +@06DF DD000415 +@06E0 DCC00315 +@06E1 DC400115 +@06E2 DC000015 +@06E3 3025883A +@06E4 2800B726 +@06E5 282B883A +@06E6 2029883A +@06E7 000361C0 +@06E8 A8BFFF17 +@06E9 043FFF04 +@06EA 90C002C4 +@06EB 01000584 +@06EC ACFFFE04 +@06ED 1420703A +@06EE 20C0332E +@06EF 047FFE04 +@06F0 1C62703A +@06F1 8807883A +@06F2 88005816 +@06F3 8C805736 +@06F4 80C0300E +@06F5 07000034 +@06F6 E7172004 +@06F7 E1C00217 +@06F8 9C09883A +@06F9 22000117 +@06FA 21C06326 +@06FB 017FFF84 +@06FC 414A703A +@06FD 214B883A +@06FE 29800117 +@06FF 3180004C +@0700 30003F26 +@0701 1080004C +@0702 10008326 +@0703 900B883A +@0704 A009883A +@0705 0000EA40 +@0706 1025883A +@0707 10011E26 +@0708 A93FFF17 +@0709 10FFFE04 +@070A 00BFFF84 +@070B 2084703A +@070C 9885883A +@070D 1880EE26 +@070E 81BFFF04 +@070F 00800904 +@0710 1180B836 +@0711 00C004C4 +@0712 19809636 +@0713 9005883A +@0714 A807883A +@0715 19000017 +@0716 11000015 +@0717 19000117 +@0718 11000115 +@0719 18C00217 +@071A 10C00215 +@071B A80B883A +@071C A009883A +@071D 000292C0 +@071E A009883A +@071F 00036400 +@0720 9005883A +@0721 00001206 +@0722 00C00404 +@0723 1823883A +@0724 003FCE06 +@0725 A825883A +@0726 8445C83A +@0727 00C003C4 +@0728 18802636 +@0729 99800117 +@072A 9C07883A +@072B 3180004C +@072C 3420B03A +@072D 9C000115 +@072E 18800117 +@072F 10800054 +@0730 18800115 +@0731 A009883A +@0732 00036400 +@0733 9005883A +@0734 DFC00917 +@0735 DF000817 +@0736 DDC00717 +@0737 DD800617 +@0738 DD400517 +@0739 DD000417 +@073A DCC00317 +@073B DC800217 +@073C DC400117 +@073D DC000017 +@073E DEC00A04 +@073F F800283A +@0740 017FFF04 +@0741 414A703A +@0742 814D883A +@0743 30C01F16 +@0744 20800317 +@0745 20C00217 +@0746 A825883A +@0747 3021883A +@0748 18800315 +@0749 10C00215 +@074A 003FDB06 +@074B 00800304 +@074C A0800015 +@074D 0005883A +@074E 003FE506 +@074F 98C00117 +@0750 9C4B883A +@0751 11000054 +@0752 18C0004C +@0753 1C62B03A +@0754 9C400115 +@0755 29000115 +@0756 2885883A +@0757 10C00117 +@0758 29400204 +@0759 A009883A +@075A 18C00054 +@075B 10C00115 +@075C 000292C0 +@075D 003FD306 +@075E 017FFF04 +@075F 414A703A +@0760 89800404 +@0761 8151883A +@0762 4180590E +@0763 1080004C +@0764 103F9E1E +@0765 ADBFFE17 +@0766 00BFFF04 +@0767 9DADC83A +@0768 B1800117 +@0769 3084703A +@076A 20002026 +@076B 80AF883A +@076C B96F883A +@076D 21C05F26 +@076E B8C01C16 +@076F 20800317 +@0770 20C00217 +@0771 81BFFF04 +@0772 01000904 +@0773 18800315 +@0774 10C00215 +@0775 B0C00217 +@0776 B0800317 +@0777 B4800204 +@0778 18800315 +@0779 10C00215 +@077A 21801B36 +@077B 008004C4 +@077C 1180352E +@077D A8800017 +@077E B0800215 +@077F A8800117 +@0780 B0800315 +@0781 008006C4 +@0782 11807F36 +@0783 B0800404 +@0784 AD400204 +@0785 00002D06 +@0786 ADBFFE17 +@0787 00BFFF04 +@0788 9DADC83A +@0789 B1000117 +@078A 2084703A +@078B B03F7726 +@078C 80AF883A +@078D B8FF7516 +@078E B0800317 +@078F B0C00217 +@0790 81BFFF04 +@0791 01000904 +@0792 18800315 +@0793 10C00215 +@0794 B4800204 +@0795 21BFE52E +@0796 A80B883A +@0797 9009883A +@0798 00018DC0 +@0799 B821883A +@079A B027883A +@079B 003F8A06 +@079C 300B883A +@079D DFC00917 +@079E DF000817 +@079F DDC00717 +@07A0 DD800617 +@07A1 DD400517 +@07A2 DD000417 +@07A3 DCC00317 +@07A4 DC800217 +@07A5 DC400117 +@07A6 DC000017 +@07A7 DEC00A04 +@07A8 0000EA41 +@07A9 A8C00017 +@07AA 90C00015 +@07AB A8C00117 +@07AC 90C00115 +@07AD 00C006C4 +@07AE 19804536 +@07AF 90800204 +@07B0 A8C00204 +@07B1 003F6306 +@07B2 9005883A +@07B3 A8C00017 +@07B4 B821883A +@07B5 B027883A +@07B6 10C00015 +@07B7 A8C00117 +@07B8 10C00115 +@07B9 A8C00217 +@07BA 10C00215 +@07BB 003F6A06 +@07BC 9C67883A +@07BD 4445C83A +@07BE E4C00215 +@07BF 10800054 +@07C0 98800115 +@07C1 A8BFFF17 +@07C2 A009883A +@07C3 1080004C +@07C4 1462B03A +@07C5 AC7FFF15 +@07C6 00036400 +@07C7 A805883A +@07C8 003F6B06 +@07C9 A80B883A +@07CA 9009883A +@07CB 00018DC0 +@07CC 003F4E06 +@07CD 89000404 +@07CE B93FBC16 +@07CF B0800317 +@07D0 B0C00217 +@07D1 81BFFF04 +@07D2 01000904 +@07D3 18800315 +@07D4 10C00215 +@07D5 B4800204 +@07D6 21804336 +@07D7 008004C4 +@07D8 11803F2E +@07D9 A8800017 +@07DA B0800215 +@07DB A8800117 +@07DC B0800315 +@07DD 008006C4 +@07DE 11803F36 +@07DF B0800404 +@07E0 AD400204 +@07E1 A8C00017 +@07E2 10C00015 +@07E3 A8C00117 +@07E4 10C00115 +@07E5 A8C00217 +@07E6 10C00215 +@07E7 B447883A +@07E8 BC45C83A +@07E9 E0C00215 +@07EA 10800054 +@07EB 18800115 +@07EC B0800117 +@07ED A009883A +@07EE 1080004C +@07EF 1462B03A +@07F0 B4400115 +@07F1 00036400 +@07F2 9005883A +@07F3 003F4006 +@07F4 A8C00217 +@07F5 90C00215 +@07F6 A8C00317 +@07F7 90C00315 +@07F8 30801126 +@07F9 90800404 +@07FA A8C00404 +@07FB 003F1906 +@07FC 90FFFF17 +@07FD 00BFFF04 +@07FE A825883A +@07FF 1884703A +@0800 80A1883A +@0801 003F2406 +@0802 A8800217 +@0803 B0800415 +@0804 A8800317 +@0805 B0800515 +@0806 31000A26 +@0807 B0800604 +@0808 AD400404 +@0809 003FA906 +@080A A9000417 +@080B 90800604 +@080C A8C00604 +@080D 91000415 +@080E A9000517 +@080F 91000515 +@0810 003F0406 +@0811 A8C00417 +@0812 AD400604 +@0813 B0800804 +@0814 B0C00615 +@0815 A8FFFF17 +@0816 B0C00715 +@0817 003F9B06 +@0818 9005883A +@0819 003FC706 +@081A A80B883A +@081B 9009883A +@081C 00018DC0 +@081D 003FC906 +@081E A8800217 +@081F B0800415 +@0820 A8800317 +@0821 B0800515 +@0822 31000726 +@0823 B0800604 +@0824 AD400404 +@0825 003FBB06 +@0826 A009883A +@0827 00036400 +@0828 0005883A +@0829 003F0A06 +@082A A8C00417 +@082B AD400604 +@082C B0800804 +@082D B0C00615 +@082E A8FFFF17 +@082F B0C00715 +@0830 003FB006 +@0831 DEFFFD04 +@0832 DC000015 +@0833 04000034 +@0834 DC400115 +@0835 841CB504 +@0836 2023883A +@0837 2809883A +@0838 DFC00215 +@0839 80000015 +@083A 00038280 +@083B 00FFFFC4 +@083C 10C00526 +@083D DFC00217 +@083E DC400117 +@083F DC000017 +@0840 DEC00304 +@0841 F800283A +@0842 80C00017 +@0843 183FF926 +@0844 88C00015 +@0845 003FF706 +@0846 DEFFFE04 +@0847 DC000015 +@0848 2821883A +@0849 2940038F +@084A DFC00115 +@084B 0002E580 +@084C 10000716 +@084D 80C01417 +@084E 1887883A +@084F 80C01415 +@0850 DFC00117 +@0851 DC000017 +@0852 DEC00204 +@0853 F800283A +@0854 80C0030B +@0855 18FBFFCC +@0856 80C0030D +@0857 DFC00117 +@0858 DC000017 +@0859 DEC00204 +@085A F800283A +@085B 0005883A +@085C F800283A +@085D 2880030B +@085E DEFFFB04 +@085F DCC00315 +@0860 DC800215 +@0861 DC400115 +@0862 DC000015 +@0863 DFC00415 +@0864 10C0400C +@0865 2821883A +@0866 2023883A +@0867 3025883A +@0868 3827883A +@0869 18000526 +@086A 2940038F +@086B 01C00084 +@086C 000D883A +@086D 0002C3C0 +@086E 8080030B +@086F 8140038F +@0870 10BBFFCC +@0871 980F883A +@0872 900D883A +@0873 8809883A +@0874 8080030D +@0875 DFC00417 +@0876 DCC00317 +@0877 DC800217 +@0878 DC400117 +@0879 DC000017 +@087A DEC00504 +@087B 00022541 +@087C DEFFFE04 +@087D DC000015 +@087E 2821883A +@087F 2940038F +@0880 DFC00115 +@0881 0002C3C0 +@0882 00FFFFC4 +@0883 10C00826 +@0884 80C0030B +@0885 80801415 +@0886 18C40014 +@0887 80C0030D +@0888 DFC00117 +@0889 DC000017 +@088A DEC00204 +@088B F800283A +@088C 80C0030B +@088D 18FBFFCC +@088E 80C0030D +@088F DFC00117 +@0890 DC000017 +@0891 DEC00204 +@0892 F800283A +@0893 2940038F +@0894 00024081 +@0895 DEFFFD04 +@0896 2805883A +@0897 DC000015 +@0898 04000034 +@0899 DC400115 +@089A 300B883A +@089B 841CB504 +@089C 2023883A +@089D 380D883A +@089E 1009883A +@089F DFC00215 +@08A0 80000015 +@08A1 00039140 +@08A2 00FFFFC4 +@08A3 10C00526 +@08A4 DFC00217 +@08A5 DC400117 +@08A6 DC000017 +@08A7 DEC00304 +@08A8 F800283A +@08A9 80C00017 +@08AA 183FF926 +@08AB 88C00015 +@08AC 003FF706 +@08AD 00800034 +@08AE DEFFFD04 +@08AF 109CA504 +@08B0 DC400115 +@08B1 2023883A +@08B2 11000017 +@08B3 DC000015 +@08B4 DFC00215 +@08B5 2821883A +@08B6 20000226 +@08B7 20800E17 +@08B8 10003126 +@08B9 8080030B +@08BA 10C0020C +@08BB 1009883A +@08BC 18000F26 +@08BD 80C00417 +@08BE 18001526 +@08BF 1100004C +@08C0 20001C1E +@08C1 1080008C +@08C2 1000291E +@08C3 80800517 +@08C4 80800215 +@08C5 18001C26 +@08C6 0005883A +@08C7 DFC00217 +@08C8 DC400117 +@08C9 DC000017 +@08CA DEC00304 +@08CB F800283A +@08CC 2080040C +@08CD 10002E26 +@08CE 2080010C +@08CF 10001E1E +@08D0 80C00417 +@08D1 20800214 +@08D2 8080030D +@08D3 183FEB1E +@08D4 1100A00C +@08D5 01408004 +@08D6 217FE826 +@08D7 800B883A +@08D8 8809883A +@08D9 0002C9C0 +@08DA 8080030B +@08DB 80C00417 +@08DC 003FE206 +@08DD 80800517 +@08DE 80000215 +@08DF 0085C83A +@08E0 80800615 +@08E1 183FE41E +@08E2 80C0030B +@08E3 0005883A +@08E4 1900200C +@08E5 203FE126 +@08E6 18C01014 +@08E7 80C0030D +@08E8 00BFFFC4 +@08E9 003FDD06 +@08EA 00008040 +@08EB 003FCD06 +@08EC 0005883A +@08ED 003FD606 +@08EE 81400C17 +@08EF 28000626 +@08F0 80801004 +@08F1 28800326 +@08F2 8809883A +@08F3 000292C0 +@08F4 8100030B +@08F5 80000C15 +@08F6 80C00417 +@08F7 00BFF6C4 +@08F8 1108703A +@08F9 80000115 +@08FA 80C00015 +@08FB 003FD506 +@08FC 00800244 +@08FD 88800015 +@08FE 20801014 +@08FF 8080030D +@0900 00BFFFC4 +@0901 003FC506 +@0902 DEFFFD04 +@0903 DC000015 +@0904 04000034 +@0905 DC400115 +@0906 841CB504 +@0907 2023883A +@0908 2809883A +@0909 DFC00215 +@090A 80000015 +@090B 00031800 +@090C 00FFFFC4 +@090D 10C00526 +@090E DFC00217 +@090F DC400117 +@0910 DC000017 +@0911 DEC00304 +@0912 F800283A +@0913 80C00017 +@0914 183FF926 +@0915 88C00015 +@0916 003FF706 +@0917 28003926 +@0918 DEFFFC04 +@0919 DC400115 +@091A DC000015 +@091B DFC00315 +@091C DC800215 +@091D 2023883A +@091E 2821883A +@091F 20000226 +@0920 20800E17 +@0921 10002726 +@0922 8080030F +@0923 1000071E +@0924 0005883A +@0925 DFC00317 +@0926 DC800217 +@0927 DC400117 +@0928 DC000017 +@0929 DEC00404 +@092A F800283A +@092B 800B883A +@092C 8809883A +@092D 00025600 +@092E 1025883A +@092F 80800B17 +@0930 10000426 +@0931 81400717 +@0932 8809883A +@0933 103EE83A +@0934 10001616 +@0935 8080030B +@0936 1080200C +@0937 1000151E +@0938 81400C17 +@0939 28000526 +@093A 80801004 +@093B 28800226 +@093C 8809883A +@093D 000292C0 +@093E 80000C15 +@093F 81401117 +@0940 28000326 +@0941 8809883A +@0942 000292C0 +@0943 80001115 +@0944 00008140 +@0945 8000030D +@0946 00008180 +@0947 9005883A +@0948 003FDC06 +@0949 00008040 +@094A 003FD706 +@094B 04BFFFC4 +@094C 003FE806 +@094D 81400417 +@094E 8809883A +@094F 000292C0 +@0950 003FE706 +@0951 0005883A +@0952 F800283A +@0953 00800034 +@0954 109CA504 +@0955 200B883A +@0956 11000017 +@0957 000245C1 +@0958 2880030B +@0959 DEFFFB04 +@095A DCC00315 +@095B DC400115 +@095C DFC00415 +@095D DC800215 +@095E DC000015 +@095F 10C0020C +@0960 2823883A +@0961 2027883A +@0962 1800311E +@0963 28C00117 +@0964 10820014 +@0965 2880030D +@0966 00C04B0E +@0967 8A000A17 +@0968 40002326 +@0969 9C000017 +@096A 10C4000C +@096B 98000015 +@096C 18004826 +@096D 89801417 +@096E 10C0010C +@096F 18000626 +@0970 88C00117 +@0971 88800C17 +@0972 30CDC83A +@0973 10000226 +@0974 88800F17 +@0975 308DC83A +@0976 89400717 +@0977 000F883A +@0978 9809883A +@0979 403EE83A +@097A 00FFFFC4 +@097B 10C04426 +@097C 88C0030B +@097D 89000417 +@097E 88000115 +@097F 197DFFCC +@0980 8940030D +@0981 89000015 +@0982 18C4000C +@0983 18002C1E +@0984 89400C17 +@0985 9C000015 +@0986 28000526 +@0987 88801004 +@0988 28800226 +@0989 9809883A +@098A 000292C0 +@098B 88000C15 +@098C 0005883A +@098D DFC00417 +@098E DCC00317 +@098F DC800217 +@0990 DC400117 +@0991 DC000017 +@0992 DEC00504 +@0993 F800283A +@0994 2C800417 +@0995 903FF626 +@0996 2C000017 +@0997 108000CC +@0998 2C800015 +@0999 84A1C83A +@099A 1000131E +@099B 28800517 +@099C 88800215 +@099D 04000316 +@099E 003FED06 +@099F 90A5883A +@09A0 043FEB0E +@09A1 88800917 +@09A2 89400717 +@09A3 800F883A +@09A4 900D883A +@09A5 9809883A +@09A6 103EE83A +@09A7 80A1C83A +@09A8 00BFF616 +@09A9 88C0030B +@09AA 00BFFFC4 +@09AB 18C01014 +@09AC 88C0030D +@09AD 003FDF06 +@09AE 0005883A +@09AF 003FEC06 +@09B0 88801415 +@09B1 003FD206 +@09B2 28C00F17 +@09B3 00FFB316 +@09B4 003FD706 +@09B5 89400717 +@09B6 000D883A +@09B7 01C00044 +@09B8 9809883A +@09B9 403EE83A +@09BA 100D883A +@09BB 00BFFFC4 +@09BC 30801426 +@09BD 8880030B +@09BE 8A000A17 +@09BF 003FAE06 +@09C0 98C00017 +@09C1 183FBA26 +@09C2 01000744 +@09C3 19000626 +@09C4 01000584 +@09C5 19000426 +@09C6 88C0030B +@09C7 18C01014 +@09C8 88C0030D +@09C9 003FC306 +@09CA 8880030B +@09CB 88C00417 +@09CC 88000115 +@09CD 10BDFFCC +@09CE 8880030D +@09CF 88C00015 +@09D0 003FB306 +@09D1 98800017 +@09D2 103FEA26 +@09D3 00C00744 +@09D4 10C00226 +@09D5 00C00584 +@09D6 10C0031E +@09D7 9C000015 +@09D8 0005883A +@09D9 003FB306 +@09DA 88C0030B +@09DB 3005883A +@09DC 18C01014 +@09DD 88C0030D +@09DE 003FAE06 +@09DF DEFFFD04 +@09E0 DC000115 +@09E1 DFC00215 +@09E2 2021883A +@09E3 20000226 +@09E4 20800E17 +@09E5 10000C26 +@09E6 2880030F +@09E7 1000051E +@09E8 0005883A +@09E9 DFC00217 +@09EA DC000117 +@09EB DEC00304 +@09EC F800283A +@09ED 8009883A +@09EE DFC00217 +@09EF DC000117 +@09F0 DEC00304 +@09F1 00025601 +@09F2 D9400015 +@09F3 00008040 +@09F4 D9400017 +@09F5 003FF006 +@09F6 20000526 +@09F7 00800034 +@09F8 109CA504 +@09F9 200B883A +@09FA 11000017 +@09FB 000277C1 +@09FC 00800034 +@09FD 109CA404 +@09FE 11000017 +@09FF 01400034 +@0A00 2949DF04 +@0A01 0000DE01 +@0A02 DEFFFB04 +@0A03 DCC00315 +@0A04 04C00034 +@0A05 DC800215 +@0A06 DC400115 +@0A07 DC000015 +@0A08 DFC00415 +@0A09 2821883A +@0A0A 9CD72004 +@0A0B 2025883A +@0A0C 000361C0 +@0A0D 98800217 +@0A0E 14400117 +@0A0F 00BFFF04 +@0A10 88A2703A +@0A11 8C21C83A +@0A12 8403FBC4 +@0A13 8020D33A +@0A14 0083FFC4 +@0A15 843FFFC4 +@0A16 8020933A +@0A17 1400060E +@0A18 000B883A +@0A19 9009883A +@0A1A 00020C40 +@0A1B 98C00217 +@0A1C 1C47883A +@0A1D 10C00A26 +@0A1E 9009883A +@0A1F 00036400 +@0A20 0005883A +@0A21 DFC00417 +@0A22 DCC00317 +@0A23 DC800217 +@0A24 DC400117 +@0A25 DC000017 +@0A26 DEC00504 +@0A27 F800283A +@0A28 040BC83A +@0A29 9009883A +@0A2A 00020C40 +@0A2B 00FFFFC4 +@0A2C 10C00D26 +@0A2D 00C00034 +@0A2E 18DCBD04 +@0A2F 18800017 +@0A30 99000217 +@0A31 8C23C83A +@0A32 8C400054 +@0A33 1421C83A +@0A34 24400115 +@0A35 9009883A +@0A36 1C000015 +@0A37 00036400 +@0A38 00800044 +@0A39 003FE706 +@0A3A 000B883A +@0A3B 9009883A +@0A3C 00020C40 +@0A3D 99000217 +@0A3E 014003C4 +@0A3F 1107C83A +@0A40 28FFDD0E +@0A41 01400034 +@0A42 295CA604 +@0A43 29400017 +@0A44 18C00054 +@0A45 20C00115 +@0A46 00C00034 +@0A47 1145C83A +@0A48 18DCBD04 +@0A49 18800015 +@0A4A 003FD306 +@0A4B 28004126 +@0A4C DEFFFD04 +@0A4D DC400115 +@0A4E DC000015 +@0A4F 2023883A +@0A50 2821883A +@0A51 DFC00215 +@0A52 000361C0 +@0A53 81FFFF17 +@0A54 00BFFF84 +@0A55 01000034 +@0A56 81BFFE04 +@0A57 3884703A +@0A58 21172004 +@0A59 308B883A +@0A5A 2A400117 +@0A5B 22000217 +@0A5C 00FFFF04 +@0A5D 48C6703A +@0A5E 2A005726 +@0A5F 28C00115 +@0A60 39C0004C +@0A61 3800091E +@0A62 823FFE17 +@0A63 22400204 +@0A64 320DC83A +@0A65 31C00217 +@0A66 1205883A +@0A67 3A406526 +@0A68 32000317 +@0A69 3A000315 +@0A6A 41C00215 +@0A6B 28CF883A +@0A6C 39C00117 +@0A6D 39C0004C +@0A6E 38003A26 +@0A6F 10C00054 +@0A70 30C00115 +@0A71 3087883A +@0A72 18800015 +@0A73 00C07FC4 +@0A74 18801936 +@0A75 1004D0FA +@0A76 01C00044 +@0A77 21400117 +@0A78 10C00044 +@0A79 18C7883A +@0A7A 1005D0BA @0A7B 18C7883A -@0A7C 1907883A -@0A7D 3884983A -@0A7E 19C00017 -@0A7F 1A3FFE04 -@0A80 1144B03A -@0A81 32000315 -@0A82 31C00215 -@0A83 20800115 -@0A84 19800015 -@0A85 39800315 -@0A86 8809883A -@0A87 DFC00217 -@0A88 DC400117 -@0A89 DC000017 -@0A8A DEC00304 -@0A8B 000363C1 -@0A8C F800283A -@0A8D 100AD27A -@0A8E 00C00104 -@0A8F 19404A36 -@0A90 100AD1BA -@0A91 28C00E44 -@0A92 18C7883A -@0A93 29400E04 -@0A94 18C7883A +@0A7C 18C7883A +@0A7D 1907883A +@0A7E 3884983A +@0A7F 19C00017 +@0A80 1A3FFE04 +@0A81 1144B03A +@0A82 32000315 +@0A83 31C00215 +@0A84 20800115 +@0A85 19800015 +@0A86 39800315 +@0A87 8809883A +@0A88 DFC00217 +@0A89 DC400117 +@0A8A DC000017 +@0A8B DEC00304 +@0A8C 00036401 +@0A8D F800283A +@0A8E 100AD27A +@0A8F 00C00104 +@0A90 19404A36 +@0A91 100AD1BA +@0A92 28C00E44 +@0A93 18C7883A +@0A94 29400E04 @0A95 18C7883A -@0A96 1909883A -@0A97 20C00017 -@0A98 01C00034 -@0A99 213FFE04 -@0A9A 39D71F04 -@0A9B 20C04426 -@0A9C 01FFFF04 -@0A9D 19400117 -@0A9E 29CA703A -@0A9F 1140022E -@0AA0 18C00217 -@0AA1 20FFFB1E -@0AA2 19000317 -@0AA3 31000315 -@0AA4 30C00215 -@0AA5 21800215 -@0AA6 19800315 -@0AA7 003FDE06 -@0AA8 29C00217 -@0AA9 10C5883A -@0AAA 00C00034 -@0AAB 18D72104 -@0AAC 38C03B26 -@0AAD 2A000317 -@0AAE 11400054 -@0AAF 3087883A -@0AB0 3A000315 -@0AB1 41C00215 -@0AB2 31400115 -@0AB3 18800015 -@0AB4 003FBD06 -@0AB5 39C0004C -@0AB6 10C5883A -@0AB7 3800071E -@0AB8 81FFFE17 -@0AB9 31CDC83A -@0ABA 30C00317 -@0ABB 31400217 -@0ABC 11C5883A -@0ABD 28C00315 -@0ABE 19400215 -@0ABF 10C00054 -@0AC0 30C00115 -@0AC1 00C00034 -@0AC2 18DCA604 -@0AC3 18C00017 -@0AC4 21800215 -@0AC5 10FFC036 -@0AC6 00800034 -@0AC7 109CB304 -@0AC8 11400017 -@0AC9 8809883A -@0ACA 00028040 -@0ACB 003FBA06 -@0ACC 28C9883A -@0ACD 21000117 -@0ACE 2100004C -@0ACF 2000391E -@0AD0 29C00217 -@0AD1 29000317 -@0AD2 1885883A -@0AD3 10C00054 -@0AD4 39000315 -@0AD5 21C00215 -@0AD6 30C00115 -@0AD7 308D883A -@0AD8 30800015 -@0AD9 003FAC06 -@0ADA 00C00504 -@0ADB 19401536 -@0ADC 28C01704 -@0ADD 18C7883A -@0ADE 294016C4 -@0ADF 003FB406 -@0AE0 280BD0BA -@0AE1 00C00044 -@0AE2 38800117 -@0AE3 194A983A -@0AE4 2007883A -@0AE5 2884B03A -@0AE6 38800115 -@0AE7 003FBB06 -@0AE8 21800515 -@0AE9 21800415 -@0AEA 10C00054 -@0AEB 31C00315 -@0AEC 31C00215 -@0AED 30C00115 -@0AEE 308D883A -@0AEF 30800015 -@0AF0 003F9506 -@0AF1 00C01504 -@0AF2 19400536 -@0AF3 100AD33A -@0AF4 28C01BC4 -@0AF5 18C7883A -@0AF6 29401B84 -@0AF7 003F9C06 -@0AF8 00C05504 -@0AF9 19400536 -@0AFA 100AD3FA -@0AFB 28C01E04 -@0AFC 18C7883A -@0AFD 29401DC4 -@0AFE 003F9506 -@0AFF 00C15504 -@0B00 19400536 -@0B01 100AD4BA -@0B02 28C01F44 -@0B03 18C7883A -@0B04 29401F04 -@0B05 003F8E06 -@0B06 00C03F84 -@0B07 01401F84 -@0B08 003F8B06 -@0B09 10C00054 -@0B0A 30C00115 -@0B0B 308D883A -@0B0C 30800015 -@0B0D 003F7806 -@0B0E DEFFFD04 -@0B0F 2805883A -@0B10 DC000015 -@0B11 04000034 -@0B12 DC400115 -@0B13 300B883A -@0B14 841CB404 -@0B15 2023883A -@0B16 380D883A -@0B17 1009883A -@0B18 DFC00215 -@0B19 80000015 -@0B1A 00034C00 -@0B1B 00FFFFC4 -@0B1C 10C00526 -@0B1D DFC00217 -@0B1E DC400117 -@0B1F DC000017 -@0B20 DEC00304 -@0B21 F800283A -@0B22 80C00017 -@0B23 183FF926 -@0B24 88C00015 -@0B25 003FF706 -@0B26 2880030B -@0B27 10C0008C -@0B28 1800411E -@0B29 DEFFEC04 -@0B2A DC000F15 -@0B2B 2821883A -@0B2C 2940038F -@0B2D DC401015 -@0B2E DFC01315 -@0B2F DCC01215 -@0B30 DC801115 -@0B31 2023883A -@0B32 28001C16 -@0B33 D80D883A -@0B34 0002EB40 -@0B35 10001816 -@0B36 D8800117 -@0B37 00E00014 -@0B38 10BC000C -@0B39 14C80020 -@0B3A 10C03726 -@0B3B 80C0030B -@0B3C 18C20014 -@0B3D 80C0030D -@0B3E 00C80004 -@0B3F 10C0521E -@0B40 8140038F -@0B41 8809883A -@0B42 0002F100 -@0B43 10004C26 -@0B44 8080030B -@0B45 80C010C4 -@0B46 80C00015 -@0B47 10800054 -@0B48 8080030D -@0B49 00800044 -@0B4A 80C00415 -@0B4B 80800515 -@0B4C 04810004 -@0B4D 00000706 -@0B4E 8080030B -@0B4F 10C0200C -@0B50 18001F1E -@0B51 04810004 -@0B52 10820014 -@0B53 8080030D -@0B54 0027883A -@0B55 900B883A -@0B56 8809883A -@0B57 0000EA00 -@0B58 10002C26 -@0B59 80C0030B -@0B5A 01000034 -@0B5B 21012A04 -@0B5C 89000F15 -@0B5D 18C02014 -@0B5E 80C0030D -@0B5F 80800015 -@0B60 80800415 -@0B61 84800515 -@0B62 98001A1E -@0B63 DFC01317 -@0B64 DCC01217 -@0B65 DC801117 -@0B66 DC401017 -@0B67 DC000F17 -@0B68 DEC01404 -@0B69 F800283A -@0B6A 288010C4 -@0B6B 28800015 -@0B6C 28800415 -@0B6D 00800044 -@0B6E 28800515 -@0B6F F800283A -@0B70 04801004 -@0B71 003FE006 -@0B72 81000A17 -@0B73 00C00034 -@0B74 18C87B04 -@0B75 20FFC51E -@0B76 8080030B -@0B77 04810004 -@0B78 84801315 -@0B79 1484B03A -@0B7A 8080030D -@0B7B 0027883A -@0B7C 003FD806 -@0B7D 8140038F -@0B7E 8809883A -@0B7F 0002F100 -@0B80 103FE226 -@0B81 8080030B -@0B82 10800054 -@0B83 8080030D -@0B84 003FDE06 -@0B85 8080030B -@0B86 10C0800C -@0B87 183FDB1E -@0B88 10800094 -@0B89 80C010C4 -@0B8A 8080030D -@0B8B 00800044 -@0B8C 80C00015 -@0B8D 80C00415 -@0B8E 80800515 -@0B8F 003FD306 -@0B90 04810004 -@0B91 003FC306 -@0B92 0027883A -@0B93 04810004 -@0B94 003FC006 -@0B95 DEFFFD04 -@0B96 2805883A -@0B97 DC000015 -@0B98 04000034 -@0B99 DC400115 -@0B9A 300B883A -@0B9B 841CB404 -@0B9C 2023883A -@0B9D 380D883A -@0B9E 1009883A -@0B9F DFC00215 -@0BA0 80000015 -@0BA1 000369C0 -@0BA2 00FFFFC4 -@0BA3 10C00526 -@0BA4 DFC00217 -@0BA5 DC400117 -@0BA6 DC000017 -@0BA7 DEC00304 -@0BA8 F800283A -@0BA9 80C00017 -@0BAA 183FF926 -@0BAB 88C00015 -@0BAC 003FF706 -@0BAD DEFFFD04 -@0BAE 2805883A -@0BAF DC000015 -@0BB0 04000034 -@0BB1 DC400115 -@0BB2 841CB404 -@0BB3 2023883A -@0BB4 300B883A -@0BB5 1009883A -@0BB6 DFC00215 -@0BB7 80000015 -@0BB8 00032E40 -@0BB9 00FFFFC4 -@0BBA 10C00526 -@0BBB DFC00217 -@0BBC DC400117 -@0BBD DC000017 -@0BBE DEC00304 -@0BBF F800283A -@0BC0 80C00017 -@0BC1 183FF926 -@0BC2 88C00015 -@0BC3 003FF706 -@0BC4 DEFFFD04 -@0BC5 DC000015 -@0BC6 04000034 -@0BC7 DC400115 -@0BC8 841CB404 -@0BC9 2023883A -@0BCA 2809883A -@0BCB DFC00215 -@0BCC 80000015 -@0BCD 00033D80 -@0BCE 00FFFFC4 -@0BCF 10C00526 -@0BD0 DFC00217 -@0BD1 DC400117 -@0BD2 DC000017 -@0BD3 DEC00304 -@0BD4 F800283A -@0BD5 80C00017 -@0BD6 183FF926 -@0BD7 88C00015 -@0BD8 003FF706 -@0BD9 20001B16 -@0BDA 000F883A -@0BDB 28001616 -@0BDC 200D883A -@0BDD 29001A2E -@0BDE 00800804 -@0BDF 00C00044 -@0BE0 00000106 -@0BE1 10000D26 -@0BE2 294B883A -@0BE3 10BFFFC4 -@0BE4 18C7883A -@0BE5 293FFB36 -@0BE6 0005883A -@0BE7 18000726 -@0BE8 0005883A -@0BE9 31400236 -@0BEA 314DC83A -@0BEB 10C4B03A -@0BEC 1806D07A -@0BED 280AD07A -@0BEE 183FFA1E -@0BEF 38000126 -@0BF0 0085C83A -@0BF1 F800283A -@0BF2 014BC83A -@0BF3 39C0005C -@0BF4 003FE706 -@0BF5 0109C83A -@0BF6 01C00044 -@0BF7 003FE306 -@0BF8 00C00044 -@0BF9 003FEE06 -@0BFA 20001716 -@0BFB 000F883A -@0BFC 2005883A -@0BFD 28001216 -@0BFE 2900162E -@0BFF 01800804 -@0C00 00C00044 -@0C01 00000106 -@0C02 30000A26 -@0C03 294B883A -@0C04 31BFFFC4 -@0C05 18C7883A -@0C06 293FFB36 -@0C07 18000526 -@0C08 1806D07A -@0C09 11400136 -@0C0A 1145C83A -@0C0B 280AD07A -@0C0C 183FFB1E -@0C0D 38000126 -@0C0E 0085C83A -@0C0F F800283A -@0C10 014BC83A -@0C11 003FEC06 -@0C12 0109C83A -@0C13 01C00044 -@0C14 003FE706 -@0C15 00C00044 -@0C16 003FF106 -@0C17 200D883A -@0C18 2900152E -@0C19 28001416 -@0C1A 00800804 -@0C1B 00C00044 -@0C1C 00000206 -@0C1D 10000E26 -@0C1E 28000516 -@0C1F 294B883A -@0C20 10BFFFC4 -@0C21 18C7883A -@0C22 293FFA36 -@0C23 18000826 -@0C24 0005883A -@0C25 31400236 -@0C26 314DC83A -@0C27 10C4B03A -@0C28 1806D07A -@0C29 280AD07A -@0C2A 183FFA1E -@0C2B F800283A -@0C2C 0005883A -@0C2D F800283A -@0C2E 00C00044 -@0C2F 003FF406 -@0C30 2005883A -@0C31 2900122E -@0C32 28001116 -@0C33 01800804 -@0C34 00C00044 -@0C35 00000206 -@0C36 30000C26 -@0C37 28000516 -@0C38 294B883A -@0C39 31BFFFC4 -@0C3A 18C7883A -@0C3B 293FFA36 -@0C3C 18000626 -@0C3D 1806D07A -@0C3E 11400136 -@0C3F 1145C83A -@0C40 280AD07A -@0C41 183FFB1E -@0C42 F800283A +@0A96 18C7883A +@0A97 1909883A +@0A98 20C00017 +@0A99 01C00034 +@0A9A 213FFE04 +@0A9B 39D72004 +@0A9C 20C04426 +@0A9D 01FFFF04 +@0A9E 19400117 +@0A9F 29CA703A +@0AA0 1140022E +@0AA1 18C00217 +@0AA2 20FFFB1E +@0AA3 19000317 +@0AA4 31000315 +@0AA5 30C00215 +@0AA6 21800215 +@0AA7 19800315 +@0AA8 003FDE06 +@0AA9 29C00217 +@0AAA 10C5883A +@0AAB 00C00034 +@0AAC 18D72204 +@0AAD 38C03B26 +@0AAE 2A000317 +@0AAF 11400054 +@0AB0 3087883A +@0AB1 3A000315 +@0AB2 41C00215 +@0AB3 31400115 +@0AB4 18800015 +@0AB5 003FBD06 +@0AB6 39C0004C +@0AB7 10C5883A +@0AB8 3800071E +@0AB9 81FFFE17 +@0ABA 31CDC83A +@0ABB 30C00317 +@0ABC 31400217 +@0ABD 11C5883A +@0ABE 28C00315 +@0ABF 19400215 +@0AC0 10C00054 +@0AC1 30C00115 +@0AC2 00C00034 +@0AC3 18DCA704 +@0AC4 18C00017 +@0AC5 21800215 +@0AC6 10FFC036 +@0AC7 00800034 +@0AC8 109CB404 +@0AC9 11400017 +@0ACA 8809883A +@0ACB 00028080 +@0ACC 003FBA06 +@0ACD 28C9883A +@0ACE 21000117 +@0ACF 2100004C +@0AD0 2000391E +@0AD1 29C00217 +@0AD2 29000317 +@0AD3 1885883A +@0AD4 10C00054 +@0AD5 39000315 +@0AD6 21C00215 +@0AD7 30C00115 +@0AD8 308D883A +@0AD9 30800015 +@0ADA 003FAC06 +@0ADB 00C00504 +@0ADC 19401536 +@0ADD 28C01704 +@0ADE 18C7883A +@0ADF 294016C4 +@0AE0 003FB406 +@0AE1 280BD0BA +@0AE2 00C00044 +@0AE3 38800117 +@0AE4 194A983A +@0AE5 2007883A +@0AE6 2884B03A +@0AE7 38800115 +@0AE8 003FBB06 +@0AE9 21800515 +@0AEA 21800415 +@0AEB 10C00054 +@0AEC 31C00315 +@0AED 31C00215 +@0AEE 30C00115 +@0AEF 308D883A +@0AF0 30800015 +@0AF1 003F9506 +@0AF2 00C01504 +@0AF3 19400536 +@0AF4 100AD33A +@0AF5 28C01BC4 +@0AF6 18C7883A +@0AF7 29401B84 +@0AF8 003F9C06 +@0AF9 00C05504 +@0AFA 19400536 +@0AFB 100AD3FA +@0AFC 28C01E04 +@0AFD 18C7883A +@0AFE 29401DC4 +@0AFF 003F9506 +@0B00 00C15504 +@0B01 19400536 +@0B02 100AD4BA +@0B03 28C01F44 +@0B04 18C7883A +@0B05 29401F04 +@0B06 003F8E06 +@0B07 00C03F84 +@0B08 01401F84 +@0B09 003F8B06 +@0B0A 10C00054 +@0B0B 30C00115 +@0B0C 308D883A +@0B0D 30800015 +@0B0E 003F7806 +@0B0F DEFFFD04 +@0B10 2805883A +@0B11 DC000015 +@0B12 04000034 +@0B13 DC400115 +@0B14 300B883A +@0B15 841CB504 +@0B16 2023883A +@0B17 380D883A +@0B18 1009883A +@0B19 DFC00215 +@0B1A 80000015 +@0B1B 00034C40 +@0B1C 00FFFFC4 +@0B1D 10C00526 +@0B1E DFC00217 +@0B1F DC400117 +@0B20 DC000017 +@0B21 DEC00304 +@0B22 F800283A +@0B23 80C00017 +@0B24 183FF926 +@0B25 88C00015 +@0B26 003FF706 +@0B27 2880030B +@0B28 10C0008C +@0B29 1800411E +@0B2A DEFFEC04 +@0B2B DC000F15 +@0B2C 2821883A +@0B2D 2940038F +@0B2E DC401015 +@0B2F DFC01315 +@0B30 DCC01215 +@0B31 DC801115 +@0B32 2023883A +@0B33 28001C16 +@0B34 D80D883A +@0B35 0002EB80 +@0B36 10001816 +@0B37 D8800117 +@0B38 00E00014 +@0B39 10BC000C +@0B3A 14C80020 +@0B3B 10C03726 +@0B3C 80C0030B +@0B3D 18C20014 +@0B3E 80C0030D +@0B3F 00C80004 +@0B40 10C0521E +@0B41 8140038F +@0B42 8809883A +@0B43 0002F140 +@0B44 10004C26 +@0B45 8080030B +@0B46 80C010C4 +@0B47 80C00015 +@0B48 10800054 +@0B49 8080030D +@0B4A 00800044 +@0B4B 80C00415 +@0B4C 80800515 +@0B4D 04810004 +@0B4E 00000706 +@0B4F 8080030B +@0B50 10C0200C +@0B51 18001F1E +@0B52 04810004 +@0B53 10820014 +@0B54 8080030D +@0B55 0027883A +@0B56 900B883A +@0B57 8809883A +@0B58 0000EA40 +@0B59 10002C26 +@0B5A 80C0030B +@0B5B 01000034 +@0B5C 21012B04 +@0B5D 89000F15 +@0B5E 18C02014 +@0B5F 80C0030D +@0B60 80800015 +@0B61 80800415 +@0B62 84800515 +@0B63 98001A1E +@0B64 DFC01317 +@0B65 DCC01217 +@0B66 DC801117 +@0B67 DC401017 +@0B68 DC000F17 +@0B69 DEC01404 +@0B6A F800283A +@0B6B 288010C4 +@0B6C 28800015 +@0B6D 28800415 +@0B6E 00800044 +@0B6F 28800515 +@0B70 F800283A +@0B71 04801004 +@0B72 003FE006 +@0B73 81000A17 +@0B74 00C00034 +@0B75 18C87C04 +@0B76 20FFC51E +@0B77 8080030B +@0B78 04810004 +@0B79 84801315 +@0B7A 1484B03A +@0B7B 8080030D +@0B7C 0027883A +@0B7D 003FD806 +@0B7E 8140038F +@0B7F 8809883A +@0B80 0002F140 +@0B81 103FE226 +@0B82 8080030B +@0B83 10800054 +@0B84 8080030D +@0B85 003FDE06 +@0B86 8080030B +@0B87 10C0800C +@0B88 183FDB1E +@0B89 10800094 +@0B8A 80C010C4 +@0B8B 8080030D +@0B8C 00800044 +@0B8D 80C00015 +@0B8E 80C00415 +@0B8F 80800515 +@0B90 003FD306 +@0B91 04810004 +@0B92 003FC306 +@0B93 0027883A +@0B94 04810004 +@0B95 003FC006 +@0B96 DEFFFD04 +@0B97 2805883A +@0B98 DC000015 +@0B99 04000034 +@0B9A DC400115 +@0B9B 300B883A +@0B9C 841CB504 +@0B9D 2023883A +@0B9E 380D883A +@0B9F 1009883A +@0BA0 DFC00215 +@0BA1 80000015 +@0BA2 00036A00 +@0BA3 00FFFFC4 +@0BA4 10C00526 +@0BA5 DFC00217 +@0BA6 DC400117 +@0BA7 DC000017 +@0BA8 DEC00304 +@0BA9 F800283A +@0BAA 80C00017 +@0BAB 183FF926 +@0BAC 88C00015 +@0BAD 003FF706 +@0BAE DEFFFD04 +@0BAF 2805883A +@0BB0 DC000015 +@0BB1 04000034 +@0BB2 DC400115 +@0BB3 841CB504 +@0BB4 2023883A +@0BB5 300B883A +@0BB6 1009883A +@0BB7 DFC00215 +@0BB8 80000015 +@0BB9 00032E80 +@0BBA 00FFFFC4 +@0BBB 10C00526 +@0BBC DFC00217 +@0BBD DC400117 +@0BBE DC000017 +@0BBF DEC00304 +@0BC0 F800283A +@0BC1 80C00017 +@0BC2 183FF926 +@0BC3 88C00015 +@0BC4 003FF706 +@0BC5 DEFFFD04 +@0BC6 DC000015 +@0BC7 04000034 +@0BC8 DC400115 +@0BC9 841CB504 +@0BCA 2023883A +@0BCB 2809883A +@0BCC DFC00215 +@0BCD 80000015 +@0BCE 00033DC0 +@0BCF 00FFFFC4 +@0BD0 10C00526 +@0BD1 DFC00217 +@0BD2 DC400117 +@0BD3 DC000017 +@0BD4 DEC00304 +@0BD5 F800283A +@0BD6 80C00017 +@0BD7 183FF926 +@0BD8 88C00015 +@0BD9 003FF706 +@0BDA 20001B16 +@0BDB 000F883A +@0BDC 28001616 +@0BDD 200D883A +@0BDE 29001A2E +@0BDF 00800804 +@0BE0 00C00044 +@0BE1 00000106 +@0BE2 10000D26 +@0BE3 294B883A +@0BE4 10BFFFC4 +@0BE5 18C7883A +@0BE6 293FFB36 +@0BE7 0005883A +@0BE8 18000726 +@0BE9 0005883A +@0BEA 31400236 +@0BEB 314DC83A +@0BEC 10C4B03A +@0BED 1806D07A +@0BEE 280AD07A +@0BEF 183FFA1E +@0BF0 38000126 +@0BF1 0085C83A +@0BF2 F800283A +@0BF3 014BC83A +@0BF4 39C0005C +@0BF5 003FE706 +@0BF6 0109C83A +@0BF7 01C00044 +@0BF8 003FE306 +@0BF9 00C00044 +@0BFA 003FEE06 +@0BFB 20001716 +@0BFC 000F883A +@0BFD 2005883A +@0BFE 28001216 +@0BFF 2900162E +@0C00 01800804 +@0C01 00C00044 +@0C02 00000106 +@0C03 30000A26 +@0C04 294B883A +@0C05 31BFFFC4 +@0C06 18C7883A +@0C07 293FFB36 +@0C08 18000526 +@0C09 1806D07A +@0C0A 11400136 +@0C0B 1145C83A +@0C0C 280AD07A +@0C0D 183FFB1E +@0C0E 38000126 +@0C0F 0085C83A +@0C10 F800283A +@0C11 014BC83A +@0C12 003FEC06 +@0C13 0109C83A +@0C14 01C00044 +@0C15 003FE706 +@0C16 00C00044 +@0C17 003FF106 +@0C18 200D883A +@0C19 2900152E +@0C1A 28001416 +@0C1B 00800804 +@0C1C 00C00044 +@0C1D 00000206 +@0C1E 10000E26 +@0C1F 28000516 +@0C20 294B883A +@0C21 10BFFFC4 +@0C22 18C7883A +@0C23 293FFA36 +@0C24 18000826 +@0C25 0005883A +@0C26 31400236 +@0C27 314DC83A +@0C28 10C4B03A +@0C29 1806D07A +@0C2A 280AD07A +@0C2B 183FFA1E +@0C2C F800283A +@0C2D 0005883A +@0C2E F800283A +@0C2F 00C00044 +@0C30 003FF406 +@0C31 2005883A +@0C32 2900122E +@0C33 28001116 +@0C34 01800804 +@0C35 00C00044 +@0C36 00000206 +@0C37 30000C26 +@0C38 28000516 +@0C39 294B883A +@0C3A 31BFFFC4 +@0C3B 18C7883A +@0C3C 293FFA36 +@0C3D 18000626 +@0C3E 1806D07A +@0C3F 11400136 +@0C40 1145C83A +@0C41 280AD07A +@0C42 183FFB1E @0C43 F800283A -@0C44 00C00044 -@0C45 003FF706 -@0C46 0005883A -@0C47 20000726 -@0C48 20C0004C -@0C49 2008D07A -@0C4A 18000126 -@0C4B 1145883A -@0C4C 294B883A -@0C4D 203FFA1E -@0C4E F800283A +@0C44 F800283A +@0C45 00C00044 +@0C46 003FF706 +@0C47 0005883A +@0C48 20000726 +@0C49 20C0004C +@0C4A 2008D07A +@0C4B 18000126 +@0C4C 1145883A +@0C4D 294B883A +@0C4E 203FFA1E @0C4F F800283A -@0C50 DEFFFE04 -@0C51 DFC00115 -@0C52 DF000015 -@0C53 D839883A -@0C54 D0A00917 -@0C55 10000326 -@0C56 D0A00917 -@0C57 103EE83A -@0C58 00000106 -@0C59 D0A01104 -@0C5A E037883A -@0C5B DFC00117 -@0C5C DF000017 -@0C5D DEC00204 -@0C5E F800283A -@0C5F DEFFFB04 -@0C60 DFC00415 -@0C61 DF000315 -@0C62 DF000304 -@0C63 E13FFF15 -@0C64 E0BFFF17 -@0C65 10000816 -@0C66 01400304 -@0C67 E13FFF17 -@0C68 00031180 -@0C69 1007883A -@0C6A 00800034 -@0C6B 10982B04 -@0C6C 1885883A -@0C6D 00000106 -@0C6E 0005883A -@0C6F E0BFFD15 -@0C70 E0BFFD17 -@0C71 10001926 -@0C72 E0BFFD17 -@0C73 10800017 -@0C74 10800417 -@0C75 10000626 -@0C76 E0BFFD17 -@0C77 10800017 -@0C78 10800417 -@0C79 E13FFD17 -@0C7A 103EE83A -@0C7B 00000106 -@0C7C 0005883A -@0C7D E0BFFE15 -@0C7E E13FFF17 -@0C7F 00037A00 -@0C80 E0BFFE17 -@0C81 1000070E -@0C82 00031400 -@0C83 1007883A -@0C84 E0BFFE17 -@0C85 0085C83A -@0C86 18800015 -@0C87 00BFFFC4 -@0C88 00000706 -@0C89 0005883A -@0C8A 00000506 -@0C8B 00031400 -@0C8C 1007883A -@0C8D 00801444 -@0C8E 18800015 -@0C8F 00BFFFC4 -@0C90 E037883A -@0C91 DFC00117 -@0C92 DF000017 -@0C93 DEC00204 -@0C94 F800283A -@0C95 DEFFFD04 -@0C96 DF000215 -@0C97 DF000204 -@0C98 E13FFE15 -@0C99 E17FFF15 -@0C9A 0001883A -@0C9B E037883A -@0C9C DF000017 -@0C9D DEC00104 -@0C9E F800283A -@0C9F DEFFFC04 -@0CA0 DF000315 -@0CA1 DF000304 -@0CA2 E13FFD15 -@0CA3 E17FFE15 -@0CA4 E1BFFF15 -@0CA5 E0BFFF17 -@0CA6 E037883A -@0CA7 DF000017 -@0CA8 DEC00104 -@0CA9 F800283A -@0CAA DEFFFE04 -@0CAB DFC00115 -@0CAC DF000015 -@0CAD D839883A -@0CAE D0A00917 -@0CAF 10000326 -@0CB0 D0A00917 -@0CB1 103EE83A -@0CB2 00000106 -@0CB3 D0A01104 -@0CB4 E037883A -@0CB5 DFC00117 -@0CB6 DF000017 -@0CB7 DEC00204 -@0CB8 F800283A -@0CB9 DEFFFB04 -@0CBA DFC00415 -@0CBB DF000315 -@0CBC DF000304 -@0CBD E13FFE15 -@0CBE E17FFF15 -@0CBF E0BFFE17 -@0CC0 10000816 -@0CC1 01400304 -@0CC2 E13FFE17 -@0CC3 00031180 -@0CC4 1007883A -@0CC5 00800034 -@0CC6 10982B04 -@0CC7 1885883A -@0CC8 00000106 -@0CC9 0005883A -@0CCA E0BFFD15 -@0CCB E0BFFD17 -@0CCC 10001026 -@0CCD E0BFFD17 -@0CCE 10800017 -@0CCF 10800817 -@0CD0 10000726 -@0CD1 E0BFFD17 -@0CD2 10800017 -@0CD3 10800817 -@0CD4 E17FFF17 -@0CD5 E13FFD17 -@0CD6 103EE83A -@0CD7 00000A06 -@0CD8 E0BFFF17 -@0CD9 00C80004 -@0CDA 10C00115 -@0CDB 0005883A -@0CDC 00000506 -@0CDD 00032A80 -@0CDE 1007883A -@0CDF 00801444 -@0CE0 18800015 -@0CE1 00BFFFC4 -@0CE2 E037883A -@0CE3 DFC00117 -@0CE4 DF000017 -@0CE5 DEC00204 -@0CE6 F800283A -@0CE7 DEFFFE04 -@0CE8 DFC00115 -@0CE9 DF000015 -@0CEA D839883A -@0CEB D0A00917 -@0CEC 10000326 -@0CED D0A00917 -@0CEE 103EE83A -@0CEF 00000106 -@0CF0 D0A01104 -@0CF1 E037883A -@0CF2 DFC00117 -@0CF3 DF000017 -@0CF4 DEC00204 -@0CF5 F800283A -@0CF6 DEFFED04 -@0CF7 DFC01215 -@0CF8 DF001115 -@0CF9 DF001104 -@0CFA E13FFF15 -@0CFB E0BFFF17 -@0CFC 10000816 -@0CFD 01400304 -@0CFE E13FFF17 -@0CFF 00031180 -@0D00 1007883A -@0D01 00800034 -@0D02 10982B04 -@0D03 1885883A -@0D04 00000106 -@0D05 0005883A -@0D06 E0BFEF15 -@0D07 E0BFEF17 -@0D08 10000E26 -@0D09 E0BFEF17 -@0D0A 10800017 -@0D0B 10800817 -@0D0C 1000021E -@0D0D 00800044 -@0D0E 00000D06 -@0D0F E0BFF004 -@0D10 100B883A -@0D11 E13FFF17 -@0D12 00032E40 -@0D13 E0BFF117 -@0D14 10880020 -@0D15 10803FCC -@0D16 00000506 -@0D17 000339C0 -@0D18 1007883A -@0D19 00801444 -@0D1A 18800015 -@0D1B 0005883A -@0D1C E037883A -@0D1D DFC00117 -@0D1E DF000017 -@0D1F DEC00204 -@0D20 F800283A -@0D21 DEFFFE04 -@0D22 DFC00115 -@0D23 DF000015 -@0D24 D839883A -@0D25 D0A00917 -@0D26 10000326 -@0D27 D0A00917 -@0D28 103EE83A -@0D29 00000106 -@0D2A D0A01104 -@0D2B E037883A -@0D2C DFC00117 -@0D2D DF000017 -@0D2E DEC00204 -@0D2F F800283A -@0D30 DEFFF904 -@0D31 DFC00615 -@0D32 DF000515 -@0D33 DF000504 -@0D34 E13FFD15 -@0D35 E17FFE15 -@0D36 E1BFFF15 -@0D37 E03FFB15 -@0D38 E0BFFD17 -@0D39 10000816 -@0D3A 01400304 -@0D3B E13FFD17 -@0D3C 00031180 -@0D3D 1007883A -@0D3E 00800034 -@0D3F 10982B04 -@0D40 1885883A -@0D41 00000106 -@0D42 0005883A -@0D43 E0BFFC15 -@0D44 E0BFFC17 -@0D45 10001026 -@0D46 E0BFFC17 -@0D47 10800017 -@0D48 10800717 -@0D49 10000926 -@0D4A E0BFFC17 -@0D4B 10800017 -@0D4C 10800717 -@0D4D E1BFFF17 -@0D4E E17FFE17 -@0D4F E13FFC17 -@0D50 103EE83A -@0D51 E0BFFB15 -@0D52 00000506 -@0D53 00BFDE84 -@0D54 E0BFFB15 -@0D55 00000206 -@0D56 00BFEBC4 -@0D57 E0BFFB15 -@0D58 E0BFFB17 -@0D59 1000070E -@0D5A 00034840 -@0D5B 1007883A -@0D5C E0BFFB17 -@0D5D 0085C83A -@0D5E 18800015 -@0D5F 00BFFFC4 -@0D60 E0BFFB15 -@0D61 E0BFFB17 -@0D62 E037883A -@0D63 DFC00117 -@0D64 DF000017 -@0D65 DEC00204 -@0D66 F800283A -@0D67 DEFFFD04 -@0D68 DFC00215 -@0D69 DF000115 -@0D6A DF000104 -@0D6B 0009883A -@0D6C 0003A440 -@0D6D 0001883A -@0D6E 0003A7C0 -@0D6F 01800034 -@0D70 31960E04 -@0D71 01400034 -@0D72 29560E04 -@0D73 01000034 -@0D74 21160E04 -@0D75 0004C880 -@0D76 00048440 -@0D77 01000034 -@0D78 21122904 -@0D79 00054480 -@0D7A D0A01217 -@0D7B D0E01317 -@0D7C D1201417 -@0D7D 200D883A -@0D7E 180B883A -@0D7F 1009883A -@0D80 00002480 -@0D81 E0BFFF15 -@0D82 01000044 -@0D83 000317C0 -@0D84 E13FFF17 -@0D85 000545C0 -@0D86 DEFFFE04 -@0D87 DF000115 -@0D88 DF000104 -@0D89 E13FFF15 -@0D8A 0001883A -@0D8B E037883A -@0D8C DF000017 -@0D8D DEC00104 -@0D8E F800283A -@0D8F DEFFFE04 -@0D90 DF000115 -@0D91 DF000104 -@0D92 E13FFF15 -@0D93 0001883A -@0D94 E037883A -@0D95 DF000017 -@0D96 DEC00104 -@0D97 F800283A -@0D98 DEFFFE04 -@0D99 DFC00115 -@0D9A DF000015 -@0D9B D839883A -@0D9C D0A00917 -@0D9D 10000326 -@0D9E D0A00917 -@0D9F 103EE83A -@0DA0 00000106 -@0DA1 D0A01104 -@0DA2 E037883A -@0DA3 DFC00117 -@0DA4 DF000017 -@0DA5 DEC00204 -@0DA6 F800283A -@0DA7 DEFFF904 -@0DA8 DFC00615 -@0DA9 DF000515 -@0DAA DF000504 -@0DAB E13FFD15 -@0DAC E17FFE15 -@0DAD E1BFFF15 -@0DAE E0BFFD17 -@0DAF 10000816 -@0DB0 01400304 -@0DB1 E13FFD17 -@0DB2 00031180 -@0DB3 1007883A -@0DB4 00800034 -@0DB5 10982B04 -@0DB6 1885883A -@0DB7 00000106 -@0DB8 0005883A -@0DB9 E0BFFB15 -@0DBA E0BFFB17 -@0DBB 10002226 -@0DBC E0BFFB17 -@0DBD 10800217 -@0DBE 108000CC -@0DBF 10800060 -@0DC0 1000181E -@0DC1 E0BFFB17 -@0DC2 10800017 -@0DC3 10800517 -@0DC4 10001426 -@0DC5 E0BFFB17 -@0DC6 10800017 -@0DC7 10800517 -@0DC8 E0FFFF17 -@0DC9 180D883A -@0DCA E17FFE17 -@0DCB E13FFB17 -@0DCC 103EE83A -@0DCD E0BFFC15 -@0DCE E0BFFC17 -@0DCF 1000070E -@0DD0 00036600 -@0DD1 1007883A -@0DD2 E0BFFC17 -@0DD3 0085C83A -@0DD4 18800015 -@0DD5 00BFFFC4 -@0DD6 00000C06 -@0DD7 E0BFFC17 -@0DD8 00000A06 -@0DD9 00036600 -@0DDA 1007883A -@0DDB 00800344 -@0DDC 18800015 -@0DDD 00000406 -@0DDE 00036600 -@0DDF 1007883A -@0DE0 00801444 -@0DE1 18800015 -@0DE2 00BFFFC4 -@0DE3 E037883A -@0DE4 DFC00117 -@0DE5 DF000017 -@0DE6 DEC00204 -@0DE7 F800283A -@0DE8 DEFFFC04 -@0DE9 DFC00315 -@0DEA DF000215 -@0DEB DC000115 -@0DEC DF000204 -@0DED E13FFE15 -@0DEE E0BFFE17 -@0DEF 108000D0 -@0DF0 1000111E -@0DF1 04000034 -@0DF2 84182B04 -@0DF3 E0BFFE17 -@0DF4 01400304 -@0DF5 1009883A -@0DF6 00031180 -@0DF7 8085883A -@0DF8 10800204 -@0DF9 10000015 -@0DFA 04000034 -@0DFB 84182B04 -@0DFC E0BFFE17 -@0DFD 01400304 -@0DFE 1009883A -@0DFF 00031180 -@0E00 8085883A -@0E01 10000015 -@0E02 0001883A -@0E03 E6FFFF04 -@0E04 DFC00217 -@0E05 DF000117 -@0E06 DC000017 -@0E07 DEC00304 -@0E08 F800283A -@0E09 DEFFF904 -@0E0A DF000615 -@0E0B DF000604 -@0E0C E13FFF15 -@0E0D 0005303A -@0E0E E0BFFE15 -@0E0F E0FFFE17 -@0E10 00BFFF84 -@0E11 1884703A -@0E12 1001703A -@0E13 E0BFFE17 -@0E14 E0BFFB15 -@0E15 D0A00A17 -@0E16 10C000C4 -@0E17 00BFFF04 -@0E18 1884703A -@0E19 D0A00A15 -@0E1A D0E00A17 -@0E1B E0BFFF17 -@0E1C 1887883A -@0E1D 008000B4 -@0E1E 10800004 -@0E1F 10C0062E -@0E20 E0BFFB17 -@0E21 E0BFFA15 -@0E22 E0BFFA17 -@0E23 1001703A -@0E24 00BFFFC4 -@0E25 00000B06 -@0E26 D0A00A17 -@0E27 E0BFFD15 -@0E28 D0E00A17 -@0E29 E0BFFF17 -@0E2A 1885883A -@0E2B D0A00A15 -@0E2C E0BFFB17 -@0E2D E0BFFC15 -@0E2E E0BFFC17 -@0E2F 1001703A -@0E30 E0BFFD17 -@0E31 E037883A -@0E32 DF000017 -@0E33 DEC00104 -@0E34 F800283A -@0E35 DEFFFE04 -@0E36 DFC00115 -@0E37 DF000015 -@0E38 D839883A -@0E39 D0A00917 -@0E3A 10000326 -@0E3B D0A00917 -@0E3C 103EE83A -@0E3D 00000106 -@0E3E D0A01104 -@0E3F E037883A -@0E40 DFC00117 -@0E41 DF000017 -@0E42 DEC00204 -@0E43 F800283A -@0E44 DEFFF904 -@0E45 DFC00615 -@0E46 DF000515 -@0E47 DF000504 -@0E48 E13FFD15 -@0E49 E17FFE15 -@0E4A E1BFFF15 -@0E4B E0BFFD17 -@0E4C 10000816 -@0E4D 01400304 -@0E4E E13FFD17 -@0E4F 00031180 -@0E50 1007883A -@0E51 00800034 -@0E52 10982B04 -@0E53 1885883A -@0E54 00000106 -@0E55 0005883A -@0E56 E0BFFB15 -@0E57 E0BFFB17 -@0E58 10002126 -@0E59 E0BFFB17 -@0E5A 10800217 -@0E5B 108000CC -@0E5C 10001826 -@0E5D E0BFFB17 -@0E5E 10800017 -@0E5F 10800617 -@0E60 10001426 -@0E61 E0BFFB17 -@0E62 10800017 -@0E63 10800617 -@0E64 E0FFFF17 -@0E65 180D883A -@0E66 E17FFE17 -@0E67 E13FFB17 -@0E68 103EE83A -@0E69 E0BFFC15 -@0E6A E0BFFC17 -@0E6B 1000070E -@0E6C 00038D40 -@0E6D 1007883A -@0E6E E0BFFC17 -@0E6F 0085C83A -@0E70 18800015 -@0E71 00BFFFC4 -@0E72 00000C06 -@0E73 E0BFFC17 -@0E74 00000A06 -@0E75 00038D40 -@0E76 1007883A -@0E77 00800344 -@0E78 18800015 -@0E79 00000406 -@0E7A 00038D40 -@0E7B 1007883A -@0E7C 00801444 -@0E7D 18800015 -@0E7E 00BFFFC4 -@0E7F E037883A -@0E80 DFC00117 -@0E81 DF000017 -@0E82 DEC00204 -@0E83 F800283A -@0E84 DEFFFD04 -@0E85 DFC00215 -@0E86 DF000115 -@0E87 DF000104 -@0E88 E13FFF15 -@0E89 D1600604 -@0E8A E13FFF17 -@0E8B 00047A00 -@0E8C E037883A -@0E8D DFC00117 -@0E8E DF000017 -@0E8F DEC00204 -@0E90 F800283A -@0E91 DEFFFD04 -@0E92 DFC00215 -@0E93 DF000115 -@0E94 DF000104 -@0E95 E13FFF15 -@0E96 000512C0 -@0E97 00800044 -@0E98 1001703A -@0E99 0001883A -@0E9A E037883A -@0E9B DFC00117 -@0E9C DF000017 -@0E9D DEC00204 -@0E9E F800283A -@0E9F DEFFFE04 -@0EA0 DFC00115 -@0EA1 DF000015 -@0EA2 D839883A -@0EA3 01C0FA04 -@0EA4 000D883A -@0EA5 000B883A -@0EA6 010000B4 -@0EA7 21040004 -@0EA8 00045BC0 -@0EA9 01800044 -@0EAA 000B883A -@0EAB 01000034 -@0EAC 21189504 -@0EAD 0003C400 -@0EAE 01000034 -@0EAF 21188B04 -@0EB0 0003A100 -@0EB1 0001883A -@0EB2 E037883A -@0EB3 DFC00117 -@0EB4 DF000017 -@0EB5 DEC00204 -@0EB6 F800283A -@0EB7 DEFFFA04 -@0EB8 DFC00515 -@0EB9 DF000415 -@0EBA DF000404 -@0EBB E13FFD15 -@0EBC E17FFE15 -@0EBD E1BFFF15 -@0EBE E0BFFD17 -@0EBF 10800017 -@0EC0 E0BFFC15 -@0EC1 E0BFFC17 -@0EC2 10C00A04 -@0EC3 E0BFFD17 -@0EC4 10800217 -@0EC5 100F883A -@0EC6 E1BFFF17 -@0EC7 E17FFE17 -@0EC8 1809883A -@0EC9 00041040 -@0ECA E037883A -@0ECB DFC00117 -@0ECC DF000017 -@0ECD DEC00204 -@0ECE F800283A -@0ECF DEFFFA04 -@0ED0 DFC00515 -@0ED1 DF000415 -@0ED2 DF000404 -@0ED3 E13FFD15 -@0ED4 E17FFE15 -@0ED5 E1BFFF15 -@0ED6 E0BFFD17 -@0ED7 10800017 -@0ED8 E0BFFC15 -@0ED9 E0BFFC17 -@0EDA 10C00A04 -@0EDB E0BFFD17 -@0EDC 10800217 -@0EDD 100F883A -@0EDE E1BFFF17 -@0EDF E17FFE17 -@0EE0 1809883A -@0EE1 00043200 -@0EE2 E037883A -@0EE3 DFC00117 -@0EE4 DF000017 -@0EE5 DEC00204 -@0EE6 F800283A -@0EE7 DEFFFC04 -@0EE8 DFC00315 -@0EE9 DF000215 -@0EEA DF000204 -@0EEB E13FFF15 -@0EEC E0BFFF17 -@0EED 10800017 -@0EEE E0BFFE15 -@0EEF E0BFFE17 -@0EF0 10C00A04 -@0EF1 E0BFFF17 -@0EF2 10800217 -@0EF3 100B883A -@0EF4 1809883A -@0EF5 0003FAC0 -@0EF6 E037883A -@0EF7 DFC00117 -@0EF8 DF000017 -@0EF9 DEC00204 -@0EFA F800283A -@0EFB DEFFFA04 -@0EFC DFC00515 -@0EFD DF000415 -@0EFE DF000404 -@0EFF E13FFD15 -@0F00 E17FFE15 -@0F01 E1BFFF15 -@0F02 E0BFFD17 -@0F03 10800017 -@0F04 E0BFFC15 -@0F05 E0BFFC17 -@0F06 10800A04 -@0F07 E1BFFF17 -@0F08 E17FFE17 -@0F09 1009883A -@0F0A 00040140 -@0F0B E037883A -@0F0C DFC00117 -@0F0D DF000017 -@0F0E DEC00204 -@0F0F F800283A -@0F10 DEFFFA04 -@0F11 DFC00515 -@0F12 DF000415 -@0F13 DF000404 -@0F14 E13FFD15 -@0F15 E17FFE15 -@0F16 E1BFFF15 -@0F17 E0BFFD17 -@0F18 00C00044 -@0F19 10C00815 -@0F1A E0BFFD17 -@0F1B 10800017 -@0F1C 10800104 -@0F1D 1007883A -@0F1E E0BFFD17 -@0F1F 10800817 -@0F20 18800035 -@0F21 E0BFFE17 -@0F22 E0FFFF17 -@0F23 D8000015 -@0F24 E1FFFD17 -@0F25 01800034 -@0F26 318F4004 -@0F27 180B883A -@0F28 1009883A -@0F29 00049040 -@0F2A E0BFFD17 -@0F2B 10000915 -@0F2C E0BFFD17 -@0F2D 10800204 -@0F2E D0E01617 -@0F2F E1FFFD17 -@0F30 01800034 -@0F31 318FC304 -@0F32 180B883A -@0F33 1009883A -@0F34 00046380 -@0F35 1000040E -@0F36 E0FFFD17 -@0F37 00A00034 -@0F38 10BFFFC4 -@0F39 18800115 -@0F3A 0001883A -@0F3B E037883A -@0F3C DFC00117 -@0F3D DF000017 -@0F3E DEC00204 -@0F3F F800283A -@0F40 DEFFF804 -@0F41 DF000715 -@0F42 DF000704 -@0F43 E13FFF15 -@0F44 E0BFFF17 -@0F45 E0BFFB15 -@0F46 E0BFFB17 -@0F47 10800017 -@0F48 E0BFFC15 -@0F49 E0BFFC17 -@0F4A 10800104 -@0F4B 10800037 -@0F4C E0BFFD15 -@0F4D E0BFFD17 -@0F4E 1080C00C -@0F4F 10006D26 -@0F50 E0BFFD17 -@0F51 1080400C -@0F52 10003526 -@0F53 00800074 -@0F54 E0BFF915 -@0F55 E0BFFB17 -@0F56 10800A17 -@0F57 10800044 -@0F58 1081FFCC -@0F59 E0BFFE15 -@0F5A E0BFFB17 -@0F5B 10C00B17 -@0F5C E0BFFE17 -@0F5D 18801526 -@0F5E E0BFFC17 -@0F5F 10800037 -@0F60 E0BFF915 -@0F61 E0BFF917 -@0F62 10A0000C -@0F63 10001126 -@0F64 E0BFFB17 -@0F65 10800A17 -@0F66 E0FFF917 -@0F67 1809883A -@0F68 E0FFFB17 -@0F69 1885883A -@0F6A 10800E04 -@0F6B 11000005 -@0F6C E0BFFB17 -@0F6D 10800A17 -@0F6E 10800044 -@0F6F 10C1FFCC -@0F70 E0BFFB17 -@0F71 10C00A15 -@0F72 003FE206 -@0F73 0001883A -@0F74 00000106 -@0F75 0001883A -@0F76 E0BFF917 -@0F77 10BFFFEC -@0F78 10000F26 -@0F79 E0BFFB17 -@0F7A 10C00817 -@0F7B 00BFFF84 -@0F7C 1886703A -@0F7D E0BFFB17 -@0F7E 10C00815 -@0F7F E0BFFC17 -@0F80 10800104 -@0F81 1007883A -@0F82 E0BFFB17 -@0F83 10800817 -@0F84 18800035 -@0F85 E0BFFC17 -@0F86 10800104 -@0F87 10800037 -@0F88 E0BFFD17 -@0F89 1080800C -@0F8A 103FBE26 -@0F8B E0BFFD17 -@0F8C 1004D43A -@0F8D E0BFFA15 -@0F8E 00001406 -@0F8F E0BFFC17 -@0F90 E0FFFB17 -@0F91 18C00D17 -@0F92 E13FFB17 -@0F93 20C7883A -@0F94 18C20E04 -@0F95 18C00003 -@0F96 18C03FCC -@0F97 18C0201C -@0F98 18FFE004 -@0F99 10C00035 -@0F9A E0BFFB17 -@0F9B 10800D17 -@0F9C 10800044 -@0F9D 10C1FFCC -@0F9E E0BFFB17 -@0F9F 10C00D15 -@0FA0 E0BFFA17 -@0FA1 10BFFFC4 -@0FA2 E0BFFA15 -@0FA3 E0BFFA17 -@0FA4 10000526 -@0FA5 E0BFFB17 -@0FA6 10C00D17 -@0FA7 E0BFFB17 -@0FA8 10800C17 -@0FA9 18BFE51E -@0FAA E0BFFA17 -@0FAB 103F9D26 -@0FAC E0BFFB17 -@0FAD 10C00817 -@0FAE 00BFFF44 -@0FAF 1886703A -@0FB0 E0BFFB17 -@0FB1 10C00815 -@0FB2 E0BFFB17 -@0FB3 10800017 -@0FB4 10800104 -@0FB5 1007883A -@0FB6 E0BFFB17 -@0FB7 10800817 -@0FB8 18800035 -@0FB9 E0BFFC17 -@0FBA 10800104 -@0FBB 10800037 -@0FBC 003F8C06 -@0FBD 0001883A +@0C50 F800283A +@0C51 DEFFFE04 +@0C52 DFC00115 +@0C53 DF000015 +@0C54 D839883A +@0C55 D0A00917 +@0C56 10000326 +@0C57 D0A00917 +@0C58 103EE83A +@0C59 00000106 +@0C5A D0A01104 +@0C5B E037883A +@0C5C DFC00117 +@0C5D DF000017 +@0C5E DEC00204 +@0C5F F800283A +@0C60 DEFFFB04 +@0C61 DFC00415 +@0C62 DF000315 +@0C63 DF000304 +@0C64 E13FFF15 +@0C65 E0BFFF17 +@0C66 10000816 +@0C67 01400304 +@0C68 E13FFF17 +@0C69 000311C0 +@0C6A 1007883A +@0C6B 00800034 +@0C6C 10982C04 +@0C6D 1885883A +@0C6E 00000106 +@0C6F 0005883A +@0C70 E0BFFD15 +@0C71 E0BFFD17 +@0C72 10001926 +@0C73 E0BFFD17 +@0C74 10800017 +@0C75 10800417 +@0C76 10000626 +@0C77 E0BFFD17 +@0C78 10800017 +@0C79 10800417 +@0C7A E13FFD17 +@0C7B 103EE83A +@0C7C 00000106 +@0C7D 0005883A +@0C7E E0BFFE15 +@0C7F E13FFF17 +@0C80 00037A40 +@0C81 E0BFFE17 +@0C82 1000070E +@0C83 00031440 +@0C84 1007883A +@0C85 E0BFFE17 +@0C86 0085C83A +@0C87 18800015 +@0C88 00BFFFC4 +@0C89 00000706 +@0C8A 0005883A +@0C8B 00000506 +@0C8C 00031440 +@0C8D 1007883A +@0C8E 00801444 +@0C8F 18800015 +@0C90 00BFFFC4 +@0C91 E037883A +@0C92 DFC00117 +@0C93 DF000017 +@0C94 DEC00204 +@0C95 F800283A +@0C96 DEFFFD04 +@0C97 DF000215 +@0C98 DF000204 +@0C99 E13FFE15 +@0C9A E17FFF15 +@0C9B 0001883A +@0C9C E037883A +@0C9D DF000017 +@0C9E DEC00104 +@0C9F F800283A +@0CA0 DEFFFC04 +@0CA1 DF000315 +@0CA2 DF000304 +@0CA3 E13FFD15 +@0CA4 E17FFE15 +@0CA5 E1BFFF15 +@0CA6 E0BFFF17 +@0CA7 E037883A +@0CA8 DF000017 +@0CA9 DEC00104 +@0CAA F800283A +@0CAB DEFFFE04 +@0CAC DFC00115 +@0CAD DF000015 +@0CAE D839883A +@0CAF D0A00917 +@0CB0 10000326 +@0CB1 D0A00917 +@0CB2 103EE83A +@0CB3 00000106 +@0CB4 D0A01104 +@0CB5 E037883A +@0CB6 DFC00117 +@0CB7 DF000017 +@0CB8 DEC00204 +@0CB9 F800283A +@0CBA DEFFFB04 +@0CBB DFC00415 +@0CBC DF000315 +@0CBD DF000304 +@0CBE E13FFE15 +@0CBF E17FFF15 +@0CC0 E0BFFE17 +@0CC1 10000816 +@0CC2 01400304 +@0CC3 E13FFE17 +@0CC4 000311C0 +@0CC5 1007883A +@0CC6 00800034 +@0CC7 10982C04 +@0CC8 1885883A +@0CC9 00000106 +@0CCA 0005883A +@0CCB E0BFFD15 +@0CCC E0BFFD17 +@0CCD 10001026 +@0CCE E0BFFD17 +@0CCF 10800017 +@0CD0 10800817 +@0CD1 10000726 +@0CD2 E0BFFD17 +@0CD3 10800017 +@0CD4 10800817 +@0CD5 E17FFF17 +@0CD6 E13FFD17 +@0CD7 103EE83A +@0CD8 00000A06 +@0CD9 E0BFFF17 +@0CDA 00C80004 +@0CDB 10C00115 +@0CDC 0005883A +@0CDD 00000506 +@0CDE 00032AC0 +@0CDF 1007883A +@0CE0 00801444 +@0CE1 18800015 +@0CE2 00BFFFC4 +@0CE3 E037883A +@0CE4 DFC00117 +@0CE5 DF000017 +@0CE6 DEC00204 +@0CE7 F800283A +@0CE8 DEFFFE04 +@0CE9 DFC00115 +@0CEA DF000015 +@0CEB D839883A +@0CEC D0A00917 +@0CED 10000326 +@0CEE D0A00917 +@0CEF 103EE83A +@0CF0 00000106 +@0CF1 D0A01104 +@0CF2 E037883A +@0CF3 DFC00117 +@0CF4 DF000017 +@0CF5 DEC00204 +@0CF6 F800283A +@0CF7 DEFFED04 +@0CF8 DFC01215 +@0CF9 DF001115 +@0CFA DF001104 +@0CFB E13FFF15 +@0CFC E0BFFF17 +@0CFD 10000816 +@0CFE 01400304 +@0CFF E13FFF17 +@0D00 000311C0 +@0D01 1007883A +@0D02 00800034 +@0D03 10982C04 +@0D04 1885883A +@0D05 00000106 +@0D06 0005883A +@0D07 E0BFEF15 +@0D08 E0BFEF17 +@0D09 10000E26 +@0D0A E0BFEF17 +@0D0B 10800017 +@0D0C 10800817 +@0D0D 1000021E +@0D0E 00800044 +@0D0F 00000D06 +@0D10 E0BFF004 +@0D11 100B883A +@0D12 E13FFF17 +@0D13 00032E80 +@0D14 E0BFF117 +@0D15 10880020 +@0D16 10803FCC +@0D17 00000506 +@0D18 00033A00 +@0D19 1007883A +@0D1A 00801444 +@0D1B 18800015 +@0D1C 0005883A +@0D1D E037883A +@0D1E DFC00117 +@0D1F DF000017 +@0D20 DEC00204 +@0D21 F800283A +@0D22 DEFFFE04 +@0D23 DFC00115 +@0D24 DF000015 +@0D25 D839883A +@0D26 D0A00917 +@0D27 10000326 +@0D28 D0A00917 +@0D29 103EE83A +@0D2A 00000106 +@0D2B D0A01104 +@0D2C E037883A +@0D2D DFC00117 +@0D2E DF000017 +@0D2F DEC00204 +@0D30 F800283A +@0D31 DEFFF904 +@0D32 DFC00615 +@0D33 DF000515 +@0D34 DF000504 +@0D35 E13FFD15 +@0D36 E17FFE15 +@0D37 E1BFFF15 +@0D38 E03FFB15 +@0D39 E0BFFD17 +@0D3A 10000816 +@0D3B 01400304 +@0D3C E13FFD17 +@0D3D 000311C0 +@0D3E 1007883A +@0D3F 00800034 +@0D40 10982C04 +@0D41 1885883A +@0D42 00000106 +@0D43 0005883A +@0D44 E0BFFC15 +@0D45 E0BFFC17 +@0D46 10001026 +@0D47 E0BFFC17 +@0D48 10800017 +@0D49 10800717 +@0D4A 10000926 +@0D4B E0BFFC17 +@0D4C 10800017 +@0D4D 10800717 +@0D4E E1BFFF17 +@0D4F E17FFE17 +@0D50 E13FFC17 +@0D51 103EE83A +@0D52 E0BFFB15 +@0D53 00000506 +@0D54 00BFDE84 +@0D55 E0BFFB15 +@0D56 00000206 +@0D57 00BFEBC4 +@0D58 E0BFFB15 +@0D59 E0BFFB17 +@0D5A 1000070E +@0D5B 00034880 +@0D5C 1007883A +@0D5D E0BFFB17 +@0D5E 0085C83A +@0D5F 18800015 +@0D60 00BFFFC4 +@0D61 E0BFFB15 +@0D62 E0BFFB17 +@0D63 E037883A +@0D64 DFC00117 +@0D65 DF000017 +@0D66 DEC00204 +@0D67 F800283A +@0D68 DEFFFD04 +@0D69 DFC00215 +@0D6A DF000115 +@0D6B DF000104 +@0D6C 0009883A +@0D6D 0003A480 +@0D6E 0001883A +@0D6F 0003A800 +@0D70 01800034 +@0D71 31960F04 +@0D72 01400034 +@0D73 29560F04 +@0D74 01000034 +@0D75 21160F04 +@0D76 0004C8C0 +@0D77 00048480 +@0D78 01000034 +@0D79 21122A04 +@0D7A 000544C0 +@0D7B D0A01217 +@0D7C D0E01317 +@0D7D D1201417 +@0D7E 200D883A +@0D7F 180B883A +@0D80 1009883A +@0D81 00002480 +@0D82 E0BFFF15 +@0D83 01000044 +@0D84 00031800 +@0D85 E13FFF17 +@0D86 00054600 +@0D87 DEFFFE04 +@0D88 DF000115 +@0D89 DF000104 +@0D8A E13FFF15 +@0D8B 0001883A +@0D8C E037883A +@0D8D DF000017 +@0D8E DEC00104 +@0D8F F800283A +@0D90 DEFFFE04 +@0D91 DF000115 +@0D92 DF000104 +@0D93 E13FFF15 +@0D94 0001883A +@0D95 E037883A +@0D96 DF000017 +@0D97 DEC00104 +@0D98 F800283A +@0D99 DEFFFE04 +@0D9A DFC00115 +@0D9B DF000015 +@0D9C D839883A +@0D9D D0A00917 +@0D9E 10000326 +@0D9F D0A00917 +@0DA0 103EE83A +@0DA1 00000106 +@0DA2 D0A01104 +@0DA3 E037883A +@0DA4 DFC00117 +@0DA5 DF000017 +@0DA6 DEC00204 +@0DA7 F800283A +@0DA8 DEFFF904 +@0DA9 DFC00615 +@0DAA DF000515 +@0DAB DF000504 +@0DAC E13FFD15 +@0DAD E17FFE15 +@0DAE E1BFFF15 +@0DAF E0BFFD17 +@0DB0 10000816 +@0DB1 01400304 +@0DB2 E13FFD17 +@0DB3 000311C0 +@0DB4 1007883A +@0DB5 00800034 +@0DB6 10982C04 +@0DB7 1885883A +@0DB8 00000106 +@0DB9 0005883A +@0DBA E0BFFB15 +@0DBB E0BFFB17 +@0DBC 10002226 +@0DBD E0BFFB17 +@0DBE 10800217 +@0DBF 108000CC +@0DC0 10800060 +@0DC1 1000181E +@0DC2 E0BFFB17 +@0DC3 10800017 +@0DC4 10800517 +@0DC5 10001426 +@0DC6 E0BFFB17 +@0DC7 10800017 +@0DC8 10800517 +@0DC9 E0FFFF17 +@0DCA 180D883A +@0DCB E17FFE17 +@0DCC E13FFB17 +@0DCD 103EE83A +@0DCE E0BFFC15 +@0DCF E0BFFC17 +@0DD0 1000070E +@0DD1 00036640 +@0DD2 1007883A +@0DD3 E0BFFC17 +@0DD4 0085C83A +@0DD5 18800015 +@0DD6 00BFFFC4 +@0DD7 00000C06 +@0DD8 E0BFFC17 +@0DD9 00000A06 +@0DDA 00036640 +@0DDB 1007883A +@0DDC 00800344 +@0DDD 18800015 +@0DDE 00000406 +@0DDF 00036640 +@0DE0 1007883A +@0DE1 00801444 +@0DE2 18800015 +@0DE3 00BFFFC4 +@0DE4 E037883A +@0DE5 DFC00117 +@0DE6 DF000017 +@0DE7 DEC00204 +@0DE8 F800283A +@0DE9 DEFFFC04 +@0DEA DFC00315 +@0DEB DF000215 +@0DEC DC000115 +@0DED DF000204 +@0DEE E13FFE15 +@0DEF E0BFFE17 +@0DF0 108000D0 +@0DF1 1000111E +@0DF2 04000034 +@0DF3 84182C04 +@0DF4 E0BFFE17 +@0DF5 01400304 +@0DF6 1009883A +@0DF7 000311C0 +@0DF8 8085883A +@0DF9 10800204 +@0DFA 10000015 +@0DFB 04000034 +@0DFC 84182C04 +@0DFD E0BFFE17 +@0DFE 01400304 +@0DFF 1009883A +@0E00 000311C0 +@0E01 8085883A +@0E02 10000015 +@0E03 0001883A +@0E04 E6FFFF04 +@0E05 DFC00217 +@0E06 DF000117 +@0E07 DC000017 +@0E08 DEC00304 +@0E09 F800283A +@0E0A DEFFF904 +@0E0B DF000615 +@0E0C DF000604 +@0E0D E13FFF15 +@0E0E 0005303A +@0E0F E0BFFE15 +@0E10 E0FFFE17 +@0E11 00BFFF84 +@0E12 1884703A +@0E13 1001703A +@0E14 E0BFFE17 +@0E15 E0BFFB15 +@0E16 D0A00A17 +@0E17 10C000C4 +@0E18 00BFFF04 +@0E19 1884703A +@0E1A D0A00A15 +@0E1B D0E00A17 +@0E1C E0BFFF17 +@0E1D 1887883A +@0E1E 008000B4 +@0E1F 10800004 +@0E20 10C0062E +@0E21 E0BFFB17 +@0E22 E0BFFA15 +@0E23 E0BFFA17 +@0E24 1001703A +@0E25 00BFFFC4 +@0E26 00000B06 +@0E27 D0A00A17 +@0E28 E0BFFD15 +@0E29 D0E00A17 +@0E2A E0BFFF17 +@0E2B 1885883A +@0E2C D0A00A15 +@0E2D E0BFFB17 +@0E2E E0BFFC15 +@0E2F E0BFFC17 +@0E30 1001703A +@0E31 E0BFFD17 +@0E32 E037883A +@0E33 DF000017 +@0E34 DEC00104 +@0E35 F800283A +@0E36 DEFFFE04 +@0E37 DFC00115 +@0E38 DF000015 +@0E39 D839883A +@0E3A D0A00917 +@0E3B 10000326 +@0E3C D0A00917 +@0E3D 103EE83A +@0E3E 00000106 +@0E3F D0A01104 +@0E40 E037883A +@0E41 DFC00117 +@0E42 DF000017 +@0E43 DEC00204 +@0E44 F800283A +@0E45 DEFFF904 +@0E46 DFC00615 +@0E47 DF000515 +@0E48 DF000504 +@0E49 E13FFD15 +@0E4A E17FFE15 +@0E4B E1BFFF15 +@0E4C E0BFFD17 +@0E4D 10000816 +@0E4E 01400304 +@0E4F E13FFD17 +@0E50 000311C0 +@0E51 1007883A +@0E52 00800034 +@0E53 10982C04 +@0E54 1885883A +@0E55 00000106 +@0E56 0005883A +@0E57 E0BFFB15 +@0E58 E0BFFB17 +@0E59 10002126 +@0E5A E0BFFB17 +@0E5B 10800217 +@0E5C 108000CC +@0E5D 10001826 +@0E5E E0BFFB17 +@0E5F 10800017 +@0E60 10800617 +@0E61 10001426 +@0E62 E0BFFB17 +@0E63 10800017 +@0E64 10800617 +@0E65 E0FFFF17 +@0E66 180D883A +@0E67 E17FFE17 +@0E68 E13FFB17 +@0E69 103EE83A +@0E6A E0BFFC15 +@0E6B E0BFFC17 +@0E6C 1000070E +@0E6D 00038D80 +@0E6E 1007883A +@0E6F E0BFFC17 +@0E70 0085C83A +@0E71 18800015 +@0E72 00BFFFC4 +@0E73 00000C06 +@0E74 E0BFFC17 +@0E75 00000A06 +@0E76 00038D80 +@0E77 1007883A +@0E78 00800344 +@0E79 18800015 +@0E7A 00000406 +@0E7B 00038D80 +@0E7C 1007883A +@0E7D 00801444 +@0E7E 18800015 +@0E7F 00BFFFC4 +@0E80 E037883A +@0E81 DFC00117 +@0E82 DF000017 +@0E83 DEC00204 +@0E84 F800283A +@0E85 DEFFFD04 +@0E86 DFC00215 +@0E87 DF000115 +@0E88 DF000104 +@0E89 E13FFF15 +@0E8A D1600604 +@0E8B E13FFF17 +@0E8C 00047A40 +@0E8D E037883A +@0E8E DFC00117 +@0E8F DF000017 +@0E90 DEC00204 +@0E91 F800283A +@0E92 DEFFFD04 +@0E93 DFC00215 +@0E94 DF000115 +@0E95 DF000104 +@0E96 E13FFF15 +@0E97 00051300 +@0E98 00800044 +@0E99 1001703A +@0E9A 0001883A +@0E9B E037883A +@0E9C DFC00117 +@0E9D DF000017 +@0E9E DEC00204 +@0E9F F800283A +@0EA0 DEFFFE04 +@0EA1 DFC00115 +@0EA2 DF000015 +@0EA3 D839883A +@0EA4 01C0FA04 +@0EA5 000D883A +@0EA6 000B883A +@0EA7 010000B4 +@0EA8 21041004 +@0EA9 00045C00 +@0EAA 01800044 +@0EAB 000B883A +@0EAC 01000034 +@0EAD 21189604 +@0EAE 0003C440 +@0EAF 01000034 +@0EB0 21188C04 +@0EB1 0003A140 +@0EB2 0001883A +@0EB3 E037883A +@0EB4 DFC00117 +@0EB5 DF000017 +@0EB6 DEC00204 +@0EB7 F800283A +@0EB8 DEFFFA04 +@0EB9 DFC00515 +@0EBA DF000415 +@0EBB DF000404 +@0EBC E13FFD15 +@0EBD E17FFE15 +@0EBE E1BFFF15 +@0EBF E0BFFD17 +@0EC0 10800017 +@0EC1 E0BFFC15 +@0EC2 E0BFFC17 +@0EC3 10C00A04 +@0EC4 E0BFFD17 +@0EC5 10800217 +@0EC6 100F883A +@0EC7 E1BFFF17 +@0EC8 E17FFE17 +@0EC9 1809883A +@0ECA 00041080 +@0ECB E037883A +@0ECC DFC00117 +@0ECD DF000017 +@0ECE DEC00204 +@0ECF F800283A +@0ED0 DEFFFA04 +@0ED1 DFC00515 +@0ED2 DF000415 +@0ED3 DF000404 +@0ED4 E13FFD15 +@0ED5 E17FFE15 +@0ED6 E1BFFF15 +@0ED7 E0BFFD17 +@0ED8 10800017 +@0ED9 E0BFFC15 +@0EDA E0BFFC17 +@0EDB 10C00A04 +@0EDC E0BFFD17 +@0EDD 10800217 +@0EDE 100F883A +@0EDF E1BFFF17 +@0EE0 E17FFE17 +@0EE1 1809883A +@0EE2 00043240 +@0EE3 E037883A +@0EE4 DFC00117 +@0EE5 DF000017 +@0EE6 DEC00204 +@0EE7 F800283A +@0EE8 DEFFFC04 +@0EE9 DFC00315 +@0EEA DF000215 +@0EEB DF000204 +@0EEC E13FFF15 +@0EED E0BFFF17 +@0EEE 10800017 +@0EEF E0BFFE15 +@0EF0 E0BFFE17 +@0EF1 10C00A04 +@0EF2 E0BFFF17 +@0EF3 10800217 +@0EF4 100B883A +@0EF5 1809883A +@0EF6 0003FB00 +@0EF7 E037883A +@0EF8 DFC00117 +@0EF9 DF000017 +@0EFA DEC00204 +@0EFB F800283A +@0EFC DEFFFA04 +@0EFD DFC00515 +@0EFE DF000415 +@0EFF DF000404 +@0F00 E13FFD15 +@0F01 E17FFE15 +@0F02 E1BFFF15 +@0F03 E0BFFD17 +@0F04 10800017 +@0F05 E0BFFC15 +@0F06 E0BFFC17 +@0F07 10800A04 +@0F08 E1BFFF17 +@0F09 E17FFE17 +@0F0A 1009883A +@0F0B 00040180 +@0F0C E037883A +@0F0D DFC00117 +@0F0E DF000017 +@0F0F DEC00204 +@0F10 F800283A +@0F11 DEFFFA04 +@0F12 DFC00515 +@0F13 DF000415 +@0F14 DF000404 +@0F15 E13FFD15 +@0F16 E17FFE15 +@0F17 E1BFFF15 +@0F18 E0BFFD17 +@0F19 00C00044 +@0F1A 10C00815 +@0F1B E0BFFD17 +@0F1C 10800017 +@0F1D 10800104 +@0F1E 1007883A +@0F1F E0BFFD17 +@0F20 10800817 +@0F21 18800035 +@0F22 E0BFFE17 +@0F23 E0FFFF17 +@0F24 D8000015 +@0F25 E1FFFD17 +@0F26 01800034 +@0F27 318F4104 +@0F28 180B883A +@0F29 1009883A +@0F2A 00049080 +@0F2B E0BFFD17 +@0F2C 10000915 +@0F2D E0BFFD17 +@0F2E 10800204 +@0F2F D0E01617 +@0F30 E1FFFD17 +@0F31 01800034 +@0F32 318FC404 +@0F33 180B883A +@0F34 1009883A +@0F35 000463C0 +@0F36 1000040E +@0F37 E0FFFD17 +@0F38 00A00034 +@0F39 10BFFFC4 +@0F3A 18800115 +@0F3B 0001883A +@0F3C E037883A +@0F3D DFC00117 +@0F3E DF000017 +@0F3F DEC00204 +@0F40 F800283A +@0F41 DEFFF804 +@0F42 DF000715 +@0F43 DF000704 +@0F44 E13FFF15 +@0F45 E0BFFF17 +@0F46 E0BFFB15 +@0F47 E0BFFB17 +@0F48 10800017 +@0F49 E0BFFC15 +@0F4A E0BFFC17 +@0F4B 10800104 +@0F4C 10800037 +@0F4D E0BFFD15 +@0F4E E0BFFD17 +@0F4F 1080C00C +@0F50 10006D26 +@0F51 E0BFFD17 +@0F52 1080400C +@0F53 10003526 +@0F54 00800074 +@0F55 E0BFF915 +@0F56 E0BFFB17 +@0F57 10800A17 +@0F58 10800044 +@0F59 1081FFCC +@0F5A E0BFFE15 +@0F5B E0BFFB17 +@0F5C 10C00B17 +@0F5D E0BFFE17 +@0F5E 18801526 +@0F5F E0BFFC17 +@0F60 10800037 +@0F61 E0BFF915 +@0F62 E0BFF917 +@0F63 10A0000C +@0F64 10001126 +@0F65 E0BFFB17 +@0F66 10800A17 +@0F67 E0FFF917 +@0F68 1809883A +@0F69 E0FFFB17 +@0F6A 1885883A +@0F6B 10800E04 +@0F6C 11000005 +@0F6D E0BFFB17 +@0F6E 10800A17 +@0F6F 10800044 +@0F70 10C1FFCC +@0F71 E0BFFB17 +@0F72 10C00A15 +@0F73 003FE206 +@0F74 0001883A +@0F75 00000106 +@0F76 0001883A +@0F77 E0BFF917 +@0F78 10BFFFEC +@0F79 10000F26 +@0F7A E0BFFB17 +@0F7B 10C00817 +@0F7C 00BFFF84 +@0F7D 1886703A +@0F7E E0BFFB17 +@0F7F 10C00815 +@0F80 E0BFFC17 +@0F81 10800104 +@0F82 1007883A +@0F83 E0BFFB17 +@0F84 10800817 +@0F85 18800035 +@0F86 E0BFFC17 +@0F87 10800104 +@0F88 10800037 +@0F89 E0BFFD17 +@0F8A 1080800C +@0F8B 103FBE26 +@0F8C E0BFFD17 +@0F8D 1004D43A +@0F8E E0BFFA15 +@0F8F 00001406 +@0F90 E0BFFC17 +@0F91 E0FFFB17 +@0F92 18C00D17 +@0F93 E13FFB17 +@0F94 20C7883A +@0F95 18C20E04 +@0F96 18C00003 +@0F97 18C03FCC +@0F98 18C0201C +@0F99 18FFE004 +@0F9A 10C00035 +@0F9B E0BFFB17 +@0F9C 10800D17 +@0F9D 10800044 +@0F9E 10C1FFCC +@0F9F E0BFFB17 +@0FA0 10C00D15 +@0FA1 E0BFFA17 +@0FA2 10BFFFC4 +@0FA3 E0BFFA15 +@0FA4 E0BFFA17 +@0FA5 10000526 +@0FA6 E0BFFB17 +@0FA7 10C00D17 +@0FA8 E0BFFB17 +@0FA9 10800C17 +@0FAA 18BFE51E +@0FAB E0BFFA17 +@0FAC 103F9D26 +@0FAD E0BFFB17 +@0FAE 10C00817 +@0FAF 00BFFF44 +@0FB0 1886703A +@0FB1 E0BFFB17 +@0FB2 10C00815 +@0FB3 E0BFFB17 +@0FB4 10800017 +@0FB5 10800104 +@0FB6 1007883A +@0FB7 E0BFFB17 +@0FB8 10800817 +@0FB9 18800035 +@0FBA E0BFFC17 +@0FBB 10800104 +@0FBC 10800037 +@0FBD 003F8C06 @0FBE 0001883A -@0FBF E037883A -@0FC0 DF000017 -@0FC1 DEC00104 -@0FC2 F800283A -@0FC3 DEFFF804 -@0FC4 DF000715 -@0FC5 DF000704 -@0FC6 E13FFB15 -@0FC7 E0BFFB17 -@0FC8 E0BFF915 -@0FC9 E0BFF917 -@0FCA 10800017 -@0FCB 10800104 -@0FCC 10800037 -@0FCD E0BFFA15 -@0FCE E0BFFA17 -@0FCF 1081000C -@0FD0 10000B26 -@0FD1 E0BFF917 -@0FD2 10800017 -@0FD3 10800104 -@0FD4 1007883A -@0FD5 E0BFF917 -@0FD6 10800817 -@0FD7 10810014 -@0FD8 18800035 -@0FD9 E0BFF917 -@0FDA 10000915 -@0FDB 00000A06 -@0FDC E0BFF917 -@0FDD 10C00917 -@0FDE 00A00034 -@0FDF 10BFFF04 -@0FE0 10C00536 -@0FE1 E0BFF917 -@0FE2 10800917 -@0FE3 10C00044 -@0FE4 E0BFF917 -@0FE5 10C00915 -@0FE6 D0A01617 -@0FE7 E037883A -@0FE8 DF000017 -@0FE9 DEC00104 -@0FEA F800283A -@0FEB DEFFFD04 -@0FEC DF000215 -@0FED DF000204 -@0FEE E13FFE15 -@0FEF E17FFF15 -@0FF0 00000506 -@0FF1 E0BFFF17 -@0FF2 1090000C -@0FF3 10000226 -@0FF4 00BFFD44 -@0FF5 00000B06 -@0FF6 E0BFFE17 -@0FF7 10C00D17 -@0FF8 E0BFFE17 -@0FF9 10800C17 -@0FFA 18800526 -@0FFB E0BFFE17 -@0FFC 10C00917 -@0FFD E0BFFE17 -@0FFE 10800117 -@0FFF 18BFF136 -@1000 0005883A -@1001 E037883A -@1002 DF000017 -@1003 DEC00104 -@1004 F800283A -@1005 DEFFFA04 -@1006 DF000515 -@1007 DF000504 -@1008 E13FFD15 -@1009 E17FFE15 -@100A E1BFFF15 -@100B 00BFF9C4 -@100C E0BFFB15 -@100D E0BFFE17 -@100E 10DA8060 -@100F 1800031E -@1010 109A80A0 -@1011 1000181E -@1012 00002906 -@1013 E0BFFD17 -@1014 10C00117 -@1015 00A00034 -@1016 10BFFFC4 -@1017 18802126 -@1018 E0BFFF17 -@1019 10800017 -@101A E0BFFC15 -@101B E0BFFC17 -@101C 10800090 -@101D 1000061E -@101E E0FFFC17 -@101F 00A00034 -@1020 10BFFFC4 -@1021 18800226 -@1022 E0BFFC17 -@1023 00000206 -@1024 00A00034 -@1025 10BFFF84 -@1026 E0FFFD17 -@1027 18800115 -@1028 E03FFB15 -@1029 00000F06 -@102A E0BFFD17 -@102B 10C00117 -@102C 00A00034 -@102D 10BFFFC4 -@102E 18800C26 -@102F E0BFFD17 -@1030 10C00917 -@1031 E0BFFD17 -@1032 10800117 -@1033 1885803A -@1034 10C03FCC -@1035 E0BFFF17 -@1036 10C00015 -@1037 E03FFB15 -@1038 00000206 -@1039 0001883A -@103A 00000106 -@103B 0001883A -@103C E0BFFB17 -@103D E037883A -@103E DF000017 -@103F DEC00104 -@1040 F800283A -@1041 DEFFF304 -@1042 DFC00C15 -@1043 DF000B15 -@1044 DF000B04 -@1045 E13FFC15 -@1046 E17FFD15 -@1047 E1BFFE15 -@1048 E1FFFF15 -@1049 E0BFFD17 -@104A E0BFF515 -@104B 00004706 -@104C E0BFFC17 -@104D 10800A17 -@104E E0BFF715 -@104F E0BFFC17 -@1050 10800B17 -@1051 E0BFF815 -@1052 E0FFF717 -@1053 E0BFF817 -@1054 18800536 -@1055 E0FFF717 -@1056 E0BFF817 -@1057 1885C83A -@1058 E0BFF615 -@1059 00000406 -@105A 00C20004 -@105B E0BFF817 -@105C 1885C83A -@105D E0BFF615 -@105E E0BFF617 -@105F 10001E26 -@1060 E0FFFE17 -@1061 E0BFF617 -@1062 1880022E -@1063 E0BFFE17 -@1064 E0BFF615 -@1065 E0BFFC17 -@1066 10C00E04 -@1067 E0BFF817 -@1068 1885883A -@1069 E1BFF617 -@106A 100B883A -@106B E13FF517 -@106C 00017900 -@106D E0FFF517 -@106E E0BFF617 -@106F 1885883A -@1070 E0BFF515 -@1071 E0FFFE17 -@1072 E0BFF617 -@1073 1885C83A -@1074 E0BFFE15 -@1075 E0FFF817 -@1076 E0BFF617 -@1077 1885883A -@1078 10C1FFCC -@1079 E0BFFC17 -@107A 10C00B15 -@107B E0BFFE17 -@107C 00BFCF16 -@107D 00000106 -@107E 0001883A -@107F E0FFF517 -@1080 E0BFFD17 -@1081 1880141E -@1082 E0BFFF17 -@1083 1090000C -@1084 1000131E -@1085 0001883A -@1086 E0BFFC17 -@1087 10C00A17 -@1088 E0BFF717 -@1089 1880051E -@108A E0BFFC17 -@108B 10C00917 -@108C E0BFFC17 -@108D 10800117 -@108E 18BFF736 -@108F E0BFFC17 -@1090 10C00A17 -@1091 E0BFF717 -@1092 18800726 -@1093 E0BFFE17 -@1094 00BFB716 -@1095 00000506 -@1096 0001883A -@1097 00000306 -@1098 0001883A -@1099 00000106 -@109A 0001883A -@109B E0FFF517 -@109C E0BFFD17 -@109D 18801826 -@109E 0005303A -@109F E0BFFB15 -@10A0 E0FFFB17 -@10A1 00BFFF84 -@10A2 1884703A -@10A3 1001703A -@10A4 E0BFFB17 -@10A5 E0BFFA15 -@10A6 E0BFFC17 -@10A7 10800817 -@10A8 10C00054 -@10A9 E0BFFC17 -@10AA 10C00815 -@10AB E0BFFC17 -@10AC 10800017 -@10AD 10800104 -@10AE 1007883A -@10AF E0BFFC17 -@10B0 10800817 -@10B1 18800035 -@10B2 E0BFFA17 -@10B3 E0BFF915 -@10B4 E0BFF917 -@10B5 1001703A -@10B6 E0FFF517 -@10B7 E0BFFD17 -@10B8 18800426 -@10B9 E0FFF517 -@10BA E0BFFD17 -@10BB 1885C83A -@10BC 00000606 -@10BD E0BFFF17 -@10BE 1090000C -@10BF 10000226 -@10C0 00BFFD44 -@10C1 00000106 -@10C2 00BFFEC4 -@10C3 E037883A -@10C4 DFC00117 -@10C5 DF000017 -@10C6 DEC00204 -@10C7 F800283A -@10C8 DEFFF304 -@10C9 DFC00C15 -@10CA DF000B15 -@10CB DF000B04 -@10CC E13FFC15 -@10CD E17FFD15 -@10CE E1BFFE15 -@10CF E1FFFF15 -@10D0 E03FF515 -@10D1 E0BFFD17 -@10D2 E0BFF715 -@10D3 00003706 -@10D4 E0BFFC17 -@10D5 10800C17 -@10D6 E0BFF915 -@10D7 E0BFFC17 -@10D8 10800D17 -@10D9 E0BFF515 -@10DA E0FFF917 -@10DB E0BFF517 -@10DC 1880062E -@10DD E0FFF517 -@10DE E0BFF917 -@10DF 1885C83A -@10E0 10BFFFC4 -@10E1 E0BFF615 -@10E2 00000B06 -@10E3 E0BFF517 -@10E4 10000526 -@10E5 00C20004 -@10E6 E0BFF917 -@10E7 1885C83A -@10E8 E0BFF615 -@10E9 00000406 -@10EA 00C1FFC4 -@10EB E0BFF917 -@10EC 1885C83A -@10ED E0BFF615 -@10EE E0BFF617 -@10EF 10001E26 -@10F0 E0FFFE17 -@10F1 E0BFF617 -@10F2 1880022E -@10F3 E0BFFE17 -@10F4 E0BFF615 -@10F5 E0BFFC17 -@10F6 10C20E04 -@10F7 E0BFF917 -@10F8 1885883A -@10F9 E1BFF617 -@10FA E17FFD17 -@10FB 1009883A -@10FC 00017900 -@10FD E0FFFD17 -@10FE E0BFF617 -@10FF 1885883A -@1100 E0BFFD15 -@1101 E0FFFE17 -@1102 E0BFF617 -@1103 1885C83A -@1104 E0BFFE15 -@1105 E0FFF917 -@1106 E0BFF617 -@1107 1885883A -@1108 10C1FFCC -@1109 E0BFFC17 -@110A 10C00C15 -@110B E0BFFE17 -@110C 00BFC716 -@110D 00000106 -@110E 0001883A -@110F 0005303A -@1110 E0BFFB15 -@1111 E0FFFB17 -@1112 00BFFF84 -@1113 1884703A -@1114 1001703A -@1115 E0BFFB17 -@1116 E0BFFA15 -@1117 E0BFFC17 -@1118 10800817 -@1119 10C00094 -@111A E0BFFC17 -@111B 10C00815 -@111C E0BFFC17 -@111D 10800017 -@111E 10800104 -@111F 1007883A -@1120 E0BFFC17 -@1121 10800817 -@1122 18800035 -@1123 E0BFFA17 -@1124 E0BFF815 -@1125 E0BFF817 -@1126 1001703A -@1127 E0BFFE17 -@1128 0080100E -@1129 E0BFFF17 -@112A 1090000C -@112B 1000101E -@112C 0001883A -@112D E0BFFC17 -@112E 10C00D17 -@112F E0BFF517 -@1130 1880051E -@1131 E0BFFC17 -@1132 10C00917 -@1133 E0BFFC17 -@1134 10800117 -@1135 18BFF736 -@1136 E0BFFC17 -@1137 10800917 -@1138 1000051E -@1139 E0BFFE17 -@113A 00BFD016 -@113B 00000306 -@113C 0001883A -@113D 00000106 -@113E 0001883A -@113F E0FFFD17 -@1140 E0BFF717 -@1141 18800426 -@1142 E0FFFD17 -@1143 E0BFF717 -@1144 1885C83A -@1145 00000606 -@1146 E0BFFF17 -@1147 1090000C -@1148 10000226 -@1149 00BFFD44 -@114A 00000106 -@114B 00BFFEC4 -@114C E037883A -@114D DFC00117 -@114E DF000017 -@114F DEC00204 -@1150 F800283A -@1151 DEFFFA04 -@1152 DFC00515 -@1153 DF000415 -@1154 DF000404 -@1155 E13FFF15 -@1156 0007883A -@1157 E0BFFF17 -@1158 10C00035 -@1159 E0BFFF17 -@115A 10800104 -@115B 10800037 -@115C 0005303A -@115D E0BFFD15 -@115E E0FFFD17 -@115F 00BFFF84 -@1160 1884703A -@1161 1001703A -@1162 E0BFFD17 -@1163 E0BFFC15 -@1164 00050240 -@1165 E0BFFC17 -@1166 E0BFFE15 -@1167 E0BFFE17 -@1168 1001703A -@1169 0001883A -@116A E037883A -@116B DFC00117 -@116C DF000017 -@116D DEC00204 -@116E F800283A -@116F DEFFF804 -@1170 DFC00715 -@1171 DF000615 -@1172 DF000604 -@1173 E13FFC15 -@1174 E17FFD15 -@1175 E1BFFE15 -@1176 E1FFFF15 -@1177 E0BFFF17 -@1178 E0BFFB15 -@1179 D0A01617 -@117A 1000021E -@117B E0BFFB17 -@117C D0A01615 -@117D E0BFFC17 -@117E 10800104 -@117F 00C001C4 -@1180 10C00035 -@1181 D8000015 -@1182 E1FFFC17 -@1183 01800034 -@1184 31915104 -@1185 E17FFE17 -@1186 E13FFD17 -@1187 00049040 -@1188 0001883A -@1189 E037883A -@118A DFC00117 -@118B DF000017 -@118C DEC00204 -@118D F800283A -@118E DEFFF504 -@118F DF000A15 -@1190 DF000A04 -@1191 E13FFC15 -@1192 E17FFD15 -@1193 E1BFFE15 -@1194 E1FFFF15 -@1195 E03FF615 -@1196 D0A01617 -@1197 10003C26 -@1198 E0BFFC17 -@1199 10003826 -@119A E0BFFC17 -@119B E0FFFE17 -@119C 10C00315 -@119D E0BFFC17 -@119E E0FFFF17 -@119F 10C00515 -@11A0 0005303A -@11A1 E0BFF915 -@11A2 E0FFF917 -@11A3 00BFFF84 -@11A4 1884703A -@11A5 1001703A -@11A6 E0BFF917 -@11A7 E0BFF815 -@11A8 D0A01717 -@11A9 E0BFF615 -@11AA E0FFFD17 -@11AB E0BFF617 -@11AC 1885883A -@11AD 10C00044 -@11AE E0BFFC17 -@11AF 10C00215 -@11B0 E0BFFC17 -@11B1 10C00217 -@11B2 E0BFF617 -@11B3 1880042E -@11B4 E0BFFC17 -@11B5 00C00044 -@11B6 10C00405 -@11B7 00000206 -@11B8 E0BFFC17 -@11B9 10000405 -@11BA E0BFFC17 -@11BB D0E00C04 -@11BC E0FFFA15 -@11BD E0BFFB15 -@11BE E0BFFB17 -@11BF E0FFFA17 -@11C0 10C00115 -@11C1 E0BFFA17 -@11C2 10C00017 -@11C3 E0BFFB17 -@11C4 10C00015 -@11C5 E0BFFA17 -@11C6 10800017 -@11C7 E0FFFB17 -@11C8 10C00115 -@11C9 E0BFFA17 -@11CA E0FFFB17 -@11CB 10C00015 -@11CC E0BFF817 -@11CD E0BFF715 -@11CE E0BFF717 -@11CF 1001703A -@11D0 0005883A -@11D1 00000306 -@11D2 00BFFA84 -@11D3 00000106 -@11D4 00BFDE84 -@11D5 E037883A -@11D6 DF000017 -@11D7 DEC00104 -@11D8 F800283A -@11D9 DEFFFE04 -@11DA DFC00115 -@11DB DF000015 -@11DC D839883A -@11DD D0A00917 -@11DE 10000326 -@11DF D0A00917 -@11E0 103EE83A -@11E1 00000106 -@11E2 D0A01104 -@11E3 E037883A -@11E4 DFC00117 -@11E5 DF000017 -@11E6 DEC00204 -@11E7 F800283A -@11E8 DEFFFA04 -@11E9 DFC00515 -@11EA DF000415 -@11EB DF000404 -@11EC E13FFE15 -@11ED E17FFF15 -@11EE E0BFFE17 -@11EF 10000326 -@11F0 E0BFFE17 -@11F1 10800217 -@11F2 1000061E -@11F3 00047640 -@11F4 1007883A -@11F5 00800584 -@11F6 18800015 -@11F7 00BFFA84 -@11F8 00001306 -@11F9 E0BFFE17 -@11FA E0FFFF17 -@11FB E0FFFC15 -@11FC E0BFFD15 -@11FD E0BFFD17 -@11FE E0FFFC17 -@11FF 10C00115 -@1200 E0BFFC17 -@1201 10C00017 -@1202 E0BFFD17 -@1203 10C00015 -@1204 E0BFFC17 -@1205 10800017 -@1206 E0FFFD17 -@1207 10C00115 -@1208 E0BFFC17 -@1209 E0FFFD17 -@120A 10C00015 -@120B 0005883A -@120C E037883A -@120D DFC00117 -@120E DF000017 -@120F DEC00204 -@1210 F800283A -@1211 DEFFFD04 -@1212 DFC00215 -@1213 DF000115 -@1214 DF000104 -@1215 00800034 -@1216 1095F604 -@1217 E0BFFF15 -@1218 00000606 -@1219 E0BFFF17 -@121A 10800017 -@121B 103EE83A -@121C E0BFFF17 -@121D 10BFFF04 -@121E E0BFFF15 -@121F E0FFFF17 -@1220 00800034 -@1221 1095F704 -@1222 18BFF62E -@1223 0001883A -@1224 E037883A -@1225 DFC00117 -@1226 DF000017 -@1227 DEC00204 -@1228 F800283A -@1229 DEFFFD04 -@122A DFC00215 -@122B DF000115 -@122C DF000104 -@122D 00800034 -@122E 1095F604 -@122F E0BFFF15 -@1230 00000606 -@1231 E0BFFF17 -@1232 10800017 -@1233 103EE83A -@1234 E0BFFF17 -@1235 10BFFF04 -@1236 E0BFFF15 -@1237 E0FFFF17 -@1238 00800034 -@1239 1095F704 -@123A 18BFF62E -@123B 0001883A -@123C E037883A -@123D DFC00117 -@123E DF000017 -@123F DEC00204 -@1240 F800283A -@1241 DEFFF904 -@1242 DFC00615 -@1243 DF000515 -@1244 DF000504 -@1245 E13FFC15 -@1246 E17FFD15 -@1247 E1BFFE15 -@1248 E1FFFF15 -@1249 E0800217 -@124A D8800015 -@124B E1FFFF17 -@124C E1BFFE17 -@124D E17FFD17 -@124E E13FFC17 -@124F 0004AB40 -@1250 E037883A -@1251 DFC00117 -@1252 DF000017 -@1253 DEC00204 -@1254 F800283A -@1255 DEFFF904 -@1256 DF000615 -@1257 DF000604 -@1258 E13FFE15 -@1259 E17FFF15 -@125A E0BFFF17 -@125B E0BFFA15 -@125C 0005303A -@125D E0BFFB15 -@125E E0FFFB17 -@125F 00BFFF84 -@1260 1884703A -@1261 1001703A -@1262 E0BFFB17 -@1263 E0BFFC15 -@1264 00C00044 -@1265 E0BFFA17 -@1266 1884983A -@1267 1007883A -@1268 D0A01517 -@1269 1884B03A -@126A D0A01515 -@126B D0A01517 -@126C 100170FA -@126D E0BFFC17 -@126E E0BFFD15 -@126F E0BFFD17 -@1270 1001703A -@1271 0005883A -@1272 0001883A -@1273 E037883A -@1274 DF000017 -@1275 DEC00104 -@1276 F800283A -@1277 DEFFF904 -@1278 DF000615 -@1279 DF000604 -@127A E13FFE15 -@127B E17FFF15 -@127C E0BFFF17 -@127D E0BFFA15 -@127E 0005303A -@127F E0BFFB15 -@1280 E0FFFB17 -@1281 00BFFF84 -@1282 1884703A -@1283 1001703A -@1284 E0BFFB17 -@1285 E0BFFC15 -@1286 00C00044 -@1287 E0BFFA17 -@1288 1884983A -@1289 0084303A -@128A 1007883A -@128B D0A01517 -@128C 1884703A -@128D D0A01515 -@128E D0A01517 -@128F 100170FA -@1290 E0BFFC17 -@1291 E0BFFD15 -@1292 E0BFFD17 -@1293 1001703A -@1294 0005883A -@1295 0001883A -@1296 E037883A -@1297 DF000017 -@1298 DEC00104 -@1299 F800283A -@129A DEFFFC04 -@129B DF000315 -@129C DF000304 -@129D E13FFE15 -@129E E17FFF15 -@129F 000530FA -@12A0 E0BFFD15 -@12A1 00C00044 -@12A2 E0BFFF17 -@12A3 1884983A -@12A4 1007883A -@12A5 E0BFFD17 -@12A6 1884703A -@12A7 1004C03A -@12A8 10803FCC -@12A9 E037883A -@12AA DF000017 -@12AB DEC00104 -@12AC F800283A -@12AD DEFFF504 -@12AE DFC00A15 -@12AF DF000915 -@12B0 DF000904 -@12B1 E13FFC15 -@12B2 E17FFD15 -@12B3 E1BFFE15 -@12B4 E1FFFF15 -@12B5 00BFFA84 -@12B6 E0BFF715 -@12B7 E0BFFD17 -@12B8 E0BFF815 -@12B9 E0BFF817 -@12BA 10800808 -@12BB 1000271E -@12BC 0005303A -@12BD E0BFFB15 -@12BE E0FFFB17 -@12BF 00BFFF84 -@12C0 1884703A -@12C1 1001703A -@12C2 E0BFFB17 -@12C3 E0BFFA15 -@12C4 00800034 -@12C5 109CC604 -@12C6 E0FFF817 -@12C7 180690FA -@12C8 10C5883A -@12C9 E0FFFE17 -@12CA 10C00015 -@12CB 00800034 -@12CC 109CC604 -@12CD E0FFF817 -@12CE 180690FA -@12CF 10C5883A -@12D0 10800104 -@12D1 E0FFFF17 -@12D2 10C00015 -@12D3 E0BFFE17 -@12D4 10000526 -@12D5 E0BFF817 -@12D6 100B883A -@12D7 E13FFC17 -@12D8 00049540 -@12D9 00000406 -@12DA E0BFF817 -@12DB 100B883A -@12DC E13FFC17 -@12DD 00049DC0 -@12DE E0BFF715 -@12DF E0BFFA17 -@12E0 E0BFF915 -@12E1 E0BFF917 -@12E2 1001703A -@12E3 E0BFF717 -@12E4 E037883A -@12E5 DFC00117 -@12E6 DF000017 -@12E7 DEC00204 -@12E8 F800283A -@12E9 DEFFF804 -@12EA DFC00715 -@12EB DF000615 -@12EC DC000515 -@12ED DF000604 -@12EE E13FFB15 -@12EF E17FFC15 -@12F0 E1BFFD15 -@12F1 E1FFFE15 -@12F2 E1BFFE17 -@12F3 E17FFD17 -@12F4 E13FFC17 -@12F5 0004E2C0 -@12F6 E0BFFA15 -@12F7 E0BFFA17 -@12F8 10002216 -@12F9 04000034 -@12FA 84182B04 -@12FB E0BFFA17 -@12FC 01400304 -@12FD 1009883A -@12FE 00031180 -@12FF 8085883A -@1300 10C00017 -@1301 E0BFFB17 -@1302 10C00015 -@1303 04000034 -@1304 84182B04 -@1305 E0BFFA17 -@1306 01400304 -@1307 1009883A -@1308 00031180 -@1309 8085883A -@130A 10800104 -@130B 10C00017 -@130C E0BFFB17 -@130D 10C00115 -@130E 04000034 -@130F 84182B04 -@1310 E0BFFA17 -@1311 01400304 -@1312 1009883A -@1313 00031180 -@1314 8085883A -@1315 10800204 -@1316 10C00017 -@1317 E0BFFB17 -@1318 10C00215 -@1319 E13FFA17 -@131A 00037A00 -@131B 0001883A -@131C E6FFFF04 -@131D DFC00217 -@131E DF000117 -@131F DC000017 -@1320 DEC00304 -@1321 F800283A -@1322 DEFFFB04 -@1323 DFC00415 -@1324 DF000315 -@1325 DF000304 -@1326 E13FFD15 -@1327 E17FFE15 -@1328 E1BFFF15 -@1329 01C07FC4 -@132A 01800044 -@132B E17FFD17 -@132C 01000034 -@132D 21182E04 -@132E 0004BA40 -@132F 01C07FC4 -@1330 000D883A -@1331 E17FFE17 -@1332 01000034 -@1333 21182B04 -@1334 0004BA40 -@1335 01C07FC4 -@1336 01800044 -@1337 E17FFF17 -@1338 01000034 -@1339 21183104 -@133A 0004BA40 -@133B 0001883A -@133C E037883A -@133D DFC00117 -@133E DF000017 -@133F DEC00204 -@1340 F800283A -@1341 DEFFFE04 -@1342 DFC00115 -@1343 DF000015 -@1344 D839883A -@1345 D0A00917 -@1346 10000326 -@1347 D0A00917 -@1348 103EE83A -@1349 00000106 -@134A D0A01104 -@134B E037883A -@134C DFC00117 -@134D DF000017 -@134E DEC00204 -@134F F800283A -@1350 DEFFFB04 -@1351 DFC00415 -@1352 DF000315 -@1353 DC000215 -@1354 DF000304 -@1355 E13FFE15 -@1356 E0BFFE17 -@1357 10800217 -@1358 10D00034 -@1359 E0BFFE17 -@135A 10C00215 -@135B E03FFD15 -@135C 00002306 -@135D 04000034 -@135E 84182B04 -@135F E0BFFD17 -@1360 01400304 -@1361 1009883A -@1362 00031180 -@1363 8085883A -@1364 10C00017 -@1365 E0BFFE17 -@1366 10800017 -@1367 1880151E -@1368 04000034 -@1369 84182B04 -@136A E0BFFD17 -@136B 01400304 -@136C 1009883A -@136D 00031180 -@136E 8085883A -@136F 10800204 -@1370 10800017 -@1371 10000B0E -@1372 01400304 -@1373 E13FFD17 -@1374 00031180 -@1375 1007883A -@1376 00800034 -@1377 10982B04 -@1378 1887883A -@1379 E0BFFE17 -@137A 18800226 -@137B 00BFFCC4 -@137C 00000806 -@137D E0BFFD17 -@137E 10800044 -@137F E0BFFD15 -@1380 D0A00817 -@1381 1007883A -@1382 E0BFFD17 -@1383 18BFD92E -@1384 0005883A -@1385 E6FFFF04 -@1386 DFC00217 -@1387 DF000117 -@1388 DC000017 -@1389 DEC00304 -@138A F800283A -@138B DEFFF604 -@138C DFC00915 -@138D DF000815 -@138E DF000804 -@138F E13FFD15 -@1390 E17FFE15 -@1391 E1BFFF15 -@1392 00BFFFC4 -@1393 E0BFF915 -@1394 00BFFB44 -@1395 E0BFFA15 -@1396 E03FFB15 -@1397 D1600604 -@1398 E13FFD17 -@1399 00051500 -@139A E0BFF815 -@139B E0BFF817 -@139C 1000051E -@139D E13FFD17 -@139E 00051E00 -@139F E0BFF815 -@13A0 00800044 -@13A1 E0BFFB15 -@13A2 E0BFF817 -@13A3 10002B26 -@13A4 E13FF817 -@13A5 00052E80 -@13A6 E0BFF915 -@13A7 E0BFF917 -@13A8 1000030E -@13A9 E0BFF917 -@13AA E0BFFA15 -@13AB 00002506 -@13AC 01400304 -@13AD E13FF917 -@13AE 00031180 -@13AF 1007883A -@13B0 00800034 -@13B1 10982B04 -@13B2 1885883A -@13B3 E0BFFC15 -@13B4 E0FFFE17 -@13B5 00900034 -@13B6 10BFFFC4 -@13B7 1886703A -@13B8 E0BFFC17 -@13B9 10C00215 -@13BA E0BFFB17 -@13BB 1000051E -@13BC E13FFC17 -@13BD 0004D400 -@13BE E0BFFA15 -@13BF E0BFFA17 -@13C0 10001016 -@13C1 E0BFF817 -@13C2 10800317 -@13C3 10000826 -@13C4 E0BFF817 -@13C5 10800317 -@13C6 E1FFFF17 -@13C7 E1BFFE17 -@13C8 E17FFD17 -@13C9 E13FFC17 -@13CA 103EE83A -@13CB 00000106 -@13CC 0005883A -@13CD E0BFFA15 -@13CE 00000206 -@13CF 00BFFB44 -@13D0 E0BFFA15 -@13D1 E0BFFA17 -@13D2 1000090E -@13D3 E13FF917 -@13D4 00037A00 -@13D5 0004D040 -@13D6 1007883A -@13D7 E0BFFA17 -@13D8 0085C83A -@13D9 18800015 -@13DA 00BFFFC4 -@13DB 00000106 -@13DC E0BFF917 -@13DD E037883A -@13DE DFC00117 -@13DF DF000017 -@13E0 DEC00204 -@13E1 F800283A -@13E2 DEFFFA04 -@13E3 DF000515 -@13E4 DF000504 -@13E5 E13FFF15 -@13E6 0005303A -@13E7 E0BFFC15 -@13E8 E0FFFC17 -@13E9 00BFFF84 -@13EA 1884703A -@13EB 1001703A -@13EC E0BFFC17 -@13ED E0BFFB15 -@13EE E0BFFF17 -@13EF E0BFFD15 -@13F0 E0BFFD17 -@13F1 10800017 -@13F2 E0FFFD17 -@13F3 18C00117 -@13F4 10C00115 -@13F5 E0BFFD17 -@13F6 10800117 -@13F7 E0FFFD17 -@13F8 18C00017 -@13F9 10C00015 -@13FA E0BFFD17 -@13FB E0FFFD17 -@13FC 10C00115 -@13FD E0BFFD17 -@13FE E0FFFD17 -@13FF 10C00015 -@1400 E0BFFB17 -@1401 E0BFFE15 -@1402 E0BFFE17 -@1403 1001703A -@1404 0001883A -@1405 E037883A -@1406 DF000017 -@1407 DEC00104 -@1408 F800283A -@1409 DEFFFB04 -@140A DFC00415 -@140B DF000315 -@140C DF000304 -@140D D0A00C17 -@140E E0BFFD15 -@140F D0A01717 -@1410 10800044 -@1411 D0A01715 -@1412 00002E06 -@1413 E0BFFD17 -@1414 10800017 -@1415 E0BFFE15 -@1416 E0BFFD17 -@1417 10800403 -@1418 10803FCC -@1419 10000426 -@141A D0A01717 -@141B 1000021E -@141C E0BFFD17 -@141D 10000405 -@141E E0BFFD17 -@141F 10800217 -@1420 D0E01717 -@1421 18801D36 -@1422 E0BFFD17 -@1423 10800403 -@1424 10803FCC -@1425 1000191E -@1426 E0BFFD17 -@1427 10800317 -@1428 E0FFFD17 -@1429 18C00517 -@142A 1809883A -@142B 103EE83A -@142C E0BFFF15 -@142D E0BFFF17 -@142E 1000031E -@142F E13FFD17 -@1430 0004F880 -@1431 00000D06 -@1432 E0BFFD17 -@1433 10C00217 -@1434 E0BFFF17 -@1435 1887883A -@1436 E0BFFD17 -@1437 10C00215 -@1438 E0BFFD17 -@1439 10C00217 -@143A D0A01717 -@143B 1880032E -@143C E0BFFD17 -@143D 00C00044 -@143E 10C00405 -@143F E0BFFE17 -@1440 E0BFFD15 -@1441 E0FFFD17 -@1442 D0A00C04 -@1443 18BFCF1E -@1444 0001883A +@0FBF 0001883A +@0FC0 E037883A +@0FC1 DF000017 +@0FC2 DEC00104 +@0FC3 F800283A +@0FC4 DEFFF804 +@0FC5 DF000715 +@0FC6 DF000704 +@0FC7 E13FFB15 +@0FC8 E0BFFB17 +@0FC9 E0BFF915 +@0FCA E0BFF917 +@0FCB 10800017 +@0FCC 10800104 +@0FCD 10800037 +@0FCE E0BFFA15 +@0FCF E0BFFA17 +@0FD0 1081000C +@0FD1 10000B26 +@0FD2 E0BFF917 +@0FD3 10800017 +@0FD4 10800104 +@0FD5 1007883A +@0FD6 E0BFF917 +@0FD7 10800817 +@0FD8 10810014 +@0FD9 18800035 +@0FDA E0BFF917 +@0FDB 10000915 +@0FDC 00000A06 +@0FDD E0BFF917 +@0FDE 10C00917 +@0FDF 00A00034 +@0FE0 10BFFF04 +@0FE1 10C00536 +@0FE2 E0BFF917 +@0FE3 10800917 +@0FE4 10C00044 +@0FE5 E0BFF917 +@0FE6 10C00915 +@0FE7 D0A01617 +@0FE8 E037883A +@0FE9 DF000017 +@0FEA DEC00104 +@0FEB F800283A +@0FEC DEFFFD04 +@0FED DF000215 +@0FEE DF000204 +@0FEF E13FFE15 +@0FF0 E17FFF15 +@0FF1 00000506 +@0FF2 E0BFFF17 +@0FF3 1090000C +@0FF4 10000226 +@0FF5 00BFFD44 +@0FF6 00000B06 +@0FF7 E0BFFE17 +@0FF8 10C00D17 +@0FF9 E0BFFE17 +@0FFA 10800C17 +@0FFB 18800526 +@0FFC E0BFFE17 +@0FFD 10C00917 +@0FFE E0BFFE17 +@0FFF 10800117 +@1000 18BFF136 +@1001 0005883A +@1002 E037883A +@1003 DF000017 +@1004 DEC00104 +@1005 F800283A +@1006 DEFFFA04 +@1007 DF000515 +@1008 DF000504 +@1009 E13FFD15 +@100A E17FFE15 +@100B E1BFFF15 +@100C 00BFF9C4 +@100D E0BFFB15 +@100E E0BFFE17 +@100F 10DA8060 +@1010 1800031E +@1011 109A80A0 +@1012 1000181E +@1013 00002906 +@1014 E0BFFD17 +@1015 10C00117 +@1016 00A00034 +@1017 10BFFFC4 +@1018 18802126 +@1019 E0BFFF17 +@101A 10800017 +@101B E0BFFC15 +@101C E0BFFC17 +@101D 10800090 +@101E 1000061E +@101F E0FFFC17 +@1020 00A00034 +@1021 10BFFFC4 +@1022 18800226 +@1023 E0BFFC17 +@1024 00000206 +@1025 00A00034 +@1026 10BFFF84 +@1027 E0FFFD17 +@1028 18800115 +@1029 E03FFB15 +@102A 00000F06 +@102B E0BFFD17 +@102C 10C00117 +@102D 00A00034 +@102E 10BFFFC4 +@102F 18800C26 +@1030 E0BFFD17 +@1031 10C00917 +@1032 E0BFFD17 +@1033 10800117 +@1034 1885803A +@1035 10C03FCC +@1036 E0BFFF17 +@1037 10C00015 +@1038 E03FFB15 +@1039 00000206 +@103A 0001883A +@103B 00000106 +@103C 0001883A +@103D E0BFFB17 +@103E E037883A +@103F DF000017 +@1040 DEC00104 +@1041 F800283A +@1042 DEFFF304 +@1043 DFC00C15 +@1044 DF000B15 +@1045 DF000B04 +@1046 E13FFC15 +@1047 E17FFD15 +@1048 E1BFFE15 +@1049 E1FFFF15 +@104A E0BFFD17 +@104B E0BFF515 +@104C 00004706 +@104D E0BFFC17 +@104E 10800A17 +@104F E0BFF715 +@1050 E0BFFC17 +@1051 10800B17 +@1052 E0BFF815 +@1053 E0FFF717 +@1054 E0BFF817 +@1055 18800536 +@1056 E0FFF717 +@1057 E0BFF817 +@1058 1885C83A +@1059 E0BFF615 +@105A 00000406 +@105B 00C20004 +@105C E0BFF817 +@105D 1885C83A +@105E E0BFF615 +@105F E0BFF617 +@1060 10001E26 +@1061 E0FFFE17 +@1062 E0BFF617 +@1063 1880022E +@1064 E0BFFE17 +@1065 E0BFF615 +@1066 E0BFFC17 +@1067 10C00E04 +@1068 E0BFF817 +@1069 1885883A +@106A E1BFF617 +@106B 100B883A +@106C E13FF517 +@106D 00017940 +@106E E0FFF517 +@106F E0BFF617 +@1070 1885883A +@1071 E0BFF515 +@1072 E0FFFE17 +@1073 E0BFF617 +@1074 1885C83A +@1075 E0BFFE15 +@1076 E0FFF817 +@1077 E0BFF617 +@1078 1885883A +@1079 10C1FFCC +@107A E0BFFC17 +@107B 10C00B15 +@107C E0BFFE17 +@107D 00BFCF16 +@107E 00000106 +@107F 0001883A +@1080 E0FFF517 +@1081 E0BFFD17 +@1082 1880141E +@1083 E0BFFF17 +@1084 1090000C +@1085 1000131E +@1086 0001883A +@1087 E0BFFC17 +@1088 10C00A17 +@1089 E0BFF717 +@108A 1880051E +@108B E0BFFC17 +@108C 10C00917 +@108D E0BFFC17 +@108E 10800117 +@108F 18BFF736 +@1090 E0BFFC17 +@1091 10C00A17 +@1092 E0BFF717 +@1093 18800726 +@1094 E0BFFE17 +@1095 00BFB716 +@1096 00000506 +@1097 0001883A +@1098 00000306 +@1099 0001883A +@109A 00000106 +@109B 0001883A +@109C E0FFF517 +@109D E0BFFD17 +@109E 18801826 +@109F 0005303A +@10A0 E0BFFB15 +@10A1 E0FFFB17 +@10A2 00BFFF84 +@10A3 1884703A +@10A4 1001703A +@10A5 E0BFFB17 +@10A6 E0BFFA15 +@10A7 E0BFFC17 +@10A8 10800817 +@10A9 10C00054 +@10AA E0BFFC17 +@10AB 10C00815 +@10AC E0BFFC17 +@10AD 10800017 +@10AE 10800104 +@10AF 1007883A +@10B0 E0BFFC17 +@10B1 10800817 +@10B2 18800035 +@10B3 E0BFFA17 +@10B4 E0BFF915 +@10B5 E0BFF917 +@10B6 1001703A +@10B7 E0FFF517 +@10B8 E0BFFD17 +@10B9 18800426 +@10BA E0FFF517 +@10BB E0BFFD17 +@10BC 1885C83A +@10BD 00000606 +@10BE E0BFFF17 +@10BF 1090000C +@10C0 10000226 +@10C1 00BFFD44 +@10C2 00000106 +@10C3 00BFFEC4 +@10C4 E037883A +@10C5 DFC00117 +@10C6 DF000017 +@10C7 DEC00204 +@10C8 F800283A +@10C9 DEFFF304 +@10CA DFC00C15 +@10CB DF000B15 +@10CC DF000B04 +@10CD E13FFC15 +@10CE E17FFD15 +@10CF E1BFFE15 +@10D0 E1FFFF15 +@10D1 E03FF515 +@10D2 E0BFFD17 +@10D3 E0BFF715 +@10D4 00003706 +@10D5 E0BFFC17 +@10D6 10800C17 +@10D7 E0BFF915 +@10D8 E0BFFC17 +@10D9 10800D17 +@10DA E0BFF515 +@10DB E0FFF917 +@10DC E0BFF517 +@10DD 1880062E +@10DE E0FFF517 +@10DF E0BFF917 +@10E0 1885C83A +@10E1 10BFFFC4 +@10E2 E0BFF615 +@10E3 00000B06 +@10E4 E0BFF517 +@10E5 10000526 +@10E6 00C20004 +@10E7 E0BFF917 +@10E8 1885C83A +@10E9 E0BFF615 +@10EA 00000406 +@10EB 00C1FFC4 +@10EC E0BFF917 +@10ED 1885C83A +@10EE E0BFF615 +@10EF E0BFF617 +@10F0 10001E26 +@10F1 E0FFFE17 +@10F2 E0BFF617 +@10F3 1880022E +@10F4 E0BFFE17 +@10F5 E0BFF615 +@10F6 E0BFFC17 +@10F7 10C20E04 +@10F8 E0BFF917 +@10F9 1885883A +@10FA E1BFF617 +@10FB E17FFD17 +@10FC 1009883A +@10FD 00017940 +@10FE E0FFFD17 +@10FF E0BFF617 +@1100 1885883A +@1101 E0BFFD15 +@1102 E0FFFE17 +@1103 E0BFF617 +@1104 1885C83A +@1105 E0BFFE15 +@1106 E0FFF917 +@1107 E0BFF617 +@1108 1885883A +@1109 10C1FFCC +@110A E0BFFC17 +@110B 10C00C15 +@110C E0BFFE17 +@110D 00BFC716 +@110E 00000106 +@110F 0001883A +@1110 0005303A +@1111 E0BFFB15 +@1112 E0FFFB17 +@1113 00BFFF84 +@1114 1884703A +@1115 1001703A +@1116 E0BFFB17 +@1117 E0BFFA15 +@1118 E0BFFC17 +@1119 10800817 +@111A 10C00094 +@111B E0BFFC17 +@111C 10C00815 +@111D E0BFFC17 +@111E 10800017 +@111F 10800104 +@1120 1007883A +@1121 E0BFFC17 +@1122 10800817 +@1123 18800035 +@1124 E0BFFA17 +@1125 E0BFF815 +@1126 E0BFF817 +@1127 1001703A +@1128 E0BFFE17 +@1129 0080100E +@112A E0BFFF17 +@112B 1090000C +@112C 1000101E +@112D 0001883A +@112E E0BFFC17 +@112F 10C00D17 +@1130 E0BFF517 +@1131 1880051E +@1132 E0BFFC17 +@1133 10C00917 +@1134 E0BFFC17 +@1135 10800117 +@1136 18BFF736 +@1137 E0BFFC17 +@1138 10800917 +@1139 1000051E +@113A E0BFFE17 +@113B 00BFD016 +@113C 00000306 +@113D 0001883A +@113E 00000106 +@113F 0001883A +@1140 E0FFFD17 +@1141 E0BFF717 +@1142 18800426 +@1143 E0FFFD17 +@1144 E0BFF717 +@1145 1885C83A +@1146 00000606 +@1147 E0BFFF17 +@1148 1090000C +@1149 10000226 +@114A 00BFFD44 +@114B 00000106 +@114C 00BFFEC4 +@114D E037883A +@114E DFC00117 +@114F DF000017 +@1150 DEC00204 +@1151 F800283A +@1152 DEFFFA04 +@1153 DFC00515 +@1154 DF000415 +@1155 DF000404 +@1156 E13FFF15 +@1157 0007883A +@1158 E0BFFF17 +@1159 10C00035 +@115A E0BFFF17 +@115B 10800104 +@115C 10800037 +@115D 0005303A +@115E E0BFFD15 +@115F E0FFFD17 +@1160 00BFFF84 +@1161 1884703A +@1162 1001703A +@1163 E0BFFD17 +@1164 E0BFFC15 +@1165 00050280 +@1166 E0BFFC17 +@1167 E0BFFE15 +@1168 E0BFFE17 +@1169 1001703A +@116A 0001883A +@116B E037883A +@116C DFC00117 +@116D DF000017 +@116E DEC00204 +@116F F800283A +@1170 DEFFF804 +@1171 DFC00715 +@1172 DF000615 +@1173 DF000604 +@1174 E13FFC15 +@1175 E17FFD15 +@1176 E1BFFE15 +@1177 E1FFFF15 +@1178 E0BFFF17 +@1179 E0BFFB15 +@117A D0A01617 +@117B 1000021E +@117C E0BFFB17 +@117D D0A01615 +@117E E0BFFC17 +@117F 10800104 +@1180 00C001C4 +@1181 10C00035 +@1182 D8000015 +@1183 E1FFFC17 +@1184 01800034 +@1185 31915204 +@1186 E17FFE17 +@1187 E13FFD17 +@1188 00049080 +@1189 0001883A +@118A E037883A +@118B DFC00117 +@118C DF000017 +@118D DEC00204 +@118E F800283A +@118F DEFFF504 +@1190 DF000A15 +@1191 DF000A04 +@1192 E13FFC15 +@1193 E17FFD15 +@1194 E1BFFE15 +@1195 E1FFFF15 +@1196 E03FF615 +@1197 D0A01617 +@1198 10003C26 +@1199 E0BFFC17 +@119A 10003826 +@119B E0BFFC17 +@119C E0FFFE17 +@119D 10C00315 +@119E E0BFFC17 +@119F E0FFFF17 +@11A0 10C00515 +@11A1 0005303A +@11A2 E0BFF915 +@11A3 E0FFF917 +@11A4 00BFFF84 +@11A5 1884703A +@11A6 1001703A +@11A7 E0BFF917 +@11A8 E0BFF815 +@11A9 D0A01717 +@11AA E0BFF615 +@11AB E0FFFD17 +@11AC E0BFF617 +@11AD 1885883A +@11AE 10C00044 +@11AF E0BFFC17 +@11B0 10C00215 +@11B1 E0BFFC17 +@11B2 10C00217 +@11B3 E0BFF617 +@11B4 1880042E +@11B5 E0BFFC17 +@11B6 00C00044 +@11B7 10C00405 +@11B8 00000206 +@11B9 E0BFFC17 +@11BA 10000405 +@11BB E0BFFC17 +@11BC D0E00C04 +@11BD E0FFFA15 +@11BE E0BFFB15 +@11BF E0BFFB17 +@11C0 E0FFFA17 +@11C1 10C00115 +@11C2 E0BFFA17 +@11C3 10C00017 +@11C4 E0BFFB17 +@11C5 10C00015 +@11C6 E0BFFA17 +@11C7 10800017 +@11C8 E0FFFB17 +@11C9 10C00115 +@11CA E0BFFA17 +@11CB E0FFFB17 +@11CC 10C00015 +@11CD E0BFF817 +@11CE E0BFF715 +@11CF E0BFF717 +@11D0 1001703A +@11D1 0005883A +@11D2 00000306 +@11D3 00BFFA84 +@11D4 00000106 +@11D5 00BFDE84 +@11D6 E037883A +@11D7 DF000017 +@11D8 DEC00104 +@11D9 F800283A +@11DA DEFFFE04 +@11DB DFC00115 +@11DC DF000015 +@11DD D839883A +@11DE D0A00917 +@11DF 10000326 +@11E0 D0A00917 +@11E1 103EE83A +@11E2 00000106 +@11E3 D0A01104 +@11E4 E037883A +@11E5 DFC00117 +@11E6 DF000017 +@11E7 DEC00204 +@11E8 F800283A +@11E9 DEFFFA04 +@11EA DFC00515 +@11EB DF000415 +@11EC DF000404 +@11ED E13FFE15 +@11EE E17FFF15 +@11EF E0BFFE17 +@11F0 10000326 +@11F1 E0BFFE17 +@11F2 10800217 +@11F3 1000061E +@11F4 00047680 +@11F5 1007883A +@11F6 00800584 +@11F7 18800015 +@11F8 00BFFA84 +@11F9 00001306 +@11FA E0BFFE17 +@11FB E0FFFF17 +@11FC E0FFFC15 +@11FD E0BFFD15 +@11FE E0BFFD17 +@11FF E0FFFC17 +@1200 10C00115 +@1201 E0BFFC17 +@1202 10C00017 +@1203 E0BFFD17 +@1204 10C00015 +@1205 E0BFFC17 +@1206 10800017 +@1207 E0FFFD17 +@1208 10C00115 +@1209 E0BFFC17 +@120A E0FFFD17 +@120B 10C00015 +@120C 0005883A +@120D E037883A +@120E DFC00117 +@120F DF000017 +@1210 DEC00204 +@1211 F800283A +@1212 DEFFFD04 +@1213 DFC00215 +@1214 DF000115 +@1215 DF000104 +@1216 00800034 +@1217 1095F704 +@1218 E0BFFF15 +@1219 00000606 +@121A E0BFFF17 +@121B 10800017 +@121C 103EE83A +@121D E0BFFF17 +@121E 10BFFF04 +@121F E0BFFF15 +@1220 E0FFFF17 +@1221 00800034 +@1222 1095F804 +@1223 18BFF62E +@1224 0001883A +@1225 E037883A +@1226 DFC00117 +@1227 DF000017 +@1228 DEC00204 +@1229 F800283A +@122A DEFFFD04 +@122B DFC00215 +@122C DF000115 +@122D DF000104 +@122E 00800034 +@122F 1095F704 +@1230 E0BFFF15 +@1231 00000606 +@1232 E0BFFF17 +@1233 10800017 +@1234 103EE83A +@1235 E0BFFF17 +@1236 10BFFF04 +@1237 E0BFFF15 +@1238 E0FFFF17 +@1239 00800034 +@123A 1095F804 +@123B 18BFF62E +@123C 0001883A +@123D E037883A +@123E DFC00117 +@123F DF000017 +@1240 DEC00204 +@1241 F800283A +@1242 DEFFF904 +@1243 DFC00615 +@1244 DF000515 +@1245 DF000504 +@1246 E13FFC15 +@1247 E17FFD15 +@1248 E1BFFE15 +@1249 E1FFFF15 +@124A E0800217 +@124B D8800015 +@124C E1FFFF17 +@124D E1BFFE17 +@124E E17FFD17 +@124F E13FFC17 +@1250 0004AB80 +@1251 E037883A +@1252 DFC00117 +@1253 DF000017 +@1254 DEC00204 +@1255 F800283A +@1256 DEFFF904 +@1257 DF000615 +@1258 DF000604 +@1259 E13FFE15 +@125A E17FFF15 +@125B E0BFFF17 +@125C E0BFFA15 +@125D 0005303A +@125E E0BFFB15 +@125F E0FFFB17 +@1260 00BFFF84 +@1261 1884703A +@1262 1001703A +@1263 E0BFFB17 +@1264 E0BFFC15 +@1265 00C00044 +@1266 E0BFFA17 +@1267 1884983A +@1268 1007883A +@1269 D0A01517 +@126A 1884B03A +@126B D0A01515 +@126C D0A01517 +@126D 100170FA +@126E E0BFFC17 +@126F E0BFFD15 +@1270 E0BFFD17 +@1271 1001703A +@1272 0005883A +@1273 0001883A +@1274 E037883A +@1275 DF000017 +@1276 DEC00104 +@1277 F800283A +@1278 DEFFF904 +@1279 DF000615 +@127A DF000604 +@127B E13FFE15 +@127C E17FFF15 +@127D E0BFFF17 +@127E E0BFFA15 +@127F 0005303A +@1280 E0BFFB15 +@1281 E0FFFB17 +@1282 00BFFF84 +@1283 1884703A +@1284 1001703A +@1285 E0BFFB17 +@1286 E0BFFC15 +@1287 00C00044 +@1288 E0BFFA17 +@1289 1884983A +@128A 0084303A +@128B 1007883A +@128C D0A01517 +@128D 1884703A +@128E D0A01515 +@128F D0A01517 +@1290 100170FA +@1291 E0BFFC17 +@1292 E0BFFD15 +@1293 E0BFFD17 +@1294 1001703A +@1295 0005883A +@1296 0001883A +@1297 E037883A +@1298 DF000017 +@1299 DEC00104 +@129A F800283A +@129B DEFFFC04 +@129C DF000315 +@129D DF000304 +@129E E13FFE15 +@129F E17FFF15 +@12A0 000530FA +@12A1 E0BFFD15 +@12A2 00C00044 +@12A3 E0BFFF17 +@12A4 1884983A +@12A5 1007883A +@12A6 E0BFFD17 +@12A7 1884703A +@12A8 1004C03A +@12A9 10803FCC +@12AA E037883A +@12AB DF000017 +@12AC DEC00104 +@12AD F800283A +@12AE DEFFF504 +@12AF DFC00A15 +@12B0 DF000915 +@12B1 DF000904 +@12B2 E13FFC15 +@12B3 E17FFD15 +@12B4 E1BFFE15 +@12B5 E1FFFF15 +@12B6 00BFFA84 +@12B7 E0BFF715 +@12B8 E0BFFD17 +@12B9 E0BFF815 +@12BA E0BFF817 +@12BB 10800808 +@12BC 1000271E +@12BD 0005303A +@12BE E0BFFB15 +@12BF E0FFFB17 +@12C0 00BFFF84 +@12C1 1884703A +@12C2 1001703A +@12C3 E0BFFB17 +@12C4 E0BFFA15 +@12C5 00800034 +@12C6 109CC704 +@12C7 E0FFF817 +@12C8 180690FA +@12C9 10C5883A +@12CA E0FFFE17 +@12CB 10C00015 +@12CC 00800034 +@12CD 109CC704 +@12CE E0FFF817 +@12CF 180690FA +@12D0 10C5883A +@12D1 10800104 +@12D2 E0FFFF17 +@12D3 10C00015 +@12D4 E0BFFE17 +@12D5 10000526 +@12D6 E0BFF817 +@12D7 100B883A +@12D8 E13FFC17 +@12D9 00049580 +@12DA 00000406 +@12DB E0BFF817 +@12DC 100B883A +@12DD E13FFC17 +@12DE 00049E00 +@12DF E0BFF715 +@12E0 E0BFFA17 +@12E1 E0BFF915 +@12E2 E0BFF917 +@12E3 1001703A +@12E4 E0BFF717 +@12E5 E037883A +@12E6 DFC00117 +@12E7 DF000017 +@12E8 DEC00204 +@12E9 F800283A +@12EA DEFFF804 +@12EB DFC00715 +@12EC DF000615 +@12ED DC000515 +@12EE DF000604 +@12EF E13FFB15 +@12F0 E17FFC15 +@12F1 E1BFFD15 +@12F2 E1FFFE15 +@12F3 E1BFFE17 +@12F4 E17FFD17 +@12F5 E13FFC17 +@12F6 0004E300 +@12F7 E0BFFA15 +@12F8 E0BFFA17 +@12F9 10002216 +@12FA 04000034 +@12FB 84182C04 +@12FC E0BFFA17 +@12FD 01400304 +@12FE 1009883A +@12FF 000311C0 +@1300 8085883A +@1301 10C00017 +@1302 E0BFFB17 +@1303 10C00015 +@1304 04000034 +@1305 84182C04 +@1306 E0BFFA17 +@1307 01400304 +@1308 1009883A +@1309 000311C0 +@130A 8085883A +@130B 10800104 +@130C 10C00017 +@130D E0BFFB17 +@130E 10C00115 +@130F 04000034 +@1310 84182C04 +@1311 E0BFFA17 +@1312 01400304 +@1313 1009883A +@1314 000311C0 +@1315 8085883A +@1316 10800204 +@1317 10C00017 +@1318 E0BFFB17 +@1319 10C00215 +@131A E13FFA17 +@131B 00037A40 +@131C 0001883A +@131D E6FFFF04 +@131E DFC00217 +@131F DF000117 +@1320 DC000017 +@1321 DEC00304 +@1322 F800283A +@1323 DEFFFB04 +@1324 DFC00415 +@1325 DF000315 +@1326 DF000304 +@1327 E13FFD15 +@1328 E17FFE15 +@1329 E1BFFF15 +@132A 01C07FC4 +@132B 01800044 +@132C E17FFD17 +@132D 01000034 +@132E 21182F04 +@132F 0004BA80 +@1330 01C07FC4 +@1331 000D883A +@1332 E17FFE17 +@1333 01000034 +@1334 21182C04 +@1335 0004BA80 +@1336 01C07FC4 +@1337 01800044 +@1338 E17FFF17 +@1339 01000034 +@133A 21183204 +@133B 0004BA80 +@133C 0001883A +@133D E037883A +@133E DFC00117 +@133F DF000017 +@1340 DEC00204 +@1341 F800283A +@1342 DEFFFE04 +@1343 DFC00115 +@1344 DF000015 +@1345 D839883A +@1346 D0A00917 +@1347 10000326 +@1348 D0A00917 +@1349 103EE83A +@134A 00000106 +@134B D0A01104 +@134C E037883A +@134D DFC00117 +@134E DF000017 +@134F DEC00204 +@1350 F800283A +@1351 DEFFFB04 +@1352 DFC00415 +@1353 DF000315 +@1354 DC000215 +@1355 DF000304 +@1356 E13FFE15 +@1357 E0BFFE17 +@1358 10800217 +@1359 10D00034 +@135A E0BFFE17 +@135B 10C00215 +@135C E03FFD15 +@135D 00002306 +@135E 04000034 +@135F 84182C04 +@1360 E0BFFD17 +@1361 01400304 +@1362 1009883A +@1363 000311C0 +@1364 8085883A +@1365 10C00017 +@1366 E0BFFE17 +@1367 10800017 +@1368 1880151E +@1369 04000034 +@136A 84182C04 +@136B E0BFFD17 +@136C 01400304 +@136D 1009883A +@136E 000311C0 +@136F 8085883A +@1370 10800204 +@1371 10800017 +@1372 10000B0E +@1373 01400304 +@1374 E13FFD17 +@1375 000311C0 +@1376 1007883A +@1377 00800034 +@1378 10982C04 +@1379 1887883A +@137A E0BFFE17 +@137B 18800226 +@137C 00BFFCC4 +@137D 00000806 +@137E E0BFFD17 +@137F 10800044 +@1380 E0BFFD15 +@1381 D0A00817 +@1382 1007883A +@1383 E0BFFD17 +@1384 18BFD92E +@1385 0005883A +@1386 E6FFFF04 +@1387 DFC00217 +@1388 DF000117 +@1389 DC000017 +@138A DEC00304 +@138B F800283A +@138C DEFFF604 +@138D DFC00915 +@138E DF000815 +@138F DF000804 +@1390 E13FFD15 +@1391 E17FFE15 +@1392 E1BFFF15 +@1393 00BFFFC4 +@1394 E0BFF915 +@1395 00BFFB44 +@1396 E0BFFA15 +@1397 E03FFB15 +@1398 D1600604 +@1399 E13FFD17 +@139A 00051540 +@139B E0BFF815 +@139C E0BFF817 +@139D 1000051E +@139E E13FFD17 +@139F 00051E40 +@13A0 E0BFF815 +@13A1 00800044 +@13A2 E0BFFB15 +@13A3 E0BFF817 +@13A4 10002B26 +@13A5 E13FF817 +@13A6 00052EC0 +@13A7 E0BFF915 +@13A8 E0BFF917 +@13A9 1000030E +@13AA E0BFF917 +@13AB E0BFFA15 +@13AC 00002506 +@13AD 01400304 +@13AE E13FF917 +@13AF 000311C0 +@13B0 1007883A +@13B1 00800034 +@13B2 10982C04 +@13B3 1885883A +@13B4 E0BFFC15 +@13B5 E0FFFE17 +@13B6 00900034 +@13B7 10BFFFC4 +@13B8 1886703A +@13B9 E0BFFC17 +@13BA 10C00215 +@13BB E0BFFB17 +@13BC 1000051E +@13BD E13FFC17 +@13BE 0004D440 +@13BF E0BFFA15 +@13C0 E0BFFA17 +@13C1 10001016 +@13C2 E0BFF817 +@13C3 10800317 +@13C4 10000826 +@13C5 E0BFF817 +@13C6 10800317 +@13C7 E1FFFF17 +@13C8 E1BFFE17 +@13C9 E17FFD17 +@13CA E13FFC17 +@13CB 103EE83A +@13CC 00000106 +@13CD 0005883A +@13CE E0BFFA15 +@13CF 00000206 +@13D0 00BFFB44 +@13D1 E0BFFA15 +@13D2 E0BFFA17 +@13D3 1000090E +@13D4 E13FF917 +@13D5 00037A40 +@13D6 0004D080 +@13D7 1007883A +@13D8 E0BFFA17 +@13D9 0085C83A +@13DA 18800015 +@13DB 00BFFFC4 +@13DC 00000106 +@13DD E0BFF917 +@13DE E037883A +@13DF DFC00117 +@13E0 DF000017 +@13E1 DEC00204 +@13E2 F800283A +@13E3 DEFFFA04 +@13E4 DF000515 +@13E5 DF000504 +@13E6 E13FFF15 +@13E7 0005303A +@13E8 E0BFFC15 +@13E9 E0FFFC17 +@13EA 00BFFF84 +@13EB 1884703A +@13EC 1001703A +@13ED E0BFFC17 +@13EE E0BFFB15 +@13EF E0BFFF17 +@13F0 E0BFFD15 +@13F1 E0BFFD17 +@13F2 10800017 +@13F3 E0FFFD17 +@13F4 18C00117 +@13F5 10C00115 +@13F6 E0BFFD17 +@13F7 10800117 +@13F8 E0FFFD17 +@13F9 18C00017 +@13FA 10C00015 +@13FB E0BFFD17 +@13FC E0FFFD17 +@13FD 10C00115 +@13FE E0BFFD17 +@13FF E0FFFD17 +@1400 10C00015 +@1401 E0BFFB17 +@1402 E0BFFE15 +@1403 E0BFFE17 +@1404 1001703A +@1405 0001883A +@1406 E037883A +@1407 DF000017 +@1408 DEC00104 +@1409 F800283A +@140A DEFFFB04 +@140B DFC00415 +@140C DF000315 +@140D DF000304 +@140E D0A00C17 +@140F E0BFFD15 +@1410 D0A01717 +@1411 10800044 +@1412 D0A01715 +@1413 00002E06 +@1414 E0BFFD17 +@1415 10800017 +@1416 E0BFFE15 +@1417 E0BFFD17 +@1418 10800403 +@1419 10803FCC +@141A 10000426 +@141B D0A01717 +@141C 1000021E +@141D E0BFFD17 +@141E 10000405 +@141F E0BFFD17 +@1420 10800217 +@1421 D0E01717 +@1422 18801D36 +@1423 E0BFFD17 +@1424 10800403 +@1425 10803FCC +@1426 1000191E +@1427 E0BFFD17 +@1428 10800317 +@1429 E0FFFD17 +@142A 18C00517 +@142B 1809883A +@142C 103EE83A +@142D E0BFFF15 +@142E E0BFFF17 +@142F 1000031E +@1430 E13FFD17 +@1431 0004F8C0 +@1432 00000D06 +@1433 E0BFFD17 +@1434 10C00217 +@1435 E0BFFF17 +@1436 1887883A +@1437 E0BFFD17 +@1438 10C00215 +@1439 E0BFFD17 +@143A 10C00217 +@143B D0A01717 +@143C 1880032E +@143D E0BFFD17 +@143E 00C00044 +@143F 10C00405 +@1440 E0BFFE17 +@1441 E0BFFD15 +@1442 E0FFFD17 +@1443 D0A00C04 +@1444 18BFCF1E @1445 0001883A -@1446 E037883A -@1447 DFC00117 -@1448 DF000017 -@1449 DEC00204 -@144A F800283A -@144B DEFFFF04 -@144C DF000015 -@144D D839883A -@144E 000170FA -@144F 0001883A -@1450 E037883A -@1451 DF000017 -@1452 DEC00104 -@1453 F800283A -@1454 DEFFFA04 -@1455 DFC00515 -@1456 DF000415 -@1457 DF000404 -@1458 E13FFE15 -@1459 E17FFF15 -@145A E0BFFF17 -@145B 10800017 -@145C E0BFFC15 -@145D E13FFE17 -@145E 00004080 -@145F 10800044 -@1460 E0BFFD15 -@1461 00000D06 -@1462 E0BFFC17 -@1463 10800217 -@1464 E0FFFD17 -@1465 180D883A -@1466 E17FFE17 -@1467 1009883A -@1468 00054940 -@1469 1000021E -@146A E0BFFC17 -@146B 00000706 -@146C E0BFFC17 -@146D 10800017 -@146E E0BFFC15 -@146F E0FFFC17 -@1470 E0BFFF17 -@1471 18BFF01E -@1472 0005883A -@1473 E037883A -@1474 DFC00117 -@1475 DF000017 -@1476 DEC00204 -@1477 F800283A -@1478 DEFFFB04 -@1479 DFC00415 -@147A DF000315 -@147B DF000304 -@147C E13FFF15 -@147D D0A00417 -@147E E0BFFD15 -@147F 00003106 -@1480 E0BFFD17 -@1481 10800217 -@1482 1009883A -@1483 00004080 -@1484 E0BFFE15 -@1485 E0BFFD17 -@1486 10C00217 -@1487 E0BFFE17 -@1488 10BFFFC4 -@1489 1885883A -@148A 10800003 -@148B 10803FCC -@148C 1080201C -@148D 10BFE004 -@148E 10800BD8 -@148F 1000031E -@1490 E0BFFE17 -@1491 10BFFFC4 -@1492 E0BFFE15 -@1493 E0BFFE17 -@1494 E0FFFF17 -@1495 1885883A -@1496 10800003 -@1497 10803FCC -@1498 1080201C -@1499 10BFE004 -@149A 10800BE0 -@149B 1000081E -@149C E0BFFE17 -@149D E0FFFF17 -@149E 1885883A -@149F 10800003 -@14A0 10803FCC -@14A1 1080201C -@14A2 10BFE004 -@14A3 10000A1E -@14A4 E0BFFD17 -@14A5 10800217 -@14A6 E0FFFE17 -@14A7 180D883A -@14A8 E17FFF17 -@14A9 1009883A -@14AA 00054940 -@14AB 1000021E -@14AC E0BFFD17 -@14AD 00000706 -@14AE E0BFFD17 -@14AF 10800017 -@14B0 E0BFFD15 -@14B1 E0FFFD17 -@14B2 D0A00404 -@14B3 18BFCC1E -@14B4 0005883A -@14B5 E037883A -@14B6 DFC00117 -@14B7 DF000017 -@14B8 DEC00204 -@14B9 F800283A -@14BA DEFFFA04 -@14BB DFC00515 -@14BC DF000415 -@14BD DC000315 -@14BE DF000404 -@14BF E13FFE15 -@14C0 00BFFA04 -@14C1 E0BFFD15 -@14C2 E03FFC15 -@14C3 00001D06 -@14C4 04000034 -@14C5 84182B04 -@14C6 E0BFFC17 -@14C7 01400304 -@14C8 1009883A -@14C9 00031180 -@14CA 8085883A -@14CB 10800017 -@14CC 1000111E -@14CD 04000034 -@14CE 84182B04 -@14CF E0BFFC17 -@14D0 01400304 -@14D1 1009883A -@14D2 00031180 -@14D3 8085883A -@14D4 E0FFFE17 -@14D5 10C00015 -@14D6 D0E00817 -@14D7 E0BFFC17 -@14D8 1880020E -@14D9 E0BFFC17 -@14DA D0A00815 -@14DB E0BFFC17 -@14DC E0BFFD15 -@14DD 00000606 -@14DE E0BFFC17 -@14DF 10800044 -@14E0 E0BFFC15 -@14E1 E0BFFC17 -@14E2 10800810 -@14E3 103FE01E -@14E4 E0BFFD17 -@14E5 E6FFFF04 -@14E6 DFC00217 -@14E7 DF000117 -@14E8 DC000017 -@14E9 DEC00304 -@14EA F800283A -@14EB DEFFFE04 -@14EC DF000115 -@14ED DF000104 -@14EE E13FFF15 -@14EF E0BFFF17 -@14F0 10BFFE84 -@14F1 10C00428 -@14F2 18001A1E -@14F3 100690BA -@14F4 00800034 -@14F5 1094F904 -@14F6 1885883A -@14F7 10800017 -@14F8 1000683A -@14F9 00005424 -@14FA 00005424 -@14FB 00005434 -@14FC 00005434 -@14FD 00005434 -@14FE 00005424 -@14FF 0000542C -@1500 00005434 -@1501 00005424 -@1502 00005424 -@1503 00005434 -@1504 00005424 -@1505 0000542C -@1506 00005434 -@1507 00005434 -@1508 00005424 -@1509 00800044 -@150A 00000306 -@150B 0005883A -@150C 00000106 -@150D 0005883A -@150E E037883A -@150F DF000017 -@1510 DEC00104 -@1511 F800283A -@1512 200B883A -@1513 000F883A -@1514 000D883A -@1515 0009883A -@1516 00055101 -@1517 DEFFFE04 -@1518 000B883A -@1519 DC000015 -@151A DFC00115 -@151B 2021883A -@151C 00056280 -@151D 00800034 -@151E 109CA304 -@151F 11000017 -@1520 20800F17 -@1521 10000126 -@1522 103EE83A -@1523 8009883A -@1524 00057A80 -@1525 01C000C4 -@1526 3980192E -@1527 2144B03A -@1528 11C4703A -@1529 10000F26 -@152A 20800003 -@152B 28C00003 -@152C 10C0151E -@152D 31BFFF84 -@152E 01FFFFC4 -@152F 00000406 -@1530 20800003 -@1531 28C00003 -@1532 31BFFFC4 -@1533 10C00E1E -@1534 21000044 -@1535 29400044 -@1536 31FFF91E -@1537 0005883A -@1538 F800283A -@1539 20C00017 -@153A 28800017 -@153B 18BFEE1E -@153C 31BFFF04 -@153D 21000104 -@153E 29400104 -@153F 39BFF936 -@1540 303FE91E -@1541 003FF506 -@1542 10C5C83A -@1543 F800283A -@1544 DEFFFA04 -@1545 DC000315 -@1546 04000034 -@1547 841CA304 -@1548 80C00017 -@1549 DC400415 -@154A DFC00515 -@154B 18805217 -@154C 2023883A -@154D 10003726 -@154E 10C00117 -@154F 010007C4 -@1550 20C00E16 -@1551 1A000044 -@1552 8800221E -@1553 18C00084 -@1554 18C7883A +@1446 0001883A +@1447 E037883A +@1448 DFC00117 +@1449 DF000017 +@144A DEC00204 +@144B F800283A +@144C DEFFFF04 +@144D DF000015 +@144E D839883A +@144F 000170FA +@1450 0001883A +@1451 E037883A +@1452 DF000017 +@1453 DEC00104 +@1454 F800283A +@1455 DEFFFA04 +@1456 DFC00515 +@1457 DF000415 +@1458 DF000404 +@1459 E13FFE15 +@145A E17FFF15 +@145B E0BFFF17 +@145C 10800017 +@145D E0BFFC15 +@145E E13FFE17 +@145F 000040C0 +@1460 10800044 +@1461 E0BFFD15 +@1462 00000D06 +@1463 E0BFFC17 +@1464 10800217 +@1465 E0FFFD17 +@1466 180D883A +@1467 E17FFE17 +@1468 1009883A +@1469 00054980 +@146A 1000021E +@146B E0BFFC17 +@146C 00000706 +@146D E0BFFC17 +@146E 10800017 +@146F E0BFFC15 +@1470 E0FFFC17 +@1471 E0BFFF17 +@1472 18BFF01E +@1473 0005883A +@1474 E037883A +@1475 DFC00117 +@1476 DF000017 +@1477 DEC00204 +@1478 F800283A +@1479 DEFFFB04 +@147A DFC00415 +@147B DF000315 +@147C DF000304 +@147D E13FFF15 +@147E D0A00417 +@147F E0BFFD15 +@1480 00003106 +@1481 E0BFFD17 +@1482 10800217 +@1483 1009883A +@1484 000040C0 +@1485 E0BFFE15 +@1486 E0BFFD17 +@1487 10C00217 +@1488 E0BFFE17 +@1489 10BFFFC4 +@148A 1885883A +@148B 10800003 +@148C 10803FCC +@148D 1080201C +@148E 10BFE004 +@148F 10800BD8 +@1490 1000031E +@1491 E0BFFE17 +@1492 10BFFFC4 +@1493 E0BFFE15 +@1494 E0BFFE17 +@1495 E0FFFF17 +@1496 1885883A +@1497 10800003 +@1498 10803FCC +@1499 1080201C +@149A 10BFE004 +@149B 10800BE0 +@149C 1000081E +@149D E0BFFE17 +@149E E0FFFF17 +@149F 1885883A +@14A0 10800003 +@14A1 10803FCC +@14A2 1080201C +@14A3 10BFE004 +@14A4 10000A1E +@14A5 E0BFFD17 +@14A6 10800217 +@14A7 E0FFFE17 +@14A8 180D883A +@14A9 E17FFF17 +@14AA 1009883A +@14AB 00054980 +@14AC 1000021E +@14AD E0BFFD17 +@14AE 00000706 +@14AF E0BFFD17 +@14B0 10800017 +@14B1 E0BFFD15 +@14B2 E0FFFD17 +@14B3 D0A00404 +@14B4 18BFCC1E +@14B5 0005883A +@14B6 E037883A +@14B7 DFC00117 +@14B8 DF000017 +@14B9 DEC00204 +@14BA F800283A +@14BB DEFFFA04 +@14BC DFC00515 +@14BD DF000415 +@14BE DC000315 +@14BF DF000404 +@14C0 E13FFE15 +@14C1 00BFFA04 +@14C2 E0BFFD15 +@14C3 E03FFC15 +@14C4 00001D06 +@14C5 04000034 +@14C6 84182C04 +@14C7 E0BFFC17 +@14C8 01400304 +@14C9 1009883A +@14CA 000311C0 +@14CB 8085883A +@14CC 10800017 +@14CD 1000111E +@14CE 04000034 +@14CF 84182C04 +@14D0 E0BFFC17 +@14D1 01400304 +@14D2 1009883A +@14D3 000311C0 +@14D4 8085883A +@14D5 E0FFFE17 +@14D6 10C00015 +@14D7 D0E00817 +@14D8 E0BFFC17 +@14D9 1880020E +@14DA E0BFFC17 +@14DB D0A00815 +@14DC E0BFFC17 +@14DD E0BFFD15 +@14DE 00000606 +@14DF E0BFFC17 +@14E0 10800044 +@14E1 E0BFFC15 +@14E2 E0BFFC17 +@14E3 10800810 +@14E4 103FE01E +@14E5 E0BFFD17 +@14E6 E6FFFF04 +@14E7 DFC00217 +@14E8 DF000117 +@14E9 DC000017 +@14EA DEC00304 +@14EB F800283A +@14EC DEFFFE04 +@14ED DF000115 +@14EE DF000104 +@14EF E13FFF15 +@14F0 E0BFFF17 +@14F1 10BFFE84 +@14F2 10C00428 +@14F3 18001A1E +@14F4 100690BA +@14F5 00800034 +@14F6 1094FA04 +@14F7 1885883A +@14F8 10800017 +@14F9 1000683A +@14FA 00005428 +@14FB 00005428 +@14FC 00005438 +@14FD 00005438 +@14FE 00005438 +@14FF 00005428 +@1500 00005430 +@1501 00005438 +@1502 00005428 +@1503 00005428 +@1504 00005438 +@1505 00005428 +@1506 00005430 +@1507 00005438 +@1508 00005438 +@1509 00005428 +@150A 00800044 +@150B 00000306 +@150C 0005883A +@150D 00000106 +@150E 0005883A +@150F E037883A +@1510 DF000017 +@1511 DEC00104 +@1512 F800283A +@1513 200B883A +@1514 000F883A +@1515 000D883A +@1516 0009883A +@1517 00055141 +@1518 DEFFFE04 +@1519 000B883A +@151A DC000015 +@151B DFC00115 +@151C 2021883A +@151D 000562C0 +@151E 00800034 +@151F 109CA404 +@1520 11000017 +@1521 20800F17 +@1522 10000126 +@1523 103EE83A +@1524 8009883A +@1525 00057AC0 +@1526 01C000C4 +@1527 3980192E +@1528 2144B03A +@1529 11C4703A +@152A 10000F26 +@152B 20800003 +@152C 28C00003 +@152D 10C0151E +@152E 31BFFF84 +@152F 01FFFFC4 +@1530 00000406 +@1531 20800003 +@1532 28C00003 +@1533 31BFFFC4 +@1534 10C00E1E +@1535 21000044 +@1536 29400044 +@1537 31FFF91E +@1538 0005883A +@1539 F800283A +@153A 20C00017 +@153B 28800017 +@153C 18BFEE1E +@153D 31BFFF04 +@153E 21000104 +@153F 29400104 +@1540 39BFF936 +@1541 303FE91E +@1542 003FF506 +@1543 10C5C83A +@1544 F800283A +@1545 DEFFFA04 +@1546 DC000315 +@1547 04000034 +@1548 841CA404 +@1549 80C00017 +@154A DC400415 +@154B DFC00515 +@154C 18805217 +@154D 2023883A +@154E 10003726 +@154F 10C00117 +@1550 010007C4 +@1551 20C00E16 +@1552 1A000044 +@1553 8800221E +@1554 18C00084 @1555 18C7883A -@1556 12000115 -@1557 10C7883A -@1558 19400015 -@1559 0005883A -@155A DFC00517 -@155B DC400417 -@155C DC000317 -@155D DEC00604 -@155E F800283A -@155F 00800034 -@1560 10800004 -@1561 10002626 -@1562 01006404 -@1563 D9400015 -@1564 D9800115 -@1565 D9C00215 -@1566 00000000 -@1567 D9400017 -@1568 D9800117 -@1569 D9C00217 -@156A 10001D26 -@156B 81000017 -@156C 10000115 -@156D 02000044 -@156E 22405217 -@156F 0007883A -@1570 12400015 -@1571 20805215 -@1572 10006215 -@1573 10006315 -@1574 883FDE26 -@1575 18C9883A -@1576 2109883A -@1577 1109883A -@1578 21802215 -@1579 01800044 -@157A 12406217 -@157B 30CC983A -@157C 4992B03A -@157D 12406215 -@157E 21C04215 -@157F 01000084 -@1580 893FD21E -@1581 11006317 -@1582 218CB03A -@1583 11806315 -@1584 003FCE06 -@1585 18805304 -@1586 18805215 -@1587 003FC606 -@1588 00BFFFC4 -@1589 003FD006 -@158A DEFFF504 -@158B DF000915 -@158C DD400615 -@158D DC800315 -@158E DFC00A15 -@158F DDC00815 -@1590 DD800715 -@1591 DD000515 -@1592 DCC00415 -@1593 DC400215 -@1594 DC000115 -@1595 D9000015 -@1596 2839883A -@1597 04800044 -@1598 057FFFC4 -@1599 00800034 -@159A 109CA304 -@159B 12000017 -@159C 45005217 -@159D 44C05204 -@159E A0001C26 -@159F A0800117 -@15A0 15FFFFC4 -@15A1 B8000D16 -@15A2 14000044 -@15A3 8421883A +@1556 18C7883A +@1557 12000115 +@1558 10C7883A +@1559 19400015 +@155A 0005883A +@155B DFC00517 +@155C DC400417 +@155D DC000317 +@155E DEC00604 +@155F F800283A +@1560 00800034 +@1561 10800004 +@1562 10002626 +@1563 01006404 +@1564 D9400015 +@1565 D9800115 +@1566 D9C00215 +@1567 00000000 +@1568 D9400017 +@1569 D9800117 +@156A D9C00217 +@156B 10001D26 +@156C 81000017 +@156D 10000115 +@156E 02000044 +@156F 22405217 +@1570 0007883A +@1571 12400015 +@1572 20805215 +@1573 10006215 +@1574 10006315 +@1575 883FDE26 +@1576 18C9883A +@1577 2109883A +@1578 1109883A +@1579 21802215 +@157A 01800044 +@157B 12406217 +@157C 30CC983A +@157D 4992B03A +@157E 12406215 +@157F 21C04215 +@1580 01000084 +@1581 893FD21E +@1582 11006317 +@1583 218CB03A +@1584 11806315 +@1585 003FCE06 +@1586 18805304 +@1587 18805215 +@1588 003FC606 +@1589 00BFFFC4 +@158A 003FD006 +@158B DEFFF504 +@158C DF000915 +@158D DD400615 +@158E DC800315 +@158F DFC00A15 +@1590 DDC00815 +@1591 DD800715 +@1592 DD000515 +@1593 DCC00415 +@1594 DC400215 +@1595 DC000115 +@1596 D9000015 +@1597 2839883A +@1598 04800044 +@1599 057FFFC4 +@159A 00800034 +@159B 109CA404 +@159C 12000017 +@159D 45005217 +@159E 44C05204 +@159F A0001C26 +@15A0 A0800117 +@15A1 15FFFFC4 +@15A2 B8000D16 +@15A3 14000044 @15A4 8421883A -@15A5 84402004 -@15A6 A463883A -@15A7 A421883A -@15A8 E0001E26 -@15A9 80804017 -@15AA E0801C26 -@15AB BDFFFFC4 -@15AC 843FFF04 -@15AD 8C7FFF04 -@15AE BD7FF91E -@15AF 00800034 -@15B0 10800004 -@15B1 10000926 -@15B2 A0800117 -@15B3 1000301E -@15B4 A0800017 -@15B5 10003226 -@15B6 A009883A -@15B7 98800015 -@15B8 00000000 -@15B9 9D000017 -@15BA A03FE41E -@15BB DFC00A17 -@15BC DF000917 -@15BD DDC00817 -@15BE DD800717 -@15BF DD400617 -@15C0 DD000517 -@15C1 DCC00417 -@15C2 DC800317 -@15C3 DC400217 -@15C4 DC000117 -@15C5 DEC00B04 -@15C6 F800283A -@15C7 A0800117 -@15C8 80C00017 -@15C9 10BFFFC4 -@15CA 15C01426 -@15CB 80000015 -@15CC 183FDE26 -@15CD 95C8983A -@15CE A0806217 -@15CF A5800117 -@15D0 2084703A -@15D1 10000B26 -@15D2 A0806317 -@15D3 2088703A -@15D4 20000C1E -@15D5 89400017 -@15D6 D9000017 -@15D7 183EE83A -@15D8 A0800117 -@15D9 15BFBF1E -@15DA 98800017 -@15DB 153FCF26 -@15DC 003FBC06 -@15DD 183EE83A -@15DE 003FF906 -@15DF A5C00115 -@15E0 003FEB06 -@15E1 89000017 -@15E2 183EE83A -@15E3 003FF406 -@15E4 A0800017 -@15E5 A027883A -@15E6 1029883A -@15E7 003FB606 -@15E8 0005883A -@15E9 003FFB06 -@15EA DEFFFD04 -@15EB DF000215 -@15EC DF000204 -@15ED E13FFF15 -@15EE 0001883A -@15EF E0BFFF17 -@15F0 E0BFFE15 -@15F1 E0BFFE17 -@15F2 10000226 -@15F3 002AF070 -@15F4 00000106 -@15F5 002AF0B0 -@15F6 003FFF06 -@15F7 00100000 -@15F8 00100000 -@15F9 00050000 -@15FA 00010000 -@15FB 00100000 -@15FC 00200000 -@15FD 00100000 -@15FE 00010000 -@15FF 00150000 -@1600 00250000 -@1601 00200000 -@1602 00010000 -@1603 00250000 -@1604 00250000 -@1605 00250000 -@1606 00010000 -@1607 64616552 -@1608 00000079 -@1609 0000000A -@160A 00000043 -@160B 7665642F -@160C 6C756E2F -@160D 0000006C -@160E 7665642F -@160F 61746A2F -@1610 61755F67 -@1611 00007472 -@1612 7665642F -@1613 61746A2F -@1614 61755F67 -@1615 00007472 -@1616 00000000 -@1617 00005B44 -@1618 00005BAC -@1619 00005C14 -@161A 00000000 +@15A5 8421883A +@15A6 84402004 +@15A7 A463883A +@15A8 A421883A +@15A9 E0001E26 +@15AA 80804017 +@15AB E0801C26 +@15AC BDFFFFC4 +@15AD 843FFF04 +@15AE 8C7FFF04 +@15AF BD7FF91E +@15B0 00800034 +@15B1 10800004 +@15B2 10000926 +@15B3 A0800117 +@15B4 1000301E +@15B5 A0800017 +@15B6 10003226 +@15B7 A009883A +@15B8 98800015 +@15B9 00000000 +@15BA 9D000017 +@15BB A03FE41E +@15BC DFC00A17 +@15BD DF000917 +@15BE DDC00817 +@15BF DD800717 +@15C0 DD400617 +@15C1 DD000517 +@15C2 DCC00417 +@15C3 DC800317 +@15C4 DC400217 +@15C5 DC000117 +@15C6 DEC00B04 +@15C7 F800283A +@15C8 A0800117 +@15C9 80C00017 +@15CA 10BFFFC4 +@15CB 15C01426 +@15CC 80000015 +@15CD 183FDE26 +@15CE 95C8983A +@15CF A0806217 +@15D0 A5800117 +@15D1 2084703A +@15D2 10000B26 +@15D3 A0806317 +@15D4 2088703A +@15D5 20000C1E +@15D6 89400017 +@15D7 D9000017 +@15D8 183EE83A +@15D9 A0800117 +@15DA 15BFBF1E +@15DB 98800017 +@15DC 153FCF26 +@15DD 003FBC06 +@15DE 183EE83A +@15DF 003FF906 +@15E0 A5C00115 +@15E1 003FEB06 +@15E2 89000017 +@15E3 183EE83A +@15E4 003FF406 +@15E5 A0800017 +@15E6 A027883A +@15E7 1029883A +@15E8 003FB606 +@15E9 0005883A +@15EA 003FFB06 +@15EB DEFFFD04 +@15EC DF000215 +@15ED DF000204 +@15EE E13FFF15 +@15EF 0001883A +@15F0 E0BFFF17 +@15F1 E0BFFE15 +@15F2 E0BFFE17 +@15F3 10000226 +@15F4 002AF070 +@15F5 00000106 +@15F6 002AF0B0 +@15F7 003FFF06 +@15F8 00000010 +@15F9 00000010 +@15FA 00000005 +@15FB 00000010 +@15FC 00000010 +@15FD 00000020 +@15FE 00000010 +@15FF 00000010 +@1600 000000F0 +@1601 000000F0 +@1602 000000F0 +@1603 00000010 +@1604 000000FA +@1605 000000F0 +@1606 000000F0 +@1607 00000010 +@1608 64616552 +@1609 00000079 +@160A 0000000A +@160B 00000043 +@160C 7665642F +@160D 6C756E2F +@160E 0000006C +@160F 7665642F +@1610 61746A2F +@1611 61755F67 +@1612 00007472 +@1613 7665642F +@1614 61746A2F +@1615 61755F67 +@1616 00007472 +@1617 00000000 +@1618 00005B48 +@1619 00005BB0 +@161A 00005C18 @161B 00000000 @161C 00000000 @161D 00000000 @@ -5665,8 +5665,8 @@ @1620 00000000 @1621 00000000 @1622 00000000 -@1623 00005828 -@1624 00000000 +@1623 00000000 +@1624 0000582C @1625 00000000 @1626 00000000 @1627 00000000 @@ -5693,13 +5693,13 @@ @163C 00000000 @163D 00000000 @163E 00000000 -@163F 00000001 -@1640 00000000 -@1641 ABCD330E -@1642 E66D1234 -@1643 0005DEEC -@1644 0000000B -@1645 00000000 +@163F 00000000 +@1640 00000001 +@1641 00000000 +@1642 ABCD330E +@1643 E66D1234 +@1644 0005DEEC +@1645 0000000B @1646 00000000 @1647 00000000 @1648 00000000 @@ -5919,280 +5919,280 @@ @171E 00000000 @171F 00000000 @1720 00000000 -@1721 00005C7C -@1722 00005C7C -@1723 00005C84 -@1724 00005C84 -@1725 00005C8C -@1726 00005C8C -@1727 00005C94 -@1728 00005C94 -@1729 00005C9C -@172A 00005C9C -@172B 00005CA4 -@172C 00005CA4 -@172D 00005CAC -@172E 00005CAC -@172F 00005CB4 -@1730 00005CB4 -@1731 00005CBC -@1732 00005CBC -@1733 00005CC4 -@1734 00005CC4 -@1735 00005CCC -@1736 00005CCC -@1737 00005CD4 -@1738 00005CD4 -@1739 00005CDC -@173A 00005CDC -@173B 00005CE4 -@173C 00005CE4 -@173D 00005CEC -@173E 00005CEC -@173F 00005CF4 -@1740 00005CF4 -@1741 00005CFC -@1742 00005CFC -@1743 00005D04 -@1744 00005D04 -@1745 00005D0C -@1746 00005D0C -@1747 00005D14 -@1748 00005D14 -@1749 00005D1C -@174A 00005D1C -@174B 00005D24 -@174C 00005D24 -@174D 00005D2C -@174E 00005D2C -@174F 00005D34 -@1750 00005D34 -@1751 00005D3C -@1752 00005D3C -@1753 00005D44 -@1754 00005D44 -@1755 00005D4C -@1756 00005D4C -@1757 00005D54 -@1758 00005D54 -@1759 00005D5C -@175A 00005D5C -@175B 00005D64 -@175C 00005D64 -@175D 00005D6C -@175E 00005D6C -@175F 00005D74 -@1760 00005D74 -@1761 00005D7C -@1762 00005D7C -@1763 00005D84 -@1764 00005D84 -@1765 00005D8C -@1766 00005D8C -@1767 00005D94 -@1768 00005D94 -@1769 00005D9C -@176A 00005D9C -@176B 00005DA4 -@176C 00005DA4 -@176D 00005DAC -@176E 00005DAC -@176F 00005DB4 -@1770 00005DB4 -@1771 00005DBC -@1772 00005DBC -@1773 00005DC4 -@1774 00005DC4 -@1775 00005DCC -@1776 00005DCC -@1777 00005DD4 -@1778 00005DD4 -@1779 00005DDC -@177A 00005DDC -@177B 00005DE4 -@177C 00005DE4 -@177D 00005DEC -@177E 00005DEC -@177F 00005DF4 -@1780 00005DF4 -@1781 00005DFC -@1782 00005DFC -@1783 00005E04 -@1784 00005E04 -@1785 00005E0C -@1786 00005E0C -@1787 00005E14 -@1788 00005E14 -@1789 00005E1C -@178A 00005E1C -@178B 00005E24 -@178C 00005E24 -@178D 00005E2C -@178E 00005E2C -@178F 00005E34 -@1790 00005E34 -@1791 00005E3C -@1792 00005E3C -@1793 00005E44 -@1794 00005E44 -@1795 00005E4C -@1796 00005E4C -@1797 00005E54 -@1798 00005E54 -@1799 00005E5C -@179A 00005E5C -@179B 00005E64 -@179C 00005E64 -@179D 00005E6C -@179E 00005E6C -@179F 00005E74 -@17A0 00005E74 -@17A1 00005E7C -@17A2 00005E7C -@17A3 00005E84 -@17A4 00005E84 -@17A5 00005E8C -@17A6 00005E8C -@17A7 00005E94 -@17A8 00005E94 -@17A9 00005E9C -@17AA 00005E9C -@17AB 00005EA4 -@17AC 00005EA4 -@17AD 00005EAC -@17AE 00005EAC -@17AF 00005EB4 -@17B0 00005EB4 -@17B1 00005EBC -@17B2 00005EBC -@17B3 00005EC4 -@17B4 00005EC4 -@17B5 00005ECC -@17B6 00005ECC -@17B7 00005ED4 -@17B8 00005ED4 -@17B9 00005EDC -@17BA 00005EDC -@17BB 00005EE4 -@17BC 00005EE4 -@17BD 00005EEC -@17BE 00005EEC -@17BF 00005EF4 -@17C0 00005EF4 -@17C1 00005EFC -@17C2 00005EFC -@17C3 00005F04 -@17C4 00005F04 -@17C5 00005F0C -@17C6 00005F0C -@17C7 00005F14 -@17C8 00005F14 -@17C9 00005F1C -@17CA 00005F1C -@17CB 00005F24 -@17CC 00005F24 -@17CD 00005F2C -@17CE 00005F2C -@17CF 00005F34 -@17D0 00005F34 -@17D1 00005F3C -@17D2 00005F3C -@17D3 00005F44 -@17D4 00005F44 -@17D5 00005F4C -@17D6 00005F4C -@17D7 00005F54 -@17D8 00005F54 -@17D9 00005F5C -@17DA 00005F5C -@17DB 00005F64 -@17DC 00005F64 -@17DD 00005F6C -@17DE 00005F6C -@17DF 00005F74 -@17E0 00005F74 -@17E1 00005F7C -@17E2 00005F7C -@17E3 00005F84 -@17E4 00005F84 -@17E5 00005F8C -@17E6 00005F8C -@17E7 00005F94 -@17E8 00005F94 -@17E9 00005F9C -@17EA 00005F9C -@17EB 00005FA4 -@17EC 00005FA4 -@17ED 00005FAC -@17EE 00005FAC -@17EF 00005FB4 -@17F0 00005FB4 -@17F1 00005FBC -@17F2 00005FBC -@17F3 00005FC4 -@17F4 00005FC4 -@17F5 00005FCC -@17F6 00005FCC -@17F7 00005FD4 -@17F8 00005FD4 -@17F9 00005FDC -@17FA 00005FDC -@17FB 00005FE4 -@17FC 00005FE4 -@17FD 00005FEC -@17FE 00005FEC -@17FF 00005FF4 -@1800 00005FF4 -@1801 00005FFC -@1802 00005FFC -@1803 00006004 -@1804 00006004 -@1805 0000600C -@1806 0000600C -@1807 00006014 -@1808 00006014 -@1809 0000601C -@180A 0000601C -@180B 00006024 -@180C 00006024 -@180D 0000602C -@180E 0000602C -@180F 00006034 -@1810 00006034 -@1811 0000603C -@1812 0000603C -@1813 00006044 -@1814 00006044 -@1815 0000604C -@1816 0000604C -@1817 00006054 -@1818 00006054 -@1819 0000605C -@181A 0000605C -@181B 00006064 -@181C 00006064 -@181D 0000606C -@181E 0000606C -@181F 00006074 -@1820 00006074 -@1821 000072A4 -@1822 000072A4 -@1823 0000582C -@1824 00000000 +@1721 00000000 +@1722 00005C80 +@1723 00005C80 +@1724 00005C88 +@1725 00005C88 +@1726 00005C90 +@1727 00005C90 +@1728 00005C98 +@1729 00005C98 +@172A 00005CA0 +@172B 00005CA0 +@172C 00005CA8 +@172D 00005CA8 +@172E 00005CB0 +@172F 00005CB0 +@1730 00005CB8 +@1731 00005CB8 +@1732 00005CC0 +@1733 00005CC0 +@1734 00005CC8 +@1735 00005CC8 +@1736 00005CD0 +@1737 00005CD0 +@1738 00005CD8 +@1739 00005CD8 +@173A 00005CE0 +@173B 00005CE0 +@173C 00005CE8 +@173D 00005CE8 +@173E 00005CF0 +@173F 00005CF0 +@1740 00005CF8 +@1741 00005CF8 +@1742 00005D00 +@1743 00005D00 +@1744 00005D08 +@1745 00005D08 +@1746 00005D10 +@1747 00005D10 +@1748 00005D18 +@1749 00005D18 +@174A 00005D20 +@174B 00005D20 +@174C 00005D28 +@174D 00005D28 +@174E 00005D30 +@174F 00005D30 +@1750 00005D38 +@1751 00005D38 +@1752 00005D40 +@1753 00005D40 +@1754 00005D48 +@1755 00005D48 +@1756 00005D50 +@1757 00005D50 +@1758 00005D58 +@1759 00005D58 +@175A 00005D60 +@175B 00005D60 +@175C 00005D68 +@175D 00005D68 +@175E 00005D70 +@175F 00005D70 +@1760 00005D78 +@1761 00005D78 +@1762 00005D80 +@1763 00005D80 +@1764 00005D88 +@1765 00005D88 +@1766 00005D90 +@1767 00005D90 +@1768 00005D98 +@1769 00005D98 +@176A 00005DA0 +@176B 00005DA0 +@176C 00005DA8 +@176D 00005DA8 +@176E 00005DB0 +@176F 00005DB0 +@1770 00005DB8 +@1771 00005DB8 +@1772 00005DC0 +@1773 00005DC0 +@1774 00005DC8 +@1775 00005DC8 +@1776 00005DD0 +@1777 00005DD0 +@1778 00005DD8 +@1779 00005DD8 +@177A 00005DE0 +@177B 00005DE0 +@177C 00005DE8 +@177D 00005DE8 +@177E 00005DF0 +@177F 00005DF0 +@1780 00005DF8 +@1781 00005DF8 +@1782 00005E00 +@1783 00005E00 +@1784 00005E08 +@1785 00005E08 +@1786 00005E10 +@1787 00005E10 +@1788 00005E18 +@1789 00005E18 +@178A 00005E20 +@178B 00005E20 +@178C 00005E28 +@178D 00005E28 +@178E 00005E30 +@178F 00005E30 +@1790 00005E38 +@1791 00005E38 +@1792 00005E40 +@1793 00005E40 +@1794 00005E48 +@1795 00005E48 +@1796 00005E50 +@1797 00005E50 +@1798 00005E58 +@1799 00005E58 +@179A 00005E60 +@179B 00005E60 +@179C 00005E68 +@179D 00005E68 +@179E 00005E70 +@179F 00005E70 +@17A0 00005E78 +@17A1 00005E78 +@17A2 00005E80 +@17A3 00005E80 +@17A4 00005E88 +@17A5 00005E88 +@17A6 00005E90 +@17A7 00005E90 +@17A8 00005E98 +@17A9 00005E98 +@17AA 00005EA0 +@17AB 00005EA0 +@17AC 00005EA8 +@17AD 00005EA8 +@17AE 00005EB0 +@17AF 00005EB0 +@17B0 00005EB8 +@17B1 00005EB8 +@17B2 00005EC0 +@17B3 00005EC0 +@17B4 00005EC8 +@17B5 00005EC8 +@17B6 00005ED0 +@17B7 00005ED0 +@17B8 00005ED8 +@17B9 00005ED8 +@17BA 00005EE0 +@17BB 00005EE0 +@17BC 00005EE8 +@17BD 00005EE8 +@17BE 00005EF0 +@17BF 00005EF0 +@17C0 00005EF8 +@17C1 00005EF8 +@17C2 00005F00 +@17C3 00005F00 +@17C4 00005F08 +@17C5 00005F08 +@17C6 00005F10 +@17C7 00005F10 +@17C8 00005F18 +@17C9 00005F18 +@17CA 00005F20 +@17CB 00005F20 +@17CC 00005F28 +@17CD 00005F28 +@17CE 00005F30 +@17CF 00005F30 +@17D0 00005F38 +@17D1 00005F38 +@17D2 00005F40 +@17D3 00005F40 +@17D4 00005F48 +@17D5 00005F48 +@17D6 00005F50 +@17D7 00005F50 +@17D8 00005F58 +@17D9 00005F58 +@17DA 00005F60 +@17DB 00005F60 +@17DC 00005F68 +@17DD 00005F68 +@17DE 00005F70 +@17DF 00005F70 +@17E0 00005F78 +@17E1 00005F78 +@17E2 00005F80 +@17E3 00005F80 +@17E4 00005F88 +@17E5 00005F88 +@17E6 00005F90 +@17E7 00005F90 +@17E8 00005F98 +@17E9 00005F98 +@17EA 00005FA0 +@17EB 00005FA0 +@17EC 00005FA8 +@17ED 00005FA8 +@17EE 00005FB0 +@17EF 00005FB0 +@17F0 00005FB8 +@17F1 00005FB8 +@17F2 00005FC0 +@17F3 00005FC0 +@17F4 00005FC8 +@17F5 00005FC8 +@17F6 00005FD0 +@17F7 00005FD0 +@17F8 00005FD8 +@17F9 00005FD8 +@17FA 00005FE0 +@17FB 00005FE0 +@17FC 00005FE8 +@17FD 00005FE8 +@17FE 00005FF0 +@17FF 00005FF0 +@1800 00005FF8 +@1801 00005FF8 +@1802 00006000 +@1803 00006000 +@1804 00006008 +@1805 00006008 +@1806 00006010 +@1807 00006010 +@1808 00006018 +@1809 00006018 +@180A 00006020 +@180B 00006020 +@180C 00006028 +@180D 00006028 +@180E 00006030 +@180F 00006030 +@1810 00006038 +@1811 00006038 +@1812 00006040 +@1813 00006040 +@1814 00006048 +@1815 00006048 +@1816 00006050 +@1817 00006050 +@1818 00006058 +@1819 00006058 +@181A 00006060 +@181B 00006060 +@181C 00006068 +@181D 00006068 +@181E 00006070 +@181F 00006070 +@1820 00006078 +@1821 00006078 +@1822 000072A8 +@1823 000072A8 +@1824 00005830 @1825 00000000 @1826 00000000 -@1827 0000327C -@1828 00000000 +@1827 00000000 +@1828 00003280 @1829 00000000 @182A 00000000 -@182B 00006084 -@182C 00000000 +@182B 00000000 +@182C 00006088 @182D 00000000 -@182E 00006084 -@182F 00000000 +@182E 00000000 +@182F 00006088 @1830 00000000 -@1831 00006084 -@1832 00000000 +@1831 00000000 +@1832 00006088 @1833 00000000 @1834 00000000 @1835 00000000 @@ -6283,17 +6283,17 @@ @188A 00000000 @188B 00000000 @188C 00000000 -@188D 00005848 -@188E 00000000 -@188F 00003B9C -@1890 00003ADC -@1891 00003B3C -@1892 00000000 +@188D 00000000 +@188E 0000584C +@188F 00000000 +@1890 00003BA0 +@1891 00003AE0 +@1892 00003B40 @1893 00000000 -@1894 00003BEC -@1895 00021038 -@1896 0000000A -@1897 00000000 +@1894 00000000 +@1895 00003BF0 +@1896 00021068 +@1897 0000000A @1898 00000000 @1899 00000000 @189A 00000000 @@ -7329,17 +7329,18 @@ @1CA0 00000000 @1CA1 00000000 @1CA2 00000000 -@1CA3 00005858 -@1CA4 00005858 -@1CA5 FFFFFFFF -@1CA6 00020000 -@1CA7 0000729C -@1CA8 0000729C -@1CA9 00006084 -@1CAA 00006084 -@1CAB FFFFFFFF -@1CAC 00000000 -@1CAD 00007418 -@1CAE FFFFFFFF -@1CAF 000072BC -@1CB0 000072BC +@1CA3 00000000 +@1CA4 0000585C +@1CA5 0000585C +@1CA6 FFFFFFFF +@1CA7 00020000 +@1CA8 000072A0 +@1CA9 000072A0 +@1CAA 00006088 +@1CAB 00006088 +@1CAC FFFFFFFF +@1CAD 00000000 +@1CAE 0000741C +@1CAF FFFFFFFF +@1CB0 000072C0 +@1CB1 000072C0 diff --git a/Top/software/semafor/mem_init/hdl_sim/niosII_mem.sym b/Top/software/semafor/mem_init/hdl_sim/niosII_mem.sym index 07f6841..6bca76e 100644 --- a/Top/software/semafor/mem_init/hdl_sim/niosII_mem.sym +++ b/Top/software/semafor/mem_init/hdl_sim/niosII_mem.sym @@ -7,163 +7,163 @@ 00000230 T _start 00000244 t alt_after_alt_main 00000248 T main -00000334 T _puts_r -000003f4 T puts -00000408 T strlen -000004a0 t __fp_unlock -000004a8 T _cleanup_r -000004b4 t __sinit.part.1 -00000650 t __fp_lock -00000658 T __sfmoreglue -000006d0 T __sfp -000007e8 T _cleanup -00000800 T __sinit -00000810 T __sfp_lock_acquire -00000814 T __sfp_lock_release -00000818 T __sinit_lock_acquire -0000081c T __sinit_lock_release -00000820 T __fp_lock_all -00000838 T __fp_unlock_all -00000850 T __sfvwrite_r -00000d18 T _fwalk -00000ddc T _fwalk_reent -00000ea0 T _malloc_r -000016ac T memchr -00001790 T memcpy -000018d8 T memmove -00001a34 T memset -00001b5c T _realloc_r -000020c0 T _sbrk_r -00002114 T __sread -00002168 T __seofread -00002170 T __swrite -000021ec T __sseek -00002248 T __sclose -00002250 T _write_r -000022b0 T __swsetup_r -00002404 T _close_r -00002458 T _fclose_r -00002548 T fclose -0000255c T __sflush_r -00002778 T _fflush_r -000027d4 T fflush -00002804 T _malloc_trim_r -00002928 T _free_r -00002c38 T _lseek_r -00002c98 T __smakebuf_r -00002e54 T _read_r -00002eb4 T _fstat_r -00002f10 T _isatty_r -00002f64 T __divsi3 -00002fe8 T __modsi3 -0000305c T __udivsi3 -000030c0 T __umodsi3 -00003118 T __mulsi3 -00003140 t alt_get_errno -0000317c T close -00003254 T alt_dcache_flush -0000327c t alt_dev_null_write -000032a8 t alt_get_errno -000032e4 T fstat -0000339c t alt_get_errno -000033d8 T isatty -00003484 t alt_get_errno -000034c0 T lseek -0000359c T alt_main -00003618 T __malloc_lock -0000363c T __malloc_unlock -00003660 t alt_get_errno -0000369c T read -000037a0 T alt_release_fd -00003824 T sbrk -000038d4 t alt_get_errno -00003910 T write -00003a10 t alt_dev_reg -00003a44 T alt_irq_init -00003a7c T alt_sys_init -00003adc T altera_avalon_jtag_uart_read_fd -00003b3c T altera_avalon_jtag_uart_write_fd -00003b9c T altera_avalon_jtag_uart_close_fd -00003bec T altera_avalon_jtag_uart_ioctl_fd -00003c40 T altera_avalon_jtag_uart_init -00003d00 t altera_avalon_jtag_uart_irq -00003f0c t altera_avalon_jtag_uart_timeout -00003fac T altera_avalon_jtag_uart_close -00004014 T altera_avalon_jtag_uart_ioctl -00004104 T altera_avalon_jtag_uart_read -00004320 T altera_avalon_jtag_uart_write -00004544 t alt_avalon_timer_sc_irq -000045bc T alt_avalon_timer_sc_init -00004638 T alt_alarm_start -00004764 t alt_get_errno -000047a0 T alt_dev_llist_insert -00004844 T _do_ctors -000048a4 T _do_dtors -00004904 T alt_ic_isr_register -00004954 T alt_ic_irq_enable -000049dc T alt_ic_irq_disable -00004a68 T alt_ic_irq_enabled -00004ab4 T alt_iic_isr_register -00004ba4 t alt_open_fd -00004c88 T alt_io_redirect -00004d04 t alt_get_errno -00004d40 t alt_file_locked -00004e2c T open -00004f88 T alt_alarm_stop -00005024 T alt_tick -0000512c T altera_nios2_gen2_irq_init -00005150 T alt_find_dev -000051e0 T alt_find_file -000052e8 T alt_get_fd -000053ac T alt_exception_cause_generated_bad_addr -00005448 T atexit -0000545c T exit -00005494 T memcmp -00005510 T __register_exitproc -00005628 T __call_exitprocs -000057a8 T _exit -000057dc A __CTOR_END__ -000057dc A __CTOR_LIST__ -000057dc A __DTOR_END__ -000057dc A __DTOR_LIST__ -000057dc R divisors -00005858 g impure_data -00005c7c G __malloc_av_ -00006084 G alt_dev_null -000060ac G alt_fd_list -0000622c g jtag_uart -0000728c G _global_impure_ptr -00007290 G _impure_ptr -00007294 G __malloc_sbrk_base -00007298 G __malloc_trim_threshold -0000729c G alt_fs_list -000072a4 G alt_dev_list -000072ac G alt_max_fd -000072b0 G alt_errno -000072b4 g heap_end -000072b8 G alt_priority_mask -000072bc G alt_alarm_list -000072c4 A __bss_start -000072c4 B __malloc_max_total_mem -000072c4 A _edata -000072c8 B __malloc_max_sbrked_mem -000072cc B __malloc_top_pad -000072d0 B errno -000072d4 B alt_argc -000072d8 B alt_argv -000072dc B alt_envp -000072e0 B alt_irq_active -000072e4 B _alt_tick_rate -000072e8 B _alt_nticks -000072ec B alt_instruction_exception_handler -000072f0 B __malloc_current_mallinfo -00007318 B alt_irq -00007418 A __alt_heap_start -00007418 A __alt_stack_base -00007418 A __bss_end -00007418 A _end -00007418 A end -0000f28c A _gp +00000338 T _puts_r +000003f8 T puts +0000040c T strlen +000004a4 t __fp_unlock +000004ac T _cleanup_r +000004b8 t __sinit.part.1 +00000654 t __fp_lock +0000065c T __sfmoreglue +000006d4 T __sfp +000007ec T _cleanup +00000804 T __sinit +00000814 T __sfp_lock_acquire +00000818 T __sfp_lock_release +0000081c T __sinit_lock_acquire +00000820 T __sinit_lock_release +00000824 T __fp_lock_all +0000083c T __fp_unlock_all +00000854 T __sfvwrite_r +00000d1c T _fwalk +00000de0 T _fwalk_reent +00000ea4 T _malloc_r +000016b0 T memchr +00001794 T memcpy +000018dc T memmove +00001a38 T memset +00001b60 T _realloc_r +000020c4 T _sbrk_r +00002118 T __sread +0000216c T __seofread +00002174 T __swrite +000021f0 T __sseek +0000224c T __sclose +00002254 T _write_r +000022b4 T __swsetup_r +00002408 T _close_r +0000245c T _fclose_r +0000254c T fclose +00002560 T __sflush_r +0000277c T _fflush_r +000027d8 T fflush +00002808 T _malloc_trim_r +0000292c T _free_r +00002c3c T _lseek_r +00002c9c T __smakebuf_r +00002e58 T _read_r +00002eb8 T _fstat_r +00002f14 T _isatty_r +00002f68 T __divsi3 +00002fec T __modsi3 +00003060 T __udivsi3 +000030c4 T __umodsi3 +0000311c T __mulsi3 +00003144 t alt_get_errno +00003180 T close +00003258 T alt_dcache_flush +00003280 t alt_dev_null_write +000032ac t alt_get_errno +000032e8 T fstat +000033a0 t alt_get_errno +000033dc T isatty +00003488 t alt_get_errno +000034c4 T lseek +000035a0 T alt_main +0000361c T __malloc_lock +00003640 T __malloc_unlock +00003664 t alt_get_errno +000036a0 T read +000037a4 T alt_release_fd +00003828 T sbrk +000038d8 t alt_get_errno +00003914 T write +00003a14 t alt_dev_reg +00003a48 T alt_irq_init +00003a80 T alt_sys_init +00003ae0 T altera_avalon_jtag_uart_read_fd +00003b40 T altera_avalon_jtag_uart_write_fd +00003ba0 T altera_avalon_jtag_uart_close_fd +00003bf0 T altera_avalon_jtag_uart_ioctl_fd +00003c44 T altera_avalon_jtag_uart_init +00003d04 t altera_avalon_jtag_uart_irq +00003f10 t altera_avalon_jtag_uart_timeout +00003fb0 T altera_avalon_jtag_uart_close +00004018 T altera_avalon_jtag_uart_ioctl +00004108 T altera_avalon_jtag_uart_read +00004324 T altera_avalon_jtag_uart_write +00004548 t alt_avalon_timer_sc_irq +000045c0 T alt_avalon_timer_sc_init +0000463c T alt_alarm_start +00004768 t alt_get_errno +000047a4 T alt_dev_llist_insert +00004848 T _do_ctors +000048a8 T _do_dtors +00004908 T alt_ic_isr_register +00004958 T alt_ic_irq_enable +000049e0 T alt_ic_irq_disable +00004a6c T alt_ic_irq_enabled +00004ab8 T alt_iic_isr_register +00004ba8 t alt_open_fd +00004c8c T alt_io_redirect +00004d08 t alt_get_errno +00004d44 t alt_file_locked +00004e30 T open +00004f8c T alt_alarm_stop +00005028 T alt_tick +00005130 T altera_nios2_gen2_irq_init +00005154 T alt_find_dev +000051e4 T alt_find_file +000052ec T alt_get_fd +000053b0 T alt_exception_cause_generated_bad_addr +0000544c T atexit +00005460 T exit +00005498 T memcmp +00005514 T __register_exitproc +0000562c T __call_exitprocs +000057ac T _exit +000057e0 A __CTOR_END__ +000057e0 A __CTOR_LIST__ +000057e0 A __DTOR_END__ +000057e0 A __DTOR_LIST__ +000057e0 R divisors +0000585c g impure_data +00005c80 G __malloc_av_ +00006088 G alt_dev_null +000060b0 G alt_fd_list +00006230 g jtag_uart +00007290 G _global_impure_ptr +00007294 G _impure_ptr +00007298 G __malloc_sbrk_base +0000729c G __malloc_trim_threshold +000072a0 G alt_fs_list +000072a8 G alt_dev_list +000072b0 G alt_max_fd +000072b4 G alt_errno +000072b8 g heap_end +000072bc G alt_priority_mask +000072c0 G alt_alarm_list +000072c8 A __bss_start +000072c8 B __malloc_max_total_mem +000072c8 A _edata +000072cc B __malloc_max_sbrked_mem +000072d0 B __malloc_top_pad +000072d4 B errno +000072d8 B alt_argc +000072dc B alt_argv +000072e0 B alt_envp +000072e4 B alt_irq_active +000072e8 B _alt_tick_rate +000072ec B _alt_nticks +000072f0 B alt_instruction_exception_handler +000072f4 B __malloc_current_mallinfo +0000731c B alt_irq +0000741c A __alt_heap_start +0000741c A __alt_stack_base +0000741c A __bss_end +0000741c A _end +0000741c A end +0000f290 A _gp 00020000 A __alt_data_end 00020000 A __alt_heap_limit 00020000 A __alt_stack_pointer diff --git a/Top/software/semafor/mem_init/niosII_mem.hex b/Top/software/semafor/mem_init/niosII_mem.hex index 71b49cf..851ad6c 100644 --- a/Top/software/semafor/mem_init/niosII_mem.hex +++ b/Top/software/semafor/mem_init/niosII_mem.hex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diff --git a/Top/software/semafor/sem.c b/Top/software/semafor/sem.c index e372cdf..6cc5138 100644 --- a/Top/software/semafor/sem.c +++ b/Top/software/semafor/sem.c @@ -6,10 +6,10 @@ #define TIME_SETS 4 #define TIME_STATES 4 const alt_u32 divisors[TIME_SETS][TIME_STATES] = { - {0x100000, 0x100000, 0x50000, 0x10000}, - {0x100000, 0x200000, 0x100000, 0x10000}, - {0x150000, 0x250000, 0x200000, 0x10000}, - {0x250000, 0x250000, 0x250000, 0x10000} + {0x00000010, 0x00000010, 0x00000005, 0x00000010}, + {0x00000010, 0x00000020, 0x00000010, 0x00000010}, + {0x000000f0, 0x000000f0, 0x000000f0, 0x00000010}, + {0x000000fa, 0x000000f0, 0x000000f0, 0x00000010} }; int main() @@ -20,14 +20,12 @@ int main() //program divisors p = (alt_u32*) SEM_RAM_SLAVE_BASE; - for (i=0; i0; j--) - { - tmp = (tmp << 8) | divisors[i][j-1]; + for (j = TIME_STATES; j > 0; j--) { + tmp = (tmp << 32) | divisors[i][j - 1]; } - *p=tmp; + *p = tmp; p++; } //since we use pointers (cached data access) to write divisor RAM, diff --git a/Top/software/semafor_bsp/libhal_bsp.a b/Top/software/semafor_bsp/libhal_bsp.a index 72049ac20d6a52f35386a9b85e9d86327027fb11..63131b7b51e92803899c61f13df5763d899bef48 100644 GIT binary patch delta 1342 zcmdUu!D|yi6vmy|S=v-%6Vtd^OK1!UR)Xy&yU8jA6-*Bz(b8B%v{*rlP*Fs3k%AFg zP^vVrl!by;6k0_OktGaB1$(JrrBuBb6r>0R4}plHLIvIIW?qv12VNHDo9}z`n>TN# zm%Y==-rSC6HLA)=LRFsy7oF8bVy{tlK6N+QTM2D)R(v?$;ecJO>=cZTovZFTrR39x zK6Cv>S5M5rg0wq`N09NZ_dyeL?$dI!f}y1jw}7Hc0U{XdCyF7EtOLG}impZ_a_2=S zC`P&PTdiA_NVvLEC0)8oe6-Dj{xIl@7BDr*k6Z%yD(BEM3QDnqR7uNT66jJAJ!Z|Q zN?Jcct&KtBEGll0o?JnW4(5tlwch8@?$&BYRK2?b(S9F^-kPfdkuIRs-kKCxFr{@A z_1C0wwZmFh&m6rg;)!P1qf!uWft$vxTb+vFaSwCEERw(baSC{2R@>>wQG5$f?4;)$ z_Oq8&tF~hqoY`KhRw4c}ft}kx(oF=By6@p%fEA;zIUL%`EV6}_F5oFh$+Y|mD-e)v zq(dwCZL%>Xd$|%I$>wEz^x!V;Vhmh%a_J;DFtkBC@SGb2a>a_yuW)04rD$ejsX4l1 z&_Ja!qm=u~?SV;A^lXy&3Vq}Qyskpcfs%mAtYbFidXyA)G6K8w@ifWSO52n9<21P) zVYavpKXa3u2hg~QAky#*;UF5P(j)Sc{YYwjst*ZzYo5G8F8x!U4D%OUcvFjhV-08Y z+!`MK|33=7wm^Qu8^rbeHzLrw*X55o_gu)90i&X2c&&DLaz e_t!KldO68Y)kV$m^wH1&AA%W8(7r+bwc{TuX{9g# delta 1338 zcmdUv(MuFT9LJs6S@f*y&dzIhlf#lbG9=sEyHO9Jg3gDCEAf(uk_^g+3M1rRLhu5G z!oq_^7KAbiw5SJTIR?TYUlcOL>ZPL~BoKNyyeKL#sJ-2r-*x>5y)4Xkzu%e9{C>aP zsTKd!ia)cnrmc;}D5a67O^fc*B1+AI`$-+F^vsO>V7}4Cg*Lt5mdy9fYhbaIylnJ; z6nf0oPOWTYY7@SQ%r{;4tIOfcjAZJGBekFy>MkOgnifipQ|CQIzoVq&_kzv*kE?NnKJJLwAiodb1c1aGkW0t#O@G-|tcCA9 z4nS8+w&N+B+EHoyG>+ZdK{6}^h1K1~KL9Jnyt6pC4JL{M00ng|fpnEH^s;NK9{CcBYjc;?6}RAqdaBLiZG7gyC9 z*Vl2%$gJam|NjwX*A~ck=z(U;eIXK?$Pq>6wbPiT4J)v;n{afC7~($-syWr2Xb{Kh m!RBZYRSc9hJ9;H9PV)Z%H8z&4nGW`fK^V~p>+Ta@y8Zwl-=%{9 diff --git a/Top/software/semafor_bsp/settings.bsp b/Top/software/semafor_bsp/settings.bsp index bee392c..5ad0685 100644 --- a/Top/software/semafor_bsp/settings.bsp +++ b/Top/software/semafor_bsp/settings.bsp @@ -2,8 +2,8 @@ hal default - 22.12.2022 17:21:19 - 1671715279678 + 22.12.2022 22:44:16 + 1671734657095 C:\Software\FPGA\iu3-31m\Lab2\Top\software\semafor_bsp settings.bsp ..\..\niosII.sopcinfo @@ -904,27 +904,27 @@ 131072 memory + + sem_ram_slave + 0x00021000 - 0x0002103F + 64 + + sys_clk_timer - 0x00021000 - 0x0002101F + 0x00021040 - 0x0002105F 32 timer - - sem_ram_slave - 0x00021020 - 0x0002102F - 16 - - sem_ctl_slave - 0x00021030 - 0x00021037 + 0x00021060 - 0x00021067 8 jtag_uart - 0x00021038 - 0x0002103F + 0x00021068 - 0x0002106F 8 printable diff --git a/Top/software/semafor_bsp/summary.html b/Top/software/semafor_bsp/summary.html index 7d9cba6..2b757c5 100644 --- a/Top/software/semafor_bsp/summary.html +++ b/Top/software/semafor_bsp/summary.html @@ -22,10 +22,10 @@ BSP Version:default -BSP Generated On:22.12.2022 17:21:19 +BSP Generated On:22.12.2022 22:44:16 -BSP Generated Timestamp:1671715279678 +BSP Generated Timestamp:1671734657095 BSP Generated Location:C:\Software\FPGA\iu3-31m\Lab2\Top\software\semafor_bsp @@ -38,16 +38,16 @@ Slave DescriptorAddress RangeSizeAttributes -jtag_uart0x00021038 - 0x0002103F8printable +jtag_uart0x00021068 - 0x0002106F8printable -sem_ctl_slave0x00021030 - 0x000210378  +sem_ctl_slave0x00021060 - 0x000210678  -sem_ram_slave0x00021020 - 0x0002102F16  +sys_clk_timer0x00021040 - 0x0002105F32timer -sys_clk_timer0x00021000 - 0x0002101F32timer +sem_ram_slave0x00021000 - 0x0002103F64  mem0x00000000 - 0x0001FFFF131072memory diff --git a/Top/software/semafor_bsp/system.h b/Top/software/semafor_bsp/system.h index d09f74f..5a02033 100644 --- a/Top/software/semafor_bsp/system.h +++ b/Top/software/semafor_bsp/system.h @@ -4,7 +4,7 @@ * Machine generated for CPU 'cpu' in SOPC Builder design 'niosII' * SOPC Builder design path: ../../niosII.sopcinfo * - * Generated: Mon Oct 24 11:12:11 MSK 2022 + * Generated: Thu Dec 22 22:44:18 MSK 2022 */ /* @@ -156,19 +156,19 @@ #define ALT_NUM_INTERNAL_INTERRUPT_CONTROLLERS 1 #define ALT_NUM_INTERRUPT_CONTROLLERS 1 #define ALT_STDERR "/dev/jtag_uart" -#define ALT_STDERR_BASE 0x21038 +#define ALT_STDERR_BASE 0x21068 #define ALT_STDERR_DEV jtag_uart #define ALT_STDERR_IS_JTAG_UART #define ALT_STDERR_PRESENT #define ALT_STDERR_TYPE "altera_avalon_jtag_uart" #define ALT_STDIN "/dev/jtag_uart" -#define ALT_STDIN_BASE 0x21038 +#define ALT_STDIN_BASE 0x21068 #define ALT_STDIN_DEV jtag_uart #define ALT_STDIN_IS_JTAG_UART #define ALT_STDIN_PRESENT #define ALT_STDIN_TYPE "altera_avalon_jtag_uart" #define ALT_STDOUT "/dev/jtag_uart" -#define ALT_STDOUT_BASE 0x21038 +#define ALT_STDOUT_BASE 0x21068 #define ALT_STDOUT_DEV jtag_uart #define ALT_STDOUT_IS_JTAG_UART #define ALT_STDOUT_PRESENT @@ -193,7 +193,7 @@ */ #define ALT_MODULE_CLASS_jtag_uart altera_avalon_jtag_uart -#define JTAG_UART_BASE 0x21038 +#define JTAG_UART_BASE 0x21068 #define JTAG_UART_IRQ 1 #define JTAG_UART_IRQ_INTERRUPT_CONTROLLER_ID 0 #define JTAG_UART_NAME "/dev/jtag_uart" @@ -240,7 +240,7 @@ */ #define ALT_MODULE_CLASS_sem_ctl_slave sem -#define SEM_CTL_SLAVE_BASE 0x21030 +#define SEM_CTL_SLAVE_BASE 0x21060 #define SEM_CTL_SLAVE_IRQ -1 #define SEM_CTL_SLAVE_IRQ_INTERRUPT_CONTROLLER_ID -1 #define SEM_CTL_SLAVE_NAME "/dev/sem_ctl_slave" @@ -254,11 +254,11 @@ */ #define ALT_MODULE_CLASS_sem_ram_slave sem -#define SEM_RAM_SLAVE_BASE 0x21020 +#define SEM_RAM_SLAVE_BASE 0x21000 #define SEM_RAM_SLAVE_IRQ -1 #define SEM_RAM_SLAVE_IRQ_INTERRUPT_CONTROLLER_ID -1 #define SEM_RAM_SLAVE_NAME "/dev/sem_ram_slave" -#define SEM_RAM_SLAVE_SPAN 16 +#define SEM_RAM_SLAVE_SPAN 64 #define SEM_RAM_SLAVE_TYPE "sem" @@ -269,7 +269,7 @@ #define ALT_MODULE_CLASS_sys_clk_timer altera_avalon_timer #define SYS_CLK_TIMER_ALWAYS_RUN 0 -#define SYS_CLK_TIMER_BASE 0x21000 +#define SYS_CLK_TIMER_BASE 0x21040 #define SYS_CLK_TIMER_COUNTER_SIZE 32 #define SYS_CLK_TIMER_FIXED_PERIOD 0 #define SYS_CLK_TIMER_FREQ 50000000