diff --git a/Top/niosII.qsys b/Top/niosII.qsys index af41ca5..e6b84d0 100644 --- a/Top/niosII.qsys +++ b/Top/niosII.qsys @@ -29,7 +29,7 @@ { datum baseAddress { - value = "133120"; + value = "34816"; type = "String"; } } @@ -45,7 +45,7 @@ { datum baseAddress { - value = "135200"; + value = "36896"; type = "String"; } } @@ -117,7 +117,7 @@ { datum baseAddress { - value = "135208"; + value = "36904"; type = "String"; } } @@ -133,16 +133,16 @@ { datum baseAddress { - value = "135168"; + value = "36864"; type = "String"; } } } ]]> - + - + @@ -174,8 +174,8 @@ - - + + @@ -188,10 +188,10 @@ - + - ]]> + ]]> @@ -229,8 +229,8 @@ - - ]]> + + ]]> @@ -388,7 +388,7 @@ - + @@ -425,7 +425,7 @@ start="cpu.data_master" end="jtag_uart.avalon_jtag_slave"> - + - + - + - + @@ -466,7 +466,7 @@ start="cpu.instruction_master" end="cpu.debug_mem_slave"> - + - + java.lang.Integer - 1674831615 + 1675761526 false true false @@ -32,7 +32,7 @@ java.lang.String - EP4CE115F29C7 + EP4CE15F23C8 false true false @@ -41,7 +41,7 @@ java.lang.String - 7 + 8 false true false @@ -436,7 +436,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.BREAK_ADDR - 0x00020820 + 0x00008820 embeddedsw.CMacro.CPU_ARCH_NIOS2_R1 @@ -460,7 +460,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.DATA_ADDR_WIDTH - 18 + 16 embeddedsw.CMacro.DCACHE_LINE_SIZE @@ -532,7 +532,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.INST_ADDR_WIDTH - 18 + 16 embeddedsw.CMacro.OCI_VERSION @@ -1772,7 +1772,7 @@ the requested settings for a module instance. --> int - 133152 + 34848 true true false @@ -1884,7 +1884,7 @@ the requested settings for a module instance. --> int - 18 + 16 false true false @@ -1904,7 +1904,7 @@ the requested settings for a module instance. --> int - 18 + 16 false true false @@ -2014,7 +2014,7 @@ the requested settings for a module instance. --> java.lang.String - ]]> + ]]> false true false @@ -2034,7 +2034,7 @@ the requested settings for a module instance. --> java.lang.String - ]]> + ]]> false true false @@ -2222,7 +2222,7 @@ the requested settings for a module instance. --> java.lang.String - EP4CE115F29C7 + EP4CE15F23C8 false true false @@ -2231,7 +2231,7 @@ the requested settings for a module instance. --> java.lang.String - 7 + 8 false true false @@ -2655,7 +2655,7 @@ parameters are a RESULT of the module parameters. --> d_address Output - 18 + 16 address @@ -2705,7 +2705,7 @@ parameters are a RESULT of the module parameters. --> jtag_uart avalon_jtag_slave jtag_uart.avalon_jtag_slave - 135200 + 36896 8 @@ -2713,7 +2713,7 @@ parameters are a RESULT of the module parameters. --> sigdel_0 avalon_slave sigdel_0.avalon_slave - 135208 + 36904 4 @@ -2721,7 +2721,7 @@ parameters are a RESULT of the module parameters. --> cpu debug_mem_slave cpu.debug_mem_slave - 133120 + 34816 2048 @@ -2729,7 +2729,7 @@ parameters are a RESULT of the module parameters. --> sys_clk_timer s1 sys_clk_timer.s1 - 135168 + 36864 32 @@ -2738,7 +2738,7 @@ parameters are a RESULT of the module parameters. --> s2 mem.s2 0 - 131072 + 32768 @@ -3006,7 +3006,7 @@ parameters are a RESULT of the module parameters. --> i_address Output - 18 + 16 address @@ -3032,7 +3032,7 @@ parameters are a RESULT of the module parameters. --> cpu debug_mem_slave cpu.debug_mem_slave - 133120 + 34816 2048 @@ -3041,7 +3041,7 @@ parameters are a RESULT of the module parameters. --> s1 mem.s1 0 - 131072 + 32768 @@ -4460,7 +4460,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.SIZE_VALUE - 131072 + 32768 embeddedsw.CMacro.WRITABLE @@ -4592,7 +4592,7 @@ the requested settings for a module instance. --> long - 131072 + 32768 false true true @@ -4731,7 +4731,7 @@ the requested settings for a module instance. --> int - 15 + 13 true true false @@ -4739,7 +4739,7 @@ the requested settings for a module instance. --> int - 15 + 13 true true false @@ -4831,7 +4831,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 131072 + 32768 true true false @@ -4919,7 +4919,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 131072 + 32768 false true true @@ -5130,7 +5130,7 @@ parameters are a RESULT of the module parameters. --> address Input - 15 + 13 address @@ -5208,7 +5208,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 131072 + 32768 true true false @@ -5296,7 +5296,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 131072 + 32768 false true true @@ -5507,7 +5507,7 @@ parameters are a RESULT of the module parameters. --> address2 Input - 15 + 13 address @@ -6952,7 +6952,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x00021020 + 0x9020 false true true @@ -7003,7 +7003,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x00021028 + 0x9028 false true true @@ -7054,7 +7054,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x00020800 + 0x8800 false true true @@ -7105,7 +7105,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x00021000 + 0x9000 false true true @@ -7207,7 +7207,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x00020800 + 0x8800 false true true diff --git a/Top/niosII/niosII.html b/Top/niosII/niosII.html index 4cb0a7b..ab6189e 100644 --- a/Top/niosII/niosII.html +++ b/Top/niosII/niosII.html @@ -67,7 +67,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - +
2023.01.27.18:49:312023.02.07.13:18:47 Datasheet
@@ -131,8 +131,8 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord debug_mem_slave  - 0x00020800 - 0x00020800 + 0x00008800 + 0x00008800   @@ -144,7 +144,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord avalon_jtag_slave  - 0x00021020 + 0x00009020 @@ -175,7 +175,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord avalon_slave  - 0x00021028 + 0x00009028 @@ -188,7 +188,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord s1  - 0x00021000 + 0x00009000 @@ -976,7 +976,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord breakAbsoluteAddr - 133152 + 34848 mmu_TLBMissExcAbsAddr @@ -1032,7 +1032,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord instAddrWidth - 18 + 16 faAddrWidth @@ -1040,7 +1040,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord dataAddrWidth - 18 + 16 tightlyCoupledDataMaster0AddrWidth @@ -1084,7 +1084,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord instSlaveMapParam - <address-map><slave name='mem.s1' start='0x0' end='0x20000' type='altera_avalon_onchip_memory2.s1' /><slave name='cpu.debug_mem_slave' start='0x20800' end='0x21000' type='altera_nios2_gen2.debug_mem_slave' /></address-map> + <address-map><slave name='mem.s1' start='0x0' end='0x8000' type='altera_avalon_onchip_memory2.s1' /><slave name='cpu.debug_mem_slave' start='0x8800' end='0x9000' type='altera_nios2_gen2.debug_mem_slave' /></address-map> faSlaveMapParam @@ -1092,7 +1092,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord dataSlaveMapParam - <address-map><slave name='mem.s2' start='0x0' end='0x20000' type='altera_avalon_onchip_memory2.s2' /><slave name='cpu.debug_mem_slave' start='0x20800' end='0x21000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='sys_clk_timer.s1' start='0x21000' end='0x21020' type='altera_avalon_timer.s1' /><slave name='jtag_uart.avalon_jtag_slave' start='0x21020' end='0x21028' type='altera_avalon_jtag_uart.avalon_jtag_slave' /><slave name='sigdel_0.avalon_slave' start='0x21028' end='0x2102C' type='sigdel.avalon_slave' /></address-map> + <address-map><slave name='mem.s2' start='0x0' end='0x8000' type='altera_avalon_onchip_memory2.s2' /><slave name='cpu.debug_mem_slave' start='0x8800' end='0x9000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='sys_clk_timer.s1' start='0x9000' end='0x9020' type='altera_avalon_timer.s1' /><slave name='jtag_uart.avalon_jtag_slave' start='0x9020' end='0x9028' type='altera_avalon_jtag_uart.avalon_jtag_slave' /><slave name='sigdel_0.avalon_slave' start='0x9028' end='0x902C' type='sigdel.avalon_slave' /></address-map> tightlyCoupledDataMaster0MapParam @@ -1168,11 +1168,11 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord AUTO_DEVICE - EP4CE115F29C7 + EP4CE15F23C8 AUTO_DEVICE_SPEEDGRADE - 7 + 8 AUTO_CLK_CLOCK_DOMAIN @@ -1205,7 +1205,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord BREAK_ADDR - 0x00020820 + 0x00008820 CPU_ARCH_NIOS2_R1 @@ -1229,7 +1229,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord DATA_ADDR_WIDTH - 18 + 16 DCACHE_LINE_SIZE @@ -1301,7 +1301,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord INST_ADDR_WIDTH - 18 + 16 OCI_VERSION @@ -1576,7 +1576,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord memorySize - 131072 + 32768 readDuringWriteMode @@ -1644,11 +1644,11 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord derived_set_addr_width - 15 + 13 derived_set_addr_width2 - 15 + 13 derived_set_data_width @@ -1737,7 +1737,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord SIZE_VALUE - 131072 + 32768 WRITABLE diff --git a/Top/niosII/niosII.xml b/Top/niosII/niosII.xml index 560676e..43d38a6 100644 --- a/Top/niosII/niosII.xml +++ b/Top/niosII/niosII.xml @@ -1,6 +1,6 @@ - - + + - + niosII" instantiated altera_nios2_gen2 "cpu"]]> queue size: 52 starting:altera_nios2_gen2_unit "submodules/niosII_cpu_cpu" Starting RTL generation for module 'niosII_cpu_cpu' - Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//eperlcmd -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=/tmp/alt9384_4608439243490427137.dir/0010_cpu_gen/ --quartus_bindir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/ --verilog --config=/tmp/alt9384_4608439243490427137.dir/0010_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] - # 2023.01.27 17:49:34 (*) Starting Nios II generation - # 2023.01.27 17:49:34 (*) Checking for plaintext license. - # 2023.01.27 17:49:34 (*) Couldn't query license setup in Quartus directory /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/ - # 2023.01.27 17:49:34 (*) Defaulting to contents of LM_LICENSE_FILE environment variable - # 2023.01.27 17:49:34 (*) LM_LICENSE_FILE environment variable is empty - # 2023.01.27 17:49:34 (*) Plaintext license not found. - # 2023.01.27 17:49:34 (*) No license required to generate encrypted Nios II/e. - # 2023.01.27 17:49:34 (*) Elaborating CPU configuration settings - # 2023.01.27 17:49:35 (*) Creating all objects for CPU - # 2023.01.27 17:49:35 (*) Generating RTL from CPU objects - # 2023.01.27 17:49:35 (*) Creating plain-text RTL - # 2023.01.27 17:49:36 (*) Done Nios II generation + Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//eperlcmd -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=/tmp/alt9395_1147342592986019742.dir/0008_cpu_gen/ --quartus_bindir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/ --verilog --config=/tmp/alt9395_1147342592986019742.dir/0008_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] + # 2023.02.07 12:18:49 (*) Starting Nios II generation + # 2023.02.07 12:18:49 (*) Checking for plaintext license. + # 2023.02.07 12:18:50 (*) Plaintext license not found. + # 2023.02.07 12:18:50 (*) No license required to generate encrypted Nios II/e. + # 2023.02.07 12:18:50 (*) Elaborating CPU configuration settings + # 2023.02.07 12:18:50 (*) Creating all objects for CPU + # 2023.02.07 12:18:50 (*) Generating RTL from CPU objects + # 2023.02.07 12:18:50 (*) Creating plain-text RTL + # 2023.02.07 12:18:51 (*) Done Nios II generation Done RTL generation for module 'niosII_cpu_cpu' cpu" instantiated altera_nios2_gen2_unit "cpu"]]> queue size: 7 starting:altera_avalon_jtag_uart "submodules/niosII_jtag_uart" Starting RTL generation for module 'niosII_jtag_uart' - Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=niosII_jtag_uart --dir=/tmp/alt9384_4608439243490427137.dir/0004_jtag_uart_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9384_4608439243490427137.dir/0004_jtag_uart_gen//niosII_jtag_uart_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=niosII_jtag_uart --dir=/tmp/alt9395_1147342592986019742.dir/0002_jtag_uart_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9395_1147342592986019742.dir/0002_jtag_uart_gen//niosII_jtag_uart_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_jtag_uart' niosII" instantiated altera_avalon_jtag_uart "jtag_uart"]]> queue size: 6 starting:altera_avalon_onchip_memory2 "submodules/niosII_mem" Starting RTL generation for module 'niosII_mem' - Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=niosII_mem --dir=/tmp/alt9384_4608439243490427137.dir/0005_mem_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9384_4608439243490427137.dir/0005_mem_gen//niosII_mem_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=niosII_mem --dir=/tmp/alt9395_1147342592986019742.dir/0003_mem_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9395_1147342592986019742.dir/0003_mem_gen//niosII_mem_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_mem' niosII" instantiated altera_avalon_onchip_memory2 "mem"]]> queue size: 5 starting:sigdel "submodules/sigdel" niosII" instantiated sigdel "sigdel_0"]]> queue size: 4 starting:altera_avalon_timer "submodules/niosII_sys_clk_timer" Starting RTL generation for module 'niosII_sys_clk_timer' - Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=niosII_sys_clk_timer --dir=/tmp/alt9384_4608439243490427137.dir/0007_sys_clk_timer_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9384_4608439243490427137.dir/0007_sys_clk_timer_gen//niosII_sys_clk_timer_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=niosII_sys_clk_timer --dir=/tmp/alt9395_1147342592986019742.dir/0005_sys_clk_timer_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9395_1147342592986019742.dir/0005_sys_clk_timer_gen//niosII_sys_clk_timer_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_sys_clk_timer' niosII" instantiated altera_avalon_timer "sys_clk_timer"]]> queue size: 3 starting:altera_mm_interconnect "submodules/niosII_mm_interconnect_0" @@ -846,50 +843,50 @@ Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s - Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.000s + Timing: ELA:2/0.001s/0.001s Timing: ELA:1/0.003s Timing: COM:3/0.015s/0.020s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.001s + Timing: ELA:2/0.000s/0.001s Timing: ELA:1/0.003s - Timing: COM:3/0.007s/0.008s + Timing: COM:3/0.013s/0.025s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.003s - Timing: COM:3/0.007s/0.008s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.002s + Timing: COM:3/0.008s/0.009s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.004s - Timing: COM:3/0.008s/0.008s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.003s + Timing: COM:3/0.007s/0.008s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s + Timing: ELA:1/0.001s Timing: ELA:2/0.000s/0.000s Timing: ELA:1/0.002s - Timing: COM:3/0.007s/0.007s + Timing: COM:3/0.006s/0.007s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.002s - Timing: COM:3/0.007s/0.008s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.004s + Timing: COM:3/0.007s/0.009s 54 modules, 175 connections]]> @@ -1009,7 +1006,7 @@ - + @@ -1051,7 +1048,7 @@ + value="<address-map><slave name='mem.s2' start='0x0' end='0x8000' type='altera_avalon_onchip_memory2.s2' /><slave name='cpu.debug_mem_slave' start='0x8800' end='0x9000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='sys_clk_timer.s1' start='0x9000' end='0x9020' type='altera_avalon_timer.s1' /><slave name='jtag_uart.avalon_jtag_slave' start='0x9020' end='0x9028' type='altera_avalon_jtag_uart.avalon_jtag_slave' /><slave name='sigdel_0.avalon_slave' start='0x9028' end='0x902C' type='sigdel.avalon_slave' /></address-map>" /> @@ -1065,9 +1062,9 @@ - + - + @@ -1112,7 +1109,7 @@ - + @@ -1129,7 +1126,7 @@ - + @@ -1200,7 +1197,7 @@ + value="<address-map><slave name='mem.s1' start='0x0' end='0x8000' type='altera_avalon_onchip_memory2.s1' /><slave name='cpu.debug_mem_slave' start='0x8800' end='0x9000' type='altera_nios2_gen2.debug_mem_slave' /></address-map>" /> @@ -1285,19 +1282,16 @@ niosII" instantiated altera_nios2_gen2 "cpu"]]> queue size: 52 starting:altera_nios2_gen2_unit "submodules/niosII_cpu_cpu" Starting RTL generation for module 'niosII_cpu_cpu' - Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//eperlcmd -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=/tmp/alt9384_4608439243490427137.dir/0010_cpu_gen/ --quartus_bindir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/ --verilog --config=/tmp/alt9384_4608439243490427137.dir/0010_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] - # 2023.01.27 17:49:34 (*) Starting Nios II generation - # 2023.01.27 17:49:34 (*) Checking for plaintext license. - # 2023.01.27 17:49:34 (*) Couldn't query license setup in Quartus directory /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/ - # 2023.01.27 17:49:34 (*) Defaulting to contents of LM_LICENSE_FILE environment variable - # 2023.01.27 17:49:34 (*) LM_LICENSE_FILE environment variable is empty - # 2023.01.27 17:49:34 (*) Plaintext license not found. - # 2023.01.27 17:49:34 (*) No license required to generate encrypted Nios II/e. - # 2023.01.27 17:49:34 (*) Elaborating CPU configuration settings - # 2023.01.27 17:49:35 (*) Creating all objects for CPU - # 2023.01.27 17:49:35 (*) Generating RTL from CPU objects - # 2023.01.27 17:49:35 (*) Creating plain-text RTL - # 2023.01.27 17:49:36 (*) Done Nios II generation + Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//eperlcmd -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=/tmp/alt9395_1147342592986019742.dir/0008_cpu_gen/ --quartus_bindir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/ --verilog --config=/tmp/alt9395_1147342592986019742.dir/0008_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] + # 2023.02.07 12:18:49 (*) Starting Nios II generation + # 2023.02.07 12:18:49 (*) Checking for plaintext license. + # 2023.02.07 12:18:50 (*) Plaintext license not found. + # 2023.02.07 12:18:50 (*) No license required to generate encrypted Nios II/e. + # 2023.02.07 12:18:50 (*) Elaborating CPU configuration settings + # 2023.02.07 12:18:50 (*) Creating all objects for CPU + # 2023.02.07 12:18:50 (*) Generating RTL from CPU objects + # 2023.02.07 12:18:50 (*) Creating plain-text RTL + # 2023.02.07 12:18:51 (*) Done Nios II generation Done RTL generation for module 'niosII_cpu_cpu' cpu" instantiated altera_nios2_gen2_unit "cpu"]]> @@ -1341,14 +1335,14 @@ queue size: 7 starting:altera_avalon_jtag_uart "submodules/niosII_jtag_uart" Starting RTL generation for module 'niosII_jtag_uart' - Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=niosII_jtag_uart --dir=/tmp/alt9384_4608439243490427137.dir/0004_jtag_uart_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9384_4608439243490427137.dir/0004_jtag_uart_gen//niosII_jtag_uart_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=niosII_jtag_uart --dir=/tmp/alt9395_1147342592986019742.dir/0002_jtag_uart_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9395_1147342592986019742.dir/0002_jtag_uart_gen//niosII_jtag_uart_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_jtag_uart' niosII" instantiated altera_avalon_jtag_uart "jtag_uart"]]> - + - + @@ -1384,7 +1378,7 @@ - + @@ -1410,7 +1404,7 @@ queue size: 6 starting:altera_avalon_onchip_memory2 "submodules/niosII_mem" Starting RTL generation for module 'niosII_mem' - Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=niosII_mem --dir=/tmp/alt9384_4608439243490427137.dir/0005_mem_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9384_4608439243490427137.dir/0005_mem_gen//niosII_mem_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=niosII_mem --dir=/tmp/alt9395_1147342592986019742.dir/0003_mem_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9395_1147342592986019742.dir/0003_mem_gen//niosII_mem_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_mem' niosII" instantiated altera_avalon_onchip_memory2 "mem"]]> @@ -1496,189 +1490,189 @@ queue size: 4 starting:altera_avalon_timer "submodules/niosII_sys_clk_timer" Starting RTL generation for module 'niosII_sys_clk_timer' - Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=niosII_sys_clk_timer --dir=/tmp/alt9384_4608439243490427137.dir/0007_sys_clk_timer_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9384_4608439243490427137.dir/0007_sys_clk_timer_gen//niosII_sys_clk_timer_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=niosII_sys_clk_timer --dir=/tmp/alt9395_1147342592986019742.dir/0005_sys_clk_timer_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9395_1147342592986019742.dir/0005_sys_clk_timer_gen//niosII_sys_clk_timer_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_sys_clk_timer' niosII" instantiated altera_avalon_timer "sys_clk_timer"]]> - + +};set_instance_parameter_value {cpu_instruction_master_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {cpu_instruction_master_agent} {ID} {1};set_instance_parameter_value {cpu_instruction_master_agent} {BURSTWRAP_VALUE} {3};set_instance_parameter_value {cpu_instruction_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {cpu_instruction_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {cpu_instruction_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {cpu_instruction_master_agent} {USE_WRITERESPONSE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_H} {91};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_L} {89};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_H} {88};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_L} {87};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_H} {66};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_L} {64};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_LOCK} {56};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BEGIN_BURST} {71};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_H} {82};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_L} {80};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_H} {63};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_L} {61};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_H} {60};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_L} {58};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_H} {51};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_COMPRESSED_READ} {52};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_POSTED} {53};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_WRITE} {54};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_READ} {55};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_H} {75};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_L} {73};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_H} {78};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_L} {76};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_DATA_W} {92};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ID} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ECC_ENABLE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {93};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sigdel_0_avalon_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_ORI_BURST_SIZE_H} {91};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_ORI_BURST_SIZE_L} {89};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_RESPONSE_STATUS_H} {88};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_RESPONSE_STATUS_L} {87};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BURST_SIZE_H} {66};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BURST_SIZE_L} {64};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_TRANS_LOCK} {56};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BEGIN_BURST} {71};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_PROTECTION_H} {82};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_PROTECTION_L} {80};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BURSTWRAP_H} {63};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BURSTWRAP_L} {61};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BYTE_CNT_H} {60};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BYTE_CNT_L} {58};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_ADDR_H} {51};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_TRANS_COMPRESSED_READ} {52};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_TRANS_POSTED} {53};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_TRANS_WRITE} {54};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_TRANS_READ} {55};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_SRC_ID_H} {75};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_SRC_ID_L} {73};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_DEST_ID_H} {78};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_DEST_ID_L} {76};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {ST_DATA_W} {92};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {ID} {4};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {ECC_ENABLE} {0};add_instance {sigdel_0_avalon_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {93};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {cpu_debug_mem_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ORI_BURST_SIZE_H} {91};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ORI_BURST_SIZE_L} {89};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_RESPONSE_STATUS_H} {88};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_RESPONSE_STATUS_L} {87};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURST_SIZE_H} {66};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURST_SIZE_L} {64};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_LOCK} {56};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BEGIN_BURST} {71};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_PROTECTION_H} {82};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_PROTECTION_L} {80};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURSTWRAP_H} {63};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURSTWRAP_L} {61};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTE_CNT_H} {60};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTE_CNT_L} {58};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ADDR_H} {51};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_COMPRESSED_READ} {52};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_POSTED} {53};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_WRITE} {54};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_READ} {55};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_SRC_ID_H} {75};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_SRC_ID_L} {73};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DEST_ID_H} {78};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DEST_ID_L} {76};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ST_DATA_W} {92};set_instance_parameter_value {cpu_debug_mem_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {cpu_debug_mem_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};set_instance_parameter_value {cpu_debug_mem_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {cpu_debug_mem_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ID} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ECC_ENABLE} {0};add_instance {cpu_debug_mem_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {93};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sys_clk_timer_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ORI_BURST_SIZE_H} {91};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ORI_BURST_SIZE_L} {89};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_RESPONSE_STATUS_H} {88};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_RESPONSE_STATUS_L} {87};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURST_SIZE_H} {66};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURST_SIZE_L} {64};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_LOCK} {56};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BEGIN_BURST} {71};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_PROTECTION_H} {82};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_PROTECTION_L} {80};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURSTWRAP_H} {63};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURSTWRAP_L} {61};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTE_CNT_H} {60};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTE_CNT_L} {58};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ADDR_H} {51};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_COMPRESSED_READ} {52};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_POSTED} {53};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_WRITE} {54};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_READ} {55};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_SRC_ID_H} {75};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_SRC_ID_L} {73};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DEST_ID_H} {78};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DEST_ID_L} {76};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sys_clk_timer_s1_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {sys_clk_timer_s1_agent} {ST_DATA_W} {92};set_instance_parameter_value {sys_clk_timer_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sys_clk_timer_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sys_clk_timer_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sys_clk_timer_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sys_clk_timer_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sys_clk_timer_s1_agent} {ID} {5};set_instance_parameter_value {sys_clk_timer_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {ECC_ENABLE} {0};add_instance {sys_clk_timer_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {93};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {mem_s2_agent} {altera_merlin_slave_agent};set_instance_parameter_value {mem_s2_agent} {PKT_ORI_BURST_SIZE_H} {91};set_instance_parameter_value {mem_s2_agent} {PKT_ORI_BURST_SIZE_L} {89};set_instance_parameter_value {mem_s2_agent} {PKT_RESPONSE_STATUS_H} {88};set_instance_parameter_value {mem_s2_agent} {PKT_RESPONSE_STATUS_L} {87};set_instance_parameter_value {mem_s2_agent} {PKT_BURST_SIZE_H} {66};set_instance_parameter_value {mem_s2_agent} {PKT_BURST_SIZE_L} {64};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_LOCK} {56};set_instance_parameter_value {mem_s2_agent} {PKT_BEGIN_BURST} {71};set_instance_parameter_value {mem_s2_agent} {PKT_PROTECTION_H} {82};set_instance_parameter_value {mem_s2_agent} {PKT_PROTECTION_L} {80};set_instance_parameter_value {mem_s2_agent} {PKT_BURSTWRAP_H} {63};set_instance_parameter_value {mem_s2_agent} {PKT_BURSTWRAP_L} {61};set_instance_parameter_value {mem_s2_agent} {PKT_BYTE_CNT_H} {60};set_instance_parameter_value {mem_s2_agent} {PKT_BYTE_CNT_L} {58};set_instance_parameter_value {mem_s2_agent} {PKT_ADDR_H} {51};set_instance_parameter_value {mem_s2_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_COMPRESSED_READ} {52};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_POSTED} {53};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_WRITE} {54};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_READ} {55};set_instance_parameter_value {mem_s2_agent} {PKT_DATA_H} {31};set_instance_parameter_value {mem_s2_agent} {PKT_DATA_L} {0};set_instance_parameter_value {mem_s2_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {mem_s2_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {mem_s2_agent} {PKT_SRC_ID_H} {75};set_instance_parameter_value {mem_s2_agent} {PKT_SRC_ID_L} {73};set_instance_parameter_value {mem_s2_agent} {PKT_DEST_ID_H} {78};set_instance_parameter_value {mem_s2_agent} {PKT_DEST_ID_L} {76};set_instance_parameter_value {mem_s2_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {mem_s2_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {mem_s2_agent} {ST_DATA_W} {92};set_instance_parameter_value {mem_s2_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {mem_s2_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {mem_s2_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {mem_s2_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};set_instance_parameter_value {mem_s2_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {mem_s2_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {mem_s2_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {mem_s2_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {mem_s2_agent} {ID} {3};set_instance_parameter_value {mem_s2_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {mem_s2_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {mem_s2_agent} {ECC_ENABLE} {0};add_instance {mem_s2_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {BITS_PER_SYMBOL} {93};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {mem_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {mem_s1_agent} {PKT_ORI_BURST_SIZE_H} {91};set_instance_parameter_value {mem_s1_agent} {PKT_ORI_BURST_SIZE_L} {89};set_instance_parameter_value {mem_s1_agent} {PKT_RESPONSE_STATUS_H} {88};set_instance_parameter_value {mem_s1_agent} {PKT_RESPONSE_STATUS_L} {87};set_instance_parameter_value {mem_s1_agent} {PKT_BURST_SIZE_H} {66};set_instance_parameter_value {mem_s1_agent} {PKT_BURST_SIZE_L} {64};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_LOCK} {56};set_instance_parameter_value {mem_s1_agent} {PKT_BEGIN_BURST} {71};set_instance_parameter_value {mem_s1_agent} {PKT_PROTECTION_H} {82};set_instance_parameter_value {mem_s1_agent} {PKT_PROTECTION_L} {80};set_instance_parameter_value {mem_s1_agent} {PKT_BURSTWRAP_H} {63};set_instance_parameter_value {mem_s1_agent} {PKT_BURSTWRAP_L} {61};set_instance_parameter_value {mem_s1_agent} {PKT_BYTE_CNT_H} {60};set_instance_parameter_value {mem_s1_agent} {PKT_BYTE_CNT_L} {58};set_instance_parameter_value {mem_s1_agent} {PKT_ADDR_H} {51};set_instance_parameter_value {mem_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_COMPRESSED_READ} {52};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_POSTED} {53};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_WRITE} {54};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_READ} {55};set_instance_parameter_value {mem_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {mem_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {mem_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {mem_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {mem_s1_agent} {PKT_SRC_ID_H} {75};set_instance_parameter_value {mem_s1_agent} {PKT_SRC_ID_L} {73};set_instance_parameter_value {mem_s1_agent} {PKT_DEST_ID_H} {78};set_instance_parameter_value {mem_s1_agent} {PKT_DEST_ID_L} {76};set_instance_parameter_value {mem_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {mem_s1_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {mem_s1_agent} {ST_DATA_W} {92};set_instance_parameter_value {mem_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {mem_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {mem_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {mem_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};set_instance_parameter_value {mem_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {mem_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {mem_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {mem_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {mem_s1_agent} {ID} {2};set_instance_parameter_value {mem_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {mem_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {mem_s1_agent} {ECC_ENABLE} {0};add_instance {mem_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {93};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {router} {altera_merlin_router};set_instance_parameter_value {router} {DESTINATION_ID} {3 0 5 1 4 };set_instance_parameter_value {router} {CHANNEL_ID} {10000 00100 01000 00001 00010 };set_instance_parameter_value {router} {TYPE_OF_TRANSACTION} {both both both both write };set_instance_parameter_value {router} {START_ADDRESS} {0x0 0x8800 0x9000 0x9020 0x9028 };set_instance_parameter_value {router} {END_ADDRESS} {0x8000 0x9000 0x9020 0x9028 0x902c };set_instance_parameter_value {router} {NON_SECURED_TAG} {1 1 1 1 1 };set_instance_parameter_value {router} {SECURED_RANGE_PAIRS} {0 0 0 0 0 };set_instance_parameter_value {router} {SECURED_RANGE_LIST} {0 0 0 0 0 };set_instance_parameter_value {router} {SPAN_OFFSET} {};set_instance_parameter_value {router} {PKT_ADDR_H} {51};set_instance_parameter_value {router} {PKT_ADDR_L} {36};set_instance_parameter_value {router} {PKT_PROTECTION_H} {82};set_instance_parameter_value {router} {PKT_PROTECTION_L} {80};set_instance_parameter_value {router} {PKT_DEST_ID_H} {78};set_instance_parameter_value {router} {PKT_DEST_ID_L} {76};set_instance_parameter_value {router} {PKT_TRANS_WRITE} {54};set_instance_parameter_value {router} {PKT_TRANS_READ} {55};set_instance_parameter_value {router} {ST_DATA_W} {92};set_instance_parameter_value {router} {ST_CHANNEL_W} {6};set_instance_parameter_value {router} {DECODER_TYPE} {0};set_instance_parameter_value {router} {DEFAULT_CHANNEL} {4};set_instance_parameter_value {router} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_DESTID} {3};set_instance_parameter_value {router} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router} {MEMORY_ALIASING_DECODE} {0};add_instance {router_001} {altera_merlin_router};set_instance_parameter_value {router_001} {DESTINATION_ID} {2 0 };set_instance_parameter_value {router_001} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router_001} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router_001} {START_ADDRESS} {0x0 0x8800 };set_instance_parameter_value {router_001} {END_ADDRESS} {0x8000 0x9000 };set_instance_parameter_value {router_001} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_001} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_001} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_001} {SPAN_OFFSET} {};set_instance_parameter_value {router_001} {PKT_ADDR_H} {51};set_instance_parameter_value {router_001} {PKT_ADDR_L} {36};set_instance_parameter_value {router_001} {PKT_PROTECTION_H} {82};set_instance_parameter_value {router_001} {PKT_PROTECTION_L} {80};set_instance_parameter_value {router_001} {PKT_DEST_ID_H} {78};set_instance_parameter_value {router_001} {PKT_DEST_ID_L} {76};set_instance_parameter_value {router_001} {PKT_TRANS_WRITE} {54};set_instance_parameter_value {router_001} {PKT_TRANS_READ} {55};set_instance_parameter_value {router_001} {ST_DATA_W} {92};set_instance_parameter_value {router_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_001} {DECODER_TYPE} {0};set_instance_parameter_value {router_001} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router_001} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_DESTID} {2};set_instance_parameter_value {router_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_001} {MEMORY_ALIASING_DECODE} {0};add_instance {router_002} {altera_merlin_router};set_instance_parameter_value {router_002} {DESTINATION_ID} {0 };set_instance_parameter_value {router_002} {CHANNEL_ID} {1 };set_instance_parameter_value {router_002} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_002} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_002} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_002} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_002} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_002} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_002} {SPAN_OFFSET} {};set_instance_parameter_value {router_002} {PKT_ADDR_H} {51};set_instance_parameter_value {router_002} {PKT_ADDR_L} {36};set_instance_parameter_value {router_002} {PKT_PROTECTION_H} {82};set_instance_parameter_value {router_002} {PKT_PROTECTION_L} {80};set_instance_parameter_value {router_002} {PKT_DEST_ID_H} {78};set_instance_parameter_value {router_002} {PKT_DEST_ID_L} {76};set_instance_parameter_value {router_002} {PKT_TRANS_WRITE} {54};set_instance_parameter_value {router_002} {PKT_TRANS_READ} {55};set_instance_parameter_value {router_002} {ST_DATA_W} {92};set_instance_parameter_value {router_002} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_002} {DECODER_TYPE} {1};set_instance_parameter_value {router_002} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_002} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_002} {MEMORY_ALIASING_DECODE} {0};add_instance {router_003} {altera_merlin_router};set_instance_parameter_value {router_003} {DESTINATION_ID} {0 };set_instance_parameter_value {router_003} {CHANNEL_ID} {1 };set_instance_parameter_value {router_003} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_003} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_003} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_003} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_003} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_003} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_003} {SPAN_OFFSET} {};set_instance_parameter_value {router_003} {PKT_ADDR_H} {51};set_instance_parameter_value {router_003} {PKT_ADDR_L} {36};set_instance_parameter_value {router_003} {PKT_PROTECTION_H} {82};set_instance_parameter_value {router_003} {PKT_PROTECTION_L} {80};set_instance_parameter_value {router_003} {PKT_DEST_ID_H} {78};set_instance_parameter_value {router_003} {PKT_DEST_ID_L} {76};set_instance_parameter_value {router_003} {PKT_TRANS_WRITE} {54};set_instance_parameter_value {router_003} {PKT_TRANS_READ} {55};set_instance_parameter_value {router_003} {ST_DATA_W} {92};set_instance_parameter_value {router_003} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_003} {DECODER_TYPE} {1};set_instance_parameter_value {router_003} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_003} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_003} {MEMORY_ALIASING_DECODE} {0};add_instance {router_004} {altera_merlin_router};set_instance_parameter_value {router_004} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_004} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_004} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_004} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_004} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_004} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_004} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_004} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_004} {SPAN_OFFSET} {};set_instance_parameter_value {router_004} {PKT_ADDR_H} {51};set_instance_parameter_value {router_004} {PKT_ADDR_L} {36};set_instance_parameter_value {router_004} {PKT_PROTECTION_H} {82};set_instance_parameter_value {router_004} {PKT_PROTECTION_L} {80};set_instance_parameter_value {router_004} {PKT_DEST_ID_H} {78};set_instance_parameter_value {router_004} {PKT_DEST_ID_L} {76};set_instance_parameter_value {router_004} {PKT_TRANS_WRITE} {54};set_instance_parameter_value {router_004} {PKT_TRANS_READ} {55};set_instance_parameter_value {router_004} {ST_DATA_W} {92};set_instance_parameter_value {router_004} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_004} {DECODER_TYPE} {1};set_instance_parameter_value {router_004} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_004} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_004} {MEMORY_ALIASING_DECODE} {0};add_instance {router_005} {altera_merlin_router};set_instance_parameter_value {router_005} {DESTINATION_ID} {0 };set_instance_parameter_value {router_005} {CHANNEL_ID} {1 };set_instance_parameter_value {router_005} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_005} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_005} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_005} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_005} {SPAN_OFFSET} {};set_instance_parameter_value {router_005} {PKT_ADDR_H} {51};set_instance_parameter_value {router_005} {PKT_ADDR_L} {36};set_instance_parameter_value {router_005} {PKT_PROTECTION_H} {82};set_instance_parameter_value {router_005} {PKT_PROTECTION_L} {80};set_instance_parameter_value {router_005} {PKT_DEST_ID_H} {78};set_instance_parameter_value {router_005} {PKT_DEST_ID_L} {76};set_instance_parameter_value {router_005} {PKT_TRANS_WRITE} {54};set_instance_parameter_value {router_005} {PKT_TRANS_READ} {55};set_instance_parameter_value {router_005} {ST_DATA_W} {92};set_instance_parameter_value {router_005} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_005} {DECODER_TYPE} {1};set_instance_parameter_value {router_005} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_005} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_005} {MEMORY_ALIASING_DECODE} {0};add_instance {router_006} {altera_merlin_router};set_instance_parameter_value {router_006} {DESTINATION_ID} {0 };set_instance_parameter_value {router_006} {CHANNEL_ID} {1 };set_instance_parameter_value {router_006} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_006} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_006} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_006} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_006} {SPAN_OFFSET} {};set_instance_parameter_value {router_006} {PKT_ADDR_H} {51};set_instance_parameter_value {router_006} {PKT_ADDR_L} {36};set_instance_parameter_value {router_006} {PKT_PROTECTION_H} {82};set_instance_parameter_value {router_006} {PKT_PROTECTION_L} {80};set_instance_parameter_value {router_006} {PKT_DEST_ID_H} {78};set_instance_parameter_value {router_006} {PKT_DEST_ID_L} {76};set_instance_parameter_value {router_006} {PKT_TRANS_WRITE} {54};set_instance_parameter_value {router_006} {PKT_TRANS_READ} {55};set_instance_parameter_value {router_006} {ST_DATA_W} {92};set_instance_parameter_value {router_006} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_006} {DECODER_TYPE} {1};set_instance_parameter_value {router_006} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_006} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_006} {MEMORY_ALIASING_DECODE} {0};add_instance {router_007} {altera_merlin_router};set_instance_parameter_value {router_007} {DESTINATION_ID} {1 };set_instance_parameter_value {router_007} {CHANNEL_ID} {1 };set_instance_parameter_value {router_007} {TYPE_OF_TRANSACTION} {read };set_instance_parameter_value {router_007} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_007} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_007} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_007} {SPAN_OFFSET} {};set_instance_parameter_value {router_007} {PKT_ADDR_H} {51};set_instance_parameter_value {router_007} {PKT_ADDR_L} {36};set_instance_parameter_value {router_007} {PKT_PROTECTION_H} {82};set_instance_parameter_value {router_007} {PKT_PROTECTION_L} {80};set_instance_parameter_value {router_007} {PKT_DEST_ID_H} {78};set_instance_parameter_value {router_007} {PKT_DEST_ID_L} {76};set_instance_parameter_value {router_007} {PKT_TRANS_WRITE} {54};set_instance_parameter_value {router_007} {PKT_TRANS_READ} {55};set_instance_parameter_value {router_007} {ST_DATA_W} {92};set_instance_parameter_value {router_007} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_007} {DECODER_TYPE} {1};set_instance_parameter_value {router_007} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_007} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_DESTID} {1};set_instance_parameter_value {router_007} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_007} {MEMORY_ALIASING_DECODE} {0};add_instance {cmd_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux} {ST_DATA_W} {92};set_instance_parameter_value {cmd_demux} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_demux} {NUM_OUTPUTS} {5};set_instance_parameter_value {cmd_demux} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};add_instance {cmd_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_001} {ST_DATA_W} {92};set_instance_parameter_value {cmd_demux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_demux_001} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};add_instance {cmd_mux} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux} {ST_DATA_W} {92};set_instance_parameter_value {cmd_mux} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux} {PKT_TRANS_LOCK} {56};set_instance_parameter_value {cmd_mux} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_001} {ST_DATA_W} {92};set_instance_parameter_value {cmd_mux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_001} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_001} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_001} {PKT_TRANS_LOCK} {56};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_002} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_002} {ST_DATA_W} {92};set_instance_parameter_value {cmd_mux_002} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_002} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_002} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_002} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_002} {PKT_TRANS_LOCK} {56};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_003} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_003} {ST_DATA_W} {92};set_instance_parameter_value {cmd_mux_003} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_003} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_003} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_003} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_003} {PKT_TRANS_LOCK} {56};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_004} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_004} {ST_DATA_W} {92};set_instance_parameter_value {cmd_mux_004} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_004} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_004} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_004} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_004} {PKT_TRANS_LOCK} {56};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_005} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_005} {ST_DATA_W} {92};set_instance_parameter_value {cmd_mux_005} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_005} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_005} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_005} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_005} {PKT_TRANS_LOCK} {56};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};add_instance {rsp_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux} {ST_DATA_W} {92};set_instance_parameter_value {rsp_demux} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_001} {ST_DATA_W} {92};set_instance_parameter_value {rsp_demux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_001} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_002} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_002} {ST_DATA_W} {92};set_instance_parameter_value {rsp_demux_002} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_002} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_002} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_003} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_003} {ST_DATA_W} {92};set_instance_parameter_value {rsp_demux_003} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_003} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_003} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_004} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_004} {ST_DATA_W} {92};set_instance_parameter_value {rsp_demux_004} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_004} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_004} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_005} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_005} {ST_DATA_W} {92};set_instance_parameter_value {rsp_demux_005} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_005} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_005} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};add_instance {rsp_mux} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux} {ST_DATA_W} {92};set_instance_parameter_value {rsp_mux} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_mux} {NUM_INPUTS} {5};set_instance_parameter_value {rsp_mux} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux} {PKT_TRANS_LOCK} {56};set_instance_parameter_value {rsp_mux} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux} {ARBITRATION_SHARES} {1 1 1 1 1 };set_instance_parameter_value {rsp_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};add_instance {rsp_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_001} {ST_DATA_W} {92};set_instance_parameter_value {rsp_mux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_mux_001} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux_001} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_001} {PKT_TRANS_LOCK} {56};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};add_instance {cpu_reset_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {cpu_reset_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {cpu_reset_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {cpu_reset_reset_bridge} {NUM_RESET_OUTPUTS} {1};set_instance_parameter_value {cpu_reset_reset_bridge} {USE_RESET_REQUEST} {0};add_instance {clk_clk_clock_bridge} {altera_clock_bridge};set_instance_parameter_value {clk_clk_clock_bridge} {EXPLICIT_CLOCK_RATE} {50000000};set_instance_parameter_value {clk_clk_clock_bridge} {NUM_CLOCK_OUTPUTS} {1};add_connection {cpu_data_master_translator.avalon_universal_master_0} {cpu_data_master_agent.av} {avalon};set_connection_parameter_value {cpu_data_master_translator.avalon_universal_master_0/cpu_data_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {cpu_data_master_translator.avalon_universal_master_0/cpu_data_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {cpu_data_master_translator.avalon_universal_master_0/cpu_data_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux.src} {cpu_data_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux.src/cpu_data_master_agent.rp} {qsys_mm.response};add_connection {cpu_instruction_master_translator.avalon_universal_master_0} {cpu_instruction_master_agent.av} {avalon};set_connection_parameter_value {cpu_instruction_master_translator.avalon_universal_master_0/cpu_instruction_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {cpu_instruction_master_translator.avalon_universal_master_0/cpu_instruction_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {cpu_instruction_master_translator.avalon_universal_master_0/cpu_instruction_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux_001.src} {cpu_instruction_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux_001.src/cpu_instruction_master_agent.rp} {qsys_mm.response};add_connection {jtag_uart_avalon_jtag_slave_agent.m0} {jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {jtag_uart_avalon_jtag_slave_agent.rf_source} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.out} {jtag_uart_avalon_jtag_slave_agent.rf_sink} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_src} {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux.src} {jtag_uart_avalon_jtag_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux.src/jtag_uart_avalon_jtag_slave_agent.cp} {qsys_mm.command};add_connection {sigdel_0_avalon_slave_agent.m0} {sigdel_0_avalon_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sigdel_0_avalon_slave_agent.m0/sigdel_0_avalon_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sigdel_0_avalon_slave_agent.m0/sigdel_0_avalon_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sigdel_0_avalon_slave_agent.m0/sigdel_0_avalon_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sigdel_0_avalon_slave_agent.rf_source} {sigdel_0_avalon_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {sigdel_0_avalon_slave_agent_rsp_fifo.out} {sigdel_0_avalon_slave_agent.rf_sink} {avalon_streaming};add_connection {sigdel_0_avalon_slave_agent.rdata_fifo_src} {sigdel_0_avalon_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_001.src} {sigdel_0_avalon_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_001.src/sigdel_0_avalon_slave_agent.cp} {qsys_mm.command};add_connection {cpu_debug_mem_slave_agent.m0} {cpu_debug_mem_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {cpu_debug_mem_slave_agent.m0/cpu_debug_mem_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {cpu_debug_mem_slave_agent.m0/cpu_debug_mem_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {cpu_debug_mem_slave_agent.m0/cpu_debug_mem_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {cpu_debug_mem_slave_agent.rf_source} {cpu_debug_mem_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {cpu_debug_mem_slave_agent_rsp_fifo.out} {cpu_debug_mem_slave_agent.rf_sink} {avalon_streaming};add_connection {cpu_debug_mem_slave_agent.rdata_fifo_src} {cpu_debug_mem_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_002.src} {cpu_debug_mem_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_002.src/cpu_debug_mem_slave_agent.cp} {qsys_mm.command};add_connection {sys_clk_timer_s1_agent.m0} {sys_clk_timer_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sys_clk_timer_s1_agent.m0/sys_clk_timer_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sys_clk_timer_s1_agent.m0/sys_clk_timer_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sys_clk_timer_s1_agent.m0/sys_clk_timer_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sys_clk_timer_s1_agent.rf_source} {sys_clk_timer_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {sys_clk_timer_s1_agent_rsp_fifo.out} {sys_clk_timer_s1_agent.rf_sink} {avalon_streaming};add_connection {sys_clk_timer_s1_agent.rdata_fifo_src} {sys_clk_timer_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_003.src} {sys_clk_timer_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_003.src/sys_clk_timer_s1_agent.cp} {qsys_mm.command};add_connection {mem_s2_agent.m0} {mem_s2_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {mem_s2_agent.m0/mem_s2_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {mem_s2_agent.m0/mem_s2_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {mem_s2_agent.m0/mem_s2_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {mem_s2_agent.rf_source} {mem_s2_agent_rsp_fifo.in} {avalon_streaming};add_connection {mem_s2_agent_rsp_fifo.out} {mem_s2_agent.rf_sink} {avalon_streaming};add_connection {mem_s2_agent.rdata_fifo_src} {mem_s2_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_004.src} {mem_s2_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_004.src/mem_s2_agent.cp} {qsys_mm.command};add_connection {mem_s1_agent.m0} {mem_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {mem_s1_agent.m0/mem_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {mem_s1_agent.m0/mem_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {mem_s1_agent.m0/mem_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {mem_s1_agent.rf_source} {mem_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {mem_s1_agent_rsp_fifo.out} {mem_s1_agent.rf_sink} {avalon_streaming};add_connection {mem_s1_agent.rdata_fifo_src} {mem_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_005.src} {mem_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_005.src/mem_s1_agent.cp} {qsys_mm.command};add_connection {cpu_data_master_agent.cp} {router.sink} {avalon_streaming};preview_set_connection_tag {cpu_data_master_agent.cp/router.sink} {qsys_mm.command};add_connection {router.src} {cmd_demux.sink} {avalon_streaming};preview_set_connection_tag {router.src/cmd_demux.sink} {qsys_mm.command};add_connection {cpu_instruction_master_agent.cp} {router_001.sink} {avalon_streaming};preview_set_connection_tag {cpu_instruction_master_agent.cp/router_001.sink} {qsys_mm.command};add_connection {router_001.src} {cmd_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_001.src/cmd_demux_001.sink} {qsys_mm.command};add_connection {jtag_uart_avalon_jtag_slave_agent.rp} {router_002.sink} {avalon_streaming};preview_set_connection_tag {jtag_uart_avalon_jtag_slave_agent.rp/router_002.sink} {qsys_mm.response};add_connection {router_002.src} {rsp_demux.sink} {avalon_streaming};preview_set_connection_tag {router_002.src/rsp_demux.sink} {qsys_mm.response};add_connection {sigdel_0_avalon_slave_agent.rp} {router_003.sink} {avalon_streaming};preview_set_connection_tag {sigdel_0_avalon_slave_agent.rp/router_003.sink} {qsys_mm.response};add_connection {router_003.src} {rsp_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_003.src/rsp_demux_001.sink} {qsys_mm.response};add_connection {cpu_debug_mem_slave_agent.rp} {router_004.sink} {avalon_streaming};preview_set_connection_tag {cpu_debug_mem_slave_agent.rp/router_004.sink} {qsys_mm.response};add_connection {router_004.src} {rsp_demux_002.sink} {avalon_streaming};preview_set_connection_tag {router_004.src/rsp_demux_002.sink} {qsys_mm.response};add_connection {sys_clk_timer_s1_agent.rp} {router_005.sink} {avalon_streaming};preview_set_connection_tag {sys_clk_timer_s1_agent.rp/router_005.sink} {qsys_mm.response};add_connection {router_005.src} {rsp_demux_003.sink} {avalon_streaming};preview_set_connection_tag {router_005.src/rsp_demux_003.sink} {qsys_mm.response};add_connection {mem_s2_agent.rp} {router_006.sink} {avalon_streaming};preview_set_connection_tag {mem_s2_agent.rp/router_006.sink} {qsys_mm.response};add_connection {router_006.src} {rsp_demux_004.sink} {avalon_streaming};preview_set_connection_tag {router_006.src/rsp_demux_004.sink} {qsys_mm.response};add_connection {mem_s1_agent.rp} {router_007.sink} {avalon_streaming};preview_set_connection_tag {mem_s1_agent.rp/router_007.sink} {qsys_mm.response};add_connection {router_007.src} {rsp_demux_005.sink} {avalon_streaming};preview_set_connection_tag {router_007.src/rsp_demux_005.sink} {qsys_mm.response};add_connection {cmd_demux.src0} {cmd_mux.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src0/cmd_mux.sink0} {qsys_mm.command};add_connection {cmd_demux.src1} {cmd_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src1/cmd_mux_001.sink0} {qsys_mm.command};add_connection {cmd_demux.src2} {cmd_mux_002.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src2/cmd_mux_002.sink0} {qsys_mm.command};add_connection {cmd_demux.src3} {cmd_mux_003.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src3/cmd_mux_003.sink0} {qsys_mm.command};add_connection {cmd_demux.src4} {cmd_mux_004.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src4/cmd_mux_004.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src0} {cmd_mux_002.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src0/cmd_mux_002.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src1} {cmd_mux_005.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src1/cmd_mux_005.sink0} {qsys_mm.command};add_connection {rsp_demux.src0} {rsp_mux.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src0/rsp_mux.sink0} {qsys_mm.response};add_connection {rsp_demux_001.src0} {rsp_mux.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src0/rsp_mux.sink1} {qsys_mm.response};add_connection {rsp_demux_002.src0} {rsp_mux.sink2} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src0/rsp_mux.sink2} {qsys_mm.response};add_connection {rsp_demux_002.src1} {rsp_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src1/rsp_mux_001.sink0} {qsys_mm.response};add_connection {rsp_demux_003.src0} {rsp_mux.sink3} {avalon_streaming};preview_set_connection_tag {rsp_demux_003.src0/rsp_mux.sink3} {qsys_mm.response};add_connection {rsp_demux_004.src0} {rsp_mux.sink4} {avalon_streaming};preview_set_connection_tag {rsp_demux_004.src0/rsp_mux.sink4} {qsys_mm.response};add_connection {rsp_demux_005.src0} {rsp_mux_001.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_005.src0/rsp_mux_001.sink1} {qsys_mm.response};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_data_master_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_instruction_master_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sigdel_0_avalon_slave_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_debug_mem_slave_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sys_clk_timer_s1_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s2_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s1_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_data_master_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_instruction_master_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sigdel_0_avalon_slave_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sigdel_0_avalon_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_debug_mem_slave_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_debug_mem_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sys_clk_timer_s1_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sys_clk_timer_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s2_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s2_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s1_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_002.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_003.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_004.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_005.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_006.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_007.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_demux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_demux_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_002.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_003.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_004.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_005.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_002.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_003.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_004.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_005.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_mux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_mux_001.clk_reset} {reset};add_connection {clk_clk_clock_bridge.out_clk} {cpu_data_master_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_instruction_master_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sigdel_0_avalon_slave_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_debug_mem_slave_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sys_clk_timer_s1_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s2_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s1_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_data_master_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_instruction_master_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sigdel_0_avalon_slave_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sigdel_0_avalon_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_debug_mem_slave_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_debug_mem_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sys_clk_timer_s1_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sys_clk_timer_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s2_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s2_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s1_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_002.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_003.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_004.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_005.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_006.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_007.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_demux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_mux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_demux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_mux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_002.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_002.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_003.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_003.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_004.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_004.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_005.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_005.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_reset_reset_bridge.clk} {clock};add_interface {clk_clk} {clock} {slave};set_interface_property {clk_clk} {EXPORT_OF} {clk_clk_clock_bridge.in_clk};add_interface {cpu_reset_reset_bridge_in_reset} {reset} {slave};set_interface_property {cpu_reset_reset_bridge_in_reset} {EXPORT_OF} {cpu_reset_reset_bridge.in_reset};add_interface {cpu_data_master} {avalon} {slave};set_interface_property {cpu_data_master} {EXPORT_OF} {cpu_data_master_translator.avalon_anti_master_0};add_interface {cpu_instruction_master} {avalon} {slave};set_interface_property {cpu_instruction_master} {EXPORT_OF} {cpu_instruction_master_translator.avalon_anti_master_0};add_interface {cpu_debug_mem_slave} {avalon} {master};set_interface_property {cpu_debug_mem_slave} {EXPORT_OF} {cpu_debug_mem_slave_translator.avalon_anti_slave_0};add_interface {jtag_uart_avalon_jtag_slave} {avalon} {master};set_interface_property {jtag_uart_avalon_jtag_slave} {EXPORT_OF} {jtag_uart_avalon_jtag_slave_translator.avalon_anti_slave_0};add_interface {mem_s1} {avalon} {master};set_interface_property {mem_s1} {EXPORT_OF} {mem_s1_translator.avalon_anti_slave_0};add_interface {mem_s2} {avalon} {master};set_interface_property {mem_s2} {EXPORT_OF} {mem_s2_translator.avalon_anti_slave_0};add_interface {sigdel_0_avalon_slave} {avalon} {master};set_interface_property {sigdel_0_avalon_slave} {EXPORT_OF} {sigdel_0_avalon_slave_translator.avalon_anti_slave_0};add_interface {sys_clk_timer_s1} {avalon} {master};set_interface_property {sys_clk_timer_s1} {EXPORT_OF} {sys_clk_timer_s1_translator.avalon_anti_slave_0};set_module_assignment {interconnect_id.cpu.data_master} {0};set_module_assignment {interconnect_id.cpu.debug_mem_slave} {0};set_module_assignment {interconnect_id.cpu.instruction_master} {1};set_module_assignment {interconnect_id.jtag_uart.avalon_jtag_slave} {1};set_module_assignment {interconnect_id.mem.s1} {2};set_module_assignment {interconnect_id.mem.s2} {3};set_module_assignment {interconnect_id.sigdel_0.avalon_slave} {4};set_module_assignment {interconnect_id.sys_clk_timer.s1} {5};" /> Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s - Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.000s + Timing: ELA:2/0.001s/0.001s Timing: ELA:1/0.003s Timing: COM:3/0.015s/0.020s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.001s + Timing: ELA:2/0.000s/0.001s Timing: ELA:1/0.003s - Timing: COM:3/0.007s/0.008s + Timing: COM:3/0.013s/0.025s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.003s - Timing: COM:3/0.007s/0.008s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.002s + Timing: COM:3/0.008s/0.009s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.004s - Timing: COM:3/0.008s/0.008s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.003s + Timing: COM:3/0.007s/0.008s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s + Timing: ELA:1/0.001s Timing: ELA:2/0.000s/0.000s Timing: ELA:1/0.002s - Timing: COM:3/0.007s/0.007s + Timing: COM:3/0.006s/0.007s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.002s - Timing: COM:3/0.007s/0.008s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.004s + Timing: COM:3/0.007s/0.009s 54 modules, 175 connections]]> @@ -2266,7 +2260,7 @@ - + @@ -2331,7 +2325,7 @@ + value="<address-map><slave name='mem.s2' start='0x0' end='0x8000' type='altera_avalon_onchip_memory2.s2' /><slave name='cpu.debug_mem_slave' start='0x8800' end='0x9000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='sys_clk_timer.s1' start='0x9000' end='0x9020' type='altera_avalon_timer.s1' /><slave name='jtag_uart.avalon_jtag_slave' start='0x9020' end='0x9028' type='altera_avalon_jtag_uart.avalon_jtag_slave' /><slave name='sigdel_0.avalon_slave' start='0x9028' end='0x902C' type='sigdel.avalon_slave' /></address-map>" /> @@ -2358,9 +2352,9 @@ - + - + @@ -2437,7 +2431,7 @@ + value="<address-map><slave name='mem.s1' start='0x0' end='0x8000' type='altera_avalon_onchip_memory2.s1' /><slave name='cpu.debug_mem_slave' start='0x8800' end='0x9000' type='altera_nios2_gen2.debug_mem_slave' /></address-map>" /> @@ -2507,26 +2501,23 @@ queue size: 52 starting:altera_nios2_gen2_unit "submodules/niosII_cpu_cpu" Starting RTL generation for module 'niosII_cpu_cpu' - Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//eperlcmd -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=/tmp/alt9384_4608439243490427137.dir/0010_cpu_gen/ --quartus_bindir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/ --verilog --config=/tmp/alt9384_4608439243490427137.dir/0010_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] - # 2023.01.27 17:49:34 (*) Starting Nios II generation - # 2023.01.27 17:49:34 (*) Checking for plaintext license. - # 2023.01.27 17:49:34 (*) Couldn't query license setup in Quartus directory /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/ - # 2023.01.27 17:49:34 (*) Defaulting to contents of LM_LICENSE_FILE environment variable - # 2023.01.27 17:49:34 (*) LM_LICENSE_FILE environment variable is empty - # 2023.01.27 17:49:34 (*) Plaintext license not found. - # 2023.01.27 17:49:34 (*) No license required to generate encrypted Nios II/e. - # 2023.01.27 17:49:34 (*) Elaborating CPU configuration settings - # 2023.01.27 17:49:35 (*) Creating all objects for CPU - # 2023.01.27 17:49:35 (*) Generating RTL from CPU objects - # 2023.01.27 17:49:35 (*) Creating plain-text RTL - # 2023.01.27 17:49:36 (*) Done Nios II generation + Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//eperlcmd -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=/tmp/alt9395_1147342592986019742.dir/0008_cpu_gen/ --quartus_bindir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/ --verilog --config=/tmp/alt9395_1147342592986019742.dir/0008_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] + # 2023.02.07 12:18:49 (*) Starting Nios II generation + # 2023.02.07 12:18:49 (*) Checking for plaintext license. + # 2023.02.07 12:18:50 (*) Plaintext license not found. + # 2023.02.07 12:18:50 (*) No license required to generate encrypted Nios II/e. + # 2023.02.07 12:18:50 (*) Elaborating CPU configuration settings + # 2023.02.07 12:18:50 (*) Creating all objects for CPU + # 2023.02.07 12:18:50 (*) Generating RTL from CPU objects + # 2023.02.07 12:18:50 (*) Creating plain-text RTL + # 2023.02.07 12:18:51 (*) Done Nios II generation Done RTL generation for module 'niosII_cpu_cpu' cpu" instantiated altera_nios2_gen2_unit "cpu"]]> - - + + + value="3:10000:0x0:0x8000:both:1:0:0:1,0:00100:0x8800:0x9000:both:1:0:0:1,5:01000:0x9000:0x9020:both:1:0:0:1,1:00001:0x9020:0x9028:both:1:0:0:1,4:00010:0x9028:0x902c:write:1:0:0:1" /> - - + + - + + value="ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)" /> - + - - - - + + + + @@ -2764,39 +2755,39 @@ - - + + + value="2:10:0x0:0x8000:both:1:0:0:1,0:01:0x8800:0x9000:both:1:0:0:1" /> - - + + - + + value="ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)" /> - + - - - - + + + + @@ -2820,37 +2811,37 @@ - + - - + + - + + value="ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)" /> - + - + - - + + @@ -2876,14 +2867,14 @@ - + @@ -2891,24 +2882,24 @@ name="SLAVES_INFO" value="0:01:0x0:0x0:both:1:0:0:1,1:10:0x0:0x0:read:1:0:0:1" /> - - + + - + + value="ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)" /> - + - + - - + + @@ -2932,37 +2923,37 @@ - + - - + + - + + value="ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)" /> - + - + - - + + @@ -2986,19 +2977,19 @@ + value="ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)" /> - + + value="ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)" /> - + + value="ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)" /> - + - + + value="ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)" /> - + - + + value="ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)" /> - + + value="ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)" /> - + - + + value="ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)" /> - + - + - + diff --git a/Top/niosII/synthesis/niosII.debuginfo b/Top/niosII/synthesis/niosII.debuginfo index 052164a..466a071 100644 --- a/Top/niosII/synthesis/niosII.debuginfo +++ b/Top/niosII/synthesis/niosII.debuginfo @@ -1,7 +1,7 @@ - + com.altera.sopcmodel.ensemble.EClockAdapter @@ -13,7 +13,7 @@ java.lang.String - EP4CE115F29C7 + EP4CE15F23C8 false true true @@ -29,7 +29,7 @@ java.lang.String - 7 + 8 false true false @@ -53,7 +53,7 @@ int - 1674830971 + 1675761526 false true true @@ -512,7 +512,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.BREAK_ADDR - 0x00020820 + 0x00008820 embeddedsw.CMacro.CPU_ARCH_NIOS2_R1 @@ -536,7 +536,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.DATA_ADDR_WIDTH - 18 + 16 embeddedsw.CMacro.DCACHE_LINE_SIZE @@ -608,7 +608,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.INST_ADDR_WIDTH - 18 + 16 embeddedsw.CMacro.OCI_VERSION @@ -1848,7 +1848,7 @@ the requested settings for a module instance. --> int - 133152 + 34848 true true false @@ -1960,7 +1960,7 @@ the requested settings for a module instance. --> int - 18 + 16 false true false @@ -1980,7 +1980,7 @@ the requested settings for a module instance. --> int - 18 + 16 false true false @@ -2090,7 +2090,7 @@ the requested settings for a module instance. --> java.lang.String - ]]> + ]]> false true false @@ -2110,7 +2110,7 @@ the requested settings for a module instance. --> java.lang.String - ]]> + ]]> false true false @@ -2298,7 +2298,7 @@ the requested settings for a module instance. --> java.lang.String - EP4CE115F29C7 + EP4CE15F23C8 false true false @@ -2307,7 +2307,7 @@ the requested settings for a module instance. --> java.lang.String - 7 + 8 false true false @@ -2731,7 +2731,7 @@ parameters are a RESULT of the module parameters. --> d_address Output - 18 + 16 address @@ -2782,7 +2782,7 @@ parameters are a RESULT of the module parameters. --> cpu_data_master mm_interconnect_0.cpu_data_master 0 - 262144 + 65536 @@ -3050,7 +3050,7 @@ parameters are a RESULT of the module parameters. --> i_address Output - 18 + 16 address @@ -3077,7 +3077,7 @@ parameters are a RESULT of the module parameters. --> cpu_instruction_master mm_interconnect_0.cpu_instruction_master 0 - 262144 + 65536 @@ -4489,7 +4489,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.SIZE_VALUE - 131072 + 32768 embeddedsw.CMacro.WRITABLE @@ -4621,7 +4621,7 @@ the requested settings for a module instance. --> long - 131072 + 32768 false true true @@ -4760,7 +4760,7 @@ the requested settings for a module instance. --> int - 15 + 13 true true false @@ -4768,7 +4768,7 @@ the requested settings for a module instance. --> int - 15 + 13 true true false @@ -4860,7 +4860,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 131072 + 32768 true true false @@ -4948,7 +4948,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 131072 + 32768 false true true @@ -5159,7 +5159,7 @@ parameters are a RESULT of the module parameters. --> address Input - 15 + 13 address @@ -5237,7 +5237,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 131072 + 32768 true true false @@ -5325,7 +5325,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 131072 + 32768 false true true @@ -5536,7 +5536,7 @@ parameters are a RESULT of the module parameters. --> address2 Input - 15 + 13 address @@ -7006,62 +7006,62 @@ the requested settings for a module instance. --> java.lang.String - + -};set_instance_parameter_value {cpu_data_master_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {cpu_data_master_agent} {ID} {0};set_instance_parameter_value {cpu_data_master_agent} {BURSTWRAP_VALUE} {7};set_instance_parameter_value {cpu_data_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {cpu_data_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {cpu_data_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {cpu_data_master_agent} {USE_WRITERESPONSE} {0};add_instance {cpu_instruction_master_agent} {altera_merlin_master_agent};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_QOS_H} {74};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_QOS_L} {74};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_DATA_SIDEBAND_H} {72};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_DATA_SIDEBAND_L} {72};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_ADDR_SIDEBAND_H} {71};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_ADDR_SIDEBAND_L} {71};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BURST_TYPE_H} {70};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BURST_TYPE_L} {69};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_CACHE_H} {88};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_CACHE_L} {85};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_THREAD_ID_H} {81};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_THREAD_ID_L} {81};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_TRANS_EXCLUSIVE} {59};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_DATA_H} {31};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_DATA_L} {0};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {cpu_instruction_master_agent} {ST_DATA_W} {94};set_instance_parameter_value {cpu_instruction_master_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {cpu_instruction_master_agent} {AV_BURSTCOUNT_W} {3};set_instance_parameter_value {cpu_instruction_master_agent} {AV_LINEWRAPBURSTS} {1};set_instance_parameter_value {cpu_instruction_master_agent} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {cpu_instruction_master_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {cpu_instruction_master_agent} {ADDR_MAP} { +};set_instance_parameter_value {cpu_data_master_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {cpu_data_master_agent} {ID} {0};set_instance_parameter_value {cpu_data_master_agent} {BURSTWRAP_VALUE} {7};set_instance_parameter_value {cpu_data_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {cpu_data_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {cpu_data_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {cpu_data_master_agent} {USE_WRITERESPONSE} {0};add_instance {cpu_instruction_master_agent} {altera_merlin_master_agent};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_ORI_BURST_SIZE_H} {91};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_ORI_BURST_SIZE_L} {89};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_RESPONSE_STATUS_H} {88};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_RESPONSE_STATUS_L} {87};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_QOS_H} {72};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_QOS_L} {72};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_DATA_SIDEBAND_H} {70};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_DATA_SIDEBAND_L} {70};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_ADDR_SIDEBAND_H} {69};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_ADDR_SIDEBAND_L} {69};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BURST_TYPE_H} {68};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BURST_TYPE_L} {67};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_CACHE_H} {86};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_CACHE_L} {83};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_THREAD_ID_H} {79};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_THREAD_ID_L} {79};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BURST_SIZE_H} {66};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BURST_SIZE_L} {64};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_TRANS_EXCLUSIVE} {57};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_TRANS_LOCK} {56};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BEGIN_BURST} {71};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_PROTECTION_H} {82};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_PROTECTION_L} {80};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BURSTWRAP_H} {63};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BURSTWRAP_L} {61};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BYTE_CNT_H} {60};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BYTE_CNT_L} {58};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_ADDR_H} {51};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_TRANS_COMPRESSED_READ} {52};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_TRANS_POSTED} {53};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_TRANS_WRITE} {54};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_TRANS_READ} {55};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_DATA_H} {31};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_DATA_L} {0};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_SRC_ID_H} {75};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_SRC_ID_L} {73};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_DEST_ID_H} {78};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_DEST_ID_L} {76};set_instance_parameter_value {cpu_instruction_master_agent} {ST_DATA_W} {92};set_instance_parameter_value {cpu_instruction_master_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {cpu_instruction_master_agent} {AV_BURSTCOUNT_W} {3};set_instance_parameter_value {cpu_instruction_master_agent} {AV_LINEWRAPBURSTS} {1};set_instance_parameter_value {cpu_instruction_master_agent} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {cpu_instruction_master_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};set_instance_parameter_value {cpu_instruction_master_agent} {ADDR_MAP} { -};set_instance_parameter_value {cpu_instruction_master_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {cpu_instruction_master_agent} {ID} {1};set_instance_parameter_value {cpu_instruction_master_agent} {BURSTWRAP_VALUE} {3};set_instance_parameter_value {cpu_instruction_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {cpu_instruction_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {cpu_instruction_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {cpu_instruction_master_agent} {USE_WRITERESPONSE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_DATA_W} {94};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ID} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ECC_ENABLE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sigdel_0_avalon_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {ST_DATA_W} {94};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {ID} {4};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {ECC_ENABLE} {0};add_instance {sigdel_0_avalon_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {cpu_debug_mem_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ST_DATA_W} {94};set_instance_parameter_value {cpu_debug_mem_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {cpu_debug_mem_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {cpu_debug_mem_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {cpu_debug_mem_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ID} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ECC_ENABLE} {0};add_instance {cpu_debug_mem_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sys_clk_timer_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sys_clk_timer_s1_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {sys_clk_timer_s1_agent} {ST_DATA_W} {94};set_instance_parameter_value {sys_clk_timer_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sys_clk_timer_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sys_clk_timer_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sys_clk_timer_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sys_clk_timer_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sys_clk_timer_s1_agent} {ID} {5};set_instance_parameter_value {sys_clk_timer_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {ECC_ENABLE} {0};add_instance {sys_clk_timer_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {mem_s2_agent} {altera_merlin_slave_agent};set_instance_parameter_value {mem_s2_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {mem_s2_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {mem_s2_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {mem_s2_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {mem_s2_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {mem_s2_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {mem_s2_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {mem_s2_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {mem_s2_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {mem_s2_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {mem_s2_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {mem_s2_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {mem_s2_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {mem_s2_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {mem_s2_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {mem_s2_agent} {PKT_DATA_H} {31};set_instance_parameter_value {mem_s2_agent} {PKT_DATA_L} {0};set_instance_parameter_value {mem_s2_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {mem_s2_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {mem_s2_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {mem_s2_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {mem_s2_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {mem_s2_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {mem_s2_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {mem_s2_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {mem_s2_agent} {ST_DATA_W} {94};set_instance_parameter_value {mem_s2_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {mem_s2_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {mem_s2_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {mem_s2_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {mem_s2_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {mem_s2_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {mem_s2_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {mem_s2_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {mem_s2_agent} {ID} {3};set_instance_parameter_value {mem_s2_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {mem_s2_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {mem_s2_agent} {ECC_ENABLE} {0};add_instance {mem_s2_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {mem_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {mem_s1_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {mem_s1_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {mem_s1_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {mem_s1_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {mem_s1_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {mem_s1_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {mem_s1_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {mem_s1_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {mem_s1_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {mem_s1_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {mem_s1_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {mem_s1_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {mem_s1_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {mem_s1_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {mem_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {mem_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {mem_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {mem_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {mem_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {mem_s1_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {mem_s1_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {mem_s1_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {mem_s1_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {mem_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {mem_s1_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {mem_s1_agent} {ST_DATA_W} {94};set_instance_parameter_value {mem_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {mem_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {mem_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {mem_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {mem_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {mem_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {mem_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {mem_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {mem_s1_agent} {ID} {2};set_instance_parameter_value {mem_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {mem_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {mem_s1_agent} {ECC_ENABLE} {0};add_instance {mem_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {router} {altera_merlin_router};set_instance_parameter_value {router} {DESTINATION_ID} {3 0 5 1 4 };set_instance_parameter_value {router} {CHANNEL_ID} {10000 00100 01000 00001 00010 };set_instance_parameter_value {router} {TYPE_OF_TRANSACTION} {both both both both write };set_instance_parameter_value {router} {START_ADDRESS} {0x0 0x20800 0x21000 0x21020 0x21028 };set_instance_parameter_value {router} {END_ADDRESS} {0x20000 0x21000 0x21020 0x21028 0x2102c };set_instance_parameter_value {router} {NON_SECURED_TAG} {1 1 1 1 1 };set_instance_parameter_value {router} {SECURED_RANGE_PAIRS} {0 0 0 0 0 };set_instance_parameter_value {router} {SECURED_RANGE_LIST} {0 0 0 0 0 };set_instance_parameter_value {router} {SPAN_OFFSET} {};set_instance_parameter_value {router} {PKT_ADDR_H} {53};set_instance_parameter_value {router} {PKT_ADDR_L} {36};set_instance_parameter_value {router} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router} {PKT_TRANS_READ} {57};set_instance_parameter_value {router} {ST_DATA_W} {94};set_instance_parameter_value {router} {ST_CHANNEL_W} {6};set_instance_parameter_value {router} {DECODER_TYPE} {0};set_instance_parameter_value {router} {DEFAULT_CHANNEL} {4};set_instance_parameter_value {router} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_DESTID} {3};set_instance_parameter_value {router} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router} {MEMORY_ALIASING_DECODE} {0};add_instance {router_001} {altera_merlin_router};set_instance_parameter_value {router_001} {DESTINATION_ID} {2 0 };set_instance_parameter_value {router_001} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router_001} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router_001} {START_ADDRESS} {0x0 0x20800 };set_instance_parameter_value {router_001} {END_ADDRESS} {0x20000 0x21000 };set_instance_parameter_value {router_001} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_001} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_001} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_001} {SPAN_OFFSET} {};set_instance_parameter_value {router_001} {PKT_ADDR_H} {53};set_instance_parameter_value {router_001} {PKT_ADDR_L} {36};set_instance_parameter_value {router_001} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_001} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_001} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_001} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_001} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_001} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_001} {ST_DATA_W} {94};set_instance_parameter_value {router_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_001} {DECODER_TYPE} {0};set_instance_parameter_value {router_001} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router_001} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_DESTID} {2};set_instance_parameter_value {router_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_001} {MEMORY_ALIASING_DECODE} {0};add_instance {router_002} {altera_merlin_router};set_instance_parameter_value {router_002} {DESTINATION_ID} {0 };set_instance_parameter_value {router_002} {CHANNEL_ID} {1 };set_instance_parameter_value {router_002} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_002} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_002} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_002} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_002} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_002} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_002} {SPAN_OFFSET} {};set_instance_parameter_value {router_002} {PKT_ADDR_H} {53};set_instance_parameter_value {router_002} {PKT_ADDR_L} {36};set_instance_parameter_value {router_002} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_002} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_002} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_002} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_002} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_002} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_002} {ST_DATA_W} {94};set_instance_parameter_value {router_002} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_002} {DECODER_TYPE} {1};set_instance_parameter_value {router_002} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_002} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_002} {MEMORY_ALIASING_DECODE} {0};add_instance {router_003} {altera_merlin_router};set_instance_parameter_value {router_003} {DESTINATION_ID} {0 };set_instance_parameter_value {router_003} {CHANNEL_ID} {1 };set_instance_parameter_value {router_003} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_003} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_003} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_003} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_003} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_003} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_003} {SPAN_OFFSET} {};set_instance_parameter_value {router_003} {PKT_ADDR_H} {53};set_instance_parameter_value {router_003} {PKT_ADDR_L} {36};set_instance_parameter_value {router_003} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_003} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_003} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_003} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_003} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_003} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_003} {ST_DATA_W} {94};set_instance_parameter_value {router_003} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_003} {DECODER_TYPE} {1};set_instance_parameter_value {router_003} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_003} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_003} {MEMORY_ALIASING_DECODE} {0};add_instance {router_004} {altera_merlin_router};set_instance_parameter_value {router_004} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_004} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_004} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_004} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_004} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_004} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_004} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_004} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_004} {SPAN_OFFSET} {};set_instance_parameter_value {router_004} {PKT_ADDR_H} {53};set_instance_parameter_value {router_004} {PKT_ADDR_L} {36};set_instance_parameter_value {router_004} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_004} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_004} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_004} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_004} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_004} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_004} {ST_DATA_W} {94};set_instance_parameter_value {router_004} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_004} {DECODER_TYPE} {1};set_instance_parameter_value {router_004} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_004} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_004} {MEMORY_ALIASING_DECODE} {0};add_instance {router_005} {altera_merlin_router};set_instance_parameter_value {router_005} {DESTINATION_ID} {0 };set_instance_parameter_value {router_005} {CHANNEL_ID} {1 };set_instance_parameter_value {router_005} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_005} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_005} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_005} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_005} {SPAN_OFFSET} {};set_instance_parameter_value {router_005} {PKT_ADDR_H} {53};set_instance_parameter_value {router_005} {PKT_ADDR_L} {36};set_instance_parameter_value {router_005} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_005} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_005} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_005} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_005} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_005} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_005} {ST_DATA_W} {94};set_instance_parameter_value {router_005} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_005} {DECODER_TYPE} {1};set_instance_parameter_value {router_005} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_005} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_005} {MEMORY_ALIASING_DECODE} {0};add_instance {router_006} {altera_merlin_router};set_instance_parameter_value {router_006} {DESTINATION_ID} {0 };set_instance_parameter_value {router_006} {CHANNEL_ID} {1 };set_instance_parameter_value {router_006} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_006} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_006} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_006} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_006} {SPAN_OFFSET} {};set_instance_parameter_value {router_006} {PKT_ADDR_H} {53};set_instance_parameter_value {router_006} {PKT_ADDR_L} {36};set_instance_parameter_value {router_006} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_006} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_006} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_006} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_006} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_006} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_006} {ST_DATA_W} {94};set_instance_parameter_value {router_006} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_006} {DECODER_TYPE} {1};set_instance_parameter_value {router_006} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_006} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_006} {MEMORY_ALIASING_DECODE} {0};add_instance {router_007} {altera_merlin_router};set_instance_parameter_value {router_007} {DESTINATION_ID} {1 };set_instance_parameter_value {router_007} {CHANNEL_ID} {1 };set_instance_parameter_value {router_007} {TYPE_OF_TRANSACTION} {read };set_instance_parameter_value {router_007} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_007} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_007} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_007} {SPAN_OFFSET} {};set_instance_parameter_value {router_007} {PKT_ADDR_H} {53};set_instance_parameter_value {router_007} {PKT_ADDR_L} {36};set_instance_parameter_value {router_007} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_007} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_007} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_007} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_007} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_007} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_007} {ST_DATA_W} {94};set_instance_parameter_value {router_007} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_007} {DECODER_TYPE} {1};set_instance_parameter_value {router_007} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_007} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_DESTID} {1};set_instance_parameter_value {router_007} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_007} {MEMORY_ALIASING_DECODE} {0};add_instance {cmd_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux} {ST_DATA_W} {94};set_instance_parameter_value {cmd_demux} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_demux} {NUM_OUTPUTS} {5};set_instance_parameter_value {cmd_demux} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_001} {ST_DATA_W} {94};set_instance_parameter_value {cmd_demux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_demux_001} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_001} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_001} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_001} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_001} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_002} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_002} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_002} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_002} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_002} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_002} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_002} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_003} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_003} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_003} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_003} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_003} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_003} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_003} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_004} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_004} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_004} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_004} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_004} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_004} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_004} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_005} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_005} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_005} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_005} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_005} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_005} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_005} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_001} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_001} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_002} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_002} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_002} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_002} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_002} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_003} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_003} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_003} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_003} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_003} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_004} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_004} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_004} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_004} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_004} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_005} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_005} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_005} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_005} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_005} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_mux} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux} {ST_DATA_W} {94};set_instance_parameter_value {rsp_mux} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_mux} {NUM_INPUTS} {5};set_instance_parameter_value {rsp_mux} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {rsp_mux} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux} {ARBITRATION_SHARES} {1 1 1 1 1 };set_instance_parameter_value {rsp_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_001} {ST_DATA_W} {94};set_instance_parameter_value {rsp_mux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_mux_001} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux_001} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_001} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cpu_reset_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {cpu_reset_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {cpu_reset_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {cpu_reset_reset_bridge} {NUM_RESET_OUTPUTS} {1};set_instance_parameter_value {cpu_reset_reset_bridge} {USE_RESET_REQUEST} {0};add_instance {clk_clk_clock_bridge} {altera_clock_bridge};set_instance_parameter_value {clk_clk_clock_bridge} {EXPLICIT_CLOCK_RATE} {50000000};set_instance_parameter_value {clk_clk_clock_bridge} {NUM_CLOCK_OUTPUTS} {1};add_connection {cpu_data_master_translator.avalon_universal_master_0} {cpu_data_master_agent.av} {avalon};set_connection_parameter_value {cpu_data_master_translator.avalon_universal_master_0/cpu_data_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {cpu_data_master_translator.avalon_universal_master_0/cpu_data_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {cpu_data_master_translator.avalon_universal_master_0/cpu_data_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux.src} {cpu_data_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux.src/cpu_data_master_agent.rp} {qsys_mm.response};add_connection {cpu_instruction_master_translator.avalon_universal_master_0} {cpu_instruction_master_agent.av} {avalon};set_connection_parameter_value {cpu_instruction_master_translator.avalon_universal_master_0/cpu_instruction_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {cpu_instruction_master_translator.avalon_universal_master_0/cpu_instruction_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {cpu_instruction_master_translator.avalon_universal_master_0/cpu_instruction_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux_001.src} {cpu_instruction_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux_001.src/cpu_instruction_master_agent.rp} {qsys_mm.response};add_connection {jtag_uart_avalon_jtag_slave_agent.m0} {jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {jtag_uart_avalon_jtag_slave_agent.rf_source} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.out} {jtag_uart_avalon_jtag_slave_agent.rf_sink} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_src} {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux.src} {jtag_uart_avalon_jtag_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux.src/jtag_uart_avalon_jtag_slave_agent.cp} {qsys_mm.command};add_connection {sigdel_0_avalon_slave_agent.m0} {sigdel_0_avalon_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sigdel_0_avalon_slave_agent.m0/sigdel_0_avalon_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sigdel_0_avalon_slave_agent.m0/sigdel_0_avalon_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sigdel_0_avalon_slave_agent.m0/sigdel_0_avalon_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sigdel_0_avalon_slave_agent.rf_source} {sigdel_0_avalon_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {sigdel_0_avalon_slave_agent_rsp_fifo.out} {sigdel_0_avalon_slave_agent.rf_sink} {avalon_streaming};add_connection {sigdel_0_avalon_slave_agent.rdata_fifo_src} {sigdel_0_avalon_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_001.src} {sigdel_0_avalon_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_001.src/sigdel_0_avalon_slave_agent.cp} {qsys_mm.command};add_connection {cpu_debug_mem_slave_agent.m0} {cpu_debug_mem_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {cpu_debug_mem_slave_agent.m0/cpu_debug_mem_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {cpu_debug_mem_slave_agent.m0/cpu_debug_mem_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {cpu_debug_mem_slave_agent.m0/cpu_debug_mem_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {cpu_debug_mem_slave_agent.rf_source} {cpu_debug_mem_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {cpu_debug_mem_slave_agent_rsp_fifo.out} {cpu_debug_mem_slave_agent.rf_sink} {avalon_streaming};add_connection {cpu_debug_mem_slave_agent.rdata_fifo_src} {cpu_debug_mem_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_002.src} {cpu_debug_mem_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_002.src/cpu_debug_mem_slave_agent.cp} {qsys_mm.command};add_connection {sys_clk_timer_s1_agent.m0} {sys_clk_timer_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sys_clk_timer_s1_agent.m0/sys_clk_timer_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sys_clk_timer_s1_agent.m0/sys_clk_timer_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sys_clk_timer_s1_agent.m0/sys_clk_timer_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sys_clk_timer_s1_agent.rf_source} {sys_clk_timer_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {sys_clk_timer_s1_agent_rsp_fifo.out} {sys_clk_timer_s1_agent.rf_sink} {avalon_streaming};add_connection {sys_clk_timer_s1_agent.rdata_fifo_src} {sys_clk_timer_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_003.src} {sys_clk_timer_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_003.src/sys_clk_timer_s1_agent.cp} {qsys_mm.command};add_connection {mem_s2_agent.m0} {mem_s2_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {mem_s2_agent.m0/mem_s2_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {mem_s2_agent.m0/mem_s2_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {mem_s2_agent.m0/mem_s2_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {mem_s2_agent.rf_source} {mem_s2_agent_rsp_fifo.in} {avalon_streaming};add_connection {mem_s2_agent_rsp_fifo.out} {mem_s2_agent.rf_sink} {avalon_streaming};add_connection {mem_s2_agent.rdata_fifo_src} {mem_s2_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_004.src} {mem_s2_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_004.src/mem_s2_agent.cp} {qsys_mm.command};add_connection {mem_s1_agent.m0} {mem_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {mem_s1_agent.m0/mem_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {mem_s1_agent.m0/mem_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {mem_s1_agent.m0/mem_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {mem_s1_agent.rf_source} {mem_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {mem_s1_agent_rsp_fifo.out} {mem_s1_agent.rf_sink} {avalon_streaming};add_connection {mem_s1_agent.rdata_fifo_src} {mem_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_005.src} {mem_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_005.src/mem_s1_agent.cp} {qsys_mm.command};add_connection {cpu_data_master_agent.cp} {router.sink} {avalon_streaming};preview_set_connection_tag {cpu_data_master_agent.cp/router.sink} {qsys_mm.command};add_connection {router.src} {cmd_demux.sink} {avalon_streaming};preview_set_connection_tag {router.src/cmd_demux.sink} {qsys_mm.command};add_connection {cpu_instruction_master_agent.cp} {router_001.sink} {avalon_streaming};preview_set_connection_tag {cpu_instruction_master_agent.cp/router_001.sink} {qsys_mm.command};add_connection {router_001.src} {cmd_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_001.src/cmd_demux_001.sink} {qsys_mm.command};add_connection {jtag_uart_avalon_jtag_slave_agent.rp} {router_002.sink} {avalon_streaming};preview_set_connection_tag {jtag_uart_avalon_jtag_slave_agent.rp/router_002.sink} {qsys_mm.response};add_connection {router_002.src} {rsp_demux.sink} {avalon_streaming};preview_set_connection_tag {router_002.src/rsp_demux.sink} {qsys_mm.response};add_connection {sigdel_0_avalon_slave_agent.rp} {router_003.sink} {avalon_streaming};preview_set_connection_tag {sigdel_0_avalon_slave_agent.rp/router_003.sink} {qsys_mm.response};add_connection {router_003.src} {rsp_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_003.src/rsp_demux_001.sink} {qsys_mm.response};add_connection {cpu_debug_mem_slave_agent.rp} {router_004.sink} {avalon_streaming};preview_set_connection_tag {cpu_debug_mem_slave_agent.rp/router_004.sink} {qsys_mm.response};add_connection {router_004.src} {rsp_demux_002.sink} {avalon_streaming};preview_set_connection_tag {router_004.src/rsp_demux_002.sink} {qsys_mm.response};add_connection {sys_clk_timer_s1_agent.rp} {router_005.sink} {avalon_streaming};preview_set_connection_tag {sys_clk_timer_s1_agent.rp/router_005.sink} {qsys_mm.response};add_connection {router_005.src} {rsp_demux_003.sink} {avalon_streaming};preview_set_connection_tag {router_005.src/rsp_demux_003.sink} {qsys_mm.response};add_connection {mem_s2_agent.rp} {router_006.sink} {avalon_streaming};preview_set_connection_tag {mem_s2_agent.rp/router_006.sink} {qsys_mm.response};add_connection {router_006.src} {rsp_demux_004.sink} {avalon_streaming};preview_set_connection_tag {router_006.src/rsp_demux_004.sink} {qsys_mm.response};add_connection {mem_s1_agent.rp} {router_007.sink} {avalon_streaming};preview_set_connection_tag {mem_s1_agent.rp/router_007.sink} {qsys_mm.response};add_connection {router_007.src} {rsp_demux_005.sink} {avalon_streaming};preview_set_connection_tag {router_007.src/rsp_demux_005.sink} {qsys_mm.response};add_connection {cmd_demux.src0} {cmd_mux.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src0/cmd_mux.sink0} {qsys_mm.command};add_connection {cmd_demux.src1} {cmd_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src1/cmd_mux_001.sink0} {qsys_mm.command};add_connection {cmd_demux.src2} {cmd_mux_002.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src2/cmd_mux_002.sink0} {qsys_mm.command};add_connection {cmd_demux.src3} {cmd_mux_003.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src3/cmd_mux_003.sink0} {qsys_mm.command};add_connection {cmd_demux.src4} {cmd_mux_004.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src4/cmd_mux_004.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src0} {cmd_mux_002.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src0/cmd_mux_002.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src1} {cmd_mux_005.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src1/cmd_mux_005.sink0} {qsys_mm.command};add_connection {rsp_demux.src0} {rsp_mux.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src0/rsp_mux.sink0} {qsys_mm.response};add_connection {rsp_demux_001.src0} {rsp_mux.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src0/rsp_mux.sink1} {qsys_mm.response};add_connection {rsp_demux_002.src0} {rsp_mux.sink2} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src0/rsp_mux.sink2} {qsys_mm.response};add_connection {rsp_demux_002.src1} {rsp_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src1/rsp_mux_001.sink0} {qsys_mm.response};add_connection {rsp_demux_003.src0} {rsp_mux.sink3} {avalon_streaming};preview_set_connection_tag {rsp_demux_003.src0/rsp_mux.sink3} {qsys_mm.response};add_connection {rsp_demux_004.src0} {rsp_mux.sink4} {avalon_streaming};preview_set_connection_tag {rsp_demux_004.src0/rsp_mux.sink4} {qsys_mm.response};add_connection {rsp_demux_005.src0} {rsp_mux_001.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_005.src0/rsp_mux_001.sink1} {qsys_mm.response};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_data_master_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_instruction_master_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sigdel_0_avalon_slave_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_debug_mem_slave_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sys_clk_timer_s1_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s2_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s1_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_data_master_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_instruction_master_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sigdel_0_avalon_slave_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sigdel_0_avalon_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_debug_mem_slave_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_debug_mem_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sys_clk_timer_s1_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sys_clk_timer_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s2_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s2_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s1_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_002.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_003.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_004.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_005.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_006.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_007.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_demux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_demux_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_002.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_003.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_004.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_005.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_002.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_003.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_004.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_005.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_mux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_mux_001.clk_reset} {reset};add_connection {clk_clk_clock_bridge.out_clk} {cpu_data_master_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_instruction_master_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sigdel_0_avalon_slave_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_debug_mem_slave_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sys_clk_timer_s1_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s2_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s1_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_data_master_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_instruction_master_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sigdel_0_avalon_slave_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sigdel_0_avalon_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_debug_mem_slave_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_debug_mem_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sys_clk_timer_s1_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sys_clk_timer_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s2_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s2_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s1_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_002.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_003.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_004.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_005.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_006.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_007.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_demux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_mux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_demux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_mux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_002.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_002.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_003.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_003.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_004.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_004.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_005.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_005.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_reset_reset_bridge.clk} {clock};add_interface {clk_clk} {clock} {slave};set_interface_property {clk_clk} {EXPORT_OF} {clk_clk_clock_bridge.in_clk};add_interface {cpu_reset_reset_bridge_in_reset} {reset} {slave};set_interface_property {cpu_reset_reset_bridge_in_reset} {EXPORT_OF} {cpu_reset_reset_bridge.in_reset};add_interface {cpu_data_master} {avalon} {slave};set_interface_property {cpu_data_master} {EXPORT_OF} {cpu_data_master_translator.avalon_anti_master_0};add_interface {cpu_instruction_master} {avalon} {slave};set_interface_property {cpu_instruction_master} {EXPORT_OF} {cpu_instruction_master_translator.avalon_anti_master_0};add_interface {cpu_debug_mem_slave} {avalon} {master};set_interface_property {cpu_debug_mem_slave} {EXPORT_OF} {cpu_debug_mem_slave_translator.avalon_anti_slave_0};add_interface {jtag_uart_avalon_jtag_slave} {avalon} {master};set_interface_property {jtag_uart_avalon_jtag_slave} {EXPORT_OF} {jtag_uart_avalon_jtag_slave_translator.avalon_anti_slave_0};add_interface {mem_s1} {avalon} {master};set_interface_property {mem_s1} {EXPORT_OF} {mem_s1_translator.avalon_anti_slave_0};add_interface {mem_s2} {avalon} {master};set_interface_property {mem_s2} {EXPORT_OF} {mem_s2_translator.avalon_anti_slave_0};add_interface {sigdel_0_avalon_slave} {avalon} {master};set_interface_property {sigdel_0_avalon_slave} {EXPORT_OF} {sigdel_0_avalon_slave_translator.avalon_anti_slave_0};add_interface {sys_clk_timer_s1} {avalon} {master};set_interface_property {sys_clk_timer_s1} {EXPORT_OF} {sys_clk_timer_s1_translator.avalon_anti_slave_0};set_module_assignment {interconnect_id.cpu.data_master} {0};set_module_assignment {interconnect_id.cpu.debug_mem_slave} {0};set_module_assignment {interconnect_id.cpu.instruction_master} {1};set_module_assignment {interconnect_id.jtag_uart.avalon_jtag_slave} {1};set_module_assignment {interconnect_id.mem.s1} {2};set_module_assignment {interconnect_id.mem.s2} {3};set_module_assignment {interconnect_id.sigdel_0.avalon_slave} {4};set_module_assignment {interconnect_id.sys_clk_timer.s1} {5};]]> +};set_instance_parameter_value {cpu_instruction_master_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {cpu_instruction_master_agent} {ID} {1};set_instance_parameter_value {cpu_instruction_master_agent} {BURSTWRAP_VALUE} {3};set_instance_parameter_value {cpu_instruction_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {cpu_instruction_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {cpu_instruction_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {cpu_instruction_master_agent} {USE_WRITERESPONSE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_H} {91};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_L} {89};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_H} {88};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_L} {87};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_H} {66};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_L} {64};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_LOCK} {56};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BEGIN_BURST} {71};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_H} {82};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_L} {80};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_H} {63};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_L} {61};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_H} {60};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_L} {58};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_H} {51};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_COMPRESSED_READ} {52};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_POSTED} {53};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_WRITE} {54};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_READ} {55};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_H} {75};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_L} {73};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_H} {78};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_L} {76};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_DATA_W} {92};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ID} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ECC_ENABLE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {93};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sigdel_0_avalon_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_ORI_BURST_SIZE_H} {91};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_ORI_BURST_SIZE_L} {89};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_RESPONSE_STATUS_H} {88};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_RESPONSE_STATUS_L} {87};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BURST_SIZE_H} {66};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BURST_SIZE_L} {64};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_TRANS_LOCK} {56};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BEGIN_BURST} {71};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_PROTECTION_H} {82};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_PROTECTION_L} {80};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BURSTWRAP_H} {63};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BURSTWRAP_L} {61};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BYTE_CNT_H} {60};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BYTE_CNT_L} {58};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_ADDR_H} {51};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_TRANS_COMPRESSED_READ} {52};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_TRANS_POSTED} {53};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_TRANS_WRITE} {54};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_TRANS_READ} {55};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_SRC_ID_H} {75};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_SRC_ID_L} {73};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_DEST_ID_H} {78};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_DEST_ID_L} {76};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {ST_DATA_W} {92};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {ID} {4};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {ECC_ENABLE} {0};add_instance {sigdel_0_avalon_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {93};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {cpu_debug_mem_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ORI_BURST_SIZE_H} {91};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ORI_BURST_SIZE_L} {89};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_RESPONSE_STATUS_H} {88};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_RESPONSE_STATUS_L} {87};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURST_SIZE_H} {66};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURST_SIZE_L} {64};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_LOCK} {56};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BEGIN_BURST} {71};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_PROTECTION_H} {82};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_PROTECTION_L} {80};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURSTWRAP_H} {63};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURSTWRAP_L} {61};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTE_CNT_H} {60};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTE_CNT_L} {58};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ADDR_H} {51};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_COMPRESSED_READ} {52};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_POSTED} {53};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_WRITE} {54};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_READ} {55};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_SRC_ID_H} {75};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_SRC_ID_L} {73};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DEST_ID_H} {78};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DEST_ID_L} {76};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ST_DATA_W} {92};set_instance_parameter_value {cpu_debug_mem_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {cpu_debug_mem_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};set_instance_parameter_value {cpu_debug_mem_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {cpu_debug_mem_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ID} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ECC_ENABLE} {0};add_instance {cpu_debug_mem_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {93};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sys_clk_timer_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ORI_BURST_SIZE_H} {91};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ORI_BURST_SIZE_L} {89};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_RESPONSE_STATUS_H} {88};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_RESPONSE_STATUS_L} {87};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURST_SIZE_H} {66};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURST_SIZE_L} {64};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_LOCK} {56};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BEGIN_BURST} {71};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_PROTECTION_H} {82};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_PROTECTION_L} {80};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURSTWRAP_H} {63};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURSTWRAP_L} {61};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTE_CNT_H} {60};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTE_CNT_L} {58};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ADDR_H} {51};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_COMPRESSED_READ} {52};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_POSTED} {53};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_WRITE} {54};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_READ} {55};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_SRC_ID_H} {75};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_SRC_ID_L} {73};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DEST_ID_H} {78};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DEST_ID_L} {76};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sys_clk_timer_s1_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {sys_clk_timer_s1_agent} {ST_DATA_W} {92};set_instance_parameter_value {sys_clk_timer_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sys_clk_timer_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sys_clk_timer_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sys_clk_timer_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sys_clk_timer_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sys_clk_timer_s1_agent} {ID} {5};set_instance_parameter_value {sys_clk_timer_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {ECC_ENABLE} {0};add_instance {sys_clk_timer_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {93};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {mem_s2_agent} {altera_merlin_slave_agent};set_instance_parameter_value {mem_s2_agent} {PKT_ORI_BURST_SIZE_H} {91};set_instance_parameter_value {mem_s2_agent} {PKT_ORI_BURST_SIZE_L} {89};set_instance_parameter_value {mem_s2_agent} {PKT_RESPONSE_STATUS_H} {88};set_instance_parameter_value {mem_s2_agent} {PKT_RESPONSE_STATUS_L} {87};set_instance_parameter_value {mem_s2_agent} {PKT_BURST_SIZE_H} {66};set_instance_parameter_value {mem_s2_agent} {PKT_BURST_SIZE_L} {64};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_LOCK} {56};set_instance_parameter_value {mem_s2_agent} {PKT_BEGIN_BURST} {71};set_instance_parameter_value {mem_s2_agent} {PKT_PROTECTION_H} {82};set_instance_parameter_value {mem_s2_agent} {PKT_PROTECTION_L} {80};set_instance_parameter_value {mem_s2_agent} {PKT_BURSTWRAP_H} {63};set_instance_parameter_value {mem_s2_agent} {PKT_BURSTWRAP_L} {61};set_instance_parameter_value {mem_s2_agent} {PKT_BYTE_CNT_H} {60};set_instance_parameter_value {mem_s2_agent} {PKT_BYTE_CNT_L} {58};set_instance_parameter_value {mem_s2_agent} {PKT_ADDR_H} {51};set_instance_parameter_value {mem_s2_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_COMPRESSED_READ} {52};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_POSTED} {53};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_WRITE} {54};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_READ} {55};set_instance_parameter_value {mem_s2_agent} {PKT_DATA_H} {31};set_instance_parameter_value {mem_s2_agent} {PKT_DATA_L} {0};set_instance_parameter_value {mem_s2_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {mem_s2_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {mem_s2_agent} {PKT_SRC_ID_H} {75};set_instance_parameter_value {mem_s2_agent} {PKT_SRC_ID_L} {73};set_instance_parameter_value {mem_s2_agent} {PKT_DEST_ID_H} {78};set_instance_parameter_value {mem_s2_agent} {PKT_DEST_ID_L} {76};set_instance_parameter_value {mem_s2_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {mem_s2_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {mem_s2_agent} {ST_DATA_W} {92};set_instance_parameter_value {mem_s2_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {mem_s2_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {mem_s2_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {mem_s2_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};set_instance_parameter_value {mem_s2_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {mem_s2_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {mem_s2_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {mem_s2_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {mem_s2_agent} {ID} {3};set_instance_parameter_value {mem_s2_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {mem_s2_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {mem_s2_agent} {ECC_ENABLE} {0};add_instance {mem_s2_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {BITS_PER_SYMBOL} {93};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {mem_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {mem_s1_agent} {PKT_ORI_BURST_SIZE_H} {91};set_instance_parameter_value {mem_s1_agent} {PKT_ORI_BURST_SIZE_L} {89};set_instance_parameter_value {mem_s1_agent} {PKT_RESPONSE_STATUS_H} {88};set_instance_parameter_value {mem_s1_agent} {PKT_RESPONSE_STATUS_L} {87};set_instance_parameter_value {mem_s1_agent} {PKT_BURST_SIZE_H} {66};set_instance_parameter_value {mem_s1_agent} {PKT_BURST_SIZE_L} {64};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_LOCK} {56};set_instance_parameter_value {mem_s1_agent} {PKT_BEGIN_BURST} {71};set_instance_parameter_value {mem_s1_agent} {PKT_PROTECTION_H} {82};set_instance_parameter_value {mem_s1_agent} {PKT_PROTECTION_L} {80};set_instance_parameter_value {mem_s1_agent} {PKT_BURSTWRAP_H} {63};set_instance_parameter_value {mem_s1_agent} {PKT_BURSTWRAP_L} {61};set_instance_parameter_value {mem_s1_agent} {PKT_BYTE_CNT_H} {60};set_instance_parameter_value {mem_s1_agent} {PKT_BYTE_CNT_L} {58};set_instance_parameter_value {mem_s1_agent} {PKT_ADDR_H} {51};set_instance_parameter_value {mem_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_COMPRESSED_READ} {52};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_POSTED} {53};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_WRITE} {54};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_READ} {55};set_instance_parameter_value {mem_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {mem_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {mem_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {mem_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {mem_s1_agent} {PKT_SRC_ID_H} {75};set_instance_parameter_value {mem_s1_agent} {PKT_SRC_ID_L} {73};set_instance_parameter_value {mem_s1_agent} {PKT_DEST_ID_H} {78};set_instance_parameter_value {mem_s1_agent} {PKT_DEST_ID_L} {76};set_instance_parameter_value {mem_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {mem_s1_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {mem_s1_agent} {ST_DATA_W} {92};set_instance_parameter_value {mem_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {mem_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {mem_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {mem_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};set_instance_parameter_value {mem_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {mem_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {mem_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {mem_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {mem_s1_agent} {ID} {2};set_instance_parameter_value {mem_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {mem_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {mem_s1_agent} {ECC_ENABLE} {0};add_instance {mem_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {93};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {router} {altera_merlin_router};set_instance_parameter_value {router} {DESTINATION_ID} {3 0 5 1 4 };set_instance_parameter_value {router} {CHANNEL_ID} {10000 00100 01000 00001 00010 };set_instance_parameter_value {router} {TYPE_OF_TRANSACTION} {both both both both write };set_instance_parameter_value {router} {START_ADDRESS} {0x0 0x8800 0x9000 0x9020 0x9028 };set_instance_parameter_value {router} {END_ADDRESS} {0x8000 0x9000 0x9020 0x9028 0x902c };set_instance_parameter_value {router} {NON_SECURED_TAG} {1 1 1 1 1 };set_instance_parameter_value {router} {SECURED_RANGE_PAIRS} {0 0 0 0 0 };set_instance_parameter_value {router} {SECURED_RANGE_LIST} {0 0 0 0 0 };set_instance_parameter_value {router} {SPAN_OFFSET} {};set_instance_parameter_value {router} {PKT_ADDR_H} {51};set_instance_parameter_value {router} {PKT_ADDR_L} {36};set_instance_parameter_value {router} {PKT_PROTECTION_H} {82};set_instance_parameter_value {router} {PKT_PROTECTION_L} {80};set_instance_parameter_value {router} {PKT_DEST_ID_H} {78};set_instance_parameter_value {router} {PKT_DEST_ID_L} {76};set_instance_parameter_value {router} {PKT_TRANS_WRITE} {54};set_instance_parameter_value {router} {PKT_TRANS_READ} {55};set_instance_parameter_value {router} {ST_DATA_W} {92};set_instance_parameter_value {router} {ST_CHANNEL_W} {6};set_instance_parameter_value {router} {DECODER_TYPE} {0};set_instance_parameter_value {router} {DEFAULT_CHANNEL} {4};set_instance_parameter_value {router} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_DESTID} {3};set_instance_parameter_value {router} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router} {MEMORY_ALIASING_DECODE} {0};add_instance {router_001} {altera_merlin_router};set_instance_parameter_value {router_001} {DESTINATION_ID} {2 0 };set_instance_parameter_value {router_001} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router_001} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router_001} {START_ADDRESS} {0x0 0x8800 };set_instance_parameter_value {router_001} {END_ADDRESS} {0x8000 0x9000 };set_instance_parameter_value {router_001} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_001} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_001} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_001} {SPAN_OFFSET} {};set_instance_parameter_value {router_001} {PKT_ADDR_H} {51};set_instance_parameter_value {router_001} {PKT_ADDR_L} {36};set_instance_parameter_value {router_001} {PKT_PROTECTION_H} {82};set_instance_parameter_value {router_001} {PKT_PROTECTION_L} {80};set_instance_parameter_value {router_001} {PKT_DEST_ID_H} {78};set_instance_parameter_value {router_001} {PKT_DEST_ID_L} {76};set_instance_parameter_value {router_001} {PKT_TRANS_WRITE} {54};set_instance_parameter_value {router_001} {PKT_TRANS_READ} {55};set_instance_parameter_value {router_001} {ST_DATA_W} {92};set_instance_parameter_value {router_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_001} {DECODER_TYPE} {0};set_instance_parameter_value {router_001} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router_001} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_DESTID} {2};set_instance_parameter_value {router_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_001} {MEMORY_ALIASING_DECODE} {0};add_instance {router_002} {altera_merlin_router};set_instance_parameter_value {router_002} {DESTINATION_ID} {0 };set_instance_parameter_value {router_002} {CHANNEL_ID} {1 };set_instance_parameter_value {router_002} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_002} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_002} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_002} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_002} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_002} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_002} {SPAN_OFFSET} {};set_instance_parameter_value {router_002} {PKT_ADDR_H} {51};set_instance_parameter_value {router_002} {PKT_ADDR_L} {36};set_instance_parameter_value {router_002} {PKT_PROTECTION_H} {82};set_instance_parameter_value {router_002} {PKT_PROTECTION_L} {80};set_instance_parameter_value {router_002} {PKT_DEST_ID_H} {78};set_instance_parameter_value {router_002} {PKT_DEST_ID_L} {76};set_instance_parameter_value {router_002} {PKT_TRANS_WRITE} {54};set_instance_parameter_value {router_002} {PKT_TRANS_READ} {55};set_instance_parameter_value {router_002} {ST_DATA_W} {92};set_instance_parameter_value {router_002} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_002} {DECODER_TYPE} {1};set_instance_parameter_value {router_002} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_002} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_002} {MEMORY_ALIASING_DECODE} {0};add_instance {router_003} {altera_merlin_router};set_instance_parameter_value {router_003} {DESTINATION_ID} {0 };set_instance_parameter_value {router_003} {CHANNEL_ID} {1 };set_instance_parameter_value {router_003} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_003} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_003} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_003} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_003} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_003} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_003} {SPAN_OFFSET} {};set_instance_parameter_value {router_003} {PKT_ADDR_H} {51};set_instance_parameter_value {router_003} {PKT_ADDR_L} {36};set_instance_parameter_value {router_003} {PKT_PROTECTION_H} {82};set_instance_parameter_value {router_003} {PKT_PROTECTION_L} {80};set_instance_parameter_value {router_003} {PKT_DEST_ID_H} {78};set_instance_parameter_value {router_003} {PKT_DEST_ID_L} {76};set_instance_parameter_value {router_003} {PKT_TRANS_WRITE} {54};set_instance_parameter_value {router_003} {PKT_TRANS_READ} {55};set_instance_parameter_value {router_003} {ST_DATA_W} {92};set_instance_parameter_value {router_003} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_003} {DECODER_TYPE} {1};set_instance_parameter_value {router_003} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_003} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_003} {MEMORY_ALIASING_DECODE} {0};add_instance {router_004} {altera_merlin_router};set_instance_parameter_value {router_004} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_004} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_004} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_004} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_004} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_004} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_004} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_004} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_004} {SPAN_OFFSET} {};set_instance_parameter_value {router_004} {PKT_ADDR_H} {51};set_instance_parameter_value {router_004} {PKT_ADDR_L} {36};set_instance_parameter_value {router_004} {PKT_PROTECTION_H} {82};set_instance_parameter_value {router_004} {PKT_PROTECTION_L} {80};set_instance_parameter_value {router_004} {PKT_DEST_ID_H} {78};set_instance_parameter_value {router_004} {PKT_DEST_ID_L} {76};set_instance_parameter_value {router_004} {PKT_TRANS_WRITE} {54};set_instance_parameter_value {router_004} {PKT_TRANS_READ} {55};set_instance_parameter_value {router_004} {ST_DATA_W} {92};set_instance_parameter_value {router_004} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_004} {DECODER_TYPE} {1};set_instance_parameter_value {router_004} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_004} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_004} {MEMORY_ALIASING_DECODE} {0};add_instance {router_005} {altera_merlin_router};set_instance_parameter_value {router_005} {DESTINATION_ID} {0 };set_instance_parameter_value {router_005} {CHANNEL_ID} {1 };set_instance_parameter_value {router_005} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_005} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_005} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_005} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_005} {SPAN_OFFSET} {};set_instance_parameter_value {router_005} {PKT_ADDR_H} {51};set_instance_parameter_value {router_005} {PKT_ADDR_L} {36};set_instance_parameter_value {router_005} {PKT_PROTECTION_H} {82};set_instance_parameter_value {router_005} {PKT_PROTECTION_L} {80};set_instance_parameter_value {router_005} {PKT_DEST_ID_H} {78};set_instance_parameter_value {router_005} {PKT_DEST_ID_L} {76};set_instance_parameter_value {router_005} {PKT_TRANS_WRITE} {54};set_instance_parameter_value {router_005} {PKT_TRANS_READ} {55};set_instance_parameter_value {router_005} {ST_DATA_W} {92};set_instance_parameter_value {router_005} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_005} {DECODER_TYPE} {1};set_instance_parameter_value {router_005} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_005} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_005} {MEMORY_ALIASING_DECODE} {0};add_instance {router_006} {altera_merlin_router};set_instance_parameter_value {router_006} {DESTINATION_ID} {0 };set_instance_parameter_value {router_006} {CHANNEL_ID} {1 };set_instance_parameter_value {router_006} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_006} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_006} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_006} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_006} {SPAN_OFFSET} {};set_instance_parameter_value {router_006} {PKT_ADDR_H} {51};set_instance_parameter_value {router_006} {PKT_ADDR_L} {36};set_instance_parameter_value {router_006} {PKT_PROTECTION_H} {82};set_instance_parameter_value {router_006} {PKT_PROTECTION_L} {80};set_instance_parameter_value {router_006} {PKT_DEST_ID_H} {78};set_instance_parameter_value {router_006} {PKT_DEST_ID_L} {76};set_instance_parameter_value {router_006} {PKT_TRANS_WRITE} {54};set_instance_parameter_value {router_006} {PKT_TRANS_READ} {55};set_instance_parameter_value {router_006} {ST_DATA_W} {92};set_instance_parameter_value {router_006} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_006} {DECODER_TYPE} {1};set_instance_parameter_value {router_006} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_006} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_006} {MEMORY_ALIASING_DECODE} {0};add_instance {router_007} {altera_merlin_router};set_instance_parameter_value {router_007} {DESTINATION_ID} {1 };set_instance_parameter_value {router_007} {CHANNEL_ID} {1 };set_instance_parameter_value {router_007} {TYPE_OF_TRANSACTION} {read };set_instance_parameter_value {router_007} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_007} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_007} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_007} {SPAN_OFFSET} {};set_instance_parameter_value {router_007} {PKT_ADDR_H} {51};set_instance_parameter_value {router_007} {PKT_ADDR_L} {36};set_instance_parameter_value {router_007} {PKT_PROTECTION_H} {82};set_instance_parameter_value {router_007} {PKT_PROTECTION_L} {80};set_instance_parameter_value {router_007} {PKT_DEST_ID_H} {78};set_instance_parameter_value {router_007} {PKT_DEST_ID_L} {76};set_instance_parameter_value {router_007} {PKT_TRANS_WRITE} {54};set_instance_parameter_value {router_007} {PKT_TRANS_READ} {55};set_instance_parameter_value {router_007} {ST_DATA_W} {92};set_instance_parameter_value {router_007} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_007} {DECODER_TYPE} {1};set_instance_parameter_value {router_007} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_007} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_DESTID} {1};set_instance_parameter_value {router_007} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_007} {MEMORY_ALIASING_DECODE} {0};add_instance {cmd_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux} {ST_DATA_W} {92};set_instance_parameter_value {cmd_demux} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_demux} {NUM_OUTPUTS} {5};set_instance_parameter_value {cmd_demux} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};add_instance {cmd_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_001} {ST_DATA_W} {92};set_instance_parameter_value {cmd_demux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_demux_001} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};add_instance {cmd_mux} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux} {ST_DATA_W} {92};set_instance_parameter_value {cmd_mux} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux} {PKT_TRANS_LOCK} {56};set_instance_parameter_value {cmd_mux} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_001} {ST_DATA_W} {92};set_instance_parameter_value {cmd_mux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_001} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_001} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_001} {PKT_TRANS_LOCK} {56};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_002} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_002} {ST_DATA_W} {92};set_instance_parameter_value {cmd_mux_002} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_002} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_002} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_002} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_002} {PKT_TRANS_LOCK} {56};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_003} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_003} {ST_DATA_W} {92};set_instance_parameter_value {cmd_mux_003} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_003} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_003} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_003} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_003} {PKT_TRANS_LOCK} {56};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_004} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_004} {ST_DATA_W} {92};set_instance_parameter_value {cmd_mux_004} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_004} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_004} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_004} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_004} {PKT_TRANS_LOCK} {56};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_005} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_005} {ST_DATA_W} {92};set_instance_parameter_value {cmd_mux_005} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_005} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_005} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_005} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_005} {PKT_TRANS_LOCK} {56};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};add_instance {rsp_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux} {ST_DATA_W} {92};set_instance_parameter_value {rsp_demux} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_001} {ST_DATA_W} {92};set_instance_parameter_value {rsp_demux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_001} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_002} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_002} {ST_DATA_W} {92};set_instance_parameter_value {rsp_demux_002} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_002} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_002} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_003} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_003} {ST_DATA_W} {92};set_instance_parameter_value {rsp_demux_003} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_003} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_003} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_004} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_004} {ST_DATA_W} {92};set_instance_parameter_value {rsp_demux_004} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_004} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_004} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_005} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_005} {ST_DATA_W} {92};set_instance_parameter_value {rsp_demux_005} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_005} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_005} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};add_instance {rsp_mux} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux} {ST_DATA_W} {92};set_instance_parameter_value {rsp_mux} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_mux} {NUM_INPUTS} {5};set_instance_parameter_value {rsp_mux} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux} {PKT_TRANS_LOCK} {56};set_instance_parameter_value {rsp_mux} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux} {ARBITRATION_SHARES} {1 1 1 1 1 };set_instance_parameter_value {rsp_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};add_instance {rsp_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_001} {ST_DATA_W} {92};set_instance_parameter_value {rsp_mux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_mux_001} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux_001} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_001} {PKT_TRANS_LOCK} {56};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(91:89) response_status(88:87) cache(86:83) protection(82:80) thread_id(79) dest_id(78:76) src_id(75:73) qos(72) begin_burst(71) data_sideband(70) addr_sideband(69) burst_type(68:67) burst_size(66:64) burstwrap(63:61) byte_cnt(60:58) trans_exclusive(57) trans_lock(56) trans_read(55) trans_write(54) trans_posted(53) trans_compressed_read(52) addr(51:36) byteen(35:32) data(31:0)};add_instance {cpu_reset_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {cpu_reset_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {cpu_reset_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {cpu_reset_reset_bridge} {NUM_RESET_OUTPUTS} {1};set_instance_parameter_value {cpu_reset_reset_bridge} {USE_RESET_REQUEST} {0};add_instance {clk_clk_clock_bridge} {altera_clock_bridge};set_instance_parameter_value {clk_clk_clock_bridge} {EXPLICIT_CLOCK_RATE} {50000000};set_instance_parameter_value {clk_clk_clock_bridge} {NUM_CLOCK_OUTPUTS} {1};add_connection {cpu_data_master_translator.avalon_universal_master_0} {cpu_data_master_agent.av} {avalon};set_connection_parameter_value {cpu_data_master_translator.avalon_universal_master_0/cpu_data_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {cpu_data_master_translator.avalon_universal_master_0/cpu_data_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {cpu_data_master_translator.avalon_universal_master_0/cpu_data_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux.src} {cpu_data_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux.src/cpu_data_master_agent.rp} {qsys_mm.response};add_connection {cpu_instruction_master_translator.avalon_universal_master_0} {cpu_instruction_master_agent.av} {avalon};set_connection_parameter_value {cpu_instruction_master_translator.avalon_universal_master_0/cpu_instruction_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {cpu_instruction_master_translator.avalon_universal_master_0/cpu_instruction_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {cpu_instruction_master_translator.avalon_universal_master_0/cpu_instruction_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux_001.src} {cpu_instruction_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux_001.src/cpu_instruction_master_agent.rp} {qsys_mm.response};add_connection {jtag_uart_avalon_jtag_slave_agent.m0} {jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {jtag_uart_avalon_jtag_slave_agent.rf_source} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.out} {jtag_uart_avalon_jtag_slave_agent.rf_sink} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_src} {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux.src} {jtag_uart_avalon_jtag_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux.src/jtag_uart_avalon_jtag_slave_agent.cp} {qsys_mm.command};add_connection {sigdel_0_avalon_slave_agent.m0} {sigdel_0_avalon_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sigdel_0_avalon_slave_agent.m0/sigdel_0_avalon_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sigdel_0_avalon_slave_agent.m0/sigdel_0_avalon_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sigdel_0_avalon_slave_agent.m0/sigdel_0_avalon_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sigdel_0_avalon_slave_agent.rf_source} {sigdel_0_avalon_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {sigdel_0_avalon_slave_agent_rsp_fifo.out} {sigdel_0_avalon_slave_agent.rf_sink} {avalon_streaming};add_connection {sigdel_0_avalon_slave_agent.rdata_fifo_src} {sigdel_0_avalon_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_001.src} {sigdel_0_avalon_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_001.src/sigdel_0_avalon_slave_agent.cp} {qsys_mm.command};add_connection {cpu_debug_mem_slave_agent.m0} {cpu_debug_mem_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {cpu_debug_mem_slave_agent.m0/cpu_debug_mem_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {cpu_debug_mem_slave_agent.m0/cpu_debug_mem_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {cpu_debug_mem_slave_agent.m0/cpu_debug_mem_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {cpu_debug_mem_slave_agent.rf_source} {cpu_debug_mem_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {cpu_debug_mem_slave_agent_rsp_fifo.out} {cpu_debug_mem_slave_agent.rf_sink} {avalon_streaming};add_connection {cpu_debug_mem_slave_agent.rdata_fifo_src} {cpu_debug_mem_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_002.src} {cpu_debug_mem_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_002.src/cpu_debug_mem_slave_agent.cp} {qsys_mm.command};add_connection {sys_clk_timer_s1_agent.m0} {sys_clk_timer_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sys_clk_timer_s1_agent.m0/sys_clk_timer_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sys_clk_timer_s1_agent.m0/sys_clk_timer_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sys_clk_timer_s1_agent.m0/sys_clk_timer_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sys_clk_timer_s1_agent.rf_source} {sys_clk_timer_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {sys_clk_timer_s1_agent_rsp_fifo.out} {sys_clk_timer_s1_agent.rf_sink} {avalon_streaming};add_connection {sys_clk_timer_s1_agent.rdata_fifo_src} {sys_clk_timer_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_003.src} {sys_clk_timer_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_003.src/sys_clk_timer_s1_agent.cp} {qsys_mm.command};add_connection {mem_s2_agent.m0} {mem_s2_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {mem_s2_agent.m0/mem_s2_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {mem_s2_agent.m0/mem_s2_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {mem_s2_agent.m0/mem_s2_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {mem_s2_agent.rf_source} {mem_s2_agent_rsp_fifo.in} {avalon_streaming};add_connection {mem_s2_agent_rsp_fifo.out} {mem_s2_agent.rf_sink} {avalon_streaming};add_connection {mem_s2_agent.rdata_fifo_src} {mem_s2_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_004.src} {mem_s2_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_004.src/mem_s2_agent.cp} {qsys_mm.command};add_connection {mem_s1_agent.m0} {mem_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {mem_s1_agent.m0/mem_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {mem_s1_agent.m0/mem_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {mem_s1_agent.m0/mem_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {mem_s1_agent.rf_source} {mem_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {mem_s1_agent_rsp_fifo.out} {mem_s1_agent.rf_sink} {avalon_streaming};add_connection {mem_s1_agent.rdata_fifo_src} {mem_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_005.src} {mem_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_005.src/mem_s1_agent.cp} {qsys_mm.command};add_connection {cpu_data_master_agent.cp} {router.sink} {avalon_streaming};preview_set_connection_tag {cpu_data_master_agent.cp/router.sink} {qsys_mm.command};add_connection {router.src} {cmd_demux.sink} {avalon_streaming};preview_set_connection_tag {router.src/cmd_demux.sink} {qsys_mm.command};add_connection {cpu_instruction_master_agent.cp} {router_001.sink} {avalon_streaming};preview_set_connection_tag {cpu_instruction_master_agent.cp/router_001.sink} {qsys_mm.command};add_connection {router_001.src} {cmd_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_001.src/cmd_demux_001.sink} {qsys_mm.command};add_connection {jtag_uart_avalon_jtag_slave_agent.rp} {router_002.sink} {avalon_streaming};preview_set_connection_tag {jtag_uart_avalon_jtag_slave_agent.rp/router_002.sink} {qsys_mm.response};add_connection {router_002.src} {rsp_demux.sink} {avalon_streaming};preview_set_connection_tag {router_002.src/rsp_demux.sink} {qsys_mm.response};add_connection {sigdel_0_avalon_slave_agent.rp} {router_003.sink} {avalon_streaming};preview_set_connection_tag {sigdel_0_avalon_slave_agent.rp/router_003.sink} {qsys_mm.response};add_connection {router_003.src} {rsp_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_003.src/rsp_demux_001.sink} {qsys_mm.response};add_connection {cpu_debug_mem_slave_agent.rp} {router_004.sink} {avalon_streaming};preview_set_connection_tag {cpu_debug_mem_slave_agent.rp/router_004.sink} {qsys_mm.response};add_connection {router_004.src} {rsp_demux_002.sink} {avalon_streaming};preview_set_connection_tag {router_004.src/rsp_demux_002.sink} {qsys_mm.response};add_connection {sys_clk_timer_s1_agent.rp} {router_005.sink} {avalon_streaming};preview_set_connection_tag {sys_clk_timer_s1_agent.rp/router_005.sink} {qsys_mm.response};add_connection {router_005.src} {rsp_demux_003.sink} {avalon_streaming};preview_set_connection_tag {router_005.src/rsp_demux_003.sink} {qsys_mm.response};add_connection {mem_s2_agent.rp} {router_006.sink} {avalon_streaming};preview_set_connection_tag {mem_s2_agent.rp/router_006.sink} {qsys_mm.response};add_connection {router_006.src} {rsp_demux_004.sink} {avalon_streaming};preview_set_connection_tag {router_006.src/rsp_demux_004.sink} {qsys_mm.response};add_connection {mem_s1_agent.rp} {router_007.sink} {avalon_streaming};preview_set_connection_tag {mem_s1_agent.rp/router_007.sink} {qsys_mm.response};add_connection {router_007.src} {rsp_demux_005.sink} {avalon_streaming};preview_set_connection_tag {router_007.src/rsp_demux_005.sink} {qsys_mm.response};add_connection {cmd_demux.src0} {cmd_mux.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src0/cmd_mux.sink0} {qsys_mm.command};add_connection {cmd_demux.src1} {cmd_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src1/cmd_mux_001.sink0} {qsys_mm.command};add_connection {cmd_demux.src2} {cmd_mux_002.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src2/cmd_mux_002.sink0} {qsys_mm.command};add_connection {cmd_demux.src3} {cmd_mux_003.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src3/cmd_mux_003.sink0} {qsys_mm.command};add_connection {cmd_demux.src4} {cmd_mux_004.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src4/cmd_mux_004.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src0} {cmd_mux_002.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src0/cmd_mux_002.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src1} {cmd_mux_005.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src1/cmd_mux_005.sink0} {qsys_mm.command};add_connection {rsp_demux.src0} {rsp_mux.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src0/rsp_mux.sink0} {qsys_mm.response};add_connection {rsp_demux_001.src0} {rsp_mux.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src0/rsp_mux.sink1} {qsys_mm.response};add_connection {rsp_demux_002.src0} {rsp_mux.sink2} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src0/rsp_mux.sink2} {qsys_mm.response};add_connection {rsp_demux_002.src1} {rsp_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src1/rsp_mux_001.sink0} {qsys_mm.response};add_connection {rsp_demux_003.src0} {rsp_mux.sink3} {avalon_streaming};preview_set_connection_tag {rsp_demux_003.src0/rsp_mux.sink3} {qsys_mm.response};add_connection {rsp_demux_004.src0} {rsp_mux.sink4} {avalon_streaming};preview_set_connection_tag {rsp_demux_004.src0/rsp_mux.sink4} {qsys_mm.response};add_connection {rsp_demux_005.src0} {rsp_mux_001.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_005.src0/rsp_mux_001.sink1} {qsys_mm.response};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_data_master_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_instruction_master_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sigdel_0_avalon_slave_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_debug_mem_slave_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sys_clk_timer_s1_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s2_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s1_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_data_master_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_instruction_master_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sigdel_0_avalon_slave_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sigdel_0_avalon_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_debug_mem_slave_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_debug_mem_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sys_clk_timer_s1_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sys_clk_timer_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s2_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s2_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s1_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_002.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_003.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_004.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_005.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_006.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_007.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_demux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_demux_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_002.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_003.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_004.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_005.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_002.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_003.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_004.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_005.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_mux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_mux_001.clk_reset} {reset};add_connection {clk_clk_clock_bridge.out_clk} {cpu_data_master_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_instruction_master_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sigdel_0_avalon_slave_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_debug_mem_slave_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sys_clk_timer_s1_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s2_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s1_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_data_master_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_instruction_master_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sigdel_0_avalon_slave_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sigdel_0_avalon_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_debug_mem_slave_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_debug_mem_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sys_clk_timer_s1_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sys_clk_timer_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s2_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s2_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s1_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_002.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_003.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_004.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_005.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_006.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_007.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_demux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_mux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_demux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_mux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_002.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_002.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_003.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_003.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_004.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_004.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_005.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_005.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_reset_reset_bridge.clk} {clock};add_interface {clk_clk} {clock} {slave};set_interface_property {clk_clk} {EXPORT_OF} {clk_clk_clock_bridge.in_clk};add_interface {cpu_reset_reset_bridge_in_reset} {reset} {slave};set_interface_property {cpu_reset_reset_bridge_in_reset} {EXPORT_OF} {cpu_reset_reset_bridge.in_reset};add_interface {cpu_data_master} {avalon} {slave};set_interface_property {cpu_data_master} {EXPORT_OF} {cpu_data_master_translator.avalon_anti_master_0};add_interface {cpu_instruction_master} {avalon} {slave};set_interface_property {cpu_instruction_master} {EXPORT_OF} {cpu_instruction_master_translator.avalon_anti_master_0};add_interface {cpu_debug_mem_slave} {avalon} {master};set_interface_property {cpu_debug_mem_slave} {EXPORT_OF} {cpu_debug_mem_slave_translator.avalon_anti_slave_0};add_interface {jtag_uart_avalon_jtag_slave} {avalon} {master};set_interface_property {jtag_uart_avalon_jtag_slave} {EXPORT_OF} {jtag_uart_avalon_jtag_slave_translator.avalon_anti_slave_0};add_interface {mem_s1} {avalon} {master};set_interface_property {mem_s1} {EXPORT_OF} {mem_s1_translator.avalon_anti_slave_0};add_interface {mem_s2} {avalon} {master};set_interface_property {mem_s2} {EXPORT_OF} {mem_s2_translator.avalon_anti_slave_0};add_interface {sigdel_0_avalon_slave} {avalon} {master};set_interface_property {sigdel_0_avalon_slave} {EXPORT_OF} {sigdel_0_avalon_slave_translator.avalon_anti_slave_0};add_interface {sys_clk_timer_s1} {avalon} {master};set_interface_property {sys_clk_timer_s1} {EXPORT_OF} {sys_clk_timer_s1_translator.avalon_anti_slave_0};set_module_assignment {interconnect_id.cpu.data_master} {0};set_module_assignment {interconnect_id.cpu.debug_mem_slave} {0};set_module_assignment {interconnect_id.cpu.instruction_master} {1};set_module_assignment {interconnect_id.jtag_uart.avalon_jtag_slave} {1};set_module_assignment {interconnect_id.mem.s1} {2};set_module_assignment {interconnect_id.mem.s2} {3};set_module_assignment {interconnect_id.sigdel_0.avalon_slave} {4};set_module_assignment {interconnect_id.sys_clk_timer.s1} {5};]]> false true true @@ -7078,7 +7078,7 @@ the requested settings for a module instance. --> java.lang.String - EP4CE115F29C7 + EP4CE15F23C8 false true false @@ -7246,7 +7246,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 262144 + 65536 true true false @@ -7545,7 +7545,7 @@ parameters are a RESULT of the module parameters. --> cpu_data_master_address Input - 18 + 16 address @@ -7633,7 +7633,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 262144 + 65536 true true false @@ -7932,7 +7932,7 @@ parameters are a RESULT of the module parameters. --> cpu_instruction_master_address Input - 18 + 16 address @@ -8854,7 +8854,7 @@ parameters are a RESULT of the module parameters. --> mem_s1_address Output - 15 + 13 address @@ -8899,7 +8899,7 @@ parameters are a RESULT of the module parameters. --> s1 mem.s1 0 - 131072 + 32768 @@ -9167,7 +9167,7 @@ parameters are a RESULT of the module parameters. --> mem_s2_address Output - 15 + 13 address @@ -9212,7 +9212,7 @@ parameters are a RESULT of the module parameters. --> s2 mem.s2 0 - 131072 + 32768 @@ -12167,5 +12167,5 @@ parameters are a RESULT of the module parameters. --> 18.1 18.1 625 - 0242F22A657800000185F3B486F7 + CE053227F4B7000001862B2BACF3 diff --git a/Top/niosII/synthesis/niosII.qip b/Top/niosII/synthesis/niosII.qip index ffbb09c..4766ae6 100644 --- a/Top/niosII/synthesis/niosII.qip +++ b/Top/niosII/synthesis/niosII.qip @@ -2,7 +2,7 @@ set_global_assignment -entity "niosII" -library "niosII" -name IP_TOOL_NAME "Qsy set_global_assignment -entity "niosII" -library "niosII" -name IP_TOOL_VERSION "18.1" set_global_assignment -entity "niosII" -library "niosII" -name IP_TOOL_ENV "Qsys" set_global_assignment -library "niosII" -name SOPCINFO_FILE [file join $::quartus(qip_path) "../../niosII.sopcinfo"] -set_global_assignment -entity "niosII" -library "niosII" -name SLD_INFO "QSYS_NAME niosII HAS_SOPCINFO 1 GENERATION_ID 1674830971" +set_global_assignment -entity "niosII" -library "niosII" -name SLD_INFO "QSYS_NAME niosII HAS_SOPCINFO 1 GENERATION_ID 1675761526" set_global_assignment -library "niosII" -name MISC_FILE [file join $::quartus(qip_path) "../niosII.cmp"] set_global_assignment -library "niosII" -name SLD_FILE [file join $::quartus(qip_path) "niosII.regmap"] set_global_assignment -library "niosII" -name SLD_FILE [file join $::quartus(qip_path) "niosII.debuginfo"] @@ -16,10 +16,10 @@ set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_DISP set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_REPORT_HIERARCHY "On" set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_INTERNAL "Off" set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_VERSION "MS4w" -set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19HRU5FUkFUSU9OX0lE::MTY3NDgzMDk3MQ==::QXV0byBHRU5FUkFUSU9OX0lE" +set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19HRU5FUkFUSU9OX0lE::MTY3NTc2MTUyNg==::QXV0byBHRU5FUkFUSU9OX0lE" set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBJViBF::QXV0byBERVZJQ0VfRkFNSUxZ" -set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::RVA0Q0UxMTVGMjlDNw==::QXV0byBERVZJQ0U=" -set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::Nw==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ==" +set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::RVA0Q0UxNUYyM0M4::QXV0byBERVZJQ0U=" +set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::OA==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ==" set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::LTE=::QXV0byBDTE9DS19SQVRF" set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfRE9NQUlO::LTE=::QXV0byBDTE9DS19ET01BSU4=" set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfUkVTRVRfRE9NQUlO::LTE=::QXV0byBSRVNFVF9ET01BSU4=" @@ -74,7 +74,7 @@ set_global_assignment -entity "niosII_mm_interconnect_0" -library "niosII" -name set_global_assignment -entity "niosII_mm_interconnect_0" -library "niosII" -name IP_COMPONENT_VERSION "MTguMQ==" set_global_assignment -entity "niosII_mm_interconnect_0" -library "niosII" -name IP_COMPONENT_DESCRIPTION "TU0gSW50ZXJjb25uZWN0" set_global_assignment -entity "niosII_mm_interconnect_0" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBJViBF::QXV0byBERVZJQ0VfRkFNSUxZ" -set_global_assignment -entity "niosII_mm_interconnect_0" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::RVA0Q0UxMTVGMjlDNw==::QXV0byBERVZJQ0U=" +set_global_assignment -entity "niosII_mm_interconnect_0" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::RVA0Q0UxNUYyM0M4::QXV0byBERVZJQ0U=" set_global_assignment -entity "niosII_mm_interconnect_0_avalon_st_adapter" -library "niosII" -name IP_COMPONENT_NAME "bmlvc0lJX21tX2ludGVyY29ubmVjdF8wX2F2YWxvbl9zdF9hZGFwdGVy" set_global_assignment -entity "niosII_mm_interconnect_0_avalon_st_adapter" -library "niosII" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uLVNUIEFkYXB0ZXI=" set_global_assignment -entity "niosII_mm_interconnect_0_avalon_st_adapter" -library "niosII" -name IP_COMPONENT_REPORT_HIERARCHY "Off" @@ -103,7 +103,7 @@ set_global_assignment -entity "niosII_mm_interconnect_0_avalon_st_adapter" -libr set_global_assignment -entity "niosII_mm_interconnect_0_avalon_st_adapter" -library "niosII" -name IP_COMPONENT_PARAMETER "b3V0VXNlUmVhZHk=::MQ==::U2luayBVc2VzIFJlYWR5IFBvcnQ=" set_global_assignment -entity "niosII_mm_interconnect_0_avalon_st_adapter" -library "niosII" -name IP_COMPONENT_PARAMETER "b3V0UmVhZHlMYXRlbmN5::MA==::U2luayBSZWFkeSBMYXRlbmN5" set_global_assignment -entity "niosII_mm_interconnect_0_avalon_st_adapter" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBJViBF::QXV0byBERVZJQ0VfRkFNSUxZ" -set_global_assignment -entity "niosII_mm_interconnect_0_avalon_st_adapter" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::RVA0Q0UxMTVGMjlDNw==::QXV0byBERVZJQ0U=" +set_global_assignment -entity "niosII_mm_interconnect_0_avalon_st_adapter" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::RVA0Q0UxNUYyM0M4::QXV0byBERVZJQ0U=" set_global_assignment -entity "niosII_mm_interconnect_0_avalon_st_adapter_error_adapter_0" -library "niosII" -name IP_COMPONENT_NAME "bmlvc0lJX21tX2ludGVyY29ubmVjdF8wX2F2YWxvbl9zdF9hZGFwdGVyX2Vycm9yX2FkYXB0ZXJfMA==" set_global_assignment -entity "niosII_mm_interconnect_0_avalon_st_adapter_error_adapter_0" -library "niosII" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uLVNUIEVycm9yIEFkYXB0ZXI=" set_global_assignment -entity "niosII_mm_interconnect_0_avalon_st_adapter_error_adapter_0" -library "niosII" -name IP_COMPONENT_REPORT_HIERARCHY "Off" @@ -127,15 +127,15 @@ set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux_001" -library "n set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux_001" -library "niosII" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux_001" -library "niosII" -name IP_COMPONENT_VERSION "MTguMQ==" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux_001" -library "niosII" -name IP_COMPONENT_DESCRIPTION "QXJiaXRyYXRlcyBiZXR3ZWVuIHJlcXVlc3RpbmcgbWFzdGVycyB1c2luZyBhbiBlcXVhbCBzaGFyZSwgcm91bmQtcm9iaW4gYWxnb3JpdGhtLiBUaGUgYXJiaXRyYXRpb24gc2NoZW1lIGNhbiBiZSBjaGFuZ2VkIHRvIHdlaWdodGVkIHJvdW5kLXJvYmluIGJ5IHNwZWNpZnlpbmcgYSByZWxhdGl2ZSBudW1iZXIgb2YgYXJiaXRyYXRpb24gc2hhcmVzIHRvIHRoZSBtYXN0ZXJzIHRoYXQgYWNjZXNzIGEgcGFydGljdWxhciBzbGF2ZS4=" -set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux_001" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTQ=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" +set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux_001" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTI=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux_001" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux_001" -library "niosII" -name IP_COMPONENT_PARAMETER "TlVNX0lOUFVUUw==::Mg==::TnVtYmVyIG9mIG11eCBpbnB1dHM=" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux_001" -library "niosII" -name IP_COMPONENT_PARAMETER "UElQRUxJTkVfQVJC::MA==::UGlwZWxpbmVkIGFyYml0cmF0aW9u" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux_001" -library "niosII" -name IP_COMPONENT_PARAMETER "VVNFX0VYVEVSTkFMX0FSQg==::MA==::VXNlIGV4dGVybmFsIGFyYml0cmF0aW9u" -set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux_001" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::NTg=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" +set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux_001" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::NTY=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux_001" -library "niosII" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0NIRU1F::bm8tYXJi::QXJiaXRyYXRpb24gc2NoZW1l" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux_001" -library "niosII" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0hBUkVT::MSwx::QXJiaXRyYXRpb24gc2hhcmVz" -set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux_001" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTM6OTEpIHJlc3BvbnNlX3N0YXR1cyg5MDo4OSkgY2FjaGUoODg6ODUpIHByb3RlY3Rpb24oODQ6ODIpIHRocmVhZF9pZCg4MSkgZGVzdF9pZCg4MDo3OCkgc3JjX2lkKDc3Ojc1KSBxb3MoNzQpIGJlZ2luX2J1cnN0KDczKSBkYXRhX3NpZGViYW5kKDcyKSBhZGRyX3NpZGViYW5kKDcxKSBidXJzdF90eXBlKDcwOjY5KSBidXJzdF9zaXplKDY4OjY2KSBidXJzdHdyYXAoNjU6NjMpIGJ5dGVfY250KDYyOjYwKSB0cmFuc19leGNsdXNpdmUoNTkpIHRyYW5zX2xvY2soNTgpIHRyYW5zX3JlYWQoNTcpIHRyYW5zX3dyaXRlKDU2KSB0cmFuc19wb3N0ZWQoNTUpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1NCkgYWRkcig1MzozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" +set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux_001" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTE6ODkpIHJlc3BvbnNlX3N0YXR1cyg4ODo4NykgY2FjaGUoODY6ODMpIHByb3RlY3Rpb24oODI6ODApIHRocmVhZF9pZCg3OSkgZGVzdF9pZCg3ODo3Nikgc3JjX2lkKDc1OjczKSBxb3MoNzIpIGJlZ2luX2J1cnN0KDcxKSBkYXRhX3NpZGViYW5kKDcwKSBhZGRyX3NpZGViYW5kKDY5KSBidXJzdF90eXBlKDY4OjY3KSBidXJzdF9zaXplKDY2OjY0KSBidXJzdHdyYXAoNjM6NjEpIGJ5dGVfY250KDYwOjU4KSB0cmFuc19leGNsdXNpdmUoNTcpIHRyYW5zX2xvY2soNTYpIHRyYW5zX3JlYWQoNTUpIHRyYW5zX3dyaXRlKDU0KSB0cmFuc19wb3N0ZWQoNTMpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1MikgYWRkcig1MTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux" -library "niosII" -name IP_COMPONENT_NAME "bmlvc0lJX21tX2ludGVyY29ubmVjdF8wX3JzcF9tdXg=" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux" -library "niosII" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBNdWx0aXBsZXhlcg==" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux" -library "niosII" -name IP_COMPONENT_REPORT_HIERARCHY "Off" @@ -143,15 +143,15 @@ set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux" -library "niosI set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux" -library "niosII" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux" -library "niosII" -name IP_COMPONENT_VERSION "MTguMQ==" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux" -library "niosII" -name IP_COMPONENT_DESCRIPTION "QXJiaXRyYXRlcyBiZXR3ZWVuIHJlcXVlc3RpbmcgbWFzdGVycyB1c2luZyBhbiBlcXVhbCBzaGFyZSwgcm91bmQtcm9iaW4gYWxnb3JpdGhtLiBUaGUgYXJiaXRyYXRpb24gc2NoZW1lIGNhbiBiZSBjaGFuZ2VkIHRvIHdlaWdodGVkIHJvdW5kLXJvYmluIGJ5IHNwZWNpZnlpbmcgYSByZWxhdGl2ZSBudW1iZXIgb2YgYXJiaXRyYXRpb24gc2hhcmVzIHRvIHRoZSBtYXN0ZXJzIHRoYXQgYWNjZXNzIGEgcGFydGljdWxhciBzbGF2ZS4=" -set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTQ=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" +set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTI=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "TlVNX0lOUFVUUw==::NQ==::TnVtYmVyIG9mIG11eCBpbnB1dHM=" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "UElQRUxJTkVfQVJC::MA==::UGlwZWxpbmVkIGFyYml0cmF0aW9u" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "VVNFX0VYVEVSTkFMX0FSQg==::MA==::VXNlIGV4dGVybmFsIGFyYml0cmF0aW9u" -set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::NTg=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" +set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::NTY=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0NIRU1F::bm8tYXJi::QXJiaXRyYXRpb24gc2NoZW1l" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0hBUkVT::MSwxLDEsMSwx::QXJiaXRyYXRpb24gc2hhcmVz" -set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTM6OTEpIHJlc3BvbnNlX3N0YXR1cyg5MDo4OSkgY2FjaGUoODg6ODUpIHByb3RlY3Rpb24oODQ6ODIpIHRocmVhZF9pZCg4MSkgZGVzdF9pZCg4MDo3OCkgc3JjX2lkKDc3Ojc1KSBxb3MoNzQpIGJlZ2luX2J1cnN0KDczKSBkYXRhX3NpZGViYW5kKDcyKSBhZGRyX3NpZGViYW5kKDcxKSBidXJzdF90eXBlKDcwOjY5KSBidXJzdF9zaXplKDY4OjY2KSBidXJzdHdyYXAoNjU6NjMpIGJ5dGVfY250KDYyOjYwKSB0cmFuc19leGNsdXNpdmUoNTkpIHRyYW5zX2xvY2soNTgpIHRyYW5zX3JlYWQoNTcpIHRyYW5zX3dyaXRlKDU2KSB0cmFuc19wb3N0ZWQoNTUpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1NCkgYWRkcig1MzozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" +set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTE6ODkpIHJlc3BvbnNlX3N0YXR1cyg4ODo4NykgY2FjaGUoODY6ODMpIHByb3RlY3Rpb24oODI6ODApIHRocmVhZF9pZCg3OSkgZGVzdF9pZCg3ODo3Nikgc3JjX2lkKDc1OjczKSBxb3MoNzIpIGJlZ2luX2J1cnN0KDcxKSBkYXRhX3NpZGViYW5kKDcwKSBhZGRyX3NpZGViYW5kKDY5KSBidXJzdF90eXBlKDY4OjY3KSBidXJzdF9zaXplKDY2OjY0KSBidXJzdHdyYXAoNjM6NjEpIGJ5dGVfY250KDYwOjU4KSB0cmFuc19leGNsdXNpdmUoNTcpIHRyYW5zX2xvY2soNTYpIHRyYW5zX3JlYWQoNTUpIHRyYW5zX3dyaXRlKDU0KSB0cmFuc19wb3N0ZWQoNTMpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1MikgYWRkcig1MTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_demux" -library "niosII" -name IP_COMPONENT_NAME "bmlvc0lJX21tX2ludGVyY29ubmVjdF8wX3JzcF9kZW11eA==" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_demux" -library "niosII" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBEZW11bHRpcGxleGVy" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_demux" -library "niosII" -name IP_COMPONENT_REPORT_HIERARCHY "Off" @@ -159,11 +159,11 @@ set_global_assignment -entity "niosII_mm_interconnect_0_rsp_demux" -library "nio set_global_assignment -entity "niosII_mm_interconnect_0_rsp_demux" -library "niosII" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_demux" -library "niosII" -name IP_COMPONENT_VERSION "MTguMQ==" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_demux" -library "niosII" -name IP_COMPONENT_DESCRIPTION "QWNjZXB0cyBjaGFubmVsaXplZCBkYXRhIG9uIGl0cyBzaW5rIGludGVyZmFjZSBhbmQgdHJhbnNtaXRzIHRoZSBkYXRhIG9uIG9uZSBvZiBpdHMgc291cmNlIGludGVyZmFjZXMu" -set_global_assignment -entity "niosII_mm_interconnect_0_rsp_demux" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTQ=::UGFja2V0IGRhdGEgd2lkdGg=" +set_global_assignment -entity "niosII_mm_interconnect_0_rsp_demux" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTI=::UGFja2V0IGRhdGEgd2lkdGg=" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_demux" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_demux" -library "niosII" -name IP_COMPONENT_PARAMETER "TlVNX09VVFBVVFM=::MQ==::TnVtYmVyIG9mIGRlbXV4IG91dHB1dHM=" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_demux" -library "niosII" -name IP_COMPONENT_PARAMETER "VkFMSURfV0lEVEg=::MQ==::VmFsaWQgd2lkdGg=" -set_global_assignment -entity "niosII_mm_interconnect_0_rsp_demux" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTM6OTEpIHJlc3BvbnNlX3N0YXR1cyg5MDo4OSkgY2FjaGUoODg6ODUpIHByb3RlY3Rpb24oODQ6ODIpIHRocmVhZF9pZCg4MSkgZGVzdF9pZCg4MDo3OCkgc3JjX2lkKDc3Ojc1KSBxb3MoNzQpIGJlZ2luX2J1cnN0KDczKSBkYXRhX3NpZGViYW5kKDcyKSBhZGRyX3NpZGViYW5kKDcxKSBidXJzdF90eXBlKDcwOjY5KSBidXJzdF9zaXplKDY4OjY2KSBidXJzdHdyYXAoNjU6NjMpIGJ5dGVfY250KDYyOjYwKSB0cmFuc19leGNsdXNpdmUoNTkpIHRyYW5zX2xvY2soNTgpIHRyYW5zX3JlYWQoNTcpIHRyYW5zX3dyaXRlKDU2KSB0cmFuc19wb3N0ZWQoNTUpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1NCkgYWRkcig1MzozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" +set_global_assignment -entity "niosII_mm_interconnect_0_rsp_demux" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTE6ODkpIHJlc3BvbnNlX3N0YXR1cyg4ODo4NykgY2FjaGUoODY6ODMpIHByb3RlY3Rpb24oODI6ODApIHRocmVhZF9pZCg3OSkgZGVzdF9pZCg3ODo3Nikgc3JjX2lkKDc1OjczKSBxb3MoNzIpIGJlZ2luX2J1cnN0KDcxKSBkYXRhX3NpZGViYW5kKDcwKSBhZGRyX3NpZGViYW5kKDY5KSBidXJzdF90eXBlKDY4OjY3KSBidXJzdF9zaXplKDY2OjY0KSBidXJzdHdyYXAoNjM6NjEpIGJ5dGVfY250KDYwOjU4KSB0cmFuc19leGNsdXNpdmUoNTcpIHRyYW5zX2xvY2soNTYpIHRyYW5zX3JlYWQoNTUpIHRyYW5zX3dyaXRlKDU0KSB0cmFuc19wb3N0ZWQoNTMpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1MikgYWRkcig1MTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_demux" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBJViBF::QXV0byBERVZJQ0VfRkFNSUxZ" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_demux" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::NTAwMDAwMDA=::QXV0byBDTE9DS19SQVRF" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux_002" -library "niosII" -name IP_COMPONENT_NAME "bmlvc0lJX21tX2ludGVyY29ubmVjdF8wX2NtZF9tdXhfMDAy" @@ -173,15 +173,15 @@ set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux_002" -library "n set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux_002" -library "niosII" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux_002" -library "niosII" -name IP_COMPONENT_VERSION "MTguMQ==" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux_002" -library "niosII" -name IP_COMPONENT_DESCRIPTION "QXJiaXRyYXRlcyBiZXR3ZWVuIHJlcXVlc3RpbmcgbWFzdGVycyB1c2luZyBhbiBlcXVhbCBzaGFyZSwgcm91bmQtcm9iaW4gYWxnb3JpdGhtLiBUaGUgYXJiaXRyYXRpb24gc2NoZW1lIGNhbiBiZSBjaGFuZ2VkIHRvIHdlaWdodGVkIHJvdW5kLXJvYmluIGJ5IHNwZWNpZnlpbmcgYSByZWxhdGl2ZSBudW1iZXIgb2YgYXJiaXRyYXRpb24gc2hhcmVzIHRvIHRoZSBtYXN0ZXJzIHRoYXQgYWNjZXNzIGEgcGFydGljdWxhciBzbGF2ZS4=" -set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux_002" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTQ=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" +set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux_002" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTI=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux_002" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux_002" -library "niosII" -name IP_COMPONENT_PARAMETER "TlVNX0lOUFVUUw==::Mg==::TnVtYmVyIG9mIG11eCBpbnB1dHM=" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux_002" -library "niosII" -name IP_COMPONENT_PARAMETER "UElQRUxJTkVfQVJC::MQ==::UGlwZWxpbmVkIGFyYml0cmF0aW9u" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux_002" -library "niosII" -name IP_COMPONENT_PARAMETER "VVNFX0VYVEVSTkFMX0FSQg==::MA==::VXNlIGV4dGVybmFsIGFyYml0cmF0aW9u" -set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux_002" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::NTg=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" +set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux_002" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::NTY=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux_002" -library "niosII" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0NIRU1F::cm91bmQtcm9iaW4=::QXJiaXRyYXRpb24gc2NoZW1l" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux_002" -library "niosII" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0hBUkVT::MSwx::QXJiaXRyYXRpb24gc2hhcmVz" -set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux_002" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTM6OTEpIHJlc3BvbnNlX3N0YXR1cyg5MDo4OSkgY2FjaGUoODg6ODUpIHByb3RlY3Rpb24oODQ6ODIpIHRocmVhZF9pZCg4MSkgZGVzdF9pZCg4MDo3OCkgc3JjX2lkKDc3Ojc1KSBxb3MoNzQpIGJlZ2luX2J1cnN0KDczKSBkYXRhX3NpZGViYW5kKDcyKSBhZGRyX3NpZGViYW5kKDcxKSBidXJzdF90eXBlKDcwOjY5KSBidXJzdF9zaXplKDY4OjY2KSBidXJzdHdyYXAoNjU6NjMpIGJ5dGVfY250KDYyOjYwKSB0cmFuc19leGNsdXNpdmUoNTkpIHRyYW5zX2xvY2soNTgpIHRyYW5zX3JlYWQoNTcpIHRyYW5zX3dyaXRlKDU2KSB0cmFuc19wb3N0ZWQoNTUpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1NCkgYWRkcig1MzozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" +set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux_002" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTE6ODkpIHJlc3BvbnNlX3N0YXR1cyg4ODo4NykgY2FjaGUoODY6ODMpIHByb3RlY3Rpb24oODI6ODApIHRocmVhZF9pZCg3OSkgZGVzdF9pZCg3ODo3Nikgc3JjX2lkKDc1OjczKSBxb3MoNzIpIGJlZ2luX2J1cnN0KDcxKSBkYXRhX3NpZGViYW5kKDcwKSBhZGRyX3NpZGViYW5kKDY5KSBidXJzdF90eXBlKDY4OjY3KSBidXJzdF9zaXplKDY2OjY0KSBidXJzdHdyYXAoNjM6NjEpIGJ5dGVfY250KDYwOjU4KSB0cmFuc19leGNsdXNpdmUoNTcpIHRyYW5zX2xvY2soNTYpIHRyYW5zX3JlYWQoNTUpIHRyYW5zX3dyaXRlKDU0KSB0cmFuc19wb3N0ZWQoNTMpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1MikgYWRkcig1MTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux" -library "niosII" -name IP_COMPONENT_NAME "bmlvc0lJX21tX2ludGVyY29ubmVjdF8wX2NtZF9tdXg=" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux" -library "niosII" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBNdWx0aXBsZXhlcg==" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux" -library "niosII" -name IP_COMPONENT_REPORT_HIERARCHY "Off" @@ -189,15 +189,15 @@ set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux" -library "niosI set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux" -library "niosII" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux" -library "niosII" -name IP_COMPONENT_VERSION "MTguMQ==" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux" -library "niosII" -name IP_COMPONENT_DESCRIPTION "QXJiaXRyYXRlcyBiZXR3ZWVuIHJlcXVlc3RpbmcgbWFzdGVycyB1c2luZyBhbiBlcXVhbCBzaGFyZSwgcm91bmQtcm9iaW4gYWxnb3JpdGhtLiBUaGUgYXJiaXRyYXRpb24gc2NoZW1lIGNhbiBiZSBjaGFuZ2VkIHRvIHdlaWdodGVkIHJvdW5kLXJvYmluIGJ5IHNwZWNpZnlpbmcgYSByZWxhdGl2ZSBudW1iZXIgb2YgYXJiaXRyYXRpb24gc2hhcmVzIHRvIHRoZSBtYXN0ZXJzIHRoYXQgYWNjZXNzIGEgcGFydGljdWxhciBzbGF2ZS4=" -set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTQ=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" +set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTI=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "TlVNX0lOUFVUUw==::MQ==::TnVtYmVyIG9mIG11eCBpbnB1dHM=" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "UElQRUxJTkVfQVJC::MQ==::UGlwZWxpbmVkIGFyYml0cmF0aW9u" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "VVNFX0VYVEVSTkFMX0FSQg==::MA==::VXNlIGV4dGVybmFsIGFyYml0cmF0aW9u" -set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::NTg=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" +set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::NTY=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0NIRU1F::cm91bmQtcm9iaW4=::QXJiaXRyYXRpb24gc2NoZW1l" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0hBUkVT::MQ==::QXJiaXRyYXRpb24gc2hhcmVz" -set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTM6OTEpIHJlc3BvbnNlX3N0YXR1cyg5MDo4OSkgY2FjaGUoODg6ODUpIHByb3RlY3Rpb24oODQ6ODIpIHRocmVhZF9pZCg4MSkgZGVzdF9pZCg4MDo3OCkgc3JjX2lkKDc3Ojc1KSBxb3MoNzQpIGJlZ2luX2J1cnN0KDczKSBkYXRhX3NpZGViYW5kKDcyKSBhZGRyX3NpZGViYW5kKDcxKSBidXJzdF90eXBlKDcwOjY5KSBidXJzdF9zaXplKDY4OjY2KSBidXJzdHdyYXAoNjU6NjMpIGJ5dGVfY250KDYyOjYwKSB0cmFuc19leGNsdXNpdmUoNTkpIHRyYW5zX2xvY2soNTgpIHRyYW5zX3JlYWQoNTcpIHRyYW5zX3dyaXRlKDU2KSB0cmFuc19wb3N0ZWQoNTUpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1NCkgYWRkcig1MzozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" +set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTE6ODkpIHJlc3BvbnNlX3N0YXR1cyg4ODo4NykgY2FjaGUoODY6ODMpIHByb3RlY3Rpb24oODI6ODApIHRocmVhZF9pZCg3OSkgZGVzdF9pZCg3ODo3Nikgc3JjX2lkKDc1OjczKSBxb3MoNzIpIGJlZ2luX2J1cnN0KDcxKSBkYXRhX3NpZGViYW5kKDcwKSBhZGRyX3NpZGViYW5kKDY5KSBidXJzdF90eXBlKDY4OjY3KSBidXJzdF9zaXplKDY2OjY0KSBidXJzdHdyYXAoNjM6NjEpIGJ5dGVfY250KDYwOjU4KSB0cmFuc19leGNsdXNpdmUoNTcpIHRyYW5zX2xvY2soNTYpIHRyYW5zX3JlYWQoNTUpIHRyYW5zX3dyaXRlKDU0KSB0cmFuc19wb3N0ZWQoNTMpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1MikgYWRkcig1MTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux_001" -library "niosII" -name IP_COMPONENT_NAME "bmlvc0lJX21tX2ludGVyY29ubmVjdF8wX2NtZF9kZW11eF8wMDE=" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux_001" -library "niosII" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBEZW11bHRpcGxleGVy" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux_001" -library "niosII" -name IP_COMPONENT_REPORT_HIERARCHY "Off" @@ -205,11 +205,11 @@ set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux_001" -library set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux_001" -library "niosII" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux_001" -library "niosII" -name IP_COMPONENT_VERSION "MTguMQ==" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux_001" -library "niosII" -name IP_COMPONENT_DESCRIPTION "QWNjZXB0cyBjaGFubmVsaXplZCBkYXRhIG9uIGl0cyBzaW5rIGludGVyZmFjZSBhbmQgdHJhbnNtaXRzIHRoZSBkYXRhIG9uIG9uZSBvZiBpdHMgc291cmNlIGludGVyZmFjZXMu" -set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux_001" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTQ=::UGFja2V0IGRhdGEgd2lkdGg=" +set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux_001" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTI=::UGFja2V0IGRhdGEgd2lkdGg=" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux_001" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux_001" -library "niosII" -name IP_COMPONENT_PARAMETER "TlVNX09VVFBVVFM=::Mg==::TnVtYmVyIG9mIGRlbXV4IG91dHB1dHM=" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux_001" -library "niosII" -name IP_COMPONENT_PARAMETER "VkFMSURfV0lEVEg=::MQ==::VmFsaWQgd2lkdGg=" -set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux_001" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTM6OTEpIHJlc3BvbnNlX3N0YXR1cyg5MDo4OSkgY2FjaGUoODg6ODUpIHByb3RlY3Rpb24oODQ6ODIpIHRocmVhZF9pZCg4MSkgZGVzdF9pZCg4MDo3OCkgc3JjX2lkKDc3Ojc1KSBxb3MoNzQpIGJlZ2luX2J1cnN0KDczKSBkYXRhX3NpZGViYW5kKDcyKSBhZGRyX3NpZGViYW5kKDcxKSBidXJzdF90eXBlKDcwOjY5KSBidXJzdF9zaXplKDY4OjY2KSBidXJzdHdyYXAoNjU6NjMpIGJ5dGVfY250KDYyOjYwKSB0cmFuc19leGNsdXNpdmUoNTkpIHRyYW5zX2xvY2soNTgpIHRyYW5zX3JlYWQoNTcpIHRyYW5zX3dyaXRlKDU2KSB0cmFuc19wb3N0ZWQoNTUpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1NCkgYWRkcig1MzozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" +set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux_001" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTE6ODkpIHJlc3BvbnNlX3N0YXR1cyg4ODo4NykgY2FjaGUoODY6ODMpIHByb3RlY3Rpb24oODI6ODApIHRocmVhZF9pZCg3OSkgZGVzdF9pZCg3ODo3Nikgc3JjX2lkKDc1OjczKSBxb3MoNzIpIGJlZ2luX2J1cnN0KDcxKSBkYXRhX3NpZGViYW5kKDcwKSBhZGRyX3NpZGViYW5kKDY5KSBidXJzdF90eXBlKDY4OjY3KSBidXJzdF9zaXplKDY2OjY0KSBidXJzdHdyYXAoNjM6NjEpIGJ5dGVfY250KDYwOjU4KSB0cmFuc19leGNsdXNpdmUoNTcpIHRyYW5zX2xvY2soNTYpIHRyYW5zX3JlYWQoNTUpIHRyYW5zX3dyaXRlKDU0KSB0cmFuc19wb3N0ZWQoNTMpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1MikgYWRkcig1MTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux_001" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBJViBF::QXV0byBERVZJQ0VfRkFNSUxZ" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux_001" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::NTAwMDAwMDA=::QXV0byBDTE9DS19SQVRF" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux" -library "niosII" -name IP_COMPONENT_NAME "bmlvc0lJX21tX2ludGVyY29ubmVjdF8wX2NtZF9kZW11eA==" @@ -219,11 +219,11 @@ set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux" -library "nio set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux" -library "niosII" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux" -library "niosII" -name IP_COMPONENT_VERSION "MTguMQ==" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux" -library "niosII" -name IP_COMPONENT_DESCRIPTION "QWNjZXB0cyBjaGFubmVsaXplZCBkYXRhIG9uIGl0cyBzaW5rIGludGVyZmFjZSBhbmQgdHJhbnNtaXRzIHRoZSBkYXRhIG9uIG9uZSBvZiBpdHMgc291cmNlIGludGVyZmFjZXMu" -set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTQ=::UGFja2V0IGRhdGEgd2lkdGg=" +set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTI=::UGFja2V0IGRhdGEgd2lkdGg=" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux" -library "niosII" -name IP_COMPONENT_PARAMETER "TlVNX09VVFBVVFM=::NQ==::TnVtYmVyIG9mIGRlbXV4IG91dHB1dHM=" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux" -library "niosII" -name IP_COMPONENT_PARAMETER "VkFMSURfV0lEVEg=::MQ==::VmFsaWQgd2lkdGg=" -set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTM6OTEpIHJlc3BvbnNlX3N0YXR1cyg5MDo4OSkgY2FjaGUoODg6ODUpIHByb3RlY3Rpb24oODQ6ODIpIHRocmVhZF9pZCg4MSkgZGVzdF9pZCg4MDo3OCkgc3JjX2lkKDc3Ojc1KSBxb3MoNzQpIGJlZ2luX2J1cnN0KDczKSBkYXRhX3NpZGViYW5kKDcyKSBhZGRyX3NpZGViYW5kKDcxKSBidXJzdF90eXBlKDcwOjY5KSBidXJzdF9zaXplKDY4OjY2KSBidXJzdHdyYXAoNjU6NjMpIGJ5dGVfY250KDYyOjYwKSB0cmFuc19leGNsdXNpdmUoNTkpIHRyYW5zX2xvY2soNTgpIHRyYW5zX3JlYWQoNTcpIHRyYW5zX3dyaXRlKDU2KSB0cmFuc19wb3N0ZWQoNTUpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1NCkgYWRkcig1MzozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" +set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTE6ODkpIHJlc3BvbnNlX3N0YXR1cyg4ODo4NykgY2FjaGUoODY6ODMpIHByb3RlY3Rpb24oODI6ODApIHRocmVhZF9pZCg3OSkgZGVzdF9pZCg3ODo3Nikgc3JjX2lkKDc1OjczKSBxb3MoNzIpIGJlZ2luX2J1cnN0KDcxKSBkYXRhX3NpZGViYW5kKDcwKSBhZGRyX3NpZGViYW5kKDY5KSBidXJzdF90eXBlKDY4OjY3KSBidXJzdF9zaXplKDY2OjY0KSBidXJzdHdyYXAoNjM6NjEpIGJ5dGVfY250KDYwOjU4KSB0cmFuc19leGNsdXNpdmUoNTcpIHRyYW5zX2xvY2soNTYpIHRyYW5zX3JlYWQoNTUpIHRyYW5zX3dyaXRlKDU0KSB0cmFuc19wb3N0ZWQoNTMpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1MikgYWRkcig1MTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBJViBF::QXV0byBERVZJQ0VfRkFNSUxZ" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::NTAwMDAwMDA=::QXV0byBDTE9DS19SQVRF" set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_NAME "bmlvc0lJX21tX2ludGVyY29ubmVjdF8wX3JvdXRlcl8wMDc=" @@ -241,15 +241,15 @@ set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "ni set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "Tk9OX1NFQ1VSRURfVEFH::MQ==::Tm9uLXNlY3VyZWQgdGFncw==" set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9QQUlSUw==::MA==::TnVtYmVyIG9mIHNlY3VyZWQgcmFuZ2UgcGFpcnM=" set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9MSVNU::MA==::U2VjdXJlZCByYW5nZSBwYWlycw==" -set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NTM=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NTE=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo" set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c=" -set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::ODQ=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA==" -set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::ODI=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gbG93" -set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::ODA=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA==" -set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::Nzg=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93" -set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NTY=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4" -set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NTc=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" -set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTQ=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::ODI=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA==" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::ODA=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gbG93" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::Nzg=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA==" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::NzY=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NTQ=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NTU=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTI=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MToxOjB4MDoweDA6cmVhZDoxOjA6MDox::U0xBVkVTX0lORk8=" set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "REVDT0RFUl9UWVBF::MQ==::RGVjb2RlciB0eXBl" @@ -257,7 +257,7 @@ set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "ni set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9XUl9DSEFOTkVM::LTE=::RGVmYXVsdCB3ciBjaGFubmVs" set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9SRF9DSEFOTkVM::LTE=::RGVmYXVsdCByZCBjaGFubmVs" set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9ERVNUSUQ=::MQ==::RGVmYXVsdCBkZXN0aW5hdGlvbiBJRA==" -set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTM6OTEpIHJlc3BvbnNlX3N0YXR1cyg5MDo4OSkgY2FjaGUoODg6ODUpIHByb3RlY3Rpb24oODQ6ODIpIHRocmVhZF9pZCg4MSkgZGVzdF9pZCg4MDo3OCkgc3JjX2lkKDc3Ojc1KSBxb3MoNzQpIGJlZ2luX2J1cnN0KDczKSBkYXRhX3NpZGViYW5kKDcyKSBhZGRyX3NpZGViYW5kKDcxKSBidXJzdF90eXBlKDcwOjY5KSBidXJzdF9zaXplKDY4OjY2KSBidXJzdHdyYXAoNjU6NjMpIGJ5dGVfY250KDYyOjYwKSB0cmFuc19leGNsdXNpdmUoNTkpIHRyYW5zX2xvY2soNTgpIHRyYW5zX3JlYWQoNTcpIHRyYW5zX3dyaXRlKDU2KSB0cmFuc19wb3N0ZWQoNTUpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1NCkgYWRkcig1MzozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTE6ODkpIHJlc3BvbnNlX3N0YXR1cyg4ODo4NykgY2FjaGUoODY6ODMpIHByb3RlY3Rpb24oODI6ODApIHRocmVhZF9pZCg3OSkgZGVzdF9pZCg3ODo3Nikgc3JjX2lkKDc1OjczKSBxb3MoNzIpIGJlZ2luX2J1cnN0KDcxKSBkYXRhX3NpZGViYW5kKDcwKSBhZGRyX3NpZGViYW5kKDY5KSBidXJzdF90eXBlKDY4OjY3KSBidXJzdF9zaXplKDY2OjY0KSBidXJzdHdyYXAoNjM6NjEpIGJ5dGVfY250KDYwOjU4KSB0cmFuc19leGNsdXNpdmUoNTcpIHRyYW5zX2xvY2soNTYpIHRyYW5zX3JlYWQoNTUpIHRyYW5zX3dyaXRlKDU0KSB0cmFuc19wb3N0ZWQoNTMpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1MikgYWRkcig1MTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVNT1JZX0FMSUFTSU5HX0RFQ09ERQ==::MA==::TWVtb3J5IEFsaWFzaW5nIERlY29kZQ==" set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_NAME "bmlvc0lJX21tX2ludGVyY29ubmVjdF8wX3JvdXRlcl8wMDQ=" set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBSb3V0ZXI=" @@ -274,15 +274,15 @@ set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "ni set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "Tk9OX1NFQ1VSRURfVEFH::MSwx::Tm9uLXNlY3VyZWQgdGFncw==" set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9QQUlSUw==::MCww::TnVtYmVyIG9mIHNlY3VyZWQgcmFuZ2UgcGFpcnM=" set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9MSVNU::MCww::U2VjdXJlZCByYW5nZSBwYWlycw==" -set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NTM=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo" +set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NTE=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo" set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c=" -set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::ODQ=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA==" -set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::ODI=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gbG93" -set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::ODA=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA==" -set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::Nzg=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93" -set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NTY=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4" -set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NTc=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" -set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTQ=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" +set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::ODI=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA==" +set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::ODA=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gbG93" +set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::Nzg=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA==" +set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::NzY=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93" +set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NTQ=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4" +set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NTU=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" +set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTI=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MDowMToweDA6MHgwOmJvdGg6MTowOjA6MSwxOjEwOjB4MDoweDA6cmVhZDoxOjA6MDox::U0xBVkVTX0lORk8=" set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "REVDT0RFUl9UWVBF::MQ==::RGVjb2RlciB0eXBl" @@ -290,7 +290,7 @@ set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "ni set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9XUl9DSEFOTkVM::LTE=::RGVmYXVsdCB3ciBjaGFubmVs" set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9SRF9DSEFOTkVM::LTE=::RGVmYXVsdCByZCBjaGFubmVs" set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9ERVNUSUQ=::MA==::RGVmYXVsdCBkZXN0aW5hdGlvbiBJRA==" -set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTM6OTEpIHJlc3BvbnNlX3N0YXR1cyg5MDo4OSkgY2FjaGUoODg6ODUpIHByb3RlY3Rpb24oODQ6ODIpIHRocmVhZF9pZCg4MSkgZGVzdF9pZCg4MDo3OCkgc3JjX2lkKDc3Ojc1KSBxb3MoNzQpIGJlZ2luX2J1cnN0KDczKSBkYXRhX3NpZGViYW5kKDcyKSBhZGRyX3NpZGViYW5kKDcxKSBidXJzdF90eXBlKDcwOjY5KSBidXJzdF9zaXplKDY4OjY2KSBidXJzdHdyYXAoNjU6NjMpIGJ5dGVfY250KDYyOjYwKSB0cmFuc19leGNsdXNpdmUoNTkpIHRyYW5zX2xvY2soNTgpIHRyYW5zX3JlYWQoNTcpIHRyYW5zX3dyaXRlKDU2KSB0cmFuc19wb3N0ZWQoNTUpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1NCkgYWRkcig1MzozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" +set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTE6ODkpIHJlc3BvbnNlX3N0YXR1cyg4ODo4NykgY2FjaGUoODY6ODMpIHByb3RlY3Rpb24oODI6ODApIHRocmVhZF9pZCg3OSkgZGVzdF9pZCg3ODo3Nikgc3JjX2lkKDc1OjczKSBxb3MoNzIpIGJlZ2luX2J1cnN0KDcxKSBkYXRhX3NpZGViYW5kKDcwKSBhZGRyX3NpZGViYW5kKDY5KSBidXJzdF90eXBlKDY4OjY3KSBidXJzdF9zaXplKDY2OjY0KSBidXJzdHdyYXAoNjM6NjEpIGJ5dGVfY250KDYwOjU4KSB0cmFuc19leGNsdXNpdmUoNTcpIHRyYW5zX2xvY2soNTYpIHRyYW5zX3JlYWQoNTUpIHRyYW5zX3dyaXRlKDU0KSB0cmFuc19wb3N0ZWQoNTMpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1MikgYWRkcig1MTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVNT1JZX0FMSUFTSU5HX0RFQ09ERQ==::MA==::TWVtb3J5IEFsaWFzaW5nIERlY29kZQ==" set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_NAME "bmlvc0lJX21tX2ludGVyY29ubmVjdF8wX3JvdXRlcl8wMDI=" set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBSb3V0ZXI=" @@ -307,15 +307,15 @@ set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "ni set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "Tk9OX1NFQ1VSRURfVEFH::MQ==::Tm9uLXNlY3VyZWQgdGFncw==" set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9QQUlSUw==::MA==::TnVtYmVyIG9mIHNlY3VyZWQgcmFuZ2UgcGFpcnM=" set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9MSVNU::MA==::U2VjdXJlZCByYW5nZSBwYWlycw==" -set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NTM=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo" +set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NTE=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo" set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c=" -set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::ODQ=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA==" -set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::ODI=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gbG93" -set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::ODA=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA==" -set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::Nzg=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93" -set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NTY=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4" -set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NTc=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" -set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTQ=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" +set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::ODI=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA==" +set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::ODA=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gbG93" +set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::Nzg=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA==" +set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::NzY=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93" +set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NTQ=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4" +set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NTU=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" +set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTI=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MDoxOjB4MDoweDA6Ym90aDoxOjA6MDox::U0xBVkVTX0lORk8=" set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "REVDT0RFUl9UWVBF::MQ==::RGVjb2RlciB0eXBl" @@ -323,7 +323,7 @@ set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "ni set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9XUl9DSEFOTkVM::LTE=::RGVmYXVsdCB3ciBjaGFubmVs" set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9SRF9DSEFOTkVM::LTE=::RGVmYXVsdCByZCBjaGFubmVs" set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9ERVNUSUQ=::MA==::RGVmYXVsdCBkZXN0aW5hdGlvbiBJRA==" -set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTM6OTEpIHJlc3BvbnNlX3N0YXR1cyg5MDo4OSkgY2FjaGUoODg6ODUpIHByb3RlY3Rpb24oODQ6ODIpIHRocmVhZF9pZCg4MSkgZGVzdF9pZCg4MDo3OCkgc3JjX2lkKDc3Ojc1KSBxb3MoNzQpIGJlZ2luX2J1cnN0KDczKSBkYXRhX3NpZGViYW5kKDcyKSBhZGRyX3NpZGViYW5kKDcxKSBidXJzdF90eXBlKDcwOjY5KSBidXJzdF9zaXplKDY4OjY2KSBidXJzdHdyYXAoNjU6NjMpIGJ5dGVfY250KDYyOjYwKSB0cmFuc19leGNsdXNpdmUoNTkpIHRyYW5zX2xvY2soNTgpIHRyYW5zX3JlYWQoNTcpIHRyYW5zX3dyaXRlKDU2KSB0cmFuc19wb3N0ZWQoNTUpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1NCkgYWRkcig1MzozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" +set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTE6ODkpIHJlc3BvbnNlX3N0YXR1cyg4ODo4NykgY2FjaGUoODY6ODMpIHByb3RlY3Rpb24oODI6ODApIHRocmVhZF9pZCg3OSkgZGVzdF9pZCg3ODo3Nikgc3JjX2lkKDc1OjczKSBxb3MoNzIpIGJlZ2luX2J1cnN0KDcxKSBkYXRhX3NpZGViYW5kKDcwKSBhZGRyX3NpZGViYW5kKDY5KSBidXJzdF90eXBlKDY4OjY3KSBidXJzdF9zaXplKDY2OjY0KSBidXJzdHdyYXAoNjM6NjEpIGJ5dGVfY250KDYwOjU4KSB0cmFuc19leGNsdXNpdmUoNTcpIHRyYW5zX2xvY2soNTYpIHRyYW5zX3JlYWQoNTUpIHRyYW5zX3dyaXRlKDU0KSB0cmFuc19wb3N0ZWQoNTMpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1MikgYWRkcig1MTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVNT1JZX0FMSUFTSU5HX0RFQ09ERQ==::MA==::TWVtb3J5IEFsaWFzaW5nIERlY29kZQ==" set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_NAME "bmlvc0lJX21tX2ludGVyY29ubmVjdF8wX3JvdXRlcl8wMDE=" set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBSb3V0ZXI=" @@ -335,28 +335,28 @@ set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "ni set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "REVTVElOQVRJT05fSUQ=::Miww::RGVzdGluYXRpb24gSUQ=" set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9JRA==::MTAsMDE=::QmluYXJ5IENoYW5uZWwgU3RyaW5n" set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "VFlQRV9PRl9UUkFOU0FDVElPTg==::Ym90aCxib3Ro::VHlwZSBvZiBUcmFuc2FjdGlvbg==" -set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RBUlRfQUREUkVTUw==::MHgwLDB4MjA4MDA=::U3RhcnQgYWRkcmVzc2VzIChpbmNsdXNpdmUp" -set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "RU5EX0FERFJFU1M=::MHgyMDAwMCwweDIxMDAw::RW5kIGFkZHJlc3NlcyAoZXhjbHVzaXZlKQ==" +set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RBUlRfQUREUkVTUw==::MHgwLDB4ODgwMA==::U3RhcnQgYWRkcmVzc2VzIChpbmNsdXNpdmUp" +set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "RU5EX0FERFJFU1M=::MHg4MDAwLDB4OTAwMA==::RW5kIGFkZHJlc3NlcyAoZXhjbHVzaXZlKQ==" set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "Tk9OX1NFQ1VSRURfVEFH::MSwx::Tm9uLXNlY3VyZWQgdGFncw==" set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9QQUlSUw==::MCww::TnVtYmVyIG9mIHNlY3VyZWQgcmFuZ2UgcGFpcnM=" set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9MSVNU::MCww::U2VjdXJlZCByYW5nZSBwYWlycw==" -set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NTM=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo" +set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NTE=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo" set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c=" -set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::ODQ=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA==" -set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::ODI=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gbG93" -set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::ODA=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA==" -set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::Nzg=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93" -set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NTY=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4" -set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NTc=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" -set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTQ=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" +set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::ODI=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA==" +set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::ODA=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gbG93" +set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::Nzg=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA==" +set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::NzY=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93" +set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NTQ=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4" +set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NTU=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" +set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTI=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" -set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MjoxMDoweDA6MHgyMDAwMDpib3RoOjE6MDowOjEsMDowMToweDIwODAwOjB4MjEwMDA6Ym90aDoxOjA6MDox::U0xBVkVTX0lORk8=" +set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MjoxMDoweDA6MHg4MDAwOmJvdGg6MTowOjA6MSwwOjAxOjB4ODgwMDoweDkwMDA6Ym90aDoxOjA6MDox::U0xBVkVTX0lORk8=" set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "REVDT0RFUl9UWVBF::MA==::RGVjb2RlciB0eXBl" set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9DSEFOTkVM::MQ==::RGVmYXVsdCBjaGFubmVs" set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9XUl9DSEFOTkVM::LTE=::RGVmYXVsdCB3ciBjaGFubmVs" set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9SRF9DSEFOTkVM::LTE=::RGVmYXVsdCByZCBjaGFubmVs" set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9ERVNUSUQ=::Mg==::RGVmYXVsdCBkZXN0aW5hdGlvbiBJRA==" -set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTM6OTEpIHJlc3BvbnNlX3N0YXR1cyg5MDo4OSkgY2FjaGUoODg6ODUpIHByb3RlY3Rpb24oODQ6ODIpIHRocmVhZF9pZCg4MSkgZGVzdF9pZCg4MDo3OCkgc3JjX2lkKDc3Ojc1KSBxb3MoNzQpIGJlZ2luX2J1cnN0KDczKSBkYXRhX3NpZGViYW5kKDcyKSBhZGRyX3NpZGViYW5kKDcxKSBidXJzdF90eXBlKDcwOjY5KSBidXJzdF9zaXplKDY4OjY2KSBidXJzdHdyYXAoNjU6NjMpIGJ5dGVfY250KDYyOjYwKSB0cmFuc19leGNsdXNpdmUoNTkpIHRyYW5zX2xvY2soNTgpIHRyYW5zX3JlYWQoNTcpIHRyYW5zX3dyaXRlKDU2KSB0cmFuc19wb3N0ZWQoNTUpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1NCkgYWRkcig1MzozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" +set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTE6ODkpIHJlc3BvbnNlX3N0YXR1cyg4ODo4NykgY2FjaGUoODY6ODMpIHByb3RlY3Rpb24oODI6ODApIHRocmVhZF9pZCg3OSkgZGVzdF9pZCg3ODo3Nikgc3JjX2lkKDc1OjczKSBxb3MoNzIpIGJlZ2luX2J1cnN0KDcxKSBkYXRhX3NpZGViYW5kKDcwKSBhZGRyX3NpZGViYW5kKDY5KSBidXJzdF90eXBlKDY4OjY3KSBidXJzdF9zaXplKDY2OjY0KSBidXJzdHdyYXAoNjM6NjEpIGJ5dGVfY250KDYwOjU4KSB0cmFuc19leGNsdXNpdmUoNTcpIHRyYW5zX2xvY2soNTYpIHRyYW5zX3JlYWQoNTUpIHRyYW5zX3dyaXRlKDU0KSB0cmFuc19wb3N0ZWQoNTMpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1MikgYWRkcig1MTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVNT1JZX0FMSUFTSU5HX0RFQ09ERQ==::MA==::TWVtb3J5IEFsaWFzaW5nIERlY29kZQ==" set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_NAME "bmlvc0lJX21tX2ludGVyY29ubmVjdF8wX3JvdXRlcg==" set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBSb3V0ZXI=" @@ -368,28 +368,28 @@ set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "REVTVElOQVRJT05fSUQ=::MywwLDUsMSw0::RGVzdGluYXRpb24gSUQ=" set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9JRA==::MTAwMDAsMDAxMDAsMDEwMDAsMDAwMDEsMDAwMTA=::QmluYXJ5IENoYW5uZWwgU3RyaW5n" set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "VFlQRV9PRl9UUkFOU0FDVElPTg==::Ym90aCxib3RoLGJvdGgsYm90aCx3cml0ZQ==::VHlwZSBvZiBUcmFuc2FjdGlvbg==" -set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RBUlRfQUREUkVTUw==::MHgwLDB4MjA4MDAsMHgyMTAwMCwweDIxMDIwLDB4MjEwMjg=::U3RhcnQgYWRkcmVzc2VzIChpbmNsdXNpdmUp" -set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "RU5EX0FERFJFU1M=::MHgyMDAwMCwweDIxMDAwLDB4MjEwMjAsMHgyMTAyOCwweDIxMDJj::RW5kIGFkZHJlc3NlcyAoZXhjbHVzaXZlKQ==" +set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RBUlRfQUREUkVTUw==::MHgwLDB4ODgwMCwweDkwMDAsMHg5MDIwLDB4OTAyOA==::U3RhcnQgYWRkcmVzc2VzIChpbmNsdXNpdmUp" +set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "RU5EX0FERFJFU1M=::MHg4MDAwLDB4OTAwMCwweDkwMjAsMHg5MDI4LDB4OTAyYw==::RW5kIGFkZHJlc3NlcyAoZXhjbHVzaXZlKQ==" set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "Tk9OX1NFQ1VSRURfVEFH::MSwxLDEsMSwx::Tm9uLXNlY3VyZWQgdGFncw==" set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9QQUlSUw==::MCwwLDAsMCww::TnVtYmVyIG9mIHNlY3VyZWQgcmFuZ2UgcGFpcnM=" set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9MSVNU::MCwwLDAsMCww::U2VjdXJlZCByYW5nZSBwYWlycw==" -set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NTM=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo" +set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NTE=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo" set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c=" -set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::ODQ=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA==" -set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::ODI=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gbG93" -set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::ODA=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA==" -set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::Nzg=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93" -set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NTY=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4" -set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NTc=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" -set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTQ=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" +set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::ODI=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA==" +set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::ODA=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gbG93" +set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::Nzg=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA==" +set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::NzY=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93" +set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NTQ=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4" +set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NTU=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" +set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTI=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" -set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MzoxMDAwMDoweDA6MHgyMDAwMDpib3RoOjE6MDowOjEsMDowMDEwMDoweDIwODAwOjB4MjEwMDA6Ym90aDoxOjA6MDoxLDU6MDEwMDA6MHgyMTAwMDoweDIxMDIwOmJvdGg6MTowOjA6MSwxOjAwMDAxOjB4MjEwMjA6MHgyMTAyODpib3RoOjE6MDowOjEsNDowMDAxMDoweDIxMDI4OjB4MjEwMmM6d3JpdGU6MTowOjA6MQ==::U0xBVkVTX0lORk8=" +set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MzoxMDAwMDoweDA6MHg4MDAwOmJvdGg6MTowOjA6MSwwOjAwMTAwOjB4ODgwMDoweDkwMDA6Ym90aDoxOjA6MDoxLDU6MDEwMDA6MHg5MDAwOjB4OTAyMDpib3RoOjE6MDowOjEsMTowMDAwMToweDkwMjA6MHg5MDI4OmJvdGg6MTowOjA6MSw0OjAwMDEwOjB4OTAyODoweDkwMmM6d3JpdGU6MTowOjA6MQ==::U0xBVkVTX0lORk8=" set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "REVDT0RFUl9UWVBF::MA==::RGVjb2RlciB0eXBl" set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9DSEFOTkVM::NA==::RGVmYXVsdCBjaGFubmVs" set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9XUl9DSEFOTkVM::LTE=::RGVmYXVsdCB3ciBjaGFubmVs" set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9SRF9DSEFOTkVM::LTE=::RGVmYXVsdCByZCBjaGFubmVs" set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9ERVNUSUQ=::Mw==::RGVmYXVsdCBkZXN0aW5hdGlvbiBJRA==" -set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTM6OTEpIHJlc3BvbnNlX3N0YXR1cyg5MDo4OSkgY2FjaGUoODg6ODUpIHByb3RlY3Rpb24oODQ6ODIpIHRocmVhZF9pZCg4MSkgZGVzdF9pZCg4MDo3OCkgc3JjX2lkKDc3Ojc1KSBxb3MoNzQpIGJlZ2luX2J1cnN0KDczKSBkYXRhX3NpZGViYW5kKDcyKSBhZGRyX3NpZGViYW5kKDcxKSBidXJzdF90eXBlKDcwOjY5KSBidXJzdF9zaXplKDY4OjY2KSBidXJzdHdyYXAoNjU6NjMpIGJ5dGVfY250KDYyOjYwKSB0cmFuc19leGNsdXNpdmUoNTkpIHRyYW5zX2xvY2soNTgpIHRyYW5zX3JlYWQoNTcpIHRyYW5zX3dyaXRlKDU2KSB0cmFuc19wb3N0ZWQoNTUpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1NCkgYWRkcig1MzozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" +set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTE6ODkpIHJlc3BvbnNlX3N0YXR1cyg4ODo4NykgY2FjaGUoODY6ODMpIHByb3RlY3Rpb24oODI6ODApIHRocmVhZF9pZCg3OSkgZGVzdF9pZCg3ODo3Nikgc3JjX2lkKDc1OjczKSBxb3MoNzIpIGJlZ2luX2J1cnN0KDcxKSBkYXRhX3NpZGViYW5kKDcwKSBhZGRyX3NpZGViYW5kKDY5KSBidXJzdF90eXBlKDY4OjY3KSBidXJzdF9zaXplKDY2OjY0KSBidXJzdHdyYXAoNjM6NjEpIGJ5dGVfY250KDYwOjU4KSB0cmFuc19leGNsdXNpdmUoNTcpIHRyYW5zX2xvY2soNTYpIHRyYW5zX3JlYWQoNTUpIHRyYW5zX3dyaXRlKDU0KSB0cmFuc19wb3N0ZWQoNTMpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1MikgYWRkcig1MTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVNT1JZX0FMSUFTSU5HX0RFQ09ERQ==::MA==::TWVtb3J5IEFsaWFzaW5nIERlY29kZQ==" set_global_assignment -entity "altera_avalon_sc_fifo" -library "niosII" -name IP_COMPONENT_NAME "YWx0ZXJhX2F2YWxvbl9zY19maWZv" set_global_assignment -entity "altera_avalon_sc_fifo" -library "niosII" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uLVNUIFNpbmdsZSBDbG9jayBGSUZP" @@ -398,7 +398,7 @@ set_global_assignment -entity "altera_avalon_sc_fifo" -library "niosII" -name IP set_global_assignment -entity "altera_avalon_sc_fifo" -library "niosII" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" set_global_assignment -entity "altera_avalon_sc_fifo" -library "niosII" -name IP_COMPONENT_VERSION "MTguMQ==" set_global_assignment -entity "altera_avalon_sc_fifo" -library "niosII" -name IP_COMPONENT_PARAMETER "U1lNQk9MU19QRVJfQkVBVA==::MQ==::U3ltYm9scyBwZXIgYmVhdA==" -set_global_assignment -entity "altera_avalon_sc_fifo" -library "niosII" -name IP_COMPONENT_PARAMETER "QklUU19QRVJfU1lNQk9M::OTU=::Qml0cyBwZXIgc3ltYm9s" +set_global_assignment -entity "altera_avalon_sc_fifo" -library "niosII" -name IP_COMPONENT_PARAMETER "QklUU19QRVJfU1lNQk9M::OTM=::Qml0cyBwZXIgc3ltYm9s" set_global_assignment -entity "altera_avalon_sc_fifo" -library "niosII" -name IP_COMPONENT_PARAMETER "RklGT19ERVBUSA==::Mg==::RklGTyBkZXB0aA==" set_global_assignment -entity "altera_avalon_sc_fifo" -library "niosII" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9XSURUSA==::MA==::Q2hhbm5lbCB3aWR0aA==" set_global_assignment -entity "altera_avalon_sc_fifo" -library "niosII" -name IP_COMPONENT_PARAMETER "RVJST1JfV0lEVEg=::MA==::RXJyb3Igd2lkdGg=" @@ -418,41 +418,41 @@ set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -nam set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_VERSION "MTguMQ==" set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_DESCRIPTION "QWNjZXB0cyBjb21tYW5kIHBhY2tldHMgYW5kIGlzc3VlcyB0aGUgcmVzdWx0aW5nIHRyYW5zYWN0aW9ucyB0byB0aGUgQXZhbG9uIGludGVyZmFjZS4gUmVmZXIgdG8gdGhlIEF2YWxvbiBJbnRlcmZhY2UgU3BlY2lmaWNhdGlvbnMgKGh0dHA6Ly93d3cuYWx0ZXJhLmNvbS9saXRlcmF0dXJlL21hbnVhbC9tbmxfYXZhbG9uX3NwZWMucGRmKSBmb3IgZXhwbGFuYXRpb25zIG9mIHRoZSBidXJzdGluZyBwcm9wZXJ0aWVzLg==" -set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX09SSV9CVVJTVF9TSVpFX0g=::OTM=::UGFja2V0IG9yaWdpbmFsIGJ1cnN0IHNpemUgZmllbGQgaW5kZXggLSBoaWdo" -set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX09SSV9CVVJTVF9TSVpFX0w=::OTE=::UGFja2V0IG9yaWdpbmFsIGJ1cnN0IHNpemUgZmllbGQgaW5kZXggLSBsb3c=" -set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1JFU1BPTlNFX1NUQVRVU19I::OTA=::UGFja2V0IHJlc3BvbnNlIHN0YXR1cyBmaWVsZCBpbmRleCAtIGhpZ2g=" -set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1JFU1BPTlNFX1NUQVRVU19M::ODk=::UGFja2V0IHJlc3BvbnNlIHN0YXR1cyBmaWVsZCBpbmRleCAtIGxvdw==" -set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1NJWkVfSA==::Njg=::UGFja2V0IGJ1cnN0c2l6ZSBmaWVsZCBpbmRleCAtIGhpZ2g=" -set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1NJWkVfTA==::NjY=::UGFja2V0IGJ1cnN0c2l6ZSBmaWVsZCBpbmRleCAtIGxvdw==" -set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::NTg=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" -set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0JFR0lOX0JVUlNU::NzM=::UGFja2V0IGJlZ2luIGJ1cnN0IGZpZWxkIGluZGV4" -set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::ODQ=::UGFja2V0IHByb3RlY3Rpb24gZmllbGQgaW5kZXggLSBoaWdo" -set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::ODI=::UGFja2V0IHByb3RlY3Rpb24gZmllbGQgaW5kZXggLSBsb3c=" -set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUV1JBUF9I::NjU=::UGFja2V0IGJ1cnN0d3JhcCBmaWVsZCBpbmRleCAtIGhpZ2g=" -set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUV1JBUF9M::NjM=::UGFja2V0IGJ1cnN0d3JhcCBmaWVsZCBpbmRleCAtIGxvdw==" -set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0g=::NjI=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBoaWdo" -set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0w=::NjA=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBsb3c=" -set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NTM=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo" +set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX09SSV9CVVJTVF9TSVpFX0g=::OTE=::UGFja2V0IG9yaWdpbmFsIGJ1cnN0IHNpemUgZmllbGQgaW5kZXggLSBoaWdo" +set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX09SSV9CVVJTVF9TSVpFX0w=::ODk=::UGFja2V0IG9yaWdpbmFsIGJ1cnN0IHNpemUgZmllbGQgaW5kZXggLSBsb3c=" +set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1JFU1BPTlNFX1NUQVRVU19I::ODg=::UGFja2V0IHJlc3BvbnNlIHN0YXR1cyBmaWVsZCBpbmRleCAtIGhpZ2g=" +set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1JFU1BPTlNFX1NUQVRVU19M::ODc=::UGFja2V0IHJlc3BvbnNlIHN0YXR1cyBmaWVsZCBpbmRleCAtIGxvdw==" +set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1NJWkVfSA==::NjY=::UGFja2V0IGJ1cnN0c2l6ZSBmaWVsZCBpbmRleCAtIGhpZ2g=" +set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1NJWkVfTA==::NjQ=::UGFja2V0IGJ1cnN0c2l6ZSBmaWVsZCBpbmRleCAtIGxvdw==" +set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::NTY=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" +set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0JFR0lOX0JVUlNU::NzE=::UGFja2V0IGJlZ2luIGJ1cnN0IGZpZWxkIGluZGV4" +set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::ODI=::UGFja2V0IHByb3RlY3Rpb24gZmllbGQgaW5kZXggLSBoaWdo" +set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::ODA=::UGFja2V0IHByb3RlY3Rpb24gZmllbGQgaW5kZXggLSBsb3c=" +set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUV1JBUF9I::NjM=::UGFja2V0IGJ1cnN0d3JhcCBmaWVsZCBpbmRleCAtIGhpZ2g=" +set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUV1JBUF9M::NjE=::UGFja2V0IGJ1cnN0d3JhcCBmaWVsZCBpbmRleCAtIGxvdw==" +set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0g=::NjA=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBoaWdo" +set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0w=::NTg=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBsb3c=" +set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NTE=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo" set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c=" -set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0NPTVBSRVNTRURfUkVBRA==::NTQ=::UGFja2V0IGNvbXByZXNzZWQgcmVhZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA==" -set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1BPU1RFRA==::NTU=::UGFja2V0IHBvc3RlZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA==" -set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NTY=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4" -set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NTc=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" +set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0NPTVBSRVNTRURfUkVBRA==::NTI=::UGFja2V0IGNvbXByZXNzZWQgcmVhZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA==" +set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1BPU1RFRA==::NTM=::UGFja2V0IHBvc3RlZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA==" +set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NTQ=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4" +set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NTU=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RBVEFfSA==::MzE=::UGFja2V0IGRhdGEgZmllbGQgaW5kZXggLSBoaWdo" set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RBVEFfTA==::MA==::UGFja2V0IGRhdGEgZmllbGQgaW5kZXggLSBsb3c=" set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9I::MzU=::UGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBoaWdo" set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9M::MzI=::UGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBsb3c=" -set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1NSQ19JRF9I::Nzc=::UGFja2V0IHNvdXJjZSBpZCBmaWVsZCBpbmRleCAtIGhpZ2g=" -set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1NSQ19JRF9M::NzU=::UGFja2V0IHNvdXJjZSBpZCBmaWVsZCBpbmRleCAtIGxvdw==" -set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::ODA=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA==" -set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::Nzg=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93" +set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1NSQ19JRF9I::NzU=::UGFja2V0IHNvdXJjZSBpZCBmaWVsZCBpbmRleCAtIGhpZ2g=" +set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1NSQ19JRF9M::NzM=::UGFja2V0IHNvdXJjZSBpZCBmaWVsZCBpbmRleCAtIGxvdw==" +set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::Nzg=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA==" +set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::NzY=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93" set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1NZTUJPTF9X::OA==::UGFja2V0IHN5bWJvbCB3aWR0aA==" set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" -set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTQ=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" +set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTI=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "QVZTX0JVUlNUQ09VTlRfU1lNQk9MUw==::MA==::YnVyc3Rjb3VudFN5bWJvbHM=" set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "QVZTX0JVUlNUQ09VTlRfVw==::Mw==::YnVyc3Rjb3VudCB3aWR0aA==" set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "QVZfTElORVdSQVBCVVJTVFM=::MA==::bGluZXdyYXBCdXJzdHM=" -set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTM6OTEpIHJlc3BvbnNlX3N0YXR1cyg5MDo4OSkgY2FjaGUoODg6ODUpIHByb3RlY3Rpb24oODQ6ODIpIHRocmVhZF9pZCg4MSkgZGVzdF9pZCg4MDo3OCkgc3JjX2lkKDc3Ojc1KSBxb3MoNzQpIGJlZ2luX2J1cnN0KDczKSBkYXRhX3NpZGViYW5kKDcyKSBhZGRyX3NpZGViYW5kKDcxKSBidXJzdF90eXBlKDcwOjY5KSBidXJzdF9zaXplKDY4OjY2KSBidXJzdHdyYXAoNjU6NjMpIGJ5dGVfY250KDYyOjYwKSB0cmFuc19leGNsdXNpdmUoNTkpIHRyYW5zX2xvY2soNTgpIHRyYW5zX3JlYWQoNTcpIHRyYW5zX3dyaXRlKDU2KSB0cmFuc19wb3N0ZWQoNTUpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1NCkgYWRkcig1MzozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" +set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTE6ODkpIHJlc3BvbnNlX3N0YXR1cyg4ODo4NykgY2FjaGUoODY6ODMpIHByb3RlY3Rpb24oODI6ODApIHRocmVhZF9pZCg3OSkgZGVzdF9pZCg3ODo3Nikgc3JjX2lkKDc1OjczKSBxb3MoNzIpIGJlZ2luX2J1cnN0KDcxKSBkYXRhX3NpZGViYW5kKDcwKSBhZGRyX3NpZGViYW5kKDY5KSBidXJzdF90eXBlKDY4OjY3KSBidXJzdF9zaXplKDY2OjY0KSBidXJzdHdyYXAoNjM6NjEpIGJ5dGVfY250KDYwOjU4KSB0cmFuc19leGNsdXNpdmUoNTcpIHRyYW5zX2xvY2soNTYpIHRyYW5zX3JlYWQoNTUpIHRyYW5zX3dyaXRlKDU0KSB0cmFuc19wb3N0ZWQoNTMpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1MikgYWRkcig1MTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "U1VQUFJFU1NfMF9CWVRFRU5fQ01E::MA==::U3VwcHJlc3MgMC1ieXRlZW5hYmxlIHRyYW5zYWN0aW9ucw==" set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UFJFVkVOVF9GSUZPX09WRVJGTE9X::MQ==::UHJldmVudCBGSUZPIG92ZXJmbG93" set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "TUFYX0JZVEVfQ05U::NA==::TWF4aW11bSBieXRlLWNvdW50IHZhbHVl" @@ -468,53 +468,53 @@ set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -na set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_VERSION "MTguMQ==" set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_DESCRIPTION "VHJhbnNsYXRlcyBBdmFsb24tTU0gbWFzdGVyIHRyYW5zYWN0aW9ucyBpbnRvIFFzeXMgY29tbWFuZCBwYWNrZXRzIGFuZCB0cmFuc2xhdGVzIHRoZSBRc3lzIEF2YWxvbi1NTSBzbGF2ZSByZXNwb25zZSBwYWNrZXRzIGludG8gQXZhbG9uLU1NIHJlc3BvbnNlcy4gUmVmZXIgdG8gdGhlIEF2YWxvbiBJbnRlcmZhY2UgU3BlY2lmaWNhdGlvbnMgKGh0dHA6Ly93d3cuYWx0ZXJhLmNvbS9saXRlcmF0dXJlL21hbnVhbC9tbmxfYXZhbG9uX3NwZWMucGRmKSBmb3IgYW4gZXhwbGFuYXRpb24gb2YgYnVyc3RpbmcgYmVoYXZpb3Iu" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX09SSV9CVVJTVF9TSVpFX0g=::OTM=::UGFja2V0IG9yaWdpbmFsIGJ1cnN0IHNpemUgZmllbGQgaW5kZXggLSBoaWdo" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX09SSV9CVVJTVF9TSVpFX0w=::OTE=::UGFja2V0IG9yaWdpbmFsIGJ1cnN0IHNpemUgZmllbGQgaW5kZXggLSBsb3c=" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1JFU1BPTlNFX1NUQVRVU19I::OTA=::UGFja2V0IHJlc3BvbnNlIHN0YXR1cyBmaWVsZCBpbmRleCAtIGhpZ2g=" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1JFU1BPTlNFX1NUQVRVU19M::ODk=::UGFja2V0IHJlc3BvbnNlIHN0YXR1cyBmaWVsZCBpbmRleCAtIGxvdw==" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1FPU19I::NzQ=::UGFja2V0IHFvcyBzaWRlYmFuZCBmaWVsZCBpbmRleCAtIGhpZ2g=" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1FPU19M::NzQ=::UGFja2V0IHFvcyBzaWRlYmFuZCBmaWVsZCBpbmRleCAtIGxvdw==" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RBVEFfU0lERUJBTkRfSA==::NzI=::UGFja2V0IGRhdGEgc2lkZWJhbmQgZmllbGQgaW5kZXggLSBoaWdo" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RBVEFfU0lERUJBTkRfTA==::NzI=::UGFja2V0IGRhdGEgc2lkZWJhbmQgZmllbGQgaW5kZXggLSBsb3c=" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfU0lERUJBTkRfSA==::NzE=::UGFja2V0IGFkZHJlc3Mgc2lkZWJhbmQgZmllbGQgaW5kZXggLSBoaWdo" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfU0lERUJBTkRfTA==::NzE=::UGFja2V0IGFkZHJlc3Mgc2lkZWJhbmQgZmllbGQgaW5kZXggLSBsb3c=" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1RZUEVfSA==::NzA=::UGFja2V0IGJ1cnN0dHlwZSBmaWVsZCBpbmRleCAtIGhpZ2g=" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1RZUEVfTA==::Njk=::UGFja2V0IGJ1cnN0dHlwZSBmaWVsZCBpbmRleCAtIGxvdw==" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0NBQ0hFX0g=::ODg=::UGFja2V0IGNhY2hlIGZpZWxkIGluZGV4IC0gaGlnaA==" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0NBQ0hFX0w=::ODU=::UGFja2V0IGNhY2hlIGZpZWxkIGluZGV4IC0gbG93" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RIUkVBRF9JRF9I::ODE=::UGFja2V0IHRocmVhZCBpZCBmaWVsZCBpbmRleCAtIGhpZ2g=" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RIUkVBRF9JRF9M::ODE=::UGFja2V0IHRocmVhZCBpZCBmaWVsZCBpbmRleCAtIGxvdw==" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1NJWkVfSA==::Njg=::UGFja2V0IGJ1cnN0c2l6ZSBmaWVsZCBpbmRleCAtIGhpZ2g=" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1NJWkVfTA==::NjY=::UGFja2V0IGJ1cnN0c2l6ZSBmaWVsZCBpbmRleCAtIGxvdw==" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0VYQ0xVU0lWRQ==::NTk=::UGFja2V0IGV4Y2x1c2l2ZSB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA==" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::NTg=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0JFR0lOX0JVUlNU::NzM=::UGFja2V0IGJlZ2luIGJ1cnN0IGZpZWxkIGluZGV4" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::ODQ=::UGFja2V0IHByb3RlY3Rpb24gZmllbGQgaW5kZXggLSBoaWdo" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::ODI=::UGFja2V0IHByb3RlY3Rpb24gZmllbGQgaW5kZXggLSBsb3c=" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUV1JBUF9I::NjU=::UGFja2V0IGJ1cnN0d3JhcCBmaWVsZCBpbmRleCAtIGhpZ2g=" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUV1JBUF9M::NjM=::UGFja2V0IGJ1cnN0d3JhcCBmaWVsZCBpbmRleCAtIGxvdw==" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0g=::NjI=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBoaWdo" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0w=::NjA=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBsb3c=" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NTM=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX09SSV9CVVJTVF9TSVpFX0g=::OTE=::UGFja2V0IG9yaWdpbmFsIGJ1cnN0IHNpemUgZmllbGQgaW5kZXggLSBoaWdo" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX09SSV9CVVJTVF9TSVpFX0w=::ODk=::UGFja2V0IG9yaWdpbmFsIGJ1cnN0IHNpemUgZmllbGQgaW5kZXggLSBsb3c=" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1JFU1BPTlNFX1NUQVRVU19I::ODg=::UGFja2V0IHJlc3BvbnNlIHN0YXR1cyBmaWVsZCBpbmRleCAtIGhpZ2g=" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1JFU1BPTlNFX1NUQVRVU19M::ODc=::UGFja2V0IHJlc3BvbnNlIHN0YXR1cyBmaWVsZCBpbmRleCAtIGxvdw==" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1FPU19I::NzI=::UGFja2V0IHFvcyBzaWRlYmFuZCBmaWVsZCBpbmRleCAtIGhpZ2g=" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1FPU19M::NzI=::UGFja2V0IHFvcyBzaWRlYmFuZCBmaWVsZCBpbmRleCAtIGxvdw==" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RBVEFfU0lERUJBTkRfSA==::NzA=::UGFja2V0IGRhdGEgc2lkZWJhbmQgZmllbGQgaW5kZXggLSBoaWdo" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RBVEFfU0lERUJBTkRfTA==::NzA=::UGFja2V0IGRhdGEgc2lkZWJhbmQgZmllbGQgaW5kZXggLSBsb3c=" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfU0lERUJBTkRfSA==::Njk=::UGFja2V0IGFkZHJlc3Mgc2lkZWJhbmQgZmllbGQgaW5kZXggLSBoaWdo" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfU0lERUJBTkRfTA==::Njk=::UGFja2V0IGFkZHJlc3Mgc2lkZWJhbmQgZmllbGQgaW5kZXggLSBsb3c=" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1RZUEVfSA==::Njg=::UGFja2V0IGJ1cnN0dHlwZSBmaWVsZCBpbmRleCAtIGhpZ2g=" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1RZUEVfTA==::Njc=::UGFja2V0IGJ1cnN0dHlwZSBmaWVsZCBpbmRleCAtIGxvdw==" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0NBQ0hFX0g=::ODY=::UGFja2V0IGNhY2hlIGZpZWxkIGluZGV4IC0gaGlnaA==" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0NBQ0hFX0w=::ODM=::UGFja2V0IGNhY2hlIGZpZWxkIGluZGV4IC0gbG93" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RIUkVBRF9JRF9I::Nzk=::UGFja2V0IHRocmVhZCBpZCBmaWVsZCBpbmRleCAtIGhpZ2g=" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RIUkVBRF9JRF9M::Nzk=::UGFja2V0IHRocmVhZCBpZCBmaWVsZCBpbmRleCAtIGxvdw==" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1NJWkVfSA==::NjY=::UGFja2V0IGJ1cnN0c2l6ZSBmaWVsZCBpbmRleCAtIGhpZ2g=" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1NJWkVfTA==::NjQ=::UGFja2V0IGJ1cnN0c2l6ZSBmaWVsZCBpbmRleCAtIGxvdw==" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0VYQ0xVU0lWRQ==::NTc=::UGFja2V0IGV4Y2x1c2l2ZSB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA==" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::NTY=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0JFR0lOX0JVUlNU::NzE=::UGFja2V0IGJlZ2luIGJ1cnN0IGZpZWxkIGluZGV4" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::ODI=::UGFja2V0IHByb3RlY3Rpb24gZmllbGQgaW5kZXggLSBoaWdo" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::ODA=::UGFja2V0IHByb3RlY3Rpb24gZmllbGQgaW5kZXggLSBsb3c=" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUV1JBUF9I::NjM=::UGFja2V0IGJ1cnN0d3JhcCBmaWVsZCBpbmRleCAtIGhpZ2g=" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUV1JBUF9M::NjE=::UGFja2V0IGJ1cnN0d3JhcCBmaWVsZCBpbmRleCAtIGxvdw==" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0g=::NjA=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBoaWdo" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0w=::NTg=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBsb3c=" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NTE=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo" set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c=" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0NPTVBSRVNTRURfUkVBRA==::NTQ=::UGFja2V0IGNvbXByZXNzZWQgcmVhZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA==" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1BPU1RFRA==::NTU=::UGFja2V0IHBvc3RlZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA==" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NTY=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NTc=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0NPTVBSRVNTRURfUkVBRA==::NTI=::UGFja2V0IGNvbXByZXNzZWQgcmVhZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA==" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1BPU1RFRA==::NTM=::UGFja2V0IHBvc3RlZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA==" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NTQ=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NTU=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RBVEFfSA==::MzE=::UGFja2V0IGRhdGEgZmllbGQgaW5kZXggLSBoaWdo" set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RBVEFfTA==::MA==::UGFja2V0IGRhdGEgZmllbGQgaW5kZXggLSBsb3c=" set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9I::MzU=::UGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBoaWdo" set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9M::MzI=::UGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBsb3c=" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1NSQ19JRF9I::Nzc=::UGFja2V0IHNvdXJjZSBpZCBmaWVsZCBpbmRleCAtIGhpZ2g=" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1NSQ19JRF9M::NzU=::UGFja2V0IHNvdXJjZSBpZCBmaWVsZCBpbmRleCAtIGxvdw==" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::ODA=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA==" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::Nzg=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTQ=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1NSQ19JRF9I::NzU=::UGFja2V0IHNvdXJjZSBpZCBmaWVsZCBpbmRleCAtIGhpZ2g=" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1NSQ19JRF9M::NzM=::UGFja2V0IHNvdXJjZSBpZCBmaWVsZCBpbmRleCAtIGxvdw==" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::Nzg=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA==" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::NzY=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTI=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RDT1VOVF9X::Mw==::QXZhbG9uLU1NIGJ1cnN0Y291bnQgd2lkdGg=" set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "QVZfTElORVdSQVBCVVJTVFM=::MA==::bGluZXdyYXBCdXJzdHM=" set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RCT1VOREFSSUVT::MQ==::YnVyc3RPbkJ1cnN0Qm91bmRhcmllc09ubHk=" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTM6OTEpIHJlc3BvbnNlX3N0YXR1cyg5MDo4OSkgY2FjaGUoODg6ODUpIHByb3RlY3Rpb24oODQ6ODIpIHRocmVhZF9pZCg4MSkgZGVzdF9pZCg4MDo3OCkgc3JjX2lkKDc3Ojc1KSBxb3MoNzQpIGJlZ2luX2J1cnN0KDczKSBkYXRhX3NpZGViYW5kKDcyKSBhZGRyX3NpZGViYW5kKDcxKSBidXJzdF90eXBlKDcwOjY5KSBidXJzdF9zaXplKDY4OjY2KSBidXJzdHdyYXAoNjU6NjMpIGJ5dGVfY250KDYyOjYwKSB0cmFuc19leGNsdXNpdmUoNTkpIHRyYW5zX2xvY2soNTgpIHRyYW5zX3JlYWQoNTcpIHRyYW5zX3dyaXRlKDU2KSB0cmFuc19wb3N0ZWQoNTUpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1NCkgYWRkcig1MzozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTE6ODkpIHJlc3BvbnNlX3N0YXR1cyg4ODo4NykgY2FjaGUoODY6ODMpIHByb3RlY3Rpb24oODI6ODApIHRocmVhZF9pZCg3OSkgZGVzdF9pZCg3ODo3Nikgc3JjX2lkKDc1OjczKSBxb3MoNzIpIGJlZ2luX2J1cnN0KDcxKSBkYXRhX3NpZGViYW5kKDcwKSBhZGRyX3NpZGViYW5kKDY5KSBidXJzdF90eXBlKDY4OjY3KSBidXJzdF9zaXplKDY2OjY0KSBidXJzdHdyYXAoNjM6NjEpIGJ5dGVfY250KDYwOjU4KSB0cmFuc19leGNsdXNpdmUoNTcpIHRyYW5zX2xvY2soNTYpIHRyYW5zX3JlYWQoNTUpIHRyYW5zX3dyaXRlKDU0KSB0cmFuc19wb3N0ZWQoNTMpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1MikgYWRkcig1MTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "U1VQUFJFU1NfMF9CWVRFRU5fUlNQ::MA==::U3VwcHJlc3MgMC1ieXRlZW5hYmxlIHJlc3BvbnNlcw==" set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "SUQ=::MA==::TWFzdGVyIElE" set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "QlVSU1RXUkFQX1ZBTFVF::Nw==::QnVyc3R3cmFwIHZhbHVl" @@ -535,7 +535,7 @@ set_global_assignment -entity "altera_merlin_slave_translator" -library "niosII" set_global_assignment -entity "altera_merlin_slave_translator" -library "niosII" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RDT1VOVF9X::MQ==::Q29tcG9uZW50IGJ1cnN0Y291bnQgd2lkdGg=" set_global_assignment -entity "altera_merlin_slave_translator" -library "niosII" -name IP_COMPONENT_PARAMETER "QVZfQllURUVOQUJMRV9X::MQ==::Q29tcG9uZW50IGJ5dGVlbmFibGUgd2lkdGg=" set_global_assignment -entity "altera_merlin_slave_translator" -library "niosII" -name IP_COMPONENT_PARAMETER "VUFWX0JZVEVFTkFCTEVfVw==::NA==::TmV0d29yayBieXRlZW5hYmxlIHdpZHRo" -set_global_assignment -entity "altera_merlin_slave_translator" -library "niosII" -name IP_COMPONENT_PARAMETER "VUFWX0FERFJFU1NfVw==::MTg=::TmV0d29yayBhZGRyZXNzIHdpZHRo" +set_global_assignment -entity "altera_merlin_slave_translator" -library "niosII" -name IP_COMPONENT_PARAMETER "VUFWX0FERFJFU1NfVw==::MTY=::TmV0d29yayBhZGRyZXNzIHdpZHRo" set_global_assignment -entity "altera_merlin_slave_translator" -library "niosII" -name IP_COMPONENT_PARAMETER "VUFWX0JVUlNUQ09VTlRfVw==::Mw==::TmV0d29yayBidXJzdGNvdW50IHdpZHRo" set_global_assignment -entity "altera_merlin_slave_translator" -library "niosII" -name IP_COMPONENT_PARAMETER "QVZfUkVBRExBVEVOQ1k=::MA==::cmVhZExhdGVuY3k=" set_global_assignment -entity "altera_merlin_slave_translator" -library "niosII" -name IP_COMPONENT_PARAMETER "QVZfU0VUVVBfV0FJVA==::MA==::c2V0dXBUaW1l" @@ -594,11 +594,11 @@ set_global_assignment -entity "altera_merlin_master_translator" -library "niosII set_global_assignment -entity "altera_merlin_master_translator" -library "niosII" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" set_global_assignment -entity "altera_merlin_master_translator" -library "niosII" -name IP_COMPONENT_VERSION "MTguMQ==" set_global_assignment -entity "altera_merlin_master_translator" -library "niosII" -name IP_COMPONENT_DESCRIPTION "Q29udmVydHMgdGhlIEF2YWxvbi1NTSBtYXN0ZXIgaW50ZXJmYWNlIHRvIGEgc2ltcGxlciByZXByZXNlbnRhdGlvbiB0aGF0IHRoZSBRc3lzIG5ldHdvcmsgdXNlcy4gUmVmZXIgdG8gdGhlIEF2YWxvbiBJbnRlcmZhY2UgU3BlY2lmaWNhdGlvbnMgKGh0dHA6Ly93d3cuYWx0ZXJhLmNvbS9saXRlcmF0dXJlL21hbnVhbC9tbmxfYXZhbG9uX3NwZWMucGRmKSBmb3IgZGVmaW5pdGlvbnMgb2YgdGhlIEF2YWxvbi1NTSBzaWduYWxzIGFuZCBleHBsYW5hdGlvbnMgb2YgdGhlIGJ1cnN0aW5nIHByb3BlcnRpZXMu" -set_global_assignment -entity "altera_merlin_master_translator" -library "niosII" -name IP_COMPONENT_PARAMETER "QVZfQUREUkVTU19X::MTg=::Q29tcG9uZW50IGFkZHJlc3Mgd2lkdGg=" +set_global_assignment -entity "altera_merlin_master_translator" -library "niosII" -name IP_COMPONENT_PARAMETER "QVZfQUREUkVTU19X::MTY=::Q29tcG9uZW50IGFkZHJlc3Mgd2lkdGg=" set_global_assignment -entity "altera_merlin_master_translator" -library "niosII" -name IP_COMPONENT_PARAMETER "QVZfREFUQV9X::MzI=::Q29tcG9uZW50IERhdGEgd2lkdGg=" set_global_assignment -entity "altera_merlin_master_translator" -library "niosII" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RDT1VOVF9X::MQ==::Q29tcG9uZW50IGJ1cnN0Y291bnQgd2lkdGg=" set_global_assignment -entity "altera_merlin_master_translator" -library "niosII" -name IP_COMPONENT_PARAMETER "QVZfQllURUVOQUJMRV9X::NA==::Q29tcG9uZW50IGJ5dGVlbmFibGUgd2lkdGg=" -set_global_assignment -entity "altera_merlin_master_translator" -library "niosII" -name IP_COMPONENT_PARAMETER "VUFWX0FERFJFU1NfVw==::MTg=::TmV0d29yayBhZGRyZXNzIHdpZHRo" +set_global_assignment -entity "altera_merlin_master_translator" -library "niosII" -name IP_COMPONENT_PARAMETER "VUFWX0FERFJFU1NfVw==::MTY=::TmV0d29yayBhZGRyZXNzIHdpZHRo" set_global_assignment -entity "altera_merlin_master_translator" -library "niosII" -name IP_COMPONENT_PARAMETER "VUFWX0JVUlNUQ09VTlRfVw==::Mw==::TmV0d29yayBidXJzdGNvdW50IHdpZHRo" set_global_assignment -entity "altera_merlin_master_translator" -library "niosII" -name IP_COMPONENT_PARAMETER "QVZfUkVBRExBVEVOQ1k=::MA==::cmVhZExhdGVuY3k=" set_global_assignment -entity "altera_merlin_master_translator" -library "niosII" -name IP_COMPONENT_PARAMETER "QVZfV1JJVEVfV0FJVA==::MA==::d3JpdGVXYWl0VGltZQ==" @@ -683,7 +683,7 @@ set_global_assignment -entity "niosII_mem" -library "niosII" -name IP_COMPONENT_ set_global_assignment -entity "niosII_mem" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9lbmFibGVEaWZmV2lkdGg=::ZmFsc2U=::ZGVyaXZlZF9lbmFibGVEaWZmV2lkdGg=" set_global_assignment -entity "niosII_mem" -library "niosII" -name IP_COMPONENT_PARAMETER "aW5pdE1lbUNvbnRlbnQ=::dHJ1ZQ==::SW5pdGlhbGl6ZSBtZW1vcnkgY29udGVudA==" set_global_assignment -entity "niosII_mem" -library "niosII" -name IP_COMPONENT_PARAMETER "ZW5QUkluaXRNb2Rl::ZmFsc2U=::RW5hYmxlIFBhcnRpYWwgUmVjb25maWd1cmF0aW9uIEluaXRpYWxpemF0aW9uIE1vZGU=" -set_global_assignment -entity "niosII_mem" -library "niosII" -name IP_COMPONENT_PARAMETER "bWVtb3J5U2l6ZQ==::MTMxMDcy::VG90YWwgbWVtb3J5IHNpemU=" +set_global_assignment -entity "niosII_mem" -library "niosII" -name IP_COMPONENT_PARAMETER "bWVtb3J5U2l6ZQ==::MzI3Njg=::VG90YWwgbWVtb3J5IHNpemU=" set_global_assignment -entity "niosII_mem" -library "niosII" -name IP_COMPONENT_PARAMETER "cmVhZER1cmluZ1dyaXRlTW9kZQ==::RE9OVF9DQVJF::UmVhZCBEdXJpbmcgV3JpdGUgTW9kZQ==" set_global_assignment -entity "niosII_mem" -library "niosII" -name IP_COMPONENT_PARAMETER "c2ltQWxsb3dNUkFNQ29udGVudHNGaWxl::ZmFsc2U=::QWxsb3cgTVJBTSBjb250ZW50cyBmaWxlIGZvciBzaW11bGF0aW9u" set_global_assignment -entity "niosII_mem" -library "niosII" -name IP_COMPONENT_PARAMETER "c2ltTWVtSW5pdE9ubHlGaWxlbmFtZQ==::MA==::U2ltdWxhdGlvbiBtZW1pbml0IG9ubHkgaGFzIGZpbGVuYW1l" @@ -698,8 +698,8 @@ set_global_assignment -entity "niosII_mem" -library "niosII" -name IP_COMPONENT_ set_global_assignment -entity "niosII_mem" -library "niosII" -name IP_COMPONENT_PARAMETER "YXV0b0luaXRpYWxpemF0aW9uRmlsZU5hbWU=::bmlvc0lJX21lbQ==::YXV0b0luaXRpYWxpemF0aW9uRmlsZU5hbWU=" set_global_assignment -entity "niosII_mem" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGV2aWNlRmFtaWx5::Q3ljbG9uZSBJViBF::ZGV2aWNlRmFtaWx5" set_global_assignment -entity "niosII_mem" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGV2aWNlRmVhdHVyZXM=::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::ZGV2aWNlRmVhdHVyZXM=" -set_global_assignment -entity "niosII_mem" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9zZXRfYWRkcl93aWR0aA==::MTU=::U2xhdmUgMSBhZGRyZXNzIHdpZHRo" -set_global_assignment -entity "niosII_mem" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9zZXRfYWRkcl93aWR0aDI=::MTU=::U2xhdmUgMiBhZGRyZXNzIHdpZHRo" +set_global_assignment -entity "niosII_mem" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9zZXRfYWRkcl93aWR0aA==::MTM=::U2xhdmUgMSBhZGRyZXNzIHdpZHRo" +set_global_assignment -entity "niosII_mem" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9zZXRfYWRkcl93aWR0aDI=::MTM=::U2xhdmUgMiBhZGRyZXNzIHdpZHRo" set_global_assignment -entity "niosII_mem" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9zZXRfZGF0YV93aWR0aA==::MzI=::U2xhdmUgMSBkYXRhIHdpZHRo" set_global_assignment -entity "niosII_mem" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9zZXRfZGF0YV93aWR0aDI=::MzI=::U2xhdmUgMiBkYXRhIHdpZHRo" set_global_assignment -entity "niosII_mem" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9ndWlfcmFtX2Jsb2NrX3R5cGU=::QXV0b21hdGlj::ZGVyaXZlZF9ndWlfcmFtX2Jsb2NrX3R5cGU=" @@ -831,7 +831,7 @@ set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_ set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGF0YV9tYXN0ZXJfaGlnaF9wZXJmb3JtYW5jZV9wYWRkcl9zaXpl::MA==::RGF0YSBNYXN0ZXIgSGlnaCBQZXJmb3JtYW5jZSBTaXpl" set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "cmVzZXRBYnNvbHV0ZUFkZHI=::MA==::UmVzZXQgdmVjdG9y" set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZXhjZXB0aW9uQWJzb2x1dGVBZGRy::MzI=::RXhjZXB0aW9uIHZlY3Rvcg==" -set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "YnJlYWtBYnNvbHV0ZUFkZHI=::MTMzMTUy::QnJlYWsgdmVjdG9y" +set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "YnJlYWtBYnNvbHV0ZUFkZHI=::MzQ4NDg=::QnJlYWsgdmVjdG9y" set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "bW11X1RMQk1pc3NFeGNBYnNBZGRy::MA==::RmFzdCBUTEIgTWlzcyBFeGNlcHRpb24gdmVjdG9y" set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGNhY2hlX2J1cnN0c19kZXJpdmVk::ZmFsc2U=::ZGNhY2hlX2J1cnN0c19kZXJpdmVk" set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGNhY2hlX3NpemVfZGVyaXZlZA==::MjA0OA==::ZGNhY2hlX3NpemVfZGVyaXZlZA==" @@ -845,9 +845,9 @@ set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_ set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGVidWdfb2ZmY2hpcHRyYWNl::ZmFsc2U=::ZGVidWdfb2ZmY2hpcHRyYWNl" set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGVidWdfaW5zdHRyYWNl::ZmFsc2U=::ZGVidWdfaW5zdHRyYWNl" set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGVidWdfZGF0YXRyYWNl::ZmFsc2U=::ZGVidWdfZGF0YXRyYWNl" -set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "aW5zdEFkZHJXaWR0aA==::MTg=::aW5zdEFkZHJXaWR0aA==" +set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "aW5zdEFkZHJXaWR0aA==::MTY=::aW5zdEFkZHJXaWR0aA==" set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZmFBZGRyV2lkdGg=::MQ==::ZmFBZGRyV2lkdGg=" -set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGF0YUFkZHJXaWR0aA==::MTg=::ZGF0YUFkZHJXaWR0aA==" +set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGF0YUFkZHJXaWR0aA==::MTY=::ZGF0YUFkZHJXaWR0aA==" set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "dGlnaHRseUNvdXBsZWREYXRhTWFzdGVyMEFkZHJXaWR0aA==::MQ==::dGlnaHRseUNvdXBsZWREYXRhTWFzdGVyMEFkZHJXaWR0aA==" set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "dGlnaHRseUNvdXBsZWREYXRhTWFzdGVyMUFkZHJXaWR0aA==::MQ==::dGlnaHRseUNvdXBsZWREYXRhTWFzdGVyMUFkZHJXaWR0aA==" set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "dGlnaHRseUNvdXBsZWREYXRhTWFzdGVyMkFkZHJXaWR0aA==::MQ==::dGlnaHRseUNvdXBsZWREYXRhTWFzdGVyMkFkZHJXaWR0aA==" @@ -858,8 +858,8 @@ set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_ set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "dGlnaHRseUNvdXBsZWRJbnN0cnVjdGlvbk1hc3RlcjNBZGRyV2lkdGg=::MQ==::dGlnaHRseUNvdXBsZWRJbnN0cnVjdGlvbk1hc3RlcjNBZGRyV2lkdGg=" set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGF0YU1hc3RlckhpZ2hQZXJmb3JtYW5jZUFkZHJXaWR0aA==::MQ==::ZGF0YU1hc3RlckhpZ2hQZXJmb3JtYW5jZUFkZHJXaWR0aA==" set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "aW5zdHJ1Y3Rpb25NYXN0ZXJIaWdoUGVyZm9ybWFuY2VBZGRyV2lkdGg=::MQ==::aW5zdHJ1Y3Rpb25NYXN0ZXJIaWdoUGVyZm9ybWFuY2VBZGRyV2lkdGg=" -set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "aW5zdFNsYXZlTWFwUGFyYW0=::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdtZW0uczEnIHN0YXJ0PScweDAnIGVuZD0nMHgyMDAwMCcgdHlwZT0nYWx0ZXJhX2F2YWxvbl9vbmNoaXBfbWVtb3J5Mi5zMScgLz48c2xhdmUgbmFtZT0nY3B1LmRlYnVnX21lbV9zbGF2ZScgc3RhcnQ9JzB4MjA4MDAnIGVuZD0nMHgyMTAwMCcgdHlwZT0nYWx0ZXJhX25pb3MyX2dlbjIuZGVidWdfbWVtX3NsYXZlJyAvPjwvYWRkcmVzcy1tYXA+::aW5zdFNsYXZlTWFwUGFyYW0=" -set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGF0YVNsYXZlTWFwUGFyYW0=::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdtZW0uczInIHN0YXJ0PScweDAnIGVuZD0nMHgyMDAwMCcgdHlwZT0nYWx0ZXJhX2F2YWxvbl9vbmNoaXBfbWVtb3J5Mi5zMicgLz48c2xhdmUgbmFtZT0nY3B1LmRlYnVnX21lbV9zbGF2ZScgc3RhcnQ9JzB4MjA4MDAnIGVuZD0nMHgyMTAwMCcgdHlwZT0nYWx0ZXJhX25pb3MyX2dlbjIuZGVidWdfbWVtX3NsYXZlJyAvPjxzbGF2ZSBuYW1lPSdzeXNfY2xrX3RpbWVyLnMxJyBzdGFydD0nMHgyMTAwMCcgZW5kPScweDIxMDIwJyB0eXBlPSdhbHRlcmFfYXZhbG9uX3RpbWVyLnMxJyAvPjxzbGF2ZSBuYW1lPSdqdGFnX3VhcnQuYXZhbG9uX2p0YWdfc2xhdmUnIHN0YXJ0PScweDIxMDIwJyBlbmQ9JzB4MjEwMjgnIHR5cGU9J2FsdGVyYV9hdmFsb25fanRhZ191YXJ0LmF2YWxvbl9qdGFnX3NsYXZlJyAvPjxzbGF2ZSBuYW1lPSdzaWdkZWxfMC5hdmFsb25fc2xhdmUnIHN0YXJ0PScweDIxMDI4JyBlbmQ9JzB4MjEwMkMnIHR5cGU9J3NpZ2RlbC5hdmFsb25fc2xhdmUnIC8+PC9hZGRyZXNzLW1hcD4=::ZGF0YVNsYXZlTWFwUGFyYW0=" +set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "aW5zdFNsYXZlTWFwUGFyYW0=::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdtZW0uczEnIHN0YXJ0PScweDAnIGVuZD0nMHg4MDAwJyB0eXBlPSdhbHRlcmFfYXZhbG9uX29uY2hpcF9tZW1vcnkyLnMxJyAvPjxzbGF2ZSBuYW1lPSdjcHUuZGVidWdfbWVtX3NsYXZlJyBzdGFydD0nMHg4ODAwJyBlbmQ9JzB4OTAwMCcgdHlwZT0nYWx0ZXJhX25pb3MyX2dlbjIuZGVidWdfbWVtX3NsYXZlJyAvPjwvYWRkcmVzcy1tYXA+::aW5zdFNsYXZlTWFwUGFyYW0=" +set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGF0YVNsYXZlTWFwUGFyYW0=::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdtZW0uczInIHN0YXJ0PScweDAnIGVuZD0nMHg4MDAwJyB0eXBlPSdhbHRlcmFfYXZhbG9uX29uY2hpcF9tZW1vcnkyLnMyJyAvPjxzbGF2ZSBuYW1lPSdjcHUuZGVidWdfbWVtX3NsYXZlJyBzdGFydD0nMHg4ODAwJyBlbmQ9JzB4OTAwMCcgdHlwZT0nYWx0ZXJhX25pb3MyX2dlbjIuZGVidWdfbWVtX3NsYXZlJyAvPjxzbGF2ZSBuYW1lPSdzeXNfY2xrX3RpbWVyLnMxJyBzdGFydD0nMHg5MDAwJyBlbmQ9JzB4OTAyMCcgdHlwZT0nYWx0ZXJhX2F2YWxvbl90aW1lci5zMScgLz48c2xhdmUgbmFtZT0nanRhZ191YXJ0LmF2YWxvbl9qdGFnX3NsYXZlJyBzdGFydD0nMHg5MDIwJyBlbmQ9JzB4OTAyOCcgdHlwZT0nYWx0ZXJhX2F2YWxvbl9qdGFnX3VhcnQuYXZhbG9uX2p0YWdfc2xhdmUnIC8+PHNsYXZlIG5hbWU9J3NpZ2RlbF8wLmF2YWxvbl9zbGF2ZScgc3RhcnQ9JzB4OTAyOCcgZW5kPScweDkwMkMnIHR5cGU9J3NpZ2RlbC5hdmFsb25fc2xhdmUnIC8+PC9hZGRyZXNzLW1hcD4=::ZGF0YVNsYXZlTWFwUGFyYW0=" set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "Y2xvY2tGcmVxdWVuY3k=::NTAwMDAwMDA=::Y2xvY2tGcmVxdWVuY3k=" set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGV2aWNlRmFtaWx5TmFtZQ==::Q3ljbG9uZSBJViBF::ZGV2aWNlRmFtaWx5TmFtZQ==" set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "aW50ZXJuYWxJcnFNYXNrU3lzdGVtSW5mbw==::Mw==::aW50ZXJuYWxJcnFNYXNrU3lzdGVtSW5mbw==" @@ -868,8 +868,8 @@ set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_ set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "Y3VzdG9tSW5zdFNsYXZlc1N5c3RlbUluZm9fbmlvc19i::PGluZm8vPg==::Y3VzdG9tSW5zdFNsYXZlc1N5c3RlbUluZm9fbmlvc19i" set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "Y3VzdG9tSW5zdFNsYXZlc1N5c3RlbUluZm9fbmlvc19j::PGluZm8vPg==::Y3VzdG9tSW5zdFNsYXZlc1N5c3RlbUluZm9fbmlvc19j" set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGV2aWNlRmVhdHVyZXNTeXN0ZW1JbmZv::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::ZGV2aWNlRmVhdHVyZXNTeXN0ZW1JbmZv" -set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::RVA0Q0UxMTVGMjlDNw==::QXV0byBERVZJQ0U=" -set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::Nw==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ==" +set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::RVA0Q0UxNUYyM0M4::QXV0byBERVZJQ0U=" +set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::OA==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ==" set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfRE9NQUlO::MQ==::QXV0byBDTE9DS19ET01BSU4=" set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfUkVTRVRfRE9NQUlO::MQ==::QXV0byBSRVNFVF9ET01BSU4=" set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_NAME "bmlvc0lJX2NwdV9jcHU=" @@ -1014,7 +1014,7 @@ set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPON set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGF0YV9tYXN0ZXJfaGlnaF9wZXJmb3JtYW5jZV9wYWRkcl90b3A=::MA==::ZGF0YV9tYXN0ZXJfaGlnaF9wZXJmb3JtYW5jZV9wYWRkcl90b3A=" set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "cmVzZXRBYnNvbHV0ZUFkZHI=::MA==::UmVzZXQgdmVjdG9y" set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZXhjZXB0aW9uQWJzb2x1dGVBZGRy::MzI=::RXhjZXB0aW9uIHZlY3Rvcg==" -set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "YnJlYWtBYnNvbHV0ZUFkZHI=::MTMzMTUy::QnJlYWsgdmVjdG9y" +set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "YnJlYWtBYnNvbHV0ZUFkZHI=::MzQ4NDg=::QnJlYWsgdmVjdG9y" set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "bW11X1RMQk1pc3NFeGNBYnNBZGRy::MA==::RmFzdCBUTEIgTWlzcyBFeGNlcHRpb24gdmVjdG9y" set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGNhY2hlX2J1cnN0c19kZXJpdmVk::ZmFsc2U=::ZGNhY2hlX2J1cnN0c19kZXJpdmVk" set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGNhY2hlX3NpemVfZGVyaXZlZA==::MjA0OA==::ZGNhY2hlX3NpemVfZGVyaXZlZA==" @@ -1028,9 +1028,9 @@ set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPON set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGVidWdfb2ZmY2hpcHRyYWNl::ZmFsc2U=::ZGVidWdfb2ZmY2hpcHRyYWNl" set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGVidWdfaW5zdHRyYWNl::ZmFsc2U=::ZGVidWdfaW5zdHRyYWNl" set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGVidWdfZGF0YXRyYWNl::ZmFsc2U=::ZGVidWdfZGF0YXRyYWNl" -set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "aW5zdEFkZHJXaWR0aA==::MTg=::aW5zdEFkZHJXaWR0aA==" +set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "aW5zdEFkZHJXaWR0aA==::MTY=::aW5zdEFkZHJXaWR0aA==" set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZmFBZGRyV2lkdGg=::MQ==::ZmFBZGRyV2lkdGg=" -set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGF0YUFkZHJXaWR0aA==::MTg=::ZGF0YUFkZHJXaWR0aA==" +set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGF0YUFkZHJXaWR0aA==::MTY=::ZGF0YUFkZHJXaWR0aA==" set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "dGlnaHRseUNvdXBsZWREYXRhTWFzdGVyMEFkZHJXaWR0aA==::MQ==::dGlnaHRseUNvdXBsZWREYXRhTWFzdGVyMEFkZHJXaWR0aA==" set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "dGlnaHRseUNvdXBsZWREYXRhTWFzdGVyMUFkZHJXaWR0aA==::MQ==::dGlnaHRseUNvdXBsZWREYXRhTWFzdGVyMUFkZHJXaWR0aA==" set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "dGlnaHRseUNvdXBsZWREYXRhTWFzdGVyMkFkZHJXaWR0aA==::MQ==::dGlnaHRseUNvdXBsZWREYXRhTWFzdGVyMkFkZHJXaWR0aA==" @@ -1041,8 +1041,8 @@ set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPON set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "dGlnaHRseUNvdXBsZWRJbnN0cnVjdGlvbk1hc3RlcjNBZGRyV2lkdGg=::MQ==::dGlnaHRseUNvdXBsZWRJbnN0cnVjdGlvbk1hc3RlcjNBZGRyV2lkdGg=" set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGF0YU1hc3RlckhpZ2hQZXJmb3JtYW5jZUFkZHJXaWR0aA==::MQ==::ZGF0YU1hc3RlckhpZ2hQZXJmb3JtYW5jZUFkZHJXaWR0aA==" set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "aW5zdHJ1Y3Rpb25NYXN0ZXJIaWdoUGVyZm9ybWFuY2VBZGRyV2lkdGg=::MQ==::aW5zdHJ1Y3Rpb25NYXN0ZXJIaWdoUGVyZm9ybWFuY2VBZGRyV2lkdGg=" -set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "aW5zdFNsYXZlTWFwUGFyYW0=::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdtZW0uczEnIHN0YXJ0PScweDAnIGVuZD0nMHgyMDAwMCcgdHlwZT0nYWx0ZXJhX2F2YWxvbl9vbmNoaXBfbWVtb3J5Mi5zMScgLz48c2xhdmUgbmFtZT0nY3B1LmRlYnVnX21lbV9zbGF2ZScgc3RhcnQ9JzB4MjA4MDAnIGVuZD0nMHgyMTAwMCcgdHlwZT0nYWx0ZXJhX25pb3MyX2dlbjIuZGVidWdfbWVtX3NsYXZlJyAvPjwvYWRkcmVzcy1tYXA+::aW5zdFNsYXZlTWFwUGFyYW0=" -set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGF0YVNsYXZlTWFwUGFyYW0=::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdtZW0uczInIHN0YXJ0PScweDAnIGVuZD0nMHgyMDAwMCcgdHlwZT0nYWx0ZXJhX2F2YWxvbl9vbmNoaXBfbWVtb3J5Mi5zMicgLz48c2xhdmUgbmFtZT0nY3B1LmRlYnVnX21lbV9zbGF2ZScgc3RhcnQ9JzB4MjA4MDAnIGVuZD0nMHgyMTAwMCcgdHlwZT0nYWx0ZXJhX25pb3MyX2dlbjIuZGVidWdfbWVtX3NsYXZlJyAvPjxzbGF2ZSBuYW1lPSdzeXNfY2xrX3RpbWVyLnMxJyBzdGFydD0nMHgyMTAwMCcgZW5kPScweDIxMDIwJyB0eXBlPSdhbHRlcmFfYXZhbG9uX3RpbWVyLnMxJyAvPjxzbGF2ZSBuYW1lPSdqdGFnX3VhcnQuYXZhbG9uX2p0YWdfc2xhdmUnIHN0YXJ0PScweDIxMDIwJyBlbmQ9JzB4MjEwMjgnIHR5cGU9J2FsdGVyYV9hdmFsb25fanRhZ191YXJ0LmF2YWxvbl9qdGFnX3NsYXZlJyAvPjxzbGF2ZSBuYW1lPSdzaWdkZWxfMC5hdmFsb25fc2xhdmUnIHN0YXJ0PScweDIxMDI4JyBlbmQ9JzB4MjEwMkMnIHR5cGU9J3NpZ2RlbC5hdmFsb25fc2xhdmUnIC8+PC9hZGRyZXNzLW1hcD4=::ZGF0YVNsYXZlTWFwUGFyYW0=" +set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "aW5zdFNsYXZlTWFwUGFyYW0=::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdtZW0uczEnIHN0YXJ0PScweDAnIGVuZD0nMHg4MDAwJyB0eXBlPSdhbHRlcmFfYXZhbG9uX29uY2hpcF9tZW1vcnkyLnMxJyAvPjxzbGF2ZSBuYW1lPSdjcHUuZGVidWdfbWVtX3NsYXZlJyBzdGFydD0nMHg4ODAwJyBlbmQ9JzB4OTAwMCcgdHlwZT0nYWx0ZXJhX25pb3MyX2dlbjIuZGVidWdfbWVtX3NsYXZlJyAvPjwvYWRkcmVzcy1tYXA+::aW5zdFNsYXZlTWFwUGFyYW0=" +set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGF0YVNsYXZlTWFwUGFyYW0=::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdtZW0uczInIHN0YXJ0PScweDAnIGVuZD0nMHg4MDAwJyB0eXBlPSdhbHRlcmFfYXZhbG9uX29uY2hpcF9tZW1vcnkyLnMyJyAvPjxzbGF2ZSBuYW1lPSdjcHUuZGVidWdfbWVtX3NsYXZlJyBzdGFydD0nMHg4ODAwJyBlbmQ9JzB4OTAwMCcgdHlwZT0nYWx0ZXJhX25pb3MyX2dlbjIuZGVidWdfbWVtX3NsYXZlJyAvPjxzbGF2ZSBuYW1lPSdzeXNfY2xrX3RpbWVyLnMxJyBzdGFydD0nMHg5MDAwJyBlbmQ9JzB4OTAyMCcgdHlwZT0nYWx0ZXJhX2F2YWxvbl90aW1lci5zMScgLz48c2xhdmUgbmFtZT0nanRhZ191YXJ0LmF2YWxvbl9qdGFnX3NsYXZlJyBzdGFydD0nMHg5MDIwJyBlbmQ9JzB4OTAyOCcgdHlwZT0nYWx0ZXJhX2F2YWxvbl9qdGFnX3VhcnQuYXZhbG9uX2p0YWdfc2xhdmUnIC8+PHNsYXZlIG5hbWU9J3NpZ2RlbF8wLmF2YWxvbl9zbGF2ZScgc3RhcnQ9JzB4OTAyOCcgZW5kPScweDkwMkMnIHR5cGU9J3NpZ2RlbC5hdmFsb25fc2xhdmUnIC8+PC9hZGRyZXNzLW1hcD4=::ZGF0YVNsYXZlTWFwUGFyYW0=" set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "Y2xvY2tGcmVxdWVuY3k=::NTAwMDAwMDA=::Y2xvY2tGcmVxdWVuY3k=" set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGV2aWNlRmFtaWx5TmFtZQ==::Q3ljbG9uZSBJViBF::ZGV2aWNlRmFtaWx5TmFtZQ==" set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "aW50ZXJuYWxJcnFNYXNrU3lzdGVtSW5mbw==::Mw==::aW50ZXJuYWxJcnFNYXNrU3lzdGVtSW5mbw==" diff --git a/Top/niosII/synthesis/niosII.v b/Top/niosII/synthesis/niosII.v index c4776d8..14af4e4 100644 --- a/Top/niosII/synthesis/niosII.v +++ b/Top/niosII/synthesis/niosII.v @@ -12,14 +12,14 @@ module niosII ( wire [31:0] cpu_data_master_readdata; // mm_interconnect_0:cpu_data_master_readdata -> cpu:d_readdata wire cpu_data_master_waitrequest; // mm_interconnect_0:cpu_data_master_waitrequest -> cpu:d_waitrequest wire cpu_data_master_debugaccess; // cpu:debug_mem_slave_debugaccess_to_roms -> mm_interconnect_0:cpu_data_master_debugaccess - wire [17:0] cpu_data_master_address; // cpu:d_address -> mm_interconnect_0:cpu_data_master_address + wire [15:0] cpu_data_master_address; // cpu:d_address -> mm_interconnect_0:cpu_data_master_address wire [3:0] cpu_data_master_byteenable; // cpu:d_byteenable -> mm_interconnect_0:cpu_data_master_byteenable wire cpu_data_master_read; // cpu:d_read -> mm_interconnect_0:cpu_data_master_read wire cpu_data_master_write; // cpu:d_write -> mm_interconnect_0:cpu_data_master_write wire [31:0] cpu_data_master_writedata; // cpu:d_writedata -> mm_interconnect_0:cpu_data_master_writedata wire [31:0] cpu_instruction_master_readdata; // mm_interconnect_0:cpu_instruction_master_readdata -> cpu:i_readdata wire cpu_instruction_master_waitrequest; // mm_interconnect_0:cpu_instruction_master_waitrequest -> cpu:i_waitrequest - wire [17:0] cpu_instruction_master_address; // cpu:i_address -> mm_interconnect_0:cpu_instruction_master_address + wire [15:0] cpu_instruction_master_address; // cpu:i_address -> mm_interconnect_0:cpu_instruction_master_address wire cpu_instruction_master_read; // cpu:i_read -> mm_interconnect_0:cpu_instruction_master_read wire mm_interconnect_0_jtag_uart_avalon_jtag_slave_chipselect; // mm_interconnect_0:jtag_uart_avalon_jtag_slave_chipselect -> jtag_uart:av_chipselect wire [31:0] mm_interconnect_0_jtag_uart_avalon_jtag_slave_readdata; // jtag_uart:av_readdata -> mm_interconnect_0:jtag_uart_avalon_jtag_slave_readdata @@ -45,14 +45,14 @@ module niosII ( wire [15:0] mm_interconnect_0_sys_clk_timer_s1_writedata; // mm_interconnect_0:sys_clk_timer_s1_writedata -> sys_clk_timer:writedata wire mm_interconnect_0_mem_s2_chipselect; // mm_interconnect_0:mem_s2_chipselect -> mem:chipselect2 wire [31:0] mm_interconnect_0_mem_s2_readdata; // mem:readdata2 -> mm_interconnect_0:mem_s2_readdata - wire [14:0] mm_interconnect_0_mem_s2_address; // mm_interconnect_0:mem_s2_address -> mem:address2 + wire [12:0] mm_interconnect_0_mem_s2_address; // mm_interconnect_0:mem_s2_address -> mem:address2 wire [3:0] mm_interconnect_0_mem_s2_byteenable; // mm_interconnect_0:mem_s2_byteenable -> mem:byteenable2 wire mm_interconnect_0_mem_s2_write; // mm_interconnect_0:mem_s2_write -> mem:write2 wire [31:0] mm_interconnect_0_mem_s2_writedata; // mm_interconnect_0:mem_s2_writedata -> mem:writedata2 wire mm_interconnect_0_mem_s2_clken; // mm_interconnect_0:mem_s2_clken -> mem:clken2 wire mm_interconnect_0_mem_s1_chipselect; // mm_interconnect_0:mem_s1_chipselect -> mem:chipselect wire [31:0] mm_interconnect_0_mem_s1_readdata; // mem:readdata -> mm_interconnect_0:mem_s1_readdata - wire [14:0] mm_interconnect_0_mem_s1_address; // mm_interconnect_0:mem_s1_address -> mem:address + wire [12:0] mm_interconnect_0_mem_s1_address; // mm_interconnect_0:mem_s1_address -> mem:address wire [3:0] mm_interconnect_0_mem_s1_byteenable; // mm_interconnect_0:mem_s1_byteenable -> mem:byteenable wire mm_interconnect_0_mem_s1_write; // mm_interconnect_0:mem_s1_write -> mem:write wire [31:0] mm_interconnect_0_mem_s1_writedata; // mm_interconnect_0:mem_s1_writedata -> mem:writedata diff --git a/Top/niosII/synthesis/submodules/niosII_cpu.v b/Top/niosII/synthesis/submodules/niosII_cpu.v index 5c0d61e..3bae5a9 100644 --- a/Top/niosII/synthesis/submodules/niosII_cpu.v +++ b/Top/niosII/synthesis/submodules/niosII_cpu.v @@ -10,7 +10,7 @@ module niosII_cpu ( input wire clk, // clk.clk input wire reset_n, // reset.reset_n input wire reset_req, // .reset_req - output wire [17:0] d_address, // data_master.address + output wire [15:0] d_address, // data_master.address output wire [3:0] d_byteenable, // .byteenable output wire d_read, // .read input wire [31:0] d_readdata, // .readdata @@ -18,7 +18,7 @@ module niosII_cpu ( output wire d_write, // .write output wire [31:0] d_writedata, // .writedata output wire debug_mem_slave_debugaccess_to_roms, // .debugaccess - output wire [17:0] i_address, // instruction_master.address + output wire [15:0] i_address, // instruction_master.address output wire i_read, // .read input wire [31:0] i_readdata, // .readdata input wire i_waitrequest, // .waitrequest diff --git a/Top/niosII/synthesis/submodules/niosII_cpu_cpu.v b/Top/niosII/synthesis/submodules/niosII_cpu_cpu.v index 9c990f7..7ac8ca8 100644 --- a/Top/niosII/synthesis/submodules/niosII_cpu_cpu.v +++ b/Top/niosII/synthesis/submodules/niosII_cpu_cpu.v @@ -617,7 +617,7 @@ module niosII_cpu_cpu_nios2_oci_xbrk ( output xbrk_trigout; input D_valid; input E_valid; - input [ 15: 0] F_pc; + input [ 13: 0] F_pc; input clk; input reset_n; input trigger_state_0; @@ -635,7 +635,7 @@ reg E_xbrk_goto1; reg E_xbrk_traceoff; reg E_xbrk_traceon; reg E_xbrk_trigout; -wire [ 17: 0] cpu_i_address; +wire [ 15: 0] cpu_i_address; wire xbrk0_armed; wire xbrk0_break_hit; wire xbrk0_goto0_hit; @@ -821,7 +821,7 @@ module niosII_cpu_cpu_nios2_oci_dbrk ( ) ; - output [ 17: 0] cpu_d_address; + output [ 15: 0] cpu_d_address; output cpu_d_read; output [ 31: 0] cpu_d_readdata; output cpu_d_wait; @@ -837,7 +837,7 @@ module niosII_cpu_cpu_nios2_oci_dbrk ( input [ 31: 0] E_st_data; input [ 31: 0] av_ld_data_aligned_filtered; input clk; - input [ 17: 0] d_address; + input [ 15: 0] d_address; input d_read; input d_waitrequest; input d_write; @@ -845,7 +845,7 @@ module niosII_cpu_cpu_nios2_oci_dbrk ( input reset_n; -wire [ 17: 0] cpu_d_address; +wire [ 15: 0] cpu_d_address; wire cpu_d_read; wire [ 31: 0] cpu_d_readdata; wire cpu_d_wait; @@ -1201,7 +1201,7 @@ module niosII_cpu_cpu_nios2_oci_dtrace ( output [ 35: 0] atm; output [ 35: 0] dtm; input clk; - input [ 17: 0] cpu_d_address; + input [ 15: 0] cpu_d_address; input cpu_d_read; input [ 31: 0] cpu_d_readdata; input cpu_d_wait; @@ -2339,7 +2339,7 @@ defparam niosII_cpu_cpu_ociram_sp_ram.lpm_file = "niosII_cpu_cpu_ociram_default_ `endif //synthesis translate_on assign cfgrom_readdata = (MonAReg[4 : 2] == 3'd0)? 32'h00000020 : - (MonAReg[4 : 2] == 3'd1)? 32'h00001212 : + (MonAReg[4 : 2] == 3'd1)? 32'h00001010 : (MonAReg[4 : 2] == 3'd2)? 32'h00040000 : (MonAReg[4 : 2] == 3'd3)? 32'h00000100 : (MonAReg[4 : 2] == 3'd4)? 32'h20000000 : @@ -2403,12 +2403,12 @@ module niosII_cpu_cpu_nios2_oci ( input D_valid; input [ 31: 0] E_st_data; input E_valid; - input [ 15: 0] F_pc; + input [ 13: 0] F_pc; input [ 8: 0] address_nxt; input [ 31: 0] av_ld_data_aligned_filtered; input [ 3: 0] byteenable_nxt; input clk; - input [ 17: 0] d_address; + input [ 15: 0] d_address; input d_read; input d_waitrequest; input d_write; @@ -2427,7 +2427,7 @@ reg [ 8: 0] address; wire [ 35: 0] atm; wire [ 31: 0] break_readreg; reg [ 3: 0] byteenable; -wire [ 17: 0] cpu_d_address; +wire [ 15: 0] cpu_d_address; wire cpu_d_read; wire [ 31: 0] cpu_d_readdata; wire cpu_d_wait; @@ -2864,7 +2864,7 @@ module niosII_cpu_cpu ( ) ; - output [ 17: 0] d_address; + output [ 15: 0] d_address; output [ 3: 0] d_byteenable; output d_read; output d_write; @@ -2874,7 +2874,7 @@ module niosII_cpu_cpu ( output debug_mem_slave_waitrequest; output debug_reset_request; output dummy_ci_port; - output [ 17: 0] i_address; + output [ 15: 0] i_address; output i_read; input clk; input [ 31: 0] d_readdata; @@ -2959,7 +2959,7 @@ wire [ 4: 0] D_iw_imm5; wire [ 1: 0] D_iw_memsz; wire [ 5: 0] D_iw_op; wire [ 5: 0] D_iw_opx; -wire [ 15: 0] D_jmp_direct_target_waddr; +wire [ 13: 0] D_jmp_direct_target_waddr; wire [ 1: 0] D_logic_op; wire [ 1: 0] D_logic_op_raw; wire D_mem16; @@ -3110,7 +3110,7 @@ wire E_ld_stall; wire [ 31: 0] E_logic_result; wire E_logic_result_is_0; wire E_lt; -wire [ 17: 0] E_mem_baddr; +wire [ 15: 0] E_mem_baddr; wire [ 3: 0] E_mem_byte_en; reg E_new_inst; wire E_rf_ecc_recoverable_valid; @@ -3301,15 +3301,15 @@ wire F_op_wrprs; wire F_op_xor; wire F_op_xorhi; wire F_op_xori; -reg [ 15: 0] F_pc /* synthesis ALTERA_IP_DEBUG_VISIBLE = 1 */; +reg [ 13: 0] F_pc /* synthesis ALTERA_IP_DEBUG_VISIBLE = 1 */; wire F_pc_en; -wire [ 15: 0] F_pc_no_crst_nxt; -wire [ 15: 0] F_pc_nxt; -wire [ 15: 0] F_pc_plus_one; +wire [ 13: 0] F_pc_no_crst_nxt; +wire [ 13: 0] F_pc_nxt; +wire [ 13: 0] F_pc_plus_one; wire [ 1: 0] F_pc_sel_nxt; -wire [ 17: 0] F_pcb; -wire [ 17: 0] F_pcb_nxt; -wire [ 17: 0] F_pcb_plus_four; +wire [ 15: 0] F_pcb; +wire [ 15: 0] F_pcb_nxt; +wire [ 15: 0] F_pcb_plus_four; wire F_valid; wire [ 71: 0] F_vinst; reg [ 1: 0] R_compare_op; @@ -3443,7 +3443,7 @@ reg [ 31: 0] W_ienable_reg; wire [ 31: 0] W_ienable_reg_nxt; reg [ 31: 0] W_ipending_reg; wire [ 31: 0] W_ipending_reg_nxt; -wire [ 17: 0] W_mem_baddr; +wire [ 15: 0] W_mem_baddr; reg W_rf_ecc_recoverable_valid; reg W_rf_ecc_unrecoverable_valid; wire W_rf_ecc_valid_any; @@ -3483,7 +3483,7 @@ wire av_ld_rshift8; reg av_ld_waiting_for_data; wire av_ld_waiting_for_data_nxt; wire av_sign_bit; -wire [ 17: 0] d_address; +wire [ 15: 0] d_address; reg [ 3: 0] d_byteenable; reg d_read; wire d_read_nxt; @@ -3501,7 +3501,7 @@ reg hbreak_enabled; reg hbreak_pending; wire hbreak_pending_nxt; wire hbreak_req; -wire [ 17: 0] i_address; +wire [ 15: 0] i_address; reg i_read; wire i_read_nxt; wire [ 31: 0] iactive; @@ -3863,8 +3863,8 @@ reg wait_for_one_post_bret_inst; 2'b11; assign F_pc_no_crst_nxt = (F_pc_sel_nxt == 2'b00)? 8 : - (F_pc_sel_nxt == 2'b01)? 33288 : - (F_pc_sel_nxt == 2'b10)? E_arith_result[17 : 2] : + (F_pc_sel_nxt == 2'b01)? 8712 : + (F_pc_sel_nxt == 2'b10)? E_arith_result[15 : 2] : F_pc_plus_one; assign F_pc_nxt = F_pc_no_crst_nxt; @@ -4166,7 +4166,7 @@ defparam niosII_cpu_cpu_register_bank_b.lpm_file = "niosII_cpu_cpu_rf_ram_b.hex" E_arith_src1 - E_arith_src2 : E_arith_src1 + E_arith_src2; - assign E_mem_baddr = E_arith_result[17 : 0]; + assign E_mem_baddr = E_arith_result[15 : 0]; assign E_logic_result = (R_logic_op == 2'b00)? (~(E_src1 | E_src2)) : (R_logic_op == 2'b01)? (E_src1 & E_src2) : (R_logic_op == 2'b10)? (E_src1 | E_src2) : @@ -4489,7 +4489,7 @@ defparam niosII_cpu_cpu_register_bank_b.lpm_file = "niosII_cpu_cpu_rf_ram_b.hex" assign W_wr_data = W_wr_data_non_zero; assign W_br_taken = R_ctrl_br_uncond | (R_ctrl_br & W_cmp_result); - assign W_mem_baddr = W_alu_result[17 : 0]; + assign W_mem_baddr = W_alu_result[15 : 0]; assign W_status_reg = W_status_reg_pie; assign E_wrctl_status = R_ctrl_wrctl_inst & (D_iw_control_regnum == 5'd0); diff --git a/Top/niosII/synthesis/submodules/niosII_cpu_cpu_test_bench.v b/Top/niosII/synthesis/submodules/niosII_cpu_cpu_test_bench.v index f80096e..b68a4b7 100644 --- a/Top/niosII/synthesis/submodules/niosII_cpu_cpu_test_bench.v +++ b/Top/niosII/synthesis/submodules/niosII_cpu_cpu_test_bench.v @@ -59,7 +59,7 @@ module niosII_cpu_cpu_test_bench ( input [ 5: 0] D_iw_opx; input D_valid; input E_valid; - input [ 17: 0] F_pcb; + input [ 15: 0] F_pcb; input F_valid; input R_ctrl_ld; input R_ctrl_ld_non_io; @@ -70,11 +70,11 @@ module niosII_cpu_cpu_test_bench ( input [ 31: 0] W_wr_data; input [ 31: 0] av_ld_data_aligned_unfiltered; input clk; - input [ 17: 0] d_address; + input [ 15: 0] d_address; input [ 3: 0] d_byteenable; input d_read; input d_write; - input [ 17: 0] i_address; + input [ 15: 0] i_address; input i_read; input [ 31: 0] i_readdata; input i_waitrequest; diff --git a/Top/niosII/synthesis/submodules/niosII_mem.hex b/Top/niosII/synthesis/submodules/niosII_mem.hex index 19e432c..9314b0c 100644 --- a/Top/niosII/synthesis/submodules/niosII_mem.hex +++ b/Top/niosII/synthesis/submodules/niosII_mem.hex @@ -8190,24580 +8190,4 @@ :041FFD0000000000E0 :041FFE0000000000DF :041FFF0000000000DE -:0420000000000000DC -:0420010000000000DB -:0420020000000000DA -:0420030000000000D9 -:0420040000000000D8 -:0420050000000000D7 -:0420060000000000D6 -:0420070000000000D5 -:0420080000000000D4 -:0420090000000000D3 -:04200A0000000000D2 -:04200B0000000000D1 -:04200C0000000000D0 -:04200D0000000000CF -:04200E0000000000CE -:04200F0000000000CD -:0420100000000000CC -:0420110000000000CB -:0420120000000000CA -:0420130000000000C9 -:0420140000000000C8 -:0420150000000000C7 -:0420160000000000C6 -:0420170000000000C5 -:0420180000000000C4 -:0420190000000000C3 -:04201A0000000000C2 -:04201B0000000000C1 -:04201C0000000000C0 -:04201D0000000000BF -:04201E0000000000BE -:04201F0000000000BD -:0420200000000000BC -:0420210000000000BB -:0420220000000000BA -:0420230000000000B9 -:0420240000000000B8 -:0420250000000000B7 -:0420260000000000B6 -:0420270000000000B5 -:0420280000000000B4 -:0420290000000000B3 -:04202A0000000000B2 -:04202B0000000000B1 -:04202C0000000000B0 -:04202D0000000000AF -:04202E0000000000AE -:04202F0000000000AD -:0420300000000000AC -:0420310000000000AB -:0420320000000000AA -:0420330000000000A9 -:0420340000000000A8 -:0420350000000000A7 -:0420360000000000A6 -:0420370000000000A5 -:0420380000000000A4 -:0420390000000000A3 -:04203A0000000000A2 -:04203B0000000000A1 -:04203C0000000000A0 -:04203D00000000009F -:04203E00000000009E -:04203F00000000009D -:04204000000000009C -:04204100000000009B -:04204200000000009A -:042043000000000099 -:042044000000000098 -:042045000000000097 -:042046000000000096 -:042047000000000095 -:042048000000000094 -:042049000000000093 -:04204A000000000092 -:04204B000000000091 -:04204C000000000090 -:04204D00000000008F -:04204E00000000008E -:04204F00000000008D -:04205000000000008C -:04205100000000008B -:04205200000000008A -:042053000000000089 -:042054000000000088 -:042055000000000087 -:042056000000000086 -:042057000000000085 -:042058000000000084 -:042059000000000083 -:04205A000000000082 -:04205B000000000081 -:04205C000000000080 -:04205D00000000007F -:04205E00000000007E -:04205F00000000007D -:04206000000000007C -:04206100000000007B -:04206200000000007A -:042063000000000079 -:042064000000000078 -:042065000000000077 -:042066000000000076 -:042067000000000075 -:042068000000000074 -:042069000000000073 -:04206A000000000072 -:04206B000000000071 -:04206C000000000070 -:04206D00000000006F -:04206E00000000006E -:04206F00000000006D -:04207000000000006C -:04207100000000006B -:04207200000000006A -:042073000000000069 -:042074000000000068 -:042075000000000067 -:042076000000000066 -:042077000000000065 -:042078000000000064 -:042079000000000063 -:04207A000000000062 -:04207B000000000061 -:04207C000000000060 -:04207D00000000005F -:04207E00000000005E -:04207F00000000005D -:04208000000000005C -:04208100000000005B -:04208200000000005A -:042083000000000059 -:042084000000000058 -:042085000000000057 -:042086000000000056 -:042087000000000055 -:042088000000000054 -:042089000000000053 -:04208A000000000052 -:04208B000000000051 -:04208C000000000050 -:04208D00000000004F -:04208E00000000004E -:04208F00000000004D -:04209000000000004C -:04209100000000004B -:04209200000000004A -:042093000000000049 -:042094000000000048 -:042095000000000047 -:042096000000000046 -:042097000000000045 -:042098000000000044 -:042099000000000043 -:04209A000000000042 -:04209B000000000041 -:04209C000000000040 -:04209D00000000003F -:04209E00000000003E -:04209F00000000003D -:0420A000000000003C -:0420A100000000003B -:0420A200000000003A -:0420A3000000000039 -:0420A4000000000038 -:0420A5000000000037 -:0420A6000000000036 -:0420A7000000000035 -:0420A8000000000034 -:0420A9000000000033 -:0420AA000000000032 -:0420AB000000000031 -:0420AC000000000030 -:0420AD00000000002F -:0420AE00000000002E -:0420AF00000000002D -:0420B000000000002C -:0420B100000000002B -:0420B200000000002A -:0420B3000000000029 -:0420B4000000000028 -:0420B5000000000027 -:0420B6000000000026 -:0420B7000000000025 -:0420B8000000000024 -:0420B9000000000023 -:0420BA000000000022 -:0420BB000000000021 -:0420BC000000000020 -:0420BD00000000001F -:0420BE00000000001E -:0420BF00000000001D -:0420C000000000001C -:0420C100000000001B -:0420C200000000001A -:0420C3000000000019 -:0420C4000000000018 -:0420C5000000000017 -:0420C6000000000016 -:0420C7000000000015 -:0420C8000000000014 -:0420C9000000000013 -:0420CA000000000012 -:0420CB000000000011 -:0420CC000000000010 -:0420CD00000000000F -:0420CE00000000000E -:0420CF00000000000D -:0420D000000000000C -:0420D100000000000B -:0420D200000000000A -:0420D3000000000009 -:0420D4000000000008 -:0420D5000000000007 -:0420D6000000000006 -:0420D7000000000005 -:0420D8000000000004 -:0420D9000000000003 -:0420DA000000000002 -:0420DB000000000001 -:0420DC000000000000 -:0420DD0000000000FF -:0420DE0000000000FE -:0420DF0000000000FD -:0420E00000000000FC -:0420E10000000000FB -:0420E20000000000FA -:0420E30000000000F9 -:0420E40000000000F8 -:0420E50000000000F7 -:0420E60000000000F6 -:0420E70000000000F5 -:0420E80000000000F4 -:0420E90000000000F3 -:0420EA0000000000F2 -:0420EB0000000000F1 -:0420EC0000000000F0 -:0420ED0000000000EF -:0420EE0000000000EE -:0420EF0000000000ED -:0420F00000000000EC -:0420F10000000000EB -:0420F20000000000EA -:0420F30000000000E9 -:0420F40000000000E8 -:0420F50000000000E7 -:0420F60000000000E6 -:0420F70000000000E5 -:0420F80000000000E4 -:0420F90000000000E3 -:0420FA0000000000E2 -:0420FB0000000000E1 -:0420FC0000000000E0 -:0420FD0000000000DF -:0420FE0000000000DE -:0420FF0000000000DD -:0421000000000000DB -:0421010000000000DA -:0421020000000000D9 -:0421030000000000D8 -:0421040000000000D7 -:0421050000000000D6 -:0421060000000000D5 -:0421070000000000D4 -:0421080000000000D3 -:0421090000000000D2 -:04210A0000000000D1 -:04210B0000000000D0 -:04210C0000000000CF -:04210D0000000000CE -:04210E0000000000CD -:04210F0000000000CC -:0421100000000000CB -:0421110000000000CA -:0421120000000000C9 -:0421130000000000C8 -:0421140000000000C7 -:0421150000000000C6 -:0421160000000000C5 -:0421170000000000C4 -:0421180000000000C3 -:0421190000000000C2 -:04211A0000000000C1 -:04211B0000000000C0 -:04211C0000000000BF -:04211D0000000000BE -:04211E0000000000BD -:04211F0000000000BC -:0421200000000000BB -:0421210000000000BA -:0421220000000000B9 -:0421230000000000B8 -:0421240000000000B7 -:0421250000000000B6 -:0421260000000000B5 -:0421270000000000B4 -:0421280000000000B3 -:0421290000000000B2 -:04212A0000000000B1 -:04212B0000000000B0 -:04212C0000000000AF -:04212D0000000000AE -:04212E0000000000AD -:04212F0000000000AC -:0421300000000000AB -:0421310000000000AA -:0421320000000000A9 -:0421330000000000A8 -:0421340000000000A7 -:0421350000000000A6 -:0421360000000000A5 -:0421370000000000A4 -:0421380000000000A3 -:0421390000000000A2 -:04213A0000000000A1 -:04213B0000000000A0 -:04213C00000000009F -:04213D00000000009E -:04213E00000000009D -:04213F00000000009C -:04214000000000009B -:04214100000000009A -:042142000000000099 -:042143000000000098 -:042144000000000097 -:042145000000000096 -:042146000000000095 -:042147000000000094 -:042148000000000093 -:042149000000000092 -:04214A000000000091 -:04214B000000000090 -:04214C00000000008F -:04214D00000000008E -:04214E00000000008D -:04214F00000000008C -:04215000000000008B -:04215100000000008A -:042152000000000089 -:042153000000000088 -:042154000000000087 -:042155000000000086 -:042156000000000085 -:042157000000000084 -:042158000000000083 -:042159000000000082 -:04215A000000000081 -:04215B000000000080 -:04215C00000000007F -:04215D00000000007E -:04215E00000000007D -:04215F00000000007C -:04216000000000007B -:04216100000000007A -:042162000000000079 -:042163000000000078 -:042164000000000077 -:042165000000000076 -:042166000000000075 -:042167000000000074 -:042168000000000073 -:042169000000000072 -:04216A000000000071 -:04216B000000000070 -:04216C00000000006F -:04216D00000000006E -:04216E00000000006D -:04216F00000000006C -:04217000000000006B -:04217100000000006A -:042172000000000069 -:042173000000000068 -:042174000000000067 -:042175000000000066 -:042176000000000065 -:042177000000000064 -:042178000000000063 -:042179000000000062 -:04217A000000000061 -:04217B000000000060 -:04217C00000000005F -:04217D00000000005E -:04217E00000000005D -:04217F00000000005C -:04218000000000005B -:04218100000000005A -:042182000000000059 -:042183000000000058 -:042184000000000057 -:042185000000000056 -:042186000000000055 -:042187000000000054 -:042188000000000053 -:042189000000000052 -:04218A000000000051 -:04218B000000000050 -:04218C00000000004F -:04218D00000000004E -:04218E00000000004D -:04218F00000000004C -:04219000000000004B -:04219100000000004A -:042192000000000049 -:042193000000000048 -:042194000000000047 -:042195000000000046 -:042196000000000045 -:042197000000000044 -:042198000000000043 -:042199000000000042 -:04219A000000000041 -:04219B000000000040 -:04219C00000000003F -:04219D00000000003E -:04219E00000000003D -:04219F00000000003C -:0421A000000000003B -:0421A100000000003A -:0421A2000000000039 -:0421A3000000000038 -:0421A4000000000037 -:0421A5000000000036 -:0421A6000000000035 -:0421A7000000000034 -:0421A8000000000033 -:0421A9000000000032 -:0421AA000000000031 -:0421AB000000000030 -:0421AC00000000002F -:0421AD00000000002E -:0421AE00000000002D -:0421AF00000000002C -:0421B000000000002B -:0421B100000000002A -:0421B2000000000029 -:0421B3000000000028 -:0421B4000000000027 -:0421B5000000000026 -:0421B6000000000025 -:0421B7000000000024 -:0421B8000000000023 -:0421B9000000000022 -:0421BA000000000021 -:0421BB000000000020 -:0421BC00000000001F -:0421BD00000000001E -:0421BE00000000001D -:0421BF00000000001C -:0421C000000000001B -:0421C100000000001A -:0421C2000000000019 -:0421C3000000000018 -:0421C4000000000017 -:0421C5000000000016 -:0421C6000000000015 -:0421C7000000000014 -:0421C8000000000013 -:0421C9000000000012 -:0421CA000000000011 -:0421CB000000000010 -:0421CC00000000000F -:0421CD00000000000E -:0421CE00000000000D -:0421CF00000000000C -:0421D000000000000B -:0421D100000000000A -:0421D2000000000009 -:0421D3000000000008 -:0421D4000000000007 -:0421D5000000000006 -:0421D6000000000005 -:0421D7000000000004 -:0421D8000000000003 -:0421D9000000000002 -:0421DA000000000001 -:0421DB000000000000 -:0421DC0000000000FF -:0421DD0000000000FE -:0421DE0000000000FD -:0421DF0000000000FC -:0421E00000000000FB -:0421E10000000000FA -:0421E20000000000F9 -:0421E30000000000F8 -:0421E40000000000F7 -:0421E50000000000F6 -:0421E60000000000F5 -:0421E70000000000F4 -:0421E80000000000F3 -:0421E90000000000F2 -:0421EA0000000000F1 -:0421EB0000000000F0 -:0421EC0000000000EF -:0421ED0000000000EE -:0421EE0000000000ED -:0421EF0000000000EC -:0421F00000000000EB -:0421F10000000000EA -:0421F20000000000E9 -:0421F30000000000E8 -:0421F40000000000E7 -:0421F50000000000E6 -:0421F60000000000E5 -:0421F70000000000E4 -:0421F80000000000E3 -:0421F90000000000E2 -:0421FA0000000000E1 -:0421FB0000000000E0 -:0421FC0000000000DF -:0421FD0000000000DE -:0421FE0000000000DD -:0421FF0000000000DC -:0422000000000000DA -:0422010000000000D9 -:0422020000000000D8 -:0422030000000000D7 -:0422040000000000D6 -:0422050000000000D5 -:0422060000000000D4 -:0422070000000000D3 -:0422080000000000D2 -:0422090000000000D1 -:04220A0000000000D0 -:04220B0000000000CF -:04220C0000000000CE -:04220D0000000000CD -:04220E0000000000CC -:04220F0000000000CB -:0422100000000000CA -:0422110000000000C9 -:0422120000000000C8 -:0422130000000000C7 -:0422140000000000C6 -:0422150000000000C5 -:0422160000000000C4 -:0422170000000000C3 -:0422180000000000C2 -:0422190000000000C1 -:04221A0000000000C0 -:04221B0000000000BF -:04221C0000000000BE -:04221D0000000000BD -:04221E0000000000BC -:04221F0000000000BB -:0422200000000000BA -:0422210000000000B9 -:0422220000000000B8 -:0422230000000000B7 -:0422240000000000B6 -:0422250000000000B5 -:0422260000000000B4 -:0422270000000000B3 -:0422280000000000B2 -:0422290000000000B1 -:04222A0000000000B0 -:04222B0000000000AF -:04222C0000000000AE -:04222D0000000000AD -:04222E0000000000AC -:04222F0000000000AB -:0422300000000000AA -:0422310000000000A9 -:0422320000000000A8 -:0422330000000000A7 -:0422340000000000A6 -:0422350000000000A5 -:0422360000000000A4 -:0422370000000000A3 -:0422380000000000A2 -:0422390000000000A1 -:04223A0000000000A0 -:04223B00000000009F -:04223C00000000009E -:04223D00000000009D -:04223E00000000009C -:04223F00000000009B -:04224000000000009A -:042241000000000099 -:042242000000000098 -:042243000000000097 -:042244000000000096 -:042245000000000095 -:042246000000000094 -:042247000000000093 -:042248000000000092 -:042249000000000091 -:04224A000000000090 -:04224B00000000008F -:04224C00000000008E -:04224D00000000008D -:04224E00000000008C -:04224F00000000008B -:04225000000000008A -:042251000000000089 -:042252000000000088 -:042253000000000087 -:042254000000000086 -:042255000000000085 -:042256000000000084 -:042257000000000083 -:042258000000000082 -:042259000000000081 -:04225A000000000080 -:04225B00000000007F -:04225C00000000007E -:04225D00000000007D -:04225E00000000007C -:04225F00000000007B -:04226000000000007A -:042261000000000079 -:042262000000000078 -:042263000000000077 -:042264000000000076 -:042265000000000075 -:042266000000000074 -:042267000000000073 -:042268000000000072 -:042269000000000071 -:04226A000000000070 -:04226B00000000006F -:04226C00000000006E -:04226D00000000006D -:04226E00000000006C -:04226F00000000006B -:04227000000000006A -:042271000000000069 -:042272000000000068 -:042273000000000067 -:042274000000000066 -:042275000000000065 -:042276000000000064 -:042277000000000063 -:042278000000000062 -:042279000000000061 -:04227A000000000060 -:04227B00000000005F -:04227C00000000005E -:04227D00000000005D -:04227E00000000005C -:04227F00000000005B -:04228000000000005A -:042281000000000059 -:042282000000000058 -:042283000000000057 -:042284000000000056 -:042285000000000055 -:042286000000000054 -:042287000000000053 -:042288000000000052 -:042289000000000051 -:04228A000000000050 -:04228B00000000004F -:04228C00000000004E -:04228D00000000004D -:04228E00000000004C -:04228F00000000004B -:04229000000000004A -:042291000000000049 -:042292000000000048 -:042293000000000047 -:042294000000000046 -:042295000000000045 -:042296000000000044 -:042297000000000043 -:042298000000000042 -:042299000000000041 -:04229A000000000040 -:04229B00000000003F -:04229C00000000003E -:04229D00000000003D -:04229E00000000003C -:04229F00000000003B -:0422A000000000003A -:0422A1000000000039 -:0422A2000000000038 -:0422A3000000000037 -:0422A4000000000036 -:0422A5000000000035 -:0422A6000000000034 -:0422A7000000000033 -:0422A8000000000032 -:0422A9000000000031 -:0422AA000000000030 -:0422AB00000000002F -:0422AC00000000002E -:0422AD00000000002D -:0422AE00000000002C -:0422AF00000000002B -:0422B000000000002A -:0422B1000000000029 -:0422B2000000000028 -:0422B3000000000027 -:0422B4000000000026 -:0422B5000000000025 -:0422B6000000000024 -:0422B7000000000023 -:0422B8000000000022 -:0422B9000000000021 -:0422BA000000000020 -:0422BB00000000001F -:0422BC00000000001E -:0422BD00000000001D -:0422BE00000000001C -:0422BF00000000001B -:0422C000000000001A -:0422C1000000000019 -:0422C2000000000018 -:0422C3000000000017 -:0422C4000000000016 -:0422C5000000000015 -:0422C6000000000014 -:0422C7000000000013 -:0422C8000000000012 -:0422C9000000000011 -:0422CA000000000010 -:0422CB00000000000F -:0422CC00000000000E -:0422CD00000000000D -:0422CE00000000000C -:0422CF00000000000B -:0422D000000000000A -:0422D1000000000009 -:0422D2000000000008 -:0422D3000000000007 -:0422D4000000000006 -:0422D5000000000005 -:0422D6000000000004 -:0422D7000000000003 -:0422D8000000000002 -:0422D9000000000001 -:0422DA000000000000 -:0422DB0000000000FF -:0422DC0000000000FE -:0422DD0000000000FD -:0422DE0000000000FC -:0422DF0000000000FB -:0422E00000000000FA -:0422E10000000000F9 -:0422E20000000000F8 -:0422E30000000000F7 -:0422E40000000000F6 -:0422E50000000000F5 -:0422E60000000000F4 -:0422E70000000000F3 -:0422E80000000000F2 -:0422E90000000000F1 -:0422EA0000000000F0 -:0422EB0000000000EF -:0422EC0000000000EE -:0422ED0000000000ED -:0422EE0000000000EC -:0422EF0000000000EB -:0422F00000000000EA -:0422F10000000000E9 -:0422F20000000000E8 -:0422F30000000000E7 -:0422F40000000000E6 -:0422F50000000000E5 -:0422F60000000000E4 -:0422F70000000000E3 -:0422F80000000000E2 -:0422F90000000000E1 -:0422FA0000000000E0 -:0422FB0000000000DF -:0422FC0000000000DE -:0422FD0000000000DD -:0422FE0000000000DC -:0422FF0000000000DB -:0423000000000000D9 -:0423010000000000D8 -:0423020000000000D7 -:0423030000000000D6 -:0423040000000000D5 -:0423050000000000D4 -:0423060000000000D3 -:0423070000000000D2 -:0423080000000000D1 -:0423090000000000D0 -:04230A0000000000CF -:04230B0000000000CE -:04230C0000000000CD -:04230D0000000000CC -:04230E0000000000CB -:04230F0000000000CA -:0423100000000000C9 -:0423110000000000C8 -:0423120000000000C7 -:0423130000000000C6 -:0423140000000000C5 -:0423150000000000C4 -:0423160000000000C3 -:0423170000000000C2 -:0423180000000000C1 -:0423190000000000C0 -:04231A0000000000BF -:04231B0000000000BE -:04231C0000000000BD -:04231D0000000000BC -:04231E0000000000BB -:04231F0000000000BA -:0423200000000000B9 -:0423210000000000B8 -:0423220000000000B7 -:0423230000000000B6 -:0423240000000000B5 -:0423250000000000B4 -:0423260000000000B3 -:0423270000000000B2 -:0423280000000000B1 -:0423290000000000B0 -:04232A0000000000AF -:04232B0000000000AE -:04232C0000000000AD -:04232D0000000000AC -:04232E0000000000AB -:04232F0000000000AA -:0423300000000000A9 -:0423310000000000A8 -:0423320000000000A7 -:0423330000000000A6 -:0423340000000000A5 -:0423350000000000A4 -:0423360000000000A3 -:0423370000000000A2 -:0423380000000000A1 -:0423390000000000A0 -:04233A00000000009F -:04233B00000000009E -:04233C00000000009D -:04233D00000000009C -:04233E00000000009B -:04233F00000000009A -:042340000000000099 -:042341000000000098 -:042342000000000097 -:042343000000000096 -:042344000000000095 -:042345000000000094 -:042346000000000093 -:042347000000000092 -:042348000000000091 -:042349000000000090 -:04234A00000000008F -:04234B00000000008E -:04234C00000000008D -:04234D00000000008C -:04234E00000000008B -:04234F00000000008A -:042350000000000089 -:042351000000000088 -:042352000000000087 -:042353000000000086 -:042354000000000085 -:042355000000000084 -:042356000000000083 -:042357000000000082 -:042358000000000081 -:042359000000000080 -:04235A00000000007F -:04235B00000000007E -:04235C00000000007D -:04235D00000000007C -:04235E00000000007B -:04235F00000000007A -:042360000000000079 -:042361000000000078 -:042362000000000077 -:042363000000000076 -:042364000000000075 -:042365000000000074 -:042366000000000073 -:042367000000000072 -:042368000000000071 -:042369000000000070 -:04236A00000000006F -:04236B00000000006E -:04236C00000000006D -:04236D00000000006C -:04236E00000000006B -:04236F00000000006A -:042370000000000069 -:042371000000000068 -:042372000000000067 -:042373000000000066 -:042374000000000065 -:042375000000000064 -:042376000000000063 -:042377000000000062 -:042378000000000061 -:042379000000000060 -:04237A00000000005F -:04237B00000000005E -:04237C00000000005D -:04237D00000000005C -:04237E00000000005B -:04237F00000000005A -:042380000000000059 -:042381000000000058 -:042382000000000057 -:042383000000000056 -:042384000000000055 -:042385000000000054 -:042386000000000053 -:042387000000000052 -:042388000000000051 -:042389000000000050 -:04238A00000000004F -:04238B00000000004E -:04238C00000000004D -:04238D00000000004C -:04238E00000000004B -:04238F00000000004A -:042390000000000049 -:042391000000000048 -:042392000000000047 -:042393000000000046 -:042394000000000045 -:042395000000000044 -:042396000000000043 -:042397000000000042 -:042398000000000041 -:042399000000000040 -:04239A00000000003F -:04239B00000000003E -:04239C00000000003D -:04239D00000000003C -:04239E00000000003B -:04239F00000000003A -:0423A0000000000039 -:0423A1000000000038 -:0423A2000000000037 -:0423A3000000000036 -:0423A4000000000035 -:0423A5000000000034 -:0423A6000000000033 -:0423A7000000000032 -:0423A8000000000031 -:0423A9000000000030 -:0423AA00000000002F -:0423AB00000000002E -:0423AC00000000002D -:0423AD00000000002C -:0423AE00000000002B -:0423AF00000000002A -:0423B0000000000029 -:0423B1000000000028 -:0423B2000000000027 -:0423B3000000000026 -:0423B4000000000025 -:0423B5000000000024 -:0423B6000000000023 -:0423B7000000000022 -:0423B8000000000021 -:0423B9000000000020 -:0423BA00000000001F -:0423BB00000000001E -:0423BC00000000001D -:0423BD00000000001C -:0423BE00000000001B -:0423BF00000000001A -:0423C0000000000019 -:0423C1000000000018 -:0423C2000000000017 -:0423C3000000000016 -:0423C4000000000015 -:0423C5000000000014 -:0423C6000000000013 -:0423C7000000000012 -:0423C8000000000011 -:0423C9000000000010 -:0423CA00000000000F -:0423CB00000000000E -:0423CC00000000000D -:0423CD00000000000C -:0423CE00000000000B -:0423CF00000000000A -:0423D0000000000009 -:0423D1000000000008 -:0423D2000000000007 -:0423D3000000000006 -:0423D4000000000005 -:0423D5000000000004 -:0423D6000000000003 -:0423D7000000000002 -:0423D8000000000001 -:0423D9000000000000 -:0423DA0000000000FF -:0423DB0000000000FE -:0423DC0000000000FD -:0423DD0000000000FC -:0423DE0000000000FB -:0423DF0000000000FA -:0423E00000000000F9 -:0423E10000000000F8 -:0423E20000000000F7 -:0423E30000000000F6 -:0423E40000000000F5 -:0423E50000000000F4 -:0423E60000000000F3 -:0423E70000000000F2 -:0423E80000000000F1 -:0423E90000000000F0 -:0423EA0000000000EF -:0423EB0000000000EE -:0423EC0000000000ED -:0423ED0000000000EC -:0423EE0000000000EB -:0423EF0000000000EA -:0423F00000000000E9 -:0423F10000000000E8 -:0423F20000000000E7 -:0423F30000000000E6 -:0423F40000000000E5 -:0423F50000000000E4 -:0423F60000000000E3 -:0423F70000000000E2 -:0423F80000000000E1 -:0423F90000000000E0 -:0423FA0000000000DF -:0423FB0000000000DE -:0423FC0000000000DD -:0423FD0000000000DC -:0423FE0000000000DB -:0423FF0000000000DA -:0424000000000000D8 -:0424010000000000D7 -:0424020000000000D6 -:0424030000000000D5 -:0424040000000000D4 -:0424050000000000D3 -:0424060000000000D2 -:0424070000000000D1 -:0424080000000000D0 -:0424090000000000CF -:04240A0000000000CE -:04240B0000000000CD -:04240C0000000000CC -:04240D0000000000CB -:04240E0000000000CA -:04240F0000000000C9 -:0424100000000000C8 -:0424110000000000C7 -:0424120000000000C6 -:0424130000000000C5 -:0424140000000000C4 -:0424150000000000C3 -:0424160000000000C2 -:0424170000000000C1 -:0424180000000000C0 -:0424190000000000BF -:04241A0000000000BE -:04241B0000000000BD -:04241C0000000000BC -:04241D0000000000BB -:04241E0000000000BA -:04241F0000000000B9 -:0424200000000000B8 -:0424210000000000B7 -:0424220000000000B6 -:0424230000000000B5 -:0424240000000000B4 -:0424250000000000B3 -:0424260000000000B2 -:0424270000000000B1 -:0424280000000000B0 -:0424290000000000AF -:04242A0000000000AE -:04242B0000000000AD -:04242C0000000000AC -:04242D0000000000AB -:04242E0000000000AA -:04242F0000000000A9 -:0424300000000000A8 -:0424310000000000A7 -:0424320000000000A6 -:0424330000000000A5 -:0424340000000000A4 -:0424350000000000A3 -:0424360000000000A2 -:0424370000000000A1 -:0424380000000000A0 -:04243900000000009F -:04243A00000000009E -:04243B00000000009D -:04243C00000000009C -:04243D00000000009B -:04243E00000000009A -:04243F000000000099 -:042440000000000098 -:042441000000000097 -:042442000000000096 -:042443000000000095 -:042444000000000094 -:042445000000000093 -:042446000000000092 -:042447000000000091 -:042448000000000090 -:04244900000000008F -:04244A00000000008E -:04244B00000000008D -:04244C00000000008C -:04244D00000000008B -:04244E00000000008A -:04244F000000000089 -:042450000000000088 -:042451000000000087 -:042452000000000086 -:042453000000000085 -:042454000000000084 -:042455000000000083 -:042456000000000082 -:042457000000000081 -:042458000000000080 -:04245900000000007F -:04245A00000000007E -:04245B00000000007D -:04245C00000000007C -:04245D00000000007B -:04245E00000000007A -:04245F000000000079 -:042460000000000078 -:042461000000000077 -:042462000000000076 -:042463000000000075 -:042464000000000074 -:042465000000000073 -:042466000000000072 -:042467000000000071 -:042468000000000070 -:04246900000000006F -:04246A00000000006E -:04246B00000000006D -:04246C00000000006C -:04246D00000000006B -:04246E00000000006A -:04246F000000000069 -:042470000000000068 -:042471000000000067 -:042472000000000066 -:042473000000000065 -:042474000000000064 -:042475000000000063 -:042476000000000062 -:042477000000000061 -:042478000000000060 -:04247900000000005F -:04247A00000000005E -:04247B00000000005D -:04247C00000000005C -:04247D00000000005B -:04247E00000000005A -:04247F000000000059 -:042480000000000058 -:042481000000000057 -:042482000000000056 -:042483000000000055 -:042484000000000054 -:042485000000000053 -:042486000000000052 -:042487000000000051 -:042488000000000050 -:04248900000000004F -:04248A00000000004E -:04248B00000000004D -:04248C00000000004C -:04248D00000000004B -:04248E00000000004A -:04248F000000000049 -:042490000000000048 -:042491000000000047 -:042492000000000046 -:042493000000000045 -:042494000000000044 -:042495000000000043 -:042496000000000042 -:042497000000000041 -:042498000000000040 -:04249900000000003F -:04249A00000000003E -:04249B00000000003D -:04249C00000000003C -:04249D00000000003B -:04249E00000000003A -:04249F000000000039 -:0424A0000000000038 -:0424A1000000000037 -:0424A2000000000036 -:0424A3000000000035 -:0424A4000000000034 -:0424A5000000000033 -:0424A6000000000032 -:0424A7000000000031 -:0424A8000000000030 -:0424A900000000002F -:0424AA00000000002E -:0424AB00000000002D -:0424AC00000000002C -:0424AD00000000002B -:0424AE00000000002A -:0424AF000000000029 -:0424B0000000000028 -:0424B1000000000027 -:0424B2000000000026 -:0424B3000000000025 -:0424B4000000000024 -:0424B5000000000023 -:0424B6000000000022 -:0424B7000000000021 -:0424B8000000000020 -:0424B900000000001F -:0424BA00000000001E -:0424BB00000000001D -:0424BC00000000001C -:0424BD00000000001B -:0424BE00000000001A -:0424BF000000000019 -:0424C0000000000018 -:0424C1000000000017 -:0424C2000000000016 -:0424C3000000000015 -:0424C4000000000014 -:0424C5000000000013 -:0424C6000000000012 -:0424C7000000000011 -:0424C8000000000010 -:0424C900000000000F -:0424CA00000000000E -:0424CB00000000000D -:0424CC00000000000C -:0424CD00000000000B -:0424CE00000000000A -:0424CF000000000009 -:0424D0000000000008 -:0424D1000000000007 -:0424D2000000000006 -:0424D3000000000005 -:0424D4000000000004 -:0424D5000000000003 -:0424D6000000000002 -:0424D7000000000001 -:0424D8000000000000 -:0424D90000000000FF -:0424DA0000000000FE -:0424DB0000000000FD -:0424DC0000000000FC -:0424DD0000000000FB -:0424DE0000000000FA -:0424DF0000000000F9 -:0424E00000000000F8 -:0424E10000000000F7 -:0424E20000000000F6 -:0424E30000000000F5 -:0424E40000000000F4 -:0424E50000000000F3 -:0424E60000000000F2 -:0424E70000000000F1 -:0424E80000000000F0 -:0424E90000000000EF -:0424EA0000000000EE -:0424EB0000000000ED -:0424EC0000000000EC -:0424ED0000000000EB -:0424EE0000000000EA -:0424EF0000000000E9 -:0424F00000000000E8 -:0424F10000000000E7 -:0424F20000000000E6 -:0424F30000000000E5 -:0424F40000000000E4 -:0424F50000000000E3 -:0424F60000000000E2 -:0424F70000000000E1 -:0424F80000000000E0 -:0424F90000000000DF -:0424FA0000000000DE -:0424FB0000000000DD -:0424FC0000000000DC -:0424FD0000000000DB -:0424FE0000000000DA -:0424FF0000000000D9 -:0425000000000000D7 -:0425010000000000D6 -:0425020000000000D5 -:0425030000000000D4 -:0425040000000000D3 -:0425050000000000D2 -:0425060000000000D1 -:0425070000000000D0 -:0425080000000000CF -:0425090000000000CE -:04250A0000000000CD -:04250B0000000000CC -:04250C0000000000CB -:04250D0000000000CA -:04250E0000000000C9 -:04250F0000000000C8 -:0425100000000000C7 -:0425110000000000C6 -:0425120000000000C5 -:0425130000000000C4 -:0425140000000000C3 -:0425150000000000C2 -:0425160000000000C1 -:0425170000000000C0 -:0425180000000000BF -:0425190000000000BE -:04251A0000000000BD -:04251B0000000000BC -:04251C0000000000BB -:04251D0000000000BA -:04251E0000000000B9 -:04251F0000000000B8 -:0425200000000000B7 -:0425210000000000B6 -:0425220000000000B5 -:0425230000000000B4 -:0425240000000000B3 -:0425250000000000B2 -:0425260000000000B1 -:0425270000000000B0 -:0425280000000000AF -:0425290000000000AE -:04252A0000000000AD -:04252B0000000000AC -:04252C0000000000AB -:04252D0000000000AA -:04252E0000000000A9 -:04252F0000000000A8 -:0425300000000000A7 -:0425310000000000A6 -:0425320000000000A5 -:0425330000000000A4 -:0425340000000000A3 -:0425350000000000A2 -:0425360000000000A1 -:0425370000000000A0 -:04253800000000009F -:04253900000000009E -:04253A00000000009D -:04253B00000000009C -:04253C00000000009B -:04253D00000000009A -:04253E000000000099 -:04253F000000000098 -:042540000000000097 -:042541000000000096 -:042542000000000095 -:042543000000000094 -:042544000000000093 -:042545000000000092 -:042546000000000091 -:042547000000000090 -:04254800000000008F -:04254900000000008E -:04254A00000000008D -:04254B00000000008C -:04254C00000000008B -:04254D00000000008A -:04254E000000000089 -:04254F000000000088 -:042550000000000087 -:042551000000000086 -:042552000000000085 -:042553000000000084 -:042554000000000083 -:042555000000000082 -:042556000000000081 -:042557000000000080 -:04255800000000007F -:04255900000000007E -:04255A00000000007D -:04255B00000000007C -:04255C00000000007B -:04255D00000000007A -:04255E000000000079 -:04255F000000000078 -:042560000000000077 -:042561000000000076 -:042562000000000075 -:042563000000000074 -:042564000000000073 -:042565000000000072 -:042566000000000071 -:042567000000000070 -:04256800000000006F -:04256900000000006E -:04256A00000000006D -:04256B00000000006C -:04256C00000000006B -:04256D00000000006A -:04256E000000000069 -:04256F000000000068 -:042570000000000067 -:042571000000000066 -:042572000000000065 -:042573000000000064 -:042574000000000063 -:042575000000000062 -:042576000000000061 -:042577000000000060 -:04257800000000005F -:04257900000000005E -:04257A00000000005D -:04257B00000000005C -:04257C00000000005B -:04257D00000000005A -:04257E000000000059 -:04257F000000000058 -:042580000000000057 -:042581000000000056 -:042582000000000055 -:042583000000000054 -:042584000000000053 -:042585000000000052 -:042586000000000051 -:042587000000000050 -:04258800000000004F -:04258900000000004E -:04258A00000000004D -:04258B00000000004C -:04258C00000000004B -:04258D00000000004A -:04258E000000000049 -:04258F000000000048 -:042590000000000047 -:042591000000000046 -:042592000000000045 -:042593000000000044 -:042594000000000043 -:042595000000000042 -:042596000000000041 -:042597000000000040 -:04259800000000003F -:04259900000000003E -:04259A00000000003D -:04259B00000000003C -:04259C00000000003B -:04259D00000000003A -:04259E000000000039 -:04259F000000000038 -:0425A0000000000037 -:0425A1000000000036 -:0425A2000000000035 -:0425A3000000000034 -:0425A4000000000033 -:0425A5000000000032 -:0425A6000000000031 -:0425A7000000000030 -:0425A800000000002F -:0425A900000000002E -:0425AA00000000002D -:0425AB00000000002C -:0425AC00000000002B -:0425AD00000000002A -:0425AE000000000029 -:0425AF000000000028 -:0425B0000000000027 -:0425B1000000000026 -:0425B2000000000025 -:0425B3000000000024 -:0425B4000000000023 -:0425B5000000000022 -:0425B6000000000021 -:0425B7000000000020 -:0425B800000000001F -:0425B900000000001E -:0425BA00000000001D -:0425BB00000000001C -:0425BC00000000001B -:0425BD00000000001A -:0425BE000000000019 -:0425BF000000000018 -:0425C0000000000017 -:0425C1000000000016 -:0425C2000000000015 -:0425C3000000000014 -:0425C4000000000013 -:0425C5000000000012 -:0425C6000000000011 -:0425C7000000000010 -:0425C800000000000F -:0425C900000000000E -:0425CA00000000000D -:0425CB00000000000C -:0425CC00000000000B -:0425CD00000000000A -:0425CE000000000009 -:0425CF000000000008 -:0425D0000000000007 -:0425D1000000000006 -:0425D2000000000005 -:0425D3000000000004 -:0425D4000000000003 -:0425D5000000000002 -:0425D6000000000001 -:0425D7000000000000 -:0425D80000000000FF -:0425D90000000000FE -:0425DA0000000000FD -:0425DB0000000000FC -:0425DC0000000000FB -:0425DD0000000000FA -:0425DE0000000000F9 -:0425DF0000000000F8 -:0425E00000000000F7 -:0425E10000000000F6 -:0425E20000000000F5 -:0425E30000000000F4 -:0425E40000000000F3 -:0425E50000000000F2 -:0425E60000000000F1 -:0425E70000000000F0 -:0425E80000000000EF -:0425E90000000000EE -:0425EA0000000000ED -:0425EB0000000000EC -:0425EC0000000000EB -:0425ED0000000000EA -:0425EE0000000000E9 -:0425EF0000000000E8 -:0425F00000000000E7 -:0425F10000000000E6 -:0425F20000000000E5 -:0425F30000000000E4 -:0425F40000000000E3 -:0425F50000000000E2 -:0425F60000000000E1 -:0425F70000000000E0 -:0425F80000000000DF -:0425F90000000000DE -:0425FA0000000000DD -:0425FB0000000000DC -:0425FC0000000000DB -:0425FD0000000000DA -:0425FE0000000000D9 -:0425FF0000000000D8 -:0426000000000000D6 -:0426010000000000D5 -:0426020000000000D4 -:0426030000000000D3 -:0426040000000000D2 -:0426050000000000D1 -:0426060000000000D0 -:0426070000000000CF -:0426080000000000CE -:0426090000000000CD -:04260A0000000000CC -:04260B0000000000CB -:04260C0000000000CA -:04260D0000000000C9 -:04260E0000000000C8 -:04260F0000000000C7 -:0426100000000000C6 -:0426110000000000C5 -:0426120000000000C4 -:0426130000000000C3 -:0426140000000000C2 -:0426150000000000C1 -:0426160000000000C0 -:0426170000000000BF -:0426180000000000BE -:0426190000000000BD -:04261A0000000000BC -:04261B0000000000BB -:04261C0000000000BA -:04261D0000000000B9 -:04261E0000000000B8 -:04261F0000000000B7 -:0426200000000000B6 -:0426210000000000B5 -:0426220000000000B4 -:0426230000000000B3 -:0426240000000000B2 -:0426250000000000B1 -:0426260000000000B0 -:0426270000000000AF -:0426280000000000AE -:0426290000000000AD -:04262A0000000000AC -:04262B0000000000AB -:04262C0000000000AA -:04262D0000000000A9 -:04262E0000000000A8 -:04262F0000000000A7 -:0426300000000000A6 -:0426310000000000A5 -:0426320000000000A4 -:0426330000000000A3 -:0426340000000000A2 -:0426350000000000A1 -:0426360000000000A0 -:04263700000000009F -:04263800000000009E -:04263900000000009D -:04263A00000000009C -:04263B00000000009B -:04263C00000000009A -:04263D000000000099 -:04263E000000000098 -:04263F000000000097 -:042640000000000096 -:042641000000000095 -:042642000000000094 -:042643000000000093 -:042644000000000092 -:042645000000000091 -:042646000000000090 -:04264700000000008F -:04264800000000008E -:04264900000000008D -:04264A00000000008C -:04264B00000000008B -:04264C00000000008A -:04264D000000000089 -:04264E000000000088 -:04264F000000000087 -:042650000000000086 -:042651000000000085 -:042652000000000084 -:042653000000000083 -:042654000000000082 -:042655000000000081 -:042656000000000080 -:04265700000000007F -:04265800000000007E -:04265900000000007D -:04265A00000000007C -:04265B00000000007B -:04265C00000000007A -:04265D000000000079 -:04265E000000000078 -:04265F000000000077 -:042660000000000076 -:042661000000000075 -:042662000000000074 -:042663000000000073 -:042664000000000072 -:042665000000000071 -:042666000000000070 -:04266700000000006F -:04266800000000006E -:04266900000000006D -:04266A00000000006C -:04266B00000000006B -:04266C00000000006A -:04266D000000000069 -:04266E000000000068 -:04266F000000000067 -:042670000000000066 -:042671000000000065 -:042672000000000064 -:042673000000000063 -:042674000000000062 -:042675000000000061 -:042676000000000060 -:04267700000000005F -:04267800000000005E -:04267900000000005D -:04267A00000000005C -:04267B00000000005B -:04267C00000000005A -:04267D000000000059 -:04267E000000000058 -:04267F000000000057 -:042680000000000056 -:042681000000000055 -:042682000000000054 -:042683000000000053 -:042684000000000052 -:042685000000000051 -:042686000000000050 -:04268700000000004F -:04268800000000004E -:04268900000000004D -:04268A00000000004C -:04268B00000000004B -:04268C00000000004A -:04268D000000000049 -:04268E000000000048 -:04268F000000000047 -:042690000000000046 -:042691000000000045 -:042692000000000044 -:042693000000000043 -:042694000000000042 -:042695000000000041 -:042696000000000040 -:04269700000000003F -:04269800000000003E -:04269900000000003D -:04269A00000000003C -:04269B00000000003B -:04269C00000000003A -:04269D000000000039 -:04269E000000000038 -:04269F000000000037 -:0426A0000000000036 -:0426A1000000000035 -:0426A2000000000034 -:0426A3000000000033 -:0426A4000000000032 -:0426A5000000000031 -:0426A6000000000030 -:0426A700000000002F -:0426A800000000002E -:0426A900000000002D -:0426AA00000000002C -:0426AB00000000002B -:0426AC00000000002A -:0426AD000000000029 -:0426AE000000000028 -:0426AF000000000027 -:0426B0000000000026 -:0426B1000000000025 -:0426B2000000000024 -:0426B3000000000023 -:0426B4000000000022 -:0426B5000000000021 -:0426B6000000000020 -:0426B700000000001F -:0426B800000000001E -:0426B900000000001D -:0426BA00000000001C -:0426BB00000000001B -:0426BC00000000001A -:0426BD000000000019 -:0426BE000000000018 -:0426BF000000000017 -:0426C0000000000016 -:0426C1000000000015 -:0426C2000000000014 -:0426C3000000000013 -:0426C4000000000012 -:0426C5000000000011 -:0426C6000000000010 -:0426C700000000000F -:0426C800000000000E -:0426C900000000000D -:0426CA00000000000C -:0426CB00000000000B -:0426CC00000000000A -:0426CD000000000009 -:0426CE000000000008 -:0426CF000000000007 -:0426D0000000000006 -:0426D1000000000005 -:0426D2000000000004 -:0426D3000000000003 -:0426D4000000000002 -:0426D5000000000001 -:0426D6000000000000 -:0426D70000000000FF -:0426D80000000000FE -:0426D90000000000FD -:0426DA0000000000FC -:0426DB0000000000FB -:0426DC0000000000FA -:0426DD0000000000F9 -:0426DE0000000000F8 -:0426DF0000000000F7 -:0426E00000000000F6 -:0426E10000000000F5 -:0426E20000000000F4 -:0426E30000000000F3 -:0426E40000000000F2 -:0426E50000000000F1 -:0426E60000000000F0 -:0426E70000000000EF -:0426E80000000000EE -:0426E90000000000ED -:0426EA0000000000EC -:0426EB0000000000EB -:0426EC0000000000EA -:0426ED0000000000E9 -:0426EE0000000000E8 -:0426EF0000000000E7 -:0426F00000000000E6 -:0426F10000000000E5 -:0426F20000000000E4 -:0426F30000000000E3 -:0426F40000000000E2 -:0426F50000000000E1 -:0426F60000000000E0 -:0426F70000000000DF -:0426F80000000000DE -:0426F90000000000DD -:0426FA0000000000DC -:0426FB0000000000DB -:0426FC0000000000DA -:0426FD0000000000D9 -:0426FE0000000000D8 -:0426FF0000000000D7 -:0427000000000000D5 -:0427010000000000D4 -:0427020000000000D3 -:0427030000000000D2 -:0427040000000000D1 -:0427050000000000D0 -:0427060000000000CF -:0427070000000000CE -:0427080000000000CD -:0427090000000000CC -:04270A0000000000CB -:04270B0000000000CA -:04270C0000000000C9 -:04270D0000000000C8 -:04270E0000000000C7 -:04270F0000000000C6 -:0427100000000000C5 -:0427110000000000C4 -:0427120000000000C3 -:0427130000000000C2 -:0427140000000000C1 -:0427150000000000C0 -:0427160000000000BF -:0427170000000000BE -:0427180000000000BD -:0427190000000000BC -:04271A0000000000BB -:04271B0000000000BA -:04271C0000000000B9 -:04271D0000000000B8 -:04271E0000000000B7 -:04271F0000000000B6 -:0427200000000000B5 -:0427210000000000B4 -:0427220000000000B3 -:0427230000000000B2 -:0427240000000000B1 -:0427250000000000B0 -:0427260000000000AF -:0427270000000000AE -:0427280000000000AD -:0427290000000000AC -:04272A0000000000AB -:04272B0000000000AA -:04272C0000000000A9 -:04272D0000000000A8 -:04272E0000000000A7 -:04272F0000000000A6 -:0427300000000000A5 -:0427310000000000A4 -:0427320000000000A3 -:0427330000000000A2 -:0427340000000000A1 -:0427350000000000A0 -:04273600000000009F -:04273700000000009E -:04273800000000009D -:04273900000000009C -:04273A00000000009B -:04273B00000000009A -:04273C000000000099 -:04273D000000000098 -:04273E000000000097 -:04273F000000000096 -:042740000000000095 -:042741000000000094 -:042742000000000093 -:042743000000000092 -:042744000000000091 -:042745000000000090 -:04274600000000008F -:04274700000000008E -:04274800000000008D -:04274900000000008C -:04274A00000000008B -:04274B00000000008A -:04274C000000000089 -:04274D000000000088 -:04274E000000000087 -:04274F000000000086 -:042750000000000085 -:042751000000000084 -:042752000000000083 -:042753000000000082 -:042754000000000081 -:042755000000000080 -:04275600000000007F -:04275700000000007E -:04275800000000007D -:04275900000000007C -:04275A00000000007B -:04275B00000000007A -:04275C000000000079 -:04275D000000000078 -:04275E000000000077 -:04275F000000000076 -:042760000000000075 -:042761000000000074 -:042762000000000073 -:042763000000000072 -:042764000000000071 -:042765000000000070 -:04276600000000006F -:04276700000000006E -:04276800000000006D -:04276900000000006C -:04276A00000000006B -:04276B00000000006A -:04276C000000000069 -:04276D000000000068 -:04276E000000000067 -:04276F000000000066 -:042770000000000065 -:042771000000000064 -:042772000000000063 -:042773000000000062 -:042774000000000061 -:042775000000000060 -:04277600000000005F -:04277700000000005E -:04277800000000005D -:04277900000000005C -:04277A00000000005B -:04277B00000000005A -:04277C000000000059 -:04277D000000000058 -:04277E000000000057 -:04277F000000000056 -:042780000000000055 -:042781000000000054 -:042782000000000053 -:042783000000000052 -:042784000000000051 -:042785000000000050 -:04278600000000004F -:04278700000000004E -:04278800000000004D -:04278900000000004C -:04278A00000000004B -:04278B00000000004A -:04278C000000000049 -:04278D000000000048 -:04278E000000000047 -:04278F000000000046 -:042790000000000045 -:042791000000000044 -:042792000000000043 -:042793000000000042 -:042794000000000041 -:042795000000000040 -:04279600000000003F -:04279700000000003E -:04279800000000003D -:04279900000000003C -:04279A00000000003B -:04279B00000000003A -:04279C000000000039 -:04279D000000000038 -:04279E000000000037 -:04279F000000000036 -:0427A0000000000035 -:0427A1000000000034 -:0427A2000000000033 -:0427A3000000000032 -:0427A4000000000031 -:0427A5000000000030 -:0427A600000000002F -:0427A700000000002E -:0427A800000000002D -:0427A900000000002C -:0427AA00000000002B -:0427AB00000000002A -:0427AC000000000029 -:0427AD000000000028 -:0427AE000000000027 -:0427AF000000000026 -:0427B0000000000025 -:0427B1000000000024 -:0427B2000000000023 -:0427B3000000000022 -:0427B4000000000021 -:0427B5000000000020 -:0427B600000000001F -:0427B700000000001E -:0427B800000000001D -:0427B900000000001C -:0427BA00000000001B -:0427BB00000000001A -:0427BC000000000019 -:0427BD000000000018 -:0427BE000000000017 -:0427BF000000000016 -:0427C0000000000015 -:0427C1000000000014 -:0427C2000000000013 -:0427C3000000000012 -:0427C4000000000011 -:0427C5000000000010 -:0427C600000000000F -:0427C700000000000E -:0427C800000000000D -:0427C900000000000C -:0427CA00000000000B -:0427CB00000000000A -:0427CC000000000009 -:0427CD000000000008 -:0427CE000000000007 -:0427CF000000000006 -:0427D0000000000005 -:0427D1000000000004 -:0427D2000000000003 -:0427D3000000000002 -:0427D4000000000001 -:0427D5000000000000 -:0427D60000000000FF -:0427D70000000000FE -:0427D80000000000FD -:0427D90000000000FC -:0427DA0000000000FB -:0427DB0000000000FA -:0427DC0000000000F9 -:0427DD0000000000F8 -:0427DE0000000000F7 -:0427DF0000000000F6 -:0427E00000000000F5 -:0427E10000000000F4 -:0427E20000000000F3 -:0427E30000000000F2 -:0427E40000000000F1 -:0427E50000000000F0 -:0427E60000000000EF -:0427E70000000000EE -:0427E80000000000ED -:0427E90000000000EC -:0427EA0000000000EB -:0427EB0000000000EA -:0427EC0000000000E9 -:0427ED0000000000E8 -:0427EE0000000000E7 -:0427EF0000000000E6 -:0427F00000000000E5 -:0427F10000000000E4 -:0427F20000000000E3 -:0427F30000000000E2 -:0427F40000000000E1 -:0427F50000000000E0 -:0427F60000000000DF -:0427F70000000000DE -:0427F80000000000DD -:0427F90000000000DC -:0427FA0000000000DB -:0427FB0000000000DA -:0427FC0000000000D9 -:0427FD0000000000D8 -:0427FE0000000000D7 -:0427FF0000000000D6 -:0428000000000000D4 -:0428010000000000D3 -:0428020000000000D2 -:0428030000000000D1 -:0428040000000000D0 -:0428050000000000CF -:0428060000000000CE -:0428070000000000CD -:0428080000000000CC -:0428090000000000CB -:04280A0000000000CA -:04280B0000000000C9 -:04280C0000000000C8 -:04280D0000000000C7 -:04280E0000000000C6 -:04280F0000000000C5 -:0428100000000000C4 -:0428110000000000C3 -:0428120000000000C2 -:0428130000000000C1 -:0428140000000000C0 -:0428150000000000BF -:0428160000000000BE -:0428170000000000BD -:0428180000000000BC -:0428190000000000BB -:04281A0000000000BA -:04281B0000000000B9 -:04281C0000000000B8 -:04281D0000000000B7 -:04281E0000000000B6 -:04281F0000000000B5 -:0428200000000000B4 -:0428210000000000B3 -:0428220000000000B2 -:0428230000000000B1 -:0428240000000000B0 -:0428250000000000AF -:0428260000000000AE -:0428270000000000AD -:0428280000000000AC -:0428290000000000AB -:04282A0000000000AA -:04282B0000000000A9 -:04282C0000000000A8 -:04282D0000000000A7 -:04282E0000000000A6 -:04282F0000000000A5 -:0428300000000000A4 -:0428310000000000A3 -:0428320000000000A2 -:0428330000000000A1 -:0428340000000000A0 -:04283500000000009F -:04283600000000009E -:04283700000000009D -:04283800000000009C -:04283900000000009B -:04283A00000000009A -:04283B000000000099 -:04283C000000000098 -:04283D000000000097 -:04283E000000000096 -:04283F000000000095 -:042840000000000094 -:042841000000000093 -:042842000000000092 -:042843000000000091 -:042844000000000090 -:04284500000000008F -:04284600000000008E -:04284700000000008D -:04284800000000008C -:04284900000000008B -:04284A00000000008A -:04284B000000000089 -:04284C000000000088 -:04284D000000000087 -:04284E000000000086 -:04284F000000000085 -:042850000000000084 -:042851000000000083 -:042852000000000082 -:042853000000000081 -:042854000000000080 -:04285500000000007F -:04285600000000007E -:04285700000000007D -:04285800000000007C -:04285900000000007B -:04285A00000000007A -:04285B000000000079 -:04285C000000000078 -:04285D000000000077 -:04285E000000000076 -:04285F000000000075 -:042860000000000074 -:042861000000000073 -:042862000000000072 -:042863000000000071 -:042864000000000070 -:04286500000000006F -:04286600000000006E -:04286700000000006D -:04286800000000006C -:04286900000000006B -:04286A00000000006A -:04286B000000000069 -:04286C000000000068 -:04286D000000000067 -:04286E000000000066 -:04286F000000000065 -:042870000000000064 -:042871000000000063 -:042872000000000062 -:042873000000000061 -:042874000000000060 -:04287500000000005F -:04287600000000005E -:04287700000000005D -:04287800000000005C -:04287900000000005B -:04287A00000000005A -:04287B000000000059 -:04287C000000000058 -:04287D000000000057 -:04287E000000000056 -:04287F000000000055 -:042880000000000054 -:042881000000000053 -:042882000000000052 -:042883000000000051 -:042884000000000050 -:04288500000000004F -:04288600000000004E -:04288700000000004D -:04288800000000004C -:04288900000000004B -:04288A00000000004A -:04288B000000000049 -:04288C000000000048 -:04288D000000000047 -:04288E000000000046 -:04288F000000000045 -:042890000000000044 -:042891000000000043 -:042892000000000042 -:042893000000000041 -:042894000000000040 -:04289500000000003F -:04289600000000003E -:04289700000000003D -:04289800000000003C -:04289900000000003B -:04289A00000000003A -:04289B000000000039 -:04289C000000000038 -:04289D000000000037 -:04289E000000000036 -:04289F000000000035 -:0428A0000000000034 -:0428A1000000000033 -:0428A2000000000032 -:0428A3000000000031 -:0428A4000000000030 -:0428A500000000002F -:0428A600000000002E -:0428A700000000002D -:0428A800000000002C -:0428A900000000002B -:0428AA00000000002A -:0428AB000000000029 -:0428AC000000000028 -:0428AD000000000027 -:0428AE000000000026 -:0428AF000000000025 -:0428B0000000000024 -:0428B1000000000023 -:0428B2000000000022 -:0428B3000000000021 -:0428B4000000000020 -:0428B500000000001F -:0428B600000000001E -:0428B700000000001D -:0428B800000000001C -:0428B900000000001B -:0428BA00000000001A -:0428BB000000000019 -:0428BC000000000018 -:0428BD000000000017 -:0428BE000000000016 -:0428BF000000000015 -:0428C0000000000014 -:0428C1000000000013 -:0428C2000000000012 -:0428C3000000000011 -:0428C4000000000010 -:0428C500000000000F -:0428C600000000000E -:0428C700000000000D -:0428C800000000000C -:0428C900000000000B -:0428CA00000000000A -:0428CB000000000009 -:0428CC000000000008 -:0428CD000000000007 -:0428CE000000000006 -:0428CF000000000005 -:0428D0000000000004 -:0428D1000000000003 -:0428D2000000000002 -:0428D3000000000001 -:0428D4000000000000 -:0428D50000000000FF -:0428D60000000000FE -:0428D70000000000FD -:0428D80000000000FC -:0428D90000000000FB -:0428DA0000000000FA -:0428DB0000000000F9 -:0428DC0000000000F8 -:0428DD0000000000F7 -:0428DE0000000000F6 -:0428DF0000000000F5 -:0428E00000000000F4 -:0428E10000000000F3 -:0428E20000000000F2 -:0428E30000000000F1 -:0428E40000000000F0 -:0428E50000000000EF -:0428E60000000000EE -:0428E70000000000ED -:0428E80000000000EC -:0428E90000000000EB -:0428EA0000000000EA -:0428EB0000000000E9 -:0428EC0000000000E8 -:0428ED0000000000E7 -:0428EE0000000000E6 -:0428EF0000000000E5 -:0428F00000000000E4 -:0428F10000000000E3 -:0428F20000000000E2 -:0428F30000000000E1 -:0428F40000000000E0 -:0428F50000000000DF -:0428F60000000000DE -:0428F70000000000DD -:0428F80000000000DC -:0428F90000000000DB -:0428FA0000000000DA -:0428FB0000000000D9 -:0428FC0000000000D8 -:0428FD0000000000D7 -:0428FE0000000000D6 -:0428FF0000000000D5 -:0429000000000000D3 -:0429010000000000D2 -:0429020000000000D1 -:0429030000000000D0 -:0429040000000000CF -:0429050000000000CE -:0429060000000000CD -:0429070000000000CC -:0429080000000000CB -:0429090000000000CA -:04290A0000000000C9 -:04290B0000000000C8 -:04290C0000000000C7 -:04290D0000000000C6 -:04290E0000000000C5 -:04290F0000000000C4 -:0429100000000000C3 -:0429110000000000C2 -:0429120000000000C1 -:0429130000000000C0 -:0429140000000000BF -:0429150000000000BE -:0429160000000000BD -:0429170000000000BC -:0429180000000000BB -:0429190000000000BA -:04291A0000000000B9 -:04291B0000000000B8 -:04291C0000000000B7 -:04291D0000000000B6 -:04291E0000000000B5 -:04291F0000000000B4 -:0429200000000000B3 -:0429210000000000B2 -:0429220000000000B1 -:0429230000000000B0 -:0429240000000000AF -:0429250000000000AE -:0429260000000000AD -:0429270000000000AC -:0429280000000000AB -:0429290000000000AA -:04292A0000000000A9 -:04292B0000000000A8 -:04292C0000000000A7 -:04292D0000000000A6 -:04292E0000000000A5 -:04292F0000000000A4 -:0429300000000000A3 -:0429310000000000A2 -:0429320000000000A1 -:0429330000000000A0 -:04293400000000009F -:04293500000000009E -:04293600000000009D -:04293700000000009C -:04293800000000009B -:04293900000000009A -:04293A000000000099 -:04293B000000000098 -:04293C000000000097 -:04293D000000000096 -:04293E000000000095 -:04293F000000000094 -:042940000000000093 -:042941000000000092 -:042942000000000091 -:042943000000000090 -:04294400000000008F -:04294500000000008E -:04294600000000008D -:04294700000000008C -:04294800000000008B -:04294900000000008A -:04294A000000000089 -:04294B000000000088 -:04294C000000000087 -:04294D000000000086 -:04294E000000000085 -:04294F000000000084 -:042950000000000083 -:042951000000000082 -:042952000000000081 -:042953000000000080 -:04295400000000007F -:04295500000000007E -:04295600000000007D -:04295700000000007C -:04295800000000007B -:04295900000000007A -:04295A000000000079 -:04295B000000000078 -:04295C000000000077 -:04295D000000000076 -:04295E000000000075 -:04295F000000000074 -:042960000000000073 -:042961000000000072 -:042962000000000071 -:042963000000000070 -:04296400000000006F -:04296500000000006E -:04296600000000006D -:04296700000000006C -:04296800000000006B -:04296900000000006A -:04296A000000000069 -:04296B000000000068 -:04296C000000000067 -:04296D000000000066 -:04296E000000000065 -:04296F000000000064 -:042970000000000063 -:042971000000000062 -:042972000000000061 -:042973000000000060 -:04297400000000005F -:04297500000000005E -:04297600000000005D -:04297700000000005C -:04297800000000005B -:04297900000000005A -:04297A000000000059 -:04297B000000000058 -:04297C000000000057 -:04297D000000000056 -:04297E000000000055 -:04297F000000000054 -:042980000000000053 -:042981000000000052 -:042982000000000051 -:042983000000000050 -:04298400000000004F -:04298500000000004E -:04298600000000004D -:04298700000000004C -:04298800000000004B -:04298900000000004A -:04298A000000000049 -:04298B000000000048 -:04298C000000000047 -:04298D000000000046 -:04298E000000000045 -:04298F000000000044 -:042990000000000043 -:042991000000000042 -:042992000000000041 -:042993000000000040 -:04299400000000003F -:04299500000000003E -:04299600000000003D -:04299700000000003C -:04299800000000003B -:04299900000000003A -:04299A000000000039 -:04299B000000000038 -:04299C000000000037 -:04299D000000000036 -:04299E000000000035 -:04299F000000000034 -:0429A0000000000033 -:0429A1000000000032 -:0429A2000000000031 -:0429A3000000000030 -:0429A400000000002F -:0429A500000000002E -:0429A600000000002D -:0429A700000000002C -:0429A800000000002B -:0429A900000000002A -:0429AA000000000029 -:0429AB000000000028 -:0429AC000000000027 -:0429AD000000000026 -:0429AE000000000025 -:0429AF000000000024 -:0429B0000000000023 -:0429B1000000000022 -:0429B2000000000021 -:0429B3000000000020 -:0429B400000000001F -:0429B500000000001E -:0429B600000000001D -:0429B700000000001C -:0429B800000000001B -:0429B900000000001A -:0429BA000000000019 -:0429BB000000000018 -:0429BC000000000017 -:0429BD000000000016 -:0429BE000000000015 -:0429BF000000000014 -:0429C0000000000013 -:0429C1000000000012 -:0429C2000000000011 -:0429C3000000000010 -:0429C400000000000F -:0429C500000000000E -:0429C600000000000D -:0429C700000000000C -:0429C800000000000B -:0429C900000000000A -:0429CA000000000009 -:0429CB000000000008 -:0429CC000000000007 -:0429CD000000000006 -:0429CE000000000005 -:0429CF000000000004 -:0429D0000000000003 -:0429D1000000000002 -:0429D2000000000001 -:0429D3000000000000 -:0429D40000000000FF -:0429D50000000000FE -:0429D60000000000FD -:0429D70000000000FC -:0429D80000000000FB -:0429D90000000000FA -:0429DA0000000000F9 -:0429DB0000000000F8 -:0429DC0000000000F7 -:0429DD0000000000F6 -:0429DE0000000000F5 -:0429DF0000000000F4 -:0429E00000000000F3 -:0429E10000000000F2 -:0429E20000000000F1 -:0429E30000000000F0 -:0429E40000000000EF -:0429E50000000000EE -:0429E60000000000ED -:0429E70000000000EC -:0429E80000000000EB -:0429E90000000000EA -:0429EA0000000000E9 -:0429EB0000000000E8 -:0429EC0000000000E7 -:0429ED0000000000E6 -:0429EE0000000000E5 -:0429EF0000000000E4 -:0429F00000000000E3 -:0429F10000000000E2 -:0429F20000000000E1 -:0429F30000000000E0 -:0429F40000000000DF -:0429F50000000000DE -:0429F60000000000DD -:0429F70000000000DC -:0429F80000000000DB -:0429F90000000000DA -:0429FA0000000000D9 -:0429FB0000000000D8 -:0429FC0000000000D7 -:0429FD0000000000D6 -:0429FE0000000000D5 -:0429FF0000000000D4 -:042A000000000000D2 -:042A010000000000D1 -:042A020000000000D0 -:042A030000000000CF -:042A040000000000CE -:042A050000000000CD -:042A060000000000CC -:042A070000000000CB -:042A080000000000CA -:042A090000000000C9 -:042A0A0000000000C8 -:042A0B0000000000C7 -:042A0C0000000000C6 -:042A0D0000000000C5 -:042A0E0000000000C4 -:042A0F0000000000C3 -:042A100000000000C2 -:042A110000000000C1 -:042A120000000000C0 -:042A130000000000BF -:042A140000000000BE -:042A150000000000BD -:042A160000000000BC -:042A170000000000BB -:042A180000000000BA -:042A190000000000B9 -:042A1A0000000000B8 -:042A1B0000000000B7 -:042A1C0000000000B6 -:042A1D0000000000B5 -:042A1E0000000000B4 -:042A1F0000000000B3 -:042A200000000000B2 -:042A210000000000B1 -:042A220000000000B0 -:042A230000000000AF -:042A240000000000AE -:042A250000000000AD -:042A260000000000AC -:042A270000000000AB -:042A280000000000AA -:042A290000000000A9 -:042A2A0000000000A8 -:042A2B0000000000A7 -:042A2C0000000000A6 -:042A2D0000000000A5 -:042A2E0000000000A4 -:042A2F0000000000A3 -:042A300000000000A2 -:042A310000000000A1 -:042A320000000000A0 -:042A3300000000009F -:042A3400000000009E -:042A3500000000009D -:042A3600000000009C -:042A3700000000009B -:042A3800000000009A -:042A39000000000099 -:042A3A000000000098 -:042A3B000000000097 -:042A3C000000000096 -:042A3D000000000095 -:042A3E000000000094 -:042A3F000000000093 -:042A40000000000092 -:042A41000000000091 -:042A42000000000090 -:042A4300000000008F -:042A4400000000008E -:042A4500000000008D -:042A4600000000008C -:042A4700000000008B -:042A4800000000008A -:042A49000000000089 -:042A4A000000000088 -:042A4B000000000087 -:042A4C000000000086 -:042A4D000000000085 -:042A4E000000000084 -:042A4F000000000083 -:042A50000000000082 -:042A51000000000081 -:042A52000000000080 -:042A5300000000007F -:042A5400000000007E -:042A5500000000007D -:042A5600000000007C -:042A5700000000007B -:042A5800000000007A -:042A59000000000079 -:042A5A000000000078 -:042A5B000000000077 -:042A5C000000000076 -:042A5D000000000075 -:042A5E000000000074 -:042A5F000000000073 -:042A60000000000072 -:042A61000000000071 -:042A62000000000070 -:042A6300000000006F -:042A6400000000006E -:042A6500000000006D -:042A6600000000006C -:042A6700000000006B -:042A6800000000006A -:042A69000000000069 -:042A6A000000000068 -:042A6B000000000067 -:042A6C000000000066 -:042A6D000000000065 -:042A6E000000000064 -:042A6F000000000063 -:042A70000000000062 -:042A71000000000061 -:042A72000000000060 -:042A7300000000005F -:042A7400000000005E -:042A7500000000005D -:042A7600000000005C -:042A7700000000005B -:042A7800000000005A -:042A79000000000059 -:042A7A000000000058 -:042A7B000000000057 -:042A7C000000000056 -:042A7D000000000055 -:042A7E000000000054 -:042A7F000000000053 -:042A80000000000052 -:042A81000000000051 -:042A82000000000050 -:042A8300000000004F -:042A8400000000004E -:042A8500000000004D -:042A8600000000004C -:042A8700000000004B -:042A8800000000004A -:042A89000000000049 -:042A8A000000000048 -:042A8B000000000047 -:042A8C000000000046 -:042A8D000000000045 -:042A8E000000000044 -:042A8F000000000043 -:042A90000000000042 -:042A91000000000041 -:042A92000000000040 -:042A9300000000003F -:042A9400000000003E -:042A9500000000003D -:042A9600000000003C -:042A9700000000003B -:042A9800000000003A -:042A99000000000039 -:042A9A000000000038 -:042A9B000000000037 -:042A9C000000000036 -:042A9D000000000035 -:042A9E000000000034 -:042A9F000000000033 -:042AA0000000000032 -:042AA1000000000031 -:042AA2000000000030 -:042AA300000000002F -:042AA400000000002E -:042AA500000000002D -:042AA600000000002C -:042AA700000000002B -:042AA800000000002A -:042AA9000000000029 -:042AAA000000000028 -:042AAB000000000027 -:042AAC000000000026 -:042AAD000000000025 -:042AAE000000000024 -:042AAF000000000023 -:042AB0000000000022 -:042AB1000000000021 -:042AB2000000000020 -:042AB300000000001F -:042AB400000000001E -:042AB500000000001D -:042AB600000000001C -:042AB700000000001B -:042AB800000000001A -:042AB9000000000019 -:042ABA000000000018 -:042ABB000000000017 -:042ABC000000000016 -:042ABD000000000015 -:042ABE000000000014 -:042ABF000000000013 -:042AC0000000000012 -:042AC1000000000011 -:042AC2000000000010 -:042AC300000000000F -:042AC400000000000E -:042AC500000000000D -:042AC600000000000C -:042AC700000000000B -:042AC800000000000A -:042AC9000000000009 -:042ACA000000000008 -:042ACB000000000007 -:042ACC000000000006 -:042ACD000000000005 -:042ACE000000000004 -:042ACF000000000003 -:042AD0000000000002 -:042AD1000000000001 -:042AD2000000000000 -:042AD30000000000FF -:042AD40000000000FE -:042AD50000000000FD -:042AD60000000000FC -:042AD70000000000FB -:042AD80000000000FA -:042AD90000000000F9 -:042ADA0000000000F8 -:042ADB0000000000F7 -:042ADC0000000000F6 -:042ADD0000000000F5 -:042ADE0000000000F4 -:042ADF0000000000F3 -:042AE00000000000F2 -:042AE10000000000F1 -:042AE20000000000F0 -:042AE30000000000EF -:042AE40000000000EE -:042AE50000000000ED -:042AE60000000000EC -:042AE70000000000EB -:042AE80000000000EA -:042AE90000000000E9 -:042AEA0000000000E8 -:042AEB0000000000E7 -:042AEC0000000000E6 -:042AED0000000000E5 -:042AEE0000000000E4 -:042AEF0000000000E3 -:042AF00000000000E2 -:042AF10000000000E1 -:042AF20000000000E0 -:042AF30000000000DF -:042AF40000000000DE -:042AF50000000000DD -:042AF60000000000DC -:042AF70000000000DB -:042AF80000000000DA -:042AF90000000000D9 -:042AFA0000000000D8 -:042AFB0000000000D7 -:042AFC0000000000D6 -:042AFD0000000000D5 -:042AFE0000000000D4 -:042AFF0000000000D3 -:042B000000000000D1 -:042B010000000000D0 -:042B020000000000CF -:042B030000000000CE -:042B040000000000CD -:042B050000000000CC -:042B060000000000CB -:042B070000000000CA -:042B080000000000C9 -:042B090000000000C8 -:042B0A0000000000C7 -:042B0B0000000000C6 -:042B0C0000000000C5 -:042B0D0000000000C4 -:042B0E0000000000C3 -:042B0F0000000000C2 -:042B100000000000C1 -:042B110000000000C0 -:042B120000000000BF -:042B130000000000BE -:042B140000000000BD -:042B150000000000BC -:042B160000000000BB -:042B170000000000BA -:042B180000000000B9 -:042B190000000000B8 -:042B1A0000000000B7 -:042B1B0000000000B6 -:042B1C0000000000B5 -:042B1D0000000000B4 -:042B1E0000000000B3 -:042B1F0000000000B2 -:042B200000000000B1 -:042B210000000000B0 -:042B220000000000AF -:042B230000000000AE -:042B240000000000AD -:042B250000000000AC -:042B260000000000AB -:042B270000000000AA -:042B280000000000A9 -:042B290000000000A8 -:042B2A0000000000A7 -:042B2B0000000000A6 -:042B2C0000000000A5 -:042B2D0000000000A4 -:042B2E0000000000A3 -:042B2F0000000000A2 -:042B300000000000A1 -:042B310000000000A0 -:042B3200000000009F -:042B3300000000009E -:042B3400000000009D -:042B3500000000009C -:042B3600000000009B -:042B3700000000009A -:042B38000000000099 -:042B39000000000098 -:042B3A000000000097 -:042B3B000000000096 -:042B3C000000000095 -:042B3D000000000094 -:042B3E000000000093 -:042B3F000000000092 -:042B40000000000091 -:042B41000000000090 -:042B4200000000008F -:042B4300000000008E -:042B4400000000008D -:042B4500000000008C -:042B4600000000008B -:042B4700000000008A -:042B48000000000089 -:042B49000000000088 -:042B4A000000000087 -:042B4B000000000086 -:042B4C000000000085 -:042B4D000000000084 -:042B4E000000000083 -:042B4F000000000082 -:042B50000000000081 -:042B51000000000080 -:042B5200000000007F -:042B5300000000007E -:042B5400000000007D -:042B5500000000007C -:042B5600000000007B -:042B5700000000007A -:042B58000000000079 -:042B59000000000078 -:042B5A000000000077 -:042B5B000000000076 -:042B5C000000000075 -:042B5D000000000074 -:042B5E000000000073 -:042B5F000000000072 -:042B60000000000071 -:042B61000000000070 -:042B6200000000006F -:042B6300000000006E -:042B6400000000006D -:042B6500000000006C -:042B6600000000006B -:042B6700000000006A -:042B68000000000069 -:042B69000000000068 -:042B6A000000000067 -:042B6B000000000066 -:042B6C000000000065 -:042B6D000000000064 -:042B6E000000000063 -:042B6F000000000062 -:042B70000000000061 -:042B71000000000060 -:042B7200000000005F -:042B7300000000005E -:042B7400000000005D -:042B7500000000005C -:042B7600000000005B -:042B7700000000005A -:042B78000000000059 -:042B79000000000058 -:042B7A000000000057 -:042B7B000000000056 -:042B7C000000000055 -:042B7D000000000054 -:042B7E000000000053 -:042B7F000000000052 -:042B80000000000051 -:042B81000000000050 -:042B8200000000004F -:042B8300000000004E -:042B8400000000004D -:042B8500000000004C -:042B8600000000004B -:042B8700000000004A -:042B88000000000049 -:042B89000000000048 -:042B8A000000000047 -:042B8B000000000046 -:042B8C000000000045 -:042B8D000000000044 -:042B8E000000000043 -:042B8F000000000042 -:042B90000000000041 -:042B91000000000040 -:042B9200000000003F -:042B9300000000003E -:042B9400000000003D -:042B9500000000003C -:042B9600000000003B -:042B9700000000003A -:042B98000000000039 -:042B99000000000038 -:042B9A000000000037 -:042B9B000000000036 -:042B9C000000000035 -:042B9D000000000034 -:042B9E000000000033 -:042B9F000000000032 -:042BA0000000000031 -:042BA1000000000030 -:042BA200000000002F -:042BA300000000002E -:042BA400000000002D -:042BA500000000002C -:042BA600000000002B -:042BA700000000002A -:042BA8000000000029 -:042BA9000000000028 -:042BAA000000000027 -:042BAB000000000026 -:042BAC000000000025 -:042BAD000000000024 -:042BAE000000000023 -:042BAF000000000022 -:042BB0000000000021 -:042BB1000000000020 -:042BB200000000001F -:042BB300000000001E -:042BB400000000001D -:042BB500000000001C -:042BB600000000001B -:042BB700000000001A -:042BB8000000000019 -:042BB9000000000018 -:042BBA000000000017 -:042BBB000000000016 -:042BBC000000000015 -:042BBD000000000014 -:042BBE000000000013 -:042BBF000000000012 -:042BC0000000000011 -:042BC1000000000010 -:042BC200000000000F -:042BC300000000000E -:042BC400000000000D -:042BC500000000000C -:042BC600000000000B -:042BC700000000000A -:042BC8000000000009 -:042BC9000000000008 -:042BCA000000000007 -:042BCB000000000006 -:042BCC000000000005 -:042BCD000000000004 -:042BCE000000000003 -:042BCF000000000002 -:042BD0000000000001 -:042BD1000000000000 -:042BD20000000000FF -:042BD30000000000FE -:042BD40000000000FD -:042BD50000000000FC -:042BD60000000000FB -:042BD70000000000FA -:042BD80000000000F9 -:042BD90000000000F8 -:042BDA0000000000F7 -:042BDB0000000000F6 -:042BDC0000000000F5 -:042BDD0000000000F4 -:042BDE0000000000F3 -:042BDF0000000000F2 -:042BE00000000000F1 -:042BE10000000000F0 -:042BE20000000000EF -:042BE30000000000EE -:042BE40000000000ED -:042BE50000000000EC -:042BE60000000000EB -:042BE70000000000EA -:042BE80000000000E9 -:042BE90000000000E8 -:042BEA0000000000E7 -:042BEB0000000000E6 -:042BEC0000000000E5 -:042BED0000000000E4 -:042BEE0000000000E3 -:042BEF0000000000E2 -:042BF00000000000E1 -:042BF10000000000E0 -:042BF20000000000DF -:042BF30000000000DE -:042BF40000000000DD -:042BF50000000000DC -:042BF60000000000DB -:042BF70000000000DA -:042BF80000000000D9 -:042BF90000000000D8 -:042BFA0000000000D7 -:042BFB0000000000D6 -:042BFC0000000000D5 -:042BFD0000000000D4 -:042BFE0000000000D3 -:042BFF0000000000D2 -:042C000000000000D0 -:042C010000000000CF -:042C020000000000CE -:042C030000000000CD -:042C040000000000CC -:042C050000000000CB -:042C060000000000CA -:042C070000000000C9 -:042C080000000000C8 -:042C090000000000C7 -:042C0A0000000000C6 -:042C0B0000000000C5 -:042C0C0000000000C4 -:042C0D0000000000C3 -:042C0E0000000000C2 -:042C0F0000000000C1 -:042C100000000000C0 -:042C110000000000BF -:042C120000000000BE -:042C130000000000BD -:042C140000000000BC -:042C150000000000BB -:042C160000000000BA -:042C170000000000B9 -:042C180000000000B8 -:042C190000000000B7 -:042C1A0000000000B6 -:042C1B0000000000B5 -:042C1C0000000000B4 -:042C1D0000000000B3 -:042C1E0000000000B2 -:042C1F0000000000B1 -:042C200000000000B0 -:042C210000000000AF -:042C220000000000AE -:042C230000000000AD -:042C240000000000AC -:042C250000000000AB -:042C260000000000AA -:042C270000000000A9 -:042C280000000000A8 -:042C290000000000A7 -:042C2A0000000000A6 -:042C2B0000000000A5 -:042C2C0000000000A4 -:042C2D0000000000A3 -:042C2E0000000000A2 -:042C2F0000000000A1 -:042C300000000000A0 -:042C3100000000009F -:042C3200000000009E -:042C3300000000009D -:042C3400000000009C -:042C3500000000009B -:042C3600000000009A -:042C37000000000099 -:042C38000000000098 -:042C39000000000097 -:042C3A000000000096 -:042C3B000000000095 -:042C3C000000000094 -:042C3D000000000093 -:042C3E000000000092 -:042C3F000000000091 -:042C40000000000090 -:042C4100000000008F -:042C4200000000008E -:042C4300000000008D -:042C4400000000008C -:042C4500000000008B -:042C4600000000008A -:042C47000000000089 -:042C48000000000088 -:042C49000000000087 -:042C4A000000000086 -:042C4B000000000085 -:042C4C000000000084 -:042C4D000000000083 -:042C4E000000000082 -:042C4F000000000081 -:042C50000000000080 -:042C5100000000007F -:042C5200000000007E -:042C5300000000007D -:042C5400000000007C -:042C5500000000007B -:042C5600000000007A -:042C57000000000079 -:042C58000000000078 -:042C59000000000077 -:042C5A000000000076 -:042C5B000000000075 -:042C5C000000000074 -:042C5D000000000073 -:042C5E000000000072 -:042C5F000000000071 -:042C60000000000070 -:042C6100000000006F -:042C6200000000006E -:042C6300000000006D -:042C6400000000006C -:042C6500000000006B -:042C6600000000006A -:042C67000000000069 -:042C68000000000068 -:042C69000000000067 -:042C6A000000000066 -:042C6B000000000065 -:042C6C000000000064 -:042C6D000000000063 -:042C6E000000000062 -:042C6F000000000061 -:042C70000000000060 -:042C7100000000005F -:042C7200000000005E -:042C7300000000005D -:042C7400000000005C -:042C7500000000005B -:042C7600000000005A -:042C77000000000059 -:042C78000000000058 -:042C79000000000057 -:042C7A000000000056 -:042C7B000000000055 -:042C7C000000000054 -:042C7D000000000053 -:042C7E000000000052 -:042C7F000000000051 -:042C80000000000050 -:042C8100000000004F -:042C8200000000004E -:042C8300000000004D -:042C8400000000004C -:042C8500000000004B -:042C8600000000004A -:042C87000000000049 -:042C88000000000048 -:042C89000000000047 -:042C8A000000000046 -:042C8B000000000045 -:042C8C000000000044 -:042C8D000000000043 -:042C8E000000000042 -:042C8F000000000041 -:042C90000000000040 -:042C9100000000003F -:042C9200000000003E -:042C9300000000003D -:042C9400000000003C -:042C9500000000003B -:042C9600000000003A -:042C97000000000039 -:042C98000000000038 -:042C99000000000037 -:042C9A000000000036 -:042C9B000000000035 -:042C9C000000000034 -:042C9D000000000033 -:042C9E000000000032 -:042C9F000000000031 -:042CA0000000000030 -:042CA100000000002F -:042CA200000000002E -:042CA300000000002D -:042CA400000000002C -:042CA500000000002B -:042CA600000000002A -:042CA7000000000029 -:042CA8000000000028 -:042CA9000000000027 -:042CAA000000000026 -:042CAB000000000025 -:042CAC000000000024 -:042CAD000000000023 -:042CAE000000000022 -:042CAF000000000021 -:042CB0000000000020 -:042CB100000000001F -:042CB200000000001E -:042CB300000000001D -:042CB400000000001C -:042CB500000000001B -:042CB600000000001A -:042CB7000000000019 -:042CB8000000000018 -:042CB9000000000017 -:042CBA000000000016 -:042CBB000000000015 -:042CBC000000000014 -:042CBD000000000013 -:042CBE000000000012 -:042CBF000000000011 -:042CC0000000000010 -:042CC100000000000F -:042CC200000000000E -:042CC300000000000D -:042CC400000000000C -:042CC500000000000B -:042CC600000000000A -:042CC7000000000009 -:042CC8000000000008 -:042CC9000000000007 -:042CCA000000000006 -:042CCB000000000005 -:042CCC000000000004 -:042CCD000000000003 -:042CCE000000000002 -:042CCF000000000001 -:042CD0000000000000 -:042CD10000000000FF -:042CD20000000000FE -:042CD30000000000FD -:042CD40000000000FC -:042CD50000000000FB -:042CD60000000000FA -:042CD70000000000F9 -:042CD80000000000F8 -:042CD90000000000F7 -:042CDA0000000000F6 -:042CDB0000000000F5 -:042CDC0000000000F4 -:042CDD0000000000F3 -:042CDE0000000000F2 -:042CDF0000000000F1 -:042CE00000000000F0 -:042CE10000000000EF -:042CE20000000000EE -:042CE30000000000ED -:042CE40000000000EC -:042CE50000000000EB -:042CE60000000000EA -:042CE70000000000E9 -:042CE80000000000E8 -:042CE90000000000E7 -:042CEA0000000000E6 -:042CEB0000000000E5 -:042CEC0000000000E4 -:042CED0000000000E3 -:042CEE0000000000E2 -:042CEF0000000000E1 -:042CF00000000000E0 -:042CF10000000000DF -:042CF20000000000DE -:042CF30000000000DD -:042CF40000000000DC -:042CF50000000000DB -:042CF60000000000DA -:042CF70000000000D9 -:042CF80000000000D8 -:042CF90000000000D7 -:042CFA0000000000D6 -:042CFB0000000000D5 -:042CFC0000000000D4 -:042CFD0000000000D3 -:042CFE0000000000D2 -:042CFF0000000000D1 -:042D000000000000CF -:042D010000000000CE -:042D020000000000CD -:042D030000000000CC -:042D040000000000CB -:042D050000000000CA -:042D060000000000C9 -:042D070000000000C8 -:042D080000000000C7 -:042D090000000000C6 -:042D0A0000000000C5 -:042D0B0000000000C4 -:042D0C0000000000C3 -:042D0D0000000000C2 -:042D0E0000000000C1 -:042D0F0000000000C0 -:042D100000000000BF -:042D110000000000BE -:042D120000000000BD -:042D130000000000BC -:042D140000000000BB -:042D150000000000BA -:042D160000000000B9 -:042D170000000000B8 -:042D180000000000B7 -:042D190000000000B6 -:042D1A0000000000B5 -:042D1B0000000000B4 -:042D1C0000000000B3 -:042D1D0000000000B2 -:042D1E0000000000B1 -:042D1F0000000000B0 -:042D200000000000AF -:042D210000000000AE -:042D220000000000AD -:042D230000000000AC -:042D240000000000AB -:042D250000000000AA -:042D260000000000A9 -:042D270000000000A8 -:042D280000000000A7 -:042D290000000000A6 -:042D2A0000000000A5 -:042D2B0000000000A4 -:042D2C0000000000A3 -:042D2D0000000000A2 -:042D2E0000000000A1 -:042D2F0000000000A0 -:042D3000000000009F -:042D3100000000009E -:042D3200000000009D -:042D3300000000009C -:042D3400000000009B -:042D3500000000009A -:042D36000000000099 -:042D37000000000098 -:042D38000000000097 -:042D39000000000096 -:042D3A000000000095 -:042D3B000000000094 -:042D3C000000000093 -:042D3D000000000092 -:042D3E000000000091 -:042D3F000000000090 -:042D4000000000008F -:042D4100000000008E -:042D4200000000008D -:042D4300000000008C -:042D4400000000008B -:042D4500000000008A -:042D46000000000089 -:042D47000000000088 -:042D48000000000087 -:042D49000000000086 -:042D4A000000000085 -:042D4B000000000084 -:042D4C000000000083 -:042D4D000000000082 -:042D4E000000000081 -:042D4F000000000080 -:042D5000000000007F -:042D5100000000007E -:042D5200000000007D -:042D5300000000007C -:042D5400000000007B -:042D5500000000007A -:042D56000000000079 -:042D57000000000078 -:042D58000000000077 -:042D59000000000076 -:042D5A000000000075 -:042D5B000000000074 -:042D5C000000000073 -:042D5D000000000072 -:042D5E000000000071 -:042D5F000000000070 -:042D6000000000006F -:042D6100000000006E -:042D6200000000006D -:042D6300000000006C -:042D6400000000006B -:042D6500000000006A -:042D66000000000069 -:042D67000000000068 -:042D68000000000067 -:042D69000000000066 -:042D6A000000000065 -:042D6B000000000064 -:042D6C000000000063 -:042D6D000000000062 -:042D6E000000000061 -:042D6F000000000060 -:042D7000000000005F -:042D7100000000005E -:042D7200000000005D -:042D7300000000005C -:042D7400000000005B -:042D7500000000005A -:042D76000000000059 -:042D77000000000058 -:042D78000000000057 -:042D79000000000056 -:042D7A000000000055 -:042D7B000000000054 -:042D7C000000000053 -:042D7D000000000052 -:042D7E000000000051 -:042D7F000000000050 -:042D8000000000004F -:042D8100000000004E -:042D8200000000004D -:042D8300000000004C -:042D8400000000004B -:042D8500000000004A -:042D86000000000049 -:042D87000000000048 -:042D88000000000047 -:042D89000000000046 -:042D8A000000000045 -:042D8B000000000044 -:042D8C000000000043 -:042D8D000000000042 -:042D8E000000000041 -:042D8F000000000040 -:042D9000000000003F -:042D9100000000003E -:042D9200000000003D -:042D9300000000003C -:042D9400000000003B -:042D9500000000003A -:042D96000000000039 -:042D97000000000038 -:042D98000000000037 -:042D99000000000036 -:042D9A000000000035 -:042D9B000000000034 -:042D9C000000000033 -:042D9D000000000032 -:042D9E000000000031 -:042D9F000000000030 -:042DA000000000002F -:042DA100000000002E -:042DA200000000002D -:042DA300000000002C -:042DA400000000002B -:042DA500000000002A -:042DA6000000000029 -:042DA7000000000028 -:042DA8000000000027 -:042DA9000000000026 -:042DAA000000000025 -:042DAB000000000024 -:042DAC000000000023 -:042DAD000000000022 -:042DAE000000000021 -:042DAF000000000020 -:042DB000000000001F -:042DB100000000001E -:042DB200000000001D -:042DB300000000001C -:042DB400000000001B -:042DB500000000001A -:042DB6000000000019 -:042DB7000000000018 -:042DB8000000000017 -:042DB9000000000016 -:042DBA000000000015 -:042DBB000000000014 -:042DBC000000000013 -:042DBD000000000012 -:042DBE000000000011 -:042DBF000000000010 -:042DC000000000000F -:042DC100000000000E -:042DC200000000000D -:042DC300000000000C -:042DC400000000000B -:042DC500000000000A -:042DC6000000000009 -:042DC7000000000008 -:042DC8000000000007 -:042DC9000000000006 -:042DCA000000000005 -:042DCB000000000004 -:042DCC000000000003 -:042DCD000000000002 -:042DCE000000000001 -:042DCF000000000000 -:042DD00000000000FF -:042DD10000000000FE -:042DD20000000000FD -:042DD30000000000FC -:042DD40000000000FB -:042DD50000000000FA -:042DD60000000000F9 -:042DD70000000000F8 -:042DD80000000000F7 -:042DD90000000000F6 -:042DDA0000000000F5 -:042DDB0000000000F4 -:042DDC0000000000F3 -:042DDD0000000000F2 -:042DDE0000000000F1 -:042DDF0000000000F0 -:042DE00000000000EF -:042DE10000000000EE -:042DE20000000000ED -:042DE30000000000EC -:042DE40000000000EB -:042DE50000000000EA -:042DE60000000000E9 -:042DE70000000000E8 -:042DE80000000000E7 -:042DE90000000000E6 -:042DEA0000000000E5 -:042DEB0000000000E4 -:042DEC0000000000E3 -:042DED0000000000E2 -:042DEE0000000000E1 -:042DEF0000000000E0 -:042DF00000000000DF -:042DF10000000000DE -:042DF20000000000DD -:042DF30000000000DC -:042DF40000000000DB -:042DF50000000000DA -:042DF60000000000D9 -:042DF70000000000D8 -:042DF80000000000D7 -:042DF90000000000D6 -:042DFA0000000000D5 -:042DFB0000000000D4 -:042DFC0000000000D3 -:042DFD0000000000D2 -:042DFE0000000000D1 -:042DFF0000000000D0 -:042E000000000000CE -:042E010000000000CD -:042E020000000000CC -:042E030000000000CB -:042E040000000000CA -:042E050000000000C9 -:042E060000000000C8 -:042E070000000000C7 -:042E080000000000C6 -:042E090000000000C5 -:042E0A0000000000C4 -:042E0B0000000000C3 -:042E0C0000000000C2 -:042E0D0000000000C1 -:042E0E0000000000C0 -:042E0F0000000000BF -:042E100000000000BE -:042E110000000000BD -:042E120000000000BC -:042E130000000000BB -:042E140000000000BA -:042E150000000000B9 -:042E160000000000B8 -:042E170000000000B7 -:042E180000000000B6 -:042E190000000000B5 -:042E1A0000000000B4 -:042E1B0000000000B3 -:042E1C0000000000B2 -:042E1D0000000000B1 -:042E1E0000000000B0 -:042E1F0000000000AF -:042E200000000000AE -:042E210000000000AD -:042E220000000000AC -:042E230000000000AB -:042E240000000000AA -:042E250000000000A9 -:042E260000000000A8 -:042E270000000000A7 -:042E280000000000A6 -:042E290000000000A5 -:042E2A0000000000A4 -:042E2B0000000000A3 -:042E2C0000000000A2 -:042E2D0000000000A1 -:042E2E0000000000A0 -:042E2F00000000009F -:042E3000000000009E -:042E3100000000009D -:042E3200000000009C -:042E3300000000009B -:042E3400000000009A -:042E35000000000099 -:042E36000000000098 -:042E37000000000097 -:042E38000000000096 -:042E39000000000095 -:042E3A000000000094 -:042E3B000000000093 -:042E3C000000000092 -:042E3D000000000091 -:042E3E000000000090 -:042E3F00000000008F -:042E4000000000008E -:042E4100000000008D -:042E4200000000008C -:042E4300000000008B -:042E4400000000008A -:042E45000000000089 -:042E46000000000088 -:042E47000000000087 -:042E48000000000086 -:042E49000000000085 -:042E4A000000000084 -:042E4B000000000083 -:042E4C000000000082 -:042E4D000000000081 -:042E4E000000000080 -:042E4F00000000007F -:042E5000000000007E -:042E5100000000007D -:042E5200000000007C -:042E5300000000007B -:042E5400000000007A -:042E55000000000079 -:042E56000000000078 -:042E57000000000077 -:042E58000000000076 -:042E59000000000075 -:042E5A000000000074 -:042E5B000000000073 -:042E5C000000000072 -:042E5D000000000071 -:042E5E000000000070 -:042E5F00000000006F -:042E6000000000006E -:042E6100000000006D -:042E6200000000006C -:042E6300000000006B -:042E6400000000006A -:042E65000000000069 -:042E66000000000068 -:042E67000000000067 -:042E68000000000066 -:042E69000000000065 -:042E6A000000000064 -:042E6B000000000063 -:042E6C000000000062 -:042E6D000000000061 -:042E6E000000000060 -:042E6F00000000005F -:042E7000000000005E -:042E7100000000005D -:042E7200000000005C -:042E7300000000005B -:042E7400000000005A -:042E75000000000059 -:042E76000000000058 -:042E77000000000057 -:042E78000000000056 -:042E79000000000055 -:042E7A000000000054 -:042E7B000000000053 -:042E7C000000000052 -:042E7D000000000051 -:042E7E000000000050 -:042E7F00000000004F -:042E8000000000004E -:042E8100000000004D -:042E8200000000004C -:042E8300000000004B -:042E8400000000004A -:042E85000000000049 -:042E86000000000048 -:042E87000000000047 -:042E88000000000046 -:042E89000000000045 -:042E8A000000000044 -:042E8B000000000043 -:042E8C000000000042 -:042E8D000000000041 -:042E8E000000000040 -:042E8F00000000003F -:042E9000000000003E -:042E9100000000003D -:042E9200000000003C -:042E9300000000003B -:042E9400000000003A -:042E95000000000039 -:042E96000000000038 -:042E97000000000037 -:042E98000000000036 -:042E99000000000035 -:042E9A000000000034 -:042E9B000000000033 -:042E9C000000000032 -:042E9D000000000031 -:042E9E000000000030 -:042E9F00000000002F -:042EA000000000002E -:042EA100000000002D -:042EA200000000002C -:042EA300000000002B -:042EA400000000002A -:042EA5000000000029 -:042EA6000000000028 -:042EA7000000000027 -:042EA8000000000026 -:042EA9000000000025 -:042EAA000000000024 -:042EAB000000000023 -:042EAC000000000022 -:042EAD000000000021 -:042EAE000000000020 -:042EAF00000000001F -:042EB000000000001E -:042EB100000000001D -:042EB200000000001C -:042EB300000000001B -:042EB400000000001A -:042EB5000000000019 -:042EB6000000000018 -:042EB7000000000017 -:042EB8000000000016 -:042EB9000000000015 -:042EBA000000000014 -:042EBB000000000013 -:042EBC000000000012 -:042EBD000000000011 -:042EBE000000000010 -:042EBF00000000000F -:042EC000000000000E -:042EC100000000000D -:042EC200000000000C -:042EC300000000000B -:042EC400000000000A -:042EC5000000000009 -:042EC6000000000008 -:042EC7000000000007 -:042EC8000000000006 -:042EC9000000000005 -:042ECA000000000004 -:042ECB000000000003 -:042ECC000000000002 -:042ECD000000000001 -:042ECE000000000000 -:042ECF0000000000FF -:042ED00000000000FE -:042ED10000000000FD -:042ED20000000000FC -:042ED30000000000FB -:042ED40000000000FA -:042ED50000000000F9 -:042ED60000000000F8 -:042ED70000000000F7 -:042ED80000000000F6 -:042ED90000000000F5 -:042EDA0000000000F4 -:042EDB0000000000F3 -:042EDC0000000000F2 -:042EDD0000000000F1 -:042EDE0000000000F0 -:042EDF0000000000EF -:042EE00000000000EE -:042EE10000000000ED -:042EE20000000000EC -:042EE30000000000EB -:042EE40000000000EA -:042EE50000000000E9 -:042EE60000000000E8 -:042EE70000000000E7 -:042EE80000000000E6 -:042EE90000000000E5 -:042EEA0000000000E4 -:042EEB0000000000E3 -:042EEC0000000000E2 -:042EED0000000000E1 -:042EEE0000000000E0 -:042EEF0000000000DF -:042EF00000000000DE -:042EF10000000000DD -:042EF20000000000DC -:042EF30000000000DB -:042EF40000000000DA -:042EF50000000000D9 -:042EF60000000000D8 -:042EF70000000000D7 -:042EF80000000000D6 -:042EF90000000000D5 -:042EFA0000000000D4 -:042EFB0000000000D3 -:042EFC0000000000D2 -:042EFD0000000000D1 -:042EFE0000000000D0 -:042EFF0000000000CF -:042F000000000000CD -:042F010000000000CC -:042F020000000000CB -:042F030000000000CA -:042F040000000000C9 -:042F050000000000C8 -:042F060000000000C7 -:042F070000000000C6 -:042F080000000000C5 -:042F090000000000C4 -:042F0A0000000000C3 -:042F0B0000000000C2 -:042F0C0000000000C1 -:042F0D0000000000C0 -:042F0E0000000000BF -:042F0F0000000000BE -:042F100000000000BD -:042F110000000000BC -:042F120000000000BB -:042F130000000000BA -:042F140000000000B9 -:042F150000000000B8 -:042F160000000000B7 -:042F170000000000B6 -:042F180000000000B5 -:042F190000000000B4 -:042F1A0000000000B3 -:042F1B0000000000B2 -:042F1C0000000000B1 -:042F1D0000000000B0 -:042F1E0000000000AF -:042F1F0000000000AE -:042F200000000000AD -:042F210000000000AC -:042F220000000000AB -:042F230000000000AA -:042F240000000000A9 -:042F250000000000A8 -:042F260000000000A7 -:042F270000000000A6 -:042F280000000000A5 -:042F290000000000A4 -:042F2A0000000000A3 -:042F2B0000000000A2 -:042F2C0000000000A1 -:042F2D0000000000A0 -:042F2E00000000009F -:042F2F00000000009E -:042F3000000000009D -:042F3100000000009C -:042F3200000000009B -:042F3300000000009A -:042F34000000000099 -:042F35000000000098 -:042F36000000000097 -:042F37000000000096 -:042F38000000000095 -:042F39000000000094 -:042F3A000000000093 -:042F3B000000000092 -:042F3C000000000091 -:042F3D000000000090 -:042F3E00000000008F -:042F3F00000000008E -:042F4000000000008D -:042F4100000000008C -:042F4200000000008B -:042F4300000000008A -:042F44000000000089 -:042F45000000000088 -:042F46000000000087 -:042F47000000000086 -:042F48000000000085 -:042F49000000000084 -:042F4A000000000083 -:042F4B000000000082 -:042F4C000000000081 -:042F4D000000000080 -:042F4E00000000007F -:042F4F00000000007E -:042F5000000000007D -:042F5100000000007C -:042F5200000000007B -:042F5300000000007A -:042F54000000000079 -:042F55000000000078 -:042F56000000000077 -:042F57000000000076 -:042F58000000000075 -:042F59000000000074 -:042F5A000000000073 -:042F5B000000000072 -:042F5C000000000071 -:042F5D000000000070 -:042F5E00000000006F -:042F5F00000000006E -:042F6000000000006D -:042F6100000000006C -:042F6200000000006B -:042F6300000000006A -:042F64000000000069 -:042F65000000000068 -:042F66000000000067 -:042F67000000000066 -:042F68000000000065 -:042F69000000000064 -:042F6A000000000063 -:042F6B000000000062 -:042F6C000000000061 -:042F6D000000000060 -:042F6E00000000005F -:042F6F00000000005E -:042F7000000000005D -:042F7100000000005C -:042F7200000000005B -:042F7300000000005A -:042F74000000000059 -:042F75000000000058 -:042F76000000000057 -:042F77000000000056 -:042F78000000000055 -:042F79000000000054 -:042F7A000000000053 -:042F7B000000000052 -:042F7C000000000051 -:042F7D000000000050 -:042F7E00000000004F -:042F7F00000000004E -:042F8000000000004D -:042F8100000000004C -:042F8200000000004B -:042F8300000000004A -:042F84000000000049 -:042F85000000000048 -:042F86000000000047 -:042F87000000000046 -:042F88000000000045 -:042F89000000000044 -:042F8A000000000043 -:042F8B000000000042 -:042F8C000000000041 -:042F8D000000000040 -:042F8E00000000003F -:042F8F00000000003E -:042F9000000000003D -:042F9100000000003C -:042F9200000000003B -:042F9300000000003A -:042F94000000000039 -:042F95000000000038 -:042F96000000000037 -:042F97000000000036 -:042F98000000000035 -:042F99000000000034 -:042F9A000000000033 -:042F9B000000000032 -:042F9C000000000031 -:042F9D000000000030 -:042F9E00000000002F -:042F9F00000000002E -:042FA000000000002D -:042FA100000000002C -:042FA200000000002B -:042FA300000000002A -:042FA4000000000029 -:042FA5000000000028 -:042FA6000000000027 -:042FA7000000000026 -:042FA8000000000025 -:042FA9000000000024 -:042FAA000000000023 -:042FAB000000000022 -:042FAC000000000021 -:042FAD000000000020 -:042FAE00000000001F -:042FAF00000000001E -:042FB000000000001D -:042FB100000000001C -:042FB200000000001B -:042FB300000000001A -:042FB4000000000019 -:042FB5000000000018 -:042FB6000000000017 -:042FB7000000000016 -:042FB8000000000015 -:042FB9000000000014 -:042FBA000000000013 -:042FBB000000000012 -:042FBC000000000011 -:042FBD000000000010 -:042FBE00000000000F -:042FBF00000000000E -:042FC000000000000D -:042FC100000000000C -:042FC200000000000B -:042FC300000000000A -:042FC4000000000009 -:042FC5000000000008 -:042FC6000000000007 -:042FC7000000000006 -:042FC8000000000005 -:042FC9000000000004 -:042FCA000000000003 -:042FCB000000000002 -:042FCC000000000001 -:042FCD000000000000 -:042FCE0000000000FF -:042FCF0000000000FE -:042FD00000000000FD -:042FD10000000000FC -:042FD20000000000FB -:042FD30000000000FA -:042FD40000000000F9 -:042FD50000000000F8 -:042FD60000000000F7 -:042FD70000000000F6 -:042FD80000000000F5 -:042FD90000000000F4 -:042FDA0000000000F3 -:042FDB0000000000F2 -:042FDC0000000000F1 -:042FDD0000000000F0 -:042FDE0000000000EF -:042FDF0000000000EE -:042FE00000000000ED -:042FE10000000000EC -:042FE20000000000EB -:042FE30000000000EA -:042FE40000000000E9 -:042FE50000000000E8 -:042FE60000000000E7 -:042FE70000000000E6 -:042FE80000000000E5 -:042FE90000000000E4 -:042FEA0000000000E3 -:042FEB0000000000E2 -:042FEC0000000000E1 -:042FED0000000000E0 -:042FEE0000000000DF -:042FEF0000000000DE -:042FF00000000000DD -:042FF10000000000DC -:042FF20000000000DB -:042FF30000000000DA -:042FF40000000000D9 -:042FF50000000000D8 -:042FF60000000000D7 -:042FF70000000000D6 -:042FF80000000000D5 -:042FF90000000000D4 -:042FFA0000000000D3 -:042FFB0000000000D2 -:042FFC0000000000D1 -:042FFD0000000000D0 -:042FFE0000000000CF -:042FFF0000000000CE -:0430000000000000CC -:0430010000000000CB -:0430020000000000CA -:0430030000000000C9 -:0430040000000000C8 -:0430050000000000C7 -:0430060000000000C6 -:0430070000000000C5 -:0430080000000000C4 -:0430090000000000C3 -:04300A0000000000C2 -:04300B0000000000C1 -:04300C0000000000C0 -:04300D0000000000BF -:04300E0000000000BE -:04300F0000000000BD -:0430100000000000BC -:0430110000000000BB -:0430120000000000BA -:0430130000000000B9 -:0430140000000000B8 -:0430150000000000B7 -:0430160000000000B6 -:0430170000000000B5 -:0430180000000000B4 -:0430190000000000B3 -:04301A0000000000B2 -:04301B0000000000B1 -:04301C0000000000B0 -:04301D0000000000AF -:04301E0000000000AE -:04301F0000000000AD -:0430200000000000AC -:0430210000000000AB -:0430220000000000AA -:0430230000000000A9 -:0430240000000000A8 -:0430250000000000A7 -:0430260000000000A6 -:0430270000000000A5 -:0430280000000000A4 -:0430290000000000A3 -:04302A0000000000A2 -:04302B0000000000A1 -:04302C0000000000A0 -:04302D00000000009F -:04302E00000000009E -:04302F00000000009D -:04303000000000009C -:04303100000000009B -:04303200000000009A -:043033000000000099 -:043034000000000098 -:043035000000000097 -:043036000000000096 -:043037000000000095 -:043038000000000094 -:043039000000000093 -:04303A000000000092 -:04303B000000000091 -:04303C000000000090 -:04303D00000000008F -:04303E00000000008E -:04303F00000000008D -:04304000000000008C -:04304100000000008B -:04304200000000008A -:043043000000000089 -:043044000000000088 -:043045000000000087 -:043046000000000086 -:043047000000000085 -:043048000000000084 -:043049000000000083 -:04304A000000000082 -:04304B000000000081 -:04304C000000000080 -:04304D00000000007F -:04304E00000000007E -:04304F00000000007D -:04305000000000007C -:04305100000000007B -:04305200000000007A -:043053000000000079 -:043054000000000078 -:043055000000000077 -:043056000000000076 -:043057000000000075 -:043058000000000074 -:043059000000000073 -:04305A000000000072 -:04305B000000000071 -:04305C000000000070 -:04305D00000000006F -:04305E00000000006E -:04305F00000000006D -:04306000000000006C -:04306100000000006B -:04306200000000006A -:043063000000000069 -:043064000000000068 -:043065000000000067 -:043066000000000066 -:043067000000000065 -:043068000000000064 -:043069000000000063 -:04306A000000000062 -:04306B000000000061 -:04306C000000000060 -:04306D00000000005F -:04306E00000000005E -:04306F00000000005D -:04307000000000005C -:04307100000000005B -:04307200000000005A -:043073000000000059 -:043074000000000058 -:043075000000000057 -:043076000000000056 -:043077000000000055 -:043078000000000054 -:043079000000000053 -:04307A000000000052 -:04307B000000000051 -:04307C000000000050 -:04307D00000000004F -:04307E00000000004E -:04307F00000000004D -:04308000000000004C -:04308100000000004B -:04308200000000004A -:043083000000000049 -:043084000000000048 -:043085000000000047 -:043086000000000046 -:043087000000000045 -:043088000000000044 -:043089000000000043 -:04308A000000000042 -:04308B000000000041 -:04308C000000000040 -:04308D00000000003F -:04308E00000000003E -:04308F00000000003D -:04309000000000003C -:04309100000000003B -:04309200000000003A -:043093000000000039 -:043094000000000038 -:043095000000000037 -:043096000000000036 -:043097000000000035 -:043098000000000034 -:043099000000000033 -:04309A000000000032 -:04309B000000000031 -:04309C000000000030 -:04309D00000000002F -:04309E00000000002E -:04309F00000000002D -:0430A000000000002C -:0430A100000000002B -:0430A200000000002A -:0430A3000000000029 -:0430A4000000000028 -:0430A5000000000027 -:0430A6000000000026 -:0430A7000000000025 -:0430A8000000000024 -:0430A9000000000023 -:0430AA000000000022 -:0430AB000000000021 -:0430AC000000000020 -:0430AD00000000001F -:0430AE00000000001E -:0430AF00000000001D -:0430B000000000001C -:0430B100000000001B -:0430B200000000001A -:0430B3000000000019 -:0430B4000000000018 -:0430B5000000000017 -:0430B6000000000016 -:0430B7000000000015 -:0430B8000000000014 -:0430B9000000000013 -:0430BA000000000012 -:0430BB000000000011 -:0430BC000000000010 -:0430BD00000000000F -:0430BE00000000000E -:0430BF00000000000D -:0430C000000000000C -:0430C100000000000B -:0430C200000000000A -:0430C3000000000009 -:0430C4000000000008 -:0430C5000000000007 -:0430C6000000000006 -:0430C7000000000005 -:0430C8000000000004 -:0430C9000000000003 -:0430CA000000000002 -:0430CB000000000001 -:0430CC000000000000 -:0430CD0000000000FF -:0430CE0000000000FE -:0430CF0000000000FD -:0430D00000000000FC -:0430D10000000000FB -:0430D20000000000FA -:0430D30000000000F9 -:0430D40000000000F8 -:0430D50000000000F7 -:0430D60000000000F6 -:0430D70000000000F5 -:0430D80000000000F4 -:0430D90000000000F3 -:0430DA0000000000F2 -:0430DB0000000000F1 -:0430DC0000000000F0 -:0430DD0000000000EF -:0430DE0000000000EE -:0430DF0000000000ED -:0430E00000000000EC -:0430E10000000000EB -:0430E20000000000EA -:0430E30000000000E9 -:0430E40000000000E8 -:0430E50000000000E7 -:0430E60000000000E6 -:0430E70000000000E5 -:0430E80000000000E4 -:0430E90000000000E3 -:0430EA0000000000E2 -:0430EB0000000000E1 -:0430EC0000000000E0 -:0430ED0000000000DF -:0430EE0000000000DE -:0430EF0000000000DD -:0430F00000000000DC -:0430F10000000000DB -:0430F20000000000DA -:0430F30000000000D9 -:0430F40000000000D8 -:0430F50000000000D7 -:0430F60000000000D6 -:0430F70000000000D5 -:0430F80000000000D4 -:0430F90000000000D3 -:0430FA0000000000D2 -:0430FB0000000000D1 -:0430FC0000000000D0 -:0430FD0000000000CF -:0430FE0000000000CE -:0430FF0000000000CD -:0431000000000000CB -:0431010000000000CA -:0431020000000000C9 -:0431030000000000C8 -:0431040000000000C7 -:0431050000000000C6 -:0431060000000000C5 -:0431070000000000C4 -:0431080000000000C3 -:0431090000000000C2 -:04310A0000000000C1 -:04310B0000000000C0 -:04310C0000000000BF -:04310D0000000000BE -:04310E0000000000BD -:04310F0000000000BC -:0431100000000000BB -:0431110000000000BA -:0431120000000000B9 -:0431130000000000B8 -:0431140000000000B7 -:0431150000000000B6 -:0431160000000000B5 -:0431170000000000B4 -:0431180000000000B3 -:0431190000000000B2 -:04311A0000000000B1 -:04311B0000000000B0 -:04311C0000000000AF -:04311D0000000000AE -:04311E0000000000AD -:04311F0000000000AC -:0431200000000000AB -:0431210000000000AA -:0431220000000000A9 -:0431230000000000A8 -:0431240000000000A7 -:0431250000000000A6 -:0431260000000000A5 -:0431270000000000A4 -:0431280000000000A3 -:0431290000000000A2 -:04312A0000000000A1 -:04312B0000000000A0 -:04312C00000000009F -:04312D00000000009E -:04312E00000000009D -:04312F00000000009C -:04313000000000009B -:04313100000000009A -:043132000000000099 -:043133000000000098 -:043134000000000097 -:043135000000000096 -:043136000000000095 -:043137000000000094 -:043138000000000093 -:043139000000000092 -:04313A000000000091 -:04313B000000000090 -:04313C00000000008F -:04313D00000000008E -:04313E00000000008D -:04313F00000000008C -:04314000000000008B -:04314100000000008A -:043142000000000089 -:043143000000000088 -:043144000000000087 -:043145000000000086 -:043146000000000085 -:043147000000000084 -:043148000000000083 -:043149000000000082 -:04314A000000000081 -:04314B000000000080 -:04314C00000000007F -:04314D00000000007E -:04314E00000000007D -:04314F00000000007C -:04315000000000007B -:04315100000000007A -:043152000000000079 -:043153000000000078 -:043154000000000077 -:043155000000000076 -:043156000000000075 -:043157000000000074 -:043158000000000073 -:043159000000000072 -:04315A000000000071 -:04315B000000000070 -:04315C00000000006F -:04315D00000000006E -:04315E00000000006D -:04315F00000000006C -:04316000000000006B -:04316100000000006A -:043162000000000069 -:043163000000000068 -:043164000000000067 -:043165000000000066 -:043166000000000065 -:043167000000000064 -:043168000000000063 -:043169000000000062 -:04316A000000000061 -:04316B000000000060 -:04316C00000000005F -:04316D00000000005E -:04316E00000000005D -:04316F00000000005C -:04317000000000005B -:04317100000000005A -:043172000000000059 -:043173000000000058 -:043174000000000057 -:043175000000000056 -:043176000000000055 -:043177000000000054 -:043178000000000053 -:043179000000000052 -:04317A000000000051 -:04317B000000000050 -:04317C00000000004F -:04317D00000000004E -:04317E00000000004D -:04317F00000000004C -:04318000000000004B -:04318100000000004A -:043182000000000049 -:043183000000000048 -:043184000000000047 -:043185000000000046 -:043186000000000045 -:043187000000000044 -:043188000000000043 -:043189000000000042 -:04318A000000000041 -:04318B000000000040 -:04318C00000000003F -:04318D00000000003E -:04318E00000000003D -:04318F00000000003C -:04319000000000003B -:04319100000000003A -:043192000000000039 -:043193000000000038 -:043194000000000037 -:043195000000000036 -:043196000000000035 -:043197000000000034 -:043198000000000033 -:043199000000000032 -:04319A000000000031 -:04319B000000000030 -:04319C00000000002F -:04319D00000000002E -:04319E00000000002D -:04319F00000000002C -:0431A000000000002B -:0431A100000000002A -:0431A2000000000029 -:0431A3000000000028 -:0431A4000000000027 -:0431A5000000000026 -:0431A6000000000025 -:0431A7000000000024 -:0431A8000000000023 -:0431A9000000000022 -:0431AA000000000021 -:0431AB000000000020 -:0431AC00000000001F -:0431AD00000000001E -:0431AE00000000001D -:0431AF00000000001C -:0431B000000000001B -:0431B100000000001A -:0431B2000000000019 -:0431B3000000000018 -:0431B4000000000017 -:0431B5000000000016 -:0431B6000000000015 -:0431B7000000000014 -:0431B8000000000013 -:0431B9000000000012 -:0431BA000000000011 -:0431BB000000000010 -:0431BC00000000000F -:0431BD00000000000E -:0431BE00000000000D -:0431BF00000000000C -:0431C000000000000B -:0431C100000000000A -:0431C2000000000009 -:0431C3000000000008 -:0431C4000000000007 -:0431C5000000000006 -:0431C6000000000005 -:0431C7000000000004 -:0431C8000000000003 -:0431C9000000000002 -:0431CA000000000001 -:0431CB000000000000 -:0431CC0000000000FF -:0431CD0000000000FE -:0431CE0000000000FD -:0431CF0000000000FC -:0431D00000000000FB -:0431D10000000000FA -:0431D20000000000F9 -:0431D30000000000F8 -:0431D40000000000F7 -:0431D50000000000F6 -:0431D60000000000F5 -:0431D70000000000F4 -:0431D80000000000F3 -:0431D90000000000F2 -:0431DA0000000000F1 -:0431DB0000000000F0 -:0431DC0000000000EF -:0431DD0000000000EE -:0431DE0000000000ED -:0431DF0000000000EC -:0431E00000000000EB -:0431E10000000000EA -:0431E20000000000E9 -:0431E30000000000E8 -:0431E40000000000E7 -:0431E50000000000E6 -:0431E60000000000E5 -:0431E70000000000E4 -:0431E80000000000E3 -:0431E90000000000E2 -:0431EA0000000000E1 -:0431EB0000000000E0 -:0431EC0000000000DF -:0431ED0000000000DE -:0431EE0000000000DD -:0431EF0000000000DC -:0431F00000000000DB -:0431F10000000000DA -:0431F20000000000D9 -:0431F30000000000D8 -:0431F40000000000D7 -:0431F50000000000D6 -:0431F60000000000D5 -:0431F70000000000D4 -:0431F80000000000D3 -:0431F90000000000D2 -:0431FA0000000000D1 -:0431FB0000000000D0 -:0431FC0000000000CF -:0431FD0000000000CE -:0431FE0000000000CD -:0431FF0000000000CC -:0432000000000000CA -:0432010000000000C9 -:0432020000000000C8 -:0432030000000000C7 -:0432040000000000C6 -:0432050000000000C5 -:0432060000000000C4 -:0432070000000000C3 -:0432080000000000C2 -:0432090000000000C1 -:04320A0000000000C0 -:04320B0000000000BF -:04320C0000000000BE -:04320D0000000000BD -:04320E0000000000BC -:04320F0000000000BB -:0432100000000000BA -:0432110000000000B9 -:0432120000000000B8 -:0432130000000000B7 -:0432140000000000B6 -:0432150000000000B5 -:0432160000000000B4 -:0432170000000000B3 -:0432180000000000B2 -:0432190000000000B1 -:04321A0000000000B0 -:04321B0000000000AF -:04321C0000000000AE -:04321D0000000000AD -:04321E0000000000AC -:04321F0000000000AB -:0432200000000000AA -:0432210000000000A9 -:0432220000000000A8 -:0432230000000000A7 -:0432240000000000A6 -:0432250000000000A5 -:0432260000000000A4 -:0432270000000000A3 -:0432280000000000A2 -:0432290000000000A1 -:04322A0000000000A0 -:04322B00000000009F -:04322C00000000009E -:04322D00000000009D -:04322E00000000009C -:04322F00000000009B -:04323000000000009A -:043231000000000099 -:043232000000000098 -:043233000000000097 -:043234000000000096 -:043235000000000095 -:043236000000000094 -:043237000000000093 -:043238000000000092 -:043239000000000091 -:04323A000000000090 -:04323B00000000008F -:04323C00000000008E -:04323D00000000008D -:04323E00000000008C -:04323F00000000008B -:04324000000000008A -:043241000000000089 -:043242000000000088 -:043243000000000087 -:043244000000000086 -:043245000000000085 -:043246000000000084 -:043247000000000083 -:043248000000000082 -:043249000000000081 -:04324A000000000080 -:04324B00000000007F -:04324C00000000007E -:04324D00000000007D -:04324E00000000007C -:04324F00000000007B -:04325000000000007A -:043251000000000079 -:043252000000000078 -:043253000000000077 -:043254000000000076 -:043255000000000075 -:043256000000000074 -:043257000000000073 -:043258000000000072 -:043259000000000071 -:04325A000000000070 -:04325B00000000006F -:04325C00000000006E -:04325D00000000006D -:04325E00000000006C -:04325F00000000006B -:04326000000000006A -:043261000000000069 -:043262000000000068 -:043263000000000067 -:043264000000000066 -:043265000000000065 -:043266000000000064 -:043267000000000063 -:043268000000000062 -:043269000000000061 -:04326A000000000060 -:04326B00000000005F -:04326C00000000005E -:04326D00000000005D -:04326E00000000005C -:04326F00000000005B -:04327000000000005A -:043271000000000059 -:043272000000000058 -:043273000000000057 -:043274000000000056 -:043275000000000055 -:043276000000000054 -:043277000000000053 -:043278000000000052 -:043279000000000051 -:04327A000000000050 -:04327B00000000004F -:04327C00000000004E -:04327D00000000004D -:04327E00000000004C -:04327F00000000004B -:04328000000000004A -:043281000000000049 -:043282000000000048 -:043283000000000047 -:043284000000000046 -:043285000000000045 -:043286000000000044 -:043287000000000043 -:043288000000000042 -:043289000000000041 -:04328A000000000040 -:04328B00000000003F -:04328C00000000003E -:04328D00000000003D -:04328E00000000003C -:04328F00000000003B -:04329000000000003A -:043291000000000039 -:043292000000000038 -:043293000000000037 -:043294000000000036 -:043295000000000035 -:043296000000000034 -:043297000000000033 -:043298000000000032 -:043299000000000031 -:04329A000000000030 -:04329B00000000002F -:04329C00000000002E -:04329D00000000002D -:04329E00000000002C -:04329F00000000002B -:0432A000000000002A -:0432A1000000000029 -:0432A2000000000028 -:0432A3000000000027 -:0432A4000000000026 -:0432A5000000000025 -:0432A6000000000024 -:0432A7000000000023 -:0432A8000000000022 -:0432A9000000000021 -:0432AA000000000020 -:0432AB00000000001F -:0432AC00000000001E -:0432AD00000000001D -:0432AE00000000001C -:0432AF00000000001B -:0432B000000000001A -:0432B1000000000019 -:0432B2000000000018 -:0432B3000000000017 -:0432B4000000000016 -:0432B5000000000015 -:0432B6000000000014 -:0432B7000000000013 -:0432B8000000000012 -:0432B9000000000011 -:0432BA000000000010 -:0432BB00000000000F -:0432BC00000000000E -:0432BD00000000000D -:0432BE00000000000C -:0432BF00000000000B -:0432C000000000000A -:0432C1000000000009 -:0432C2000000000008 -:0432C3000000000007 -:0432C4000000000006 -:0432C5000000000005 -:0432C6000000000004 -:0432C7000000000003 -:0432C8000000000002 -:0432C9000000000001 -:0432CA000000000000 -:0432CB0000000000FF -:0432CC0000000000FE -:0432CD0000000000FD -:0432CE0000000000FC -:0432CF0000000000FB -:0432D00000000000FA -:0432D10000000000F9 -:0432D20000000000F8 -:0432D30000000000F7 -:0432D40000000000F6 -:0432D50000000000F5 -:0432D60000000000F4 -:0432D70000000000F3 -:0432D80000000000F2 -:0432D90000000000F1 -:0432DA0000000000F0 -:0432DB0000000000EF -:0432DC0000000000EE -:0432DD0000000000ED -:0432DE0000000000EC -:0432DF0000000000EB -:0432E00000000000EA -:0432E10000000000E9 -:0432E20000000000E8 -:0432E30000000000E7 -:0432E40000000000E6 -:0432E50000000000E5 -:0432E60000000000E4 -:0432E70000000000E3 -:0432E80000000000E2 -:0432E90000000000E1 -:0432EA0000000000E0 -:0432EB0000000000DF -:0432EC0000000000DE -:0432ED0000000000DD -:0432EE0000000000DC -:0432EF0000000000DB -:0432F00000000000DA -:0432F10000000000D9 -:0432F20000000000D8 -:0432F30000000000D7 -:0432F40000000000D6 -:0432F50000000000D5 -:0432F60000000000D4 -:0432F70000000000D3 -:0432F80000000000D2 -:0432F90000000000D1 -:0432FA0000000000D0 -:0432FB0000000000CF -:0432FC0000000000CE -:0432FD0000000000CD -:0432FE0000000000CC -:0432FF0000000000CB -:0433000000000000C9 -:0433010000000000C8 -:0433020000000000C7 -:0433030000000000C6 -:0433040000000000C5 -:0433050000000000C4 -:0433060000000000C3 -:0433070000000000C2 -:0433080000000000C1 -:0433090000000000C0 -:04330A0000000000BF -:04330B0000000000BE -:04330C0000000000BD -:04330D0000000000BC -:04330E0000000000BB -:04330F0000000000BA -:0433100000000000B9 -:0433110000000000B8 -:0433120000000000B7 -:0433130000000000B6 -:0433140000000000B5 -:0433150000000000B4 -:0433160000000000B3 -:0433170000000000B2 -:0433180000000000B1 -:0433190000000000B0 -:04331A0000000000AF -:04331B0000000000AE -:04331C0000000000AD -:04331D0000000000AC -:04331E0000000000AB -:04331F0000000000AA -:0433200000000000A9 -:0433210000000000A8 -:0433220000000000A7 -:0433230000000000A6 -:0433240000000000A5 -:0433250000000000A4 -:0433260000000000A3 -:0433270000000000A2 -:0433280000000000A1 -:0433290000000000A0 -:04332A00000000009F -:04332B00000000009E -:04332C00000000009D -:04332D00000000009C -:04332E00000000009B -:04332F00000000009A -:043330000000000099 -:043331000000000098 -:043332000000000097 -:043333000000000096 -:043334000000000095 -:043335000000000094 -:043336000000000093 -:043337000000000092 -:043338000000000091 -:043339000000000090 -:04333A00000000008F -:04333B00000000008E -:04333C00000000008D -:04333D00000000008C -:04333E00000000008B -:04333F00000000008A -:043340000000000089 -:043341000000000088 -:043342000000000087 -:043343000000000086 -:043344000000000085 -:043345000000000084 -:043346000000000083 -:043347000000000082 -:043348000000000081 -:043349000000000080 -:04334A00000000007F -:04334B00000000007E -:04334C00000000007D -:04334D00000000007C -:04334E00000000007B -:04334F00000000007A -:043350000000000079 -:043351000000000078 -:043352000000000077 -:043353000000000076 -:043354000000000075 -:043355000000000074 -:043356000000000073 -:043357000000000072 -:043358000000000071 -:043359000000000070 -:04335A00000000006F -:04335B00000000006E -:04335C00000000006D -:04335D00000000006C -:04335E00000000006B -:04335F00000000006A -:043360000000000069 -:043361000000000068 -:043362000000000067 -:043363000000000066 -:043364000000000065 -:043365000000000064 -:043366000000000063 -:043367000000000062 -:043368000000000061 -:043369000000000060 -:04336A00000000005F -:04336B00000000005E -:04336C00000000005D -:04336D00000000005C -:04336E00000000005B -:04336F00000000005A -:043370000000000059 -:043371000000000058 -:043372000000000057 -:043373000000000056 -:043374000000000055 -:043375000000000054 -:043376000000000053 -:043377000000000052 -:043378000000000051 -:043379000000000050 -:04337A00000000004F -:04337B00000000004E -:04337C00000000004D -:04337D00000000004C -:04337E00000000004B -:04337F00000000004A -:043380000000000049 -:043381000000000048 -:043382000000000047 -:043383000000000046 -:043384000000000045 -:043385000000000044 -:043386000000000043 -:043387000000000042 -:043388000000000041 -:043389000000000040 -:04338A00000000003F -:04338B00000000003E -:04338C00000000003D -:04338D00000000003C -:04338E00000000003B -:04338F00000000003A -:043390000000000039 -:043391000000000038 -:043392000000000037 -:043393000000000036 -:043394000000000035 -:043395000000000034 -:043396000000000033 -:043397000000000032 -:043398000000000031 -:043399000000000030 -:04339A00000000002F -:04339B00000000002E -:04339C00000000002D -:04339D00000000002C -:04339E00000000002B -:04339F00000000002A -:0433A0000000000029 -:0433A1000000000028 -:0433A2000000000027 -:0433A3000000000026 -:0433A4000000000025 -:0433A5000000000024 -:0433A6000000000023 -:0433A7000000000022 -:0433A8000000000021 -:0433A9000000000020 -:0433AA00000000001F -:0433AB00000000001E -:0433AC00000000001D -:0433AD00000000001C -:0433AE00000000001B -:0433AF00000000001A -:0433B0000000000019 -:0433B1000000000018 -:0433B2000000000017 -:0433B3000000000016 -:0433B4000000000015 -:0433B5000000000014 -:0433B6000000000013 -:0433B7000000000012 -:0433B8000000000011 -:0433B9000000000010 -:0433BA00000000000F -:0433BB00000000000E -:0433BC00000000000D -:0433BD00000000000C -:0433BE00000000000B -:0433BF00000000000A -:0433C0000000000009 -:0433C1000000000008 -:0433C2000000000007 -:0433C3000000000006 -:0433C4000000000005 -:0433C5000000000004 -:0433C6000000000003 -:0433C7000000000002 -:0433C8000000000001 -:0433C9000000000000 -:0433CA0000000000FF -:0433CB0000000000FE -:0433CC0000000000FD -:0433CD0000000000FC -:0433CE0000000000FB -:0433CF0000000000FA -:0433D00000000000F9 -:0433D10000000000F8 -:0433D20000000000F7 -:0433D30000000000F6 -:0433D40000000000F5 -:0433D50000000000F4 -:0433D60000000000F3 -:0433D70000000000F2 -:0433D80000000000F1 -:0433D90000000000F0 -:0433DA0000000000EF -:0433DB0000000000EE -:0433DC0000000000ED -:0433DD0000000000EC -:0433DE0000000000EB -:0433DF0000000000EA -:0433E00000000000E9 -:0433E10000000000E8 -:0433E20000000000E7 -:0433E30000000000E6 -:0433E40000000000E5 -:0433E50000000000E4 -:0433E60000000000E3 -:0433E70000000000E2 -:0433E80000000000E1 -:0433E90000000000E0 -:0433EA0000000000DF -:0433EB0000000000DE -:0433EC0000000000DD -:0433ED0000000000DC -:0433EE0000000000DB -:0433EF0000000000DA -:0433F00000000000D9 -:0433F10000000000D8 -:0433F20000000000D7 -:0433F30000000000D6 -:0433F40000000000D5 -:0433F50000000000D4 -:0433F60000000000D3 -:0433F70000000000D2 -:0433F80000000000D1 -:0433F90000000000D0 -:0433FA0000000000CF -:0433FB0000000000CE -:0433FC0000000000CD -:0433FD0000000000CC -:0433FE0000000000CB -:0433FF0000000000CA -:0434000000000000C8 -:0434010000000000C7 -:0434020000000000C6 -:0434030000000000C5 -:0434040000000000C4 -:0434050000000000C3 -:0434060000000000C2 -:0434070000000000C1 -:0434080000000000C0 -:0434090000000000BF -:04340A0000000000BE -:04340B0000000000BD -:04340C0000000000BC -:04340D0000000000BB -:04340E0000000000BA -:04340F0000000000B9 -:0434100000000000B8 -:0434110000000000B7 -:0434120000000000B6 -:0434130000000000B5 -:0434140000000000B4 -:0434150000000000B3 -:0434160000000000B2 -:0434170000000000B1 -:0434180000000000B0 -:0434190000000000AF -:04341A0000000000AE -:04341B0000000000AD -:04341C0000000000AC -:04341D0000000000AB -:04341E0000000000AA -:04341F0000000000A9 -:0434200000000000A8 -:0434210000000000A7 -:0434220000000000A6 -:0434230000000000A5 -:0434240000000000A4 -:0434250000000000A3 -:0434260000000000A2 -:0434270000000000A1 -:0434280000000000A0 -:04342900000000009F -:04342A00000000009E -:04342B00000000009D -:04342C00000000009C -:04342D00000000009B -:04342E00000000009A -:04342F000000000099 -:043430000000000098 -:043431000000000097 -:043432000000000096 -:043433000000000095 -:043434000000000094 -:043435000000000093 -:043436000000000092 -:043437000000000091 -:043438000000000090 -:04343900000000008F -:04343A00000000008E -:04343B00000000008D -:04343C00000000008C -:04343D00000000008B -:04343E00000000008A -:04343F000000000089 -:043440000000000088 -:043441000000000087 -:043442000000000086 -:043443000000000085 -:043444000000000084 -:043445000000000083 -:043446000000000082 -:043447000000000081 -:043448000000000080 -:04344900000000007F -:04344A00000000007E -:04344B00000000007D -:04344C00000000007C -:04344D00000000007B -:04344E00000000007A -:04344F000000000079 -:043450000000000078 -:043451000000000077 -:043452000000000076 -:043453000000000075 -:043454000000000074 -:043455000000000073 -:043456000000000072 -:043457000000000071 -:043458000000000070 -:04345900000000006F -:04345A00000000006E -:04345B00000000006D -:04345C00000000006C -:04345D00000000006B -:04345E00000000006A -:04345F000000000069 -:043460000000000068 -:043461000000000067 -:043462000000000066 -:043463000000000065 -:043464000000000064 -:043465000000000063 -:043466000000000062 -:043467000000000061 -:043468000000000060 -:04346900000000005F -:04346A00000000005E -:04346B00000000005D -:04346C00000000005C -:04346D00000000005B -:04346E00000000005A -:04346F000000000059 -:043470000000000058 -:043471000000000057 -:043472000000000056 -:043473000000000055 -:043474000000000054 -:043475000000000053 -:043476000000000052 -:043477000000000051 -:043478000000000050 -:04347900000000004F -:04347A00000000004E -:04347B00000000004D -:04347C00000000004C -:04347D00000000004B -:04347E00000000004A -:04347F000000000049 -:043480000000000048 -:043481000000000047 -:043482000000000046 -:043483000000000045 -:043484000000000044 -:043485000000000043 -:043486000000000042 -:043487000000000041 -:043488000000000040 -:04348900000000003F -:04348A00000000003E -:04348B00000000003D -:04348C00000000003C -:04348D00000000003B -:04348E00000000003A -:04348F000000000039 -:043490000000000038 -:043491000000000037 -:043492000000000036 -:043493000000000035 -:043494000000000034 -:043495000000000033 -:043496000000000032 -:043497000000000031 -:043498000000000030 -:04349900000000002F -:04349A00000000002E -:04349B00000000002D -:04349C00000000002C -:04349D00000000002B -:04349E00000000002A -:04349F000000000029 -:0434A0000000000028 -:0434A1000000000027 -:0434A2000000000026 -:0434A3000000000025 -:0434A4000000000024 -:0434A5000000000023 -:0434A6000000000022 -:0434A7000000000021 -:0434A8000000000020 -:0434A900000000001F -:0434AA00000000001E -:0434AB00000000001D -:0434AC00000000001C -:0434AD00000000001B -:0434AE00000000001A -:0434AF000000000019 -:0434B0000000000018 -:0434B1000000000017 -:0434B2000000000016 -:0434B3000000000015 -:0434B4000000000014 -:0434B5000000000013 -:0434B6000000000012 -:0434B7000000000011 -:0434B8000000000010 -:0434B900000000000F -:0434BA00000000000E -:0434BB00000000000D -:0434BC00000000000C -:0434BD00000000000B -:0434BE00000000000A -:0434BF000000000009 -:0434C0000000000008 -:0434C1000000000007 -:0434C2000000000006 -:0434C3000000000005 -:0434C4000000000004 -:0434C5000000000003 -:0434C6000000000002 -:0434C7000000000001 -:0434C8000000000000 -:0434C90000000000FF -:0434CA0000000000FE -:0434CB0000000000FD -:0434CC0000000000FC -:0434CD0000000000FB -:0434CE0000000000FA -:0434CF0000000000F9 -:0434D00000000000F8 -:0434D10000000000F7 -:0434D20000000000F6 -:0434D30000000000F5 -:0434D40000000000F4 -:0434D50000000000F3 -:0434D60000000000F2 -:0434D70000000000F1 -:0434D80000000000F0 -:0434D90000000000EF -:0434DA0000000000EE -:0434DB0000000000ED -:0434DC0000000000EC -:0434DD0000000000EB -:0434DE0000000000EA -:0434DF0000000000E9 -:0434E00000000000E8 -:0434E10000000000E7 -:0434E20000000000E6 -:0434E30000000000E5 -:0434E40000000000E4 -:0434E50000000000E3 -:0434E60000000000E2 -:0434E70000000000E1 -:0434E80000000000E0 -:0434E90000000000DF -:0434EA0000000000DE -:0434EB0000000000DD -:0434EC0000000000DC -:0434ED0000000000DB -:0434EE0000000000DA -:0434EF0000000000D9 -:0434F00000000000D8 -:0434F10000000000D7 -:0434F20000000000D6 -:0434F30000000000D5 -:0434F40000000000D4 -:0434F50000000000D3 -:0434F60000000000D2 -:0434F70000000000D1 -:0434F80000000000D0 -:0434F90000000000CF -:0434FA0000000000CE -:0434FB0000000000CD -:0434FC0000000000CC -:0434FD0000000000CB -:0434FE0000000000CA -:0434FF0000000000C9 -:0435000000000000C7 -:0435010000000000C6 -:0435020000000000C5 -:0435030000000000C4 -:0435040000000000C3 -:0435050000000000C2 -:0435060000000000C1 -:0435070000000000C0 -:0435080000000000BF -:0435090000000000BE -:04350A0000000000BD -:04350B0000000000BC -:04350C0000000000BB -:04350D0000000000BA -:04350E0000000000B9 -:04350F0000000000B8 -:0435100000000000B7 -:0435110000000000B6 -:0435120000000000B5 -:0435130000000000B4 -:0435140000000000B3 -:0435150000000000B2 -:0435160000000000B1 -:0435170000000000B0 -:0435180000000000AF -:0435190000000000AE -:04351A0000000000AD -:04351B0000000000AC -:04351C0000000000AB -:04351D0000000000AA -:04351E0000000000A9 -:04351F0000000000A8 -:0435200000000000A7 -:0435210000000000A6 -:0435220000000000A5 -:0435230000000000A4 -:0435240000000000A3 -:0435250000000000A2 -:0435260000000000A1 -:0435270000000000A0 -:04352800000000009F -:04352900000000009E -:04352A00000000009D -:04352B00000000009C -:04352C00000000009B -:04352D00000000009A -:04352E000000000099 -:04352F000000000098 -:043530000000000097 -:043531000000000096 -:043532000000000095 -:043533000000000094 -:043534000000000093 -:043535000000000092 -:043536000000000091 -:043537000000000090 -:04353800000000008F -:04353900000000008E -:04353A00000000008D -:04353B00000000008C -:04353C00000000008B -:04353D00000000008A -:04353E000000000089 -:04353F000000000088 -:043540000000000087 -:043541000000000086 -:043542000000000085 -:043543000000000084 -:043544000000000083 -:043545000000000082 -:043546000000000081 -:043547000000000080 -:04354800000000007F -:04354900000000007E -:04354A00000000007D -:04354B00000000007C -:04354C00000000007B -:04354D00000000007A -:04354E000000000079 -:04354F000000000078 -:043550000000000077 -:043551000000000076 -:043552000000000075 -:043553000000000074 -:043554000000000073 -:043555000000000072 -:043556000000000071 -:043557000000000070 -:04355800000000006F -:04355900000000006E -:04355A00000000006D -:04355B00000000006C -:04355C00000000006B -:04355D00000000006A -:04355E000000000069 -:04355F000000000068 -:043560000000000067 -:043561000000000066 -:043562000000000065 -:043563000000000064 -:043564000000000063 -:043565000000000062 -:043566000000000061 -:043567000000000060 -:04356800000000005F -:04356900000000005E -:04356A00000000005D -:04356B00000000005C -:04356C00000000005B -:04356D00000000005A -:04356E000000000059 -:04356F000000000058 -:043570000000000057 -:043571000000000056 -:043572000000000055 -:043573000000000054 -:043574000000000053 -:043575000000000052 -:043576000000000051 -:043577000000000050 -:04357800000000004F -:04357900000000004E -:04357A00000000004D -:04357B00000000004C -:04357C00000000004B -:04357D00000000004A -:04357E000000000049 -:04357F000000000048 -:043580000000000047 -:043581000000000046 -:043582000000000045 -:043583000000000044 -:043584000000000043 -:043585000000000042 -:043586000000000041 -:043587000000000040 -:04358800000000003F -:04358900000000003E -:04358A00000000003D -:04358B00000000003C -:04358C00000000003B -:04358D00000000003A -:04358E000000000039 -:04358F000000000038 -:043590000000000037 -:043591000000000036 -:043592000000000035 -:043593000000000034 -:043594000000000033 -:043595000000000032 -:043596000000000031 -:043597000000000030 -:04359800000000002F -:04359900000000002E -:04359A00000000002D -:04359B00000000002C -:04359C00000000002B -:04359D00000000002A -:04359E000000000029 -:04359F000000000028 -:0435A0000000000027 -:0435A1000000000026 -:0435A2000000000025 -:0435A3000000000024 -:0435A4000000000023 -:0435A5000000000022 -:0435A6000000000021 -:0435A7000000000020 -:0435A800000000001F -:0435A900000000001E -:0435AA00000000001D -:0435AB00000000001C -:0435AC00000000001B -:0435AD00000000001A -:0435AE000000000019 -:0435AF000000000018 -:0435B0000000000017 -:0435B1000000000016 -:0435B2000000000015 -:0435B3000000000014 -:0435B4000000000013 -:0435B5000000000012 -:0435B6000000000011 -:0435B7000000000010 -:0435B800000000000F -:0435B900000000000E -:0435BA00000000000D -:0435BB00000000000C -:0435BC00000000000B -:0435BD00000000000A -:0435BE000000000009 -:0435BF000000000008 -:0435C0000000000007 -:0435C1000000000006 -:0435C2000000000005 -:0435C3000000000004 -:0435C4000000000003 -:0435C5000000000002 -:0435C6000000000001 -:0435C7000000000000 -:0435C80000000000FF -:0435C90000000000FE -:0435CA0000000000FD -:0435CB0000000000FC -:0435CC0000000000FB -:0435CD0000000000FA -:0435CE0000000000F9 -:0435CF0000000000F8 -:0435D00000000000F7 -:0435D10000000000F6 -:0435D20000000000F5 -:0435D30000000000F4 -:0435D40000000000F3 -:0435D50000000000F2 -:0435D60000000000F1 -:0435D70000000000F0 -:0435D80000000000EF -:0435D90000000000EE -:0435DA0000000000ED -:0435DB0000000000EC -:0435DC0000000000EB -:0435DD0000000000EA -:0435DE0000000000E9 -:0435DF0000000000E8 -:0435E00000000000E7 -:0435E10000000000E6 -:0435E20000000000E5 -:0435E30000000000E4 -:0435E40000000000E3 -:0435E50000000000E2 -:0435E60000000000E1 -:0435E70000000000E0 -:0435E80000000000DF -:0435E90000000000DE -:0435EA0000000000DD -:0435EB0000000000DC -:0435EC0000000000DB -:0435ED0000000000DA -:0435EE0000000000D9 -:0435EF0000000000D8 -:0435F00000000000D7 -:0435F10000000000D6 -:0435F20000000000D5 -:0435F30000000000D4 -:0435F40000000000D3 -:0435F50000000000D2 -:0435F60000000000D1 -:0435F70000000000D0 -:0435F80000000000CF -:0435F90000000000CE -:0435FA0000000000CD -:0435FB0000000000CC -:0435FC0000000000CB -:0435FD0000000000CA -:0435FE0000000000C9 -:0435FF0000000000C8 -:0436000000000000C6 -:0436010000000000C5 -:0436020000000000C4 -:0436030000000000C3 -:0436040000000000C2 -:0436050000000000C1 -:0436060000000000C0 -:0436070000000000BF -:0436080000000000BE -:0436090000000000BD -:04360A0000000000BC -:04360B0000000000BB -:04360C0000000000BA -:04360D0000000000B9 -:04360E0000000000B8 -:04360F0000000000B7 -:0436100000000000B6 -:0436110000000000B5 -:0436120000000000B4 -:0436130000000000B3 -:0436140000000000B2 -:0436150000000000B1 -:0436160000000000B0 -:0436170000000000AF -:0436180000000000AE -:0436190000000000AD -:04361A0000000000AC -:04361B0000000000AB -:04361C0000000000AA -:04361D0000000000A9 -:04361E0000000000A8 -:04361F0000000000A7 -:0436200000000000A6 -:0436210000000000A5 -:0436220000000000A4 -:0436230000000000A3 -:0436240000000000A2 -:0436250000000000A1 -:0436260000000000A0 -:04362700000000009F -:04362800000000009E -:04362900000000009D -:04362A00000000009C -:04362B00000000009B -:04362C00000000009A -:04362D000000000099 -:04362E000000000098 -:04362F000000000097 -:043630000000000096 -:043631000000000095 -:043632000000000094 -:043633000000000093 -:043634000000000092 -:043635000000000091 -:043636000000000090 -:04363700000000008F -:04363800000000008E -:04363900000000008D -:04363A00000000008C -:04363B00000000008B -:04363C00000000008A -:04363D000000000089 -:04363E000000000088 -:04363F000000000087 -:043640000000000086 -:043641000000000085 -:043642000000000084 -:043643000000000083 -:043644000000000082 -:043645000000000081 -:043646000000000080 -:04364700000000007F -:04364800000000007E -:04364900000000007D -:04364A00000000007C -:04364B00000000007B -:04364C00000000007A -:04364D000000000079 -:04364E000000000078 -:04364F000000000077 -:043650000000000076 -:043651000000000075 -:043652000000000074 -:043653000000000073 -:043654000000000072 -:043655000000000071 -:043656000000000070 -:04365700000000006F -:04365800000000006E -:04365900000000006D -:04365A00000000006C -:04365B00000000006B -:04365C00000000006A -:04365D000000000069 -:04365E000000000068 -:04365F000000000067 -:043660000000000066 -:043661000000000065 -:043662000000000064 -:043663000000000063 -:043664000000000062 -:043665000000000061 -:043666000000000060 -:04366700000000005F -:04366800000000005E -:04366900000000005D -:04366A00000000005C -:04366B00000000005B -:04366C00000000005A -:04366D000000000059 -:04366E000000000058 -:04366F000000000057 -:043670000000000056 -:043671000000000055 -:043672000000000054 -:043673000000000053 -:043674000000000052 -:043675000000000051 -:043676000000000050 -:04367700000000004F -:04367800000000004E -:04367900000000004D -:04367A00000000004C -:04367B00000000004B -:04367C00000000004A -:04367D000000000049 -:04367E000000000048 -:04367F000000000047 -:043680000000000046 -:043681000000000045 -:043682000000000044 -:043683000000000043 -:043684000000000042 -:043685000000000041 -:043686000000000040 -:04368700000000003F -:04368800000000003E -:04368900000000003D -:04368A00000000003C -:04368B00000000003B -:04368C00000000003A -:04368D000000000039 -:04368E000000000038 -:04368F000000000037 -:043690000000000036 -:043691000000000035 -:043692000000000034 -:043693000000000033 -:043694000000000032 -:043695000000000031 -:043696000000000030 -:04369700000000002F -:04369800000000002E -:04369900000000002D -:04369A00000000002C -:04369B00000000002B -:04369C00000000002A -:04369D000000000029 -:04369E000000000028 -:04369F000000000027 -:0436A0000000000026 -:0436A1000000000025 -:0436A2000000000024 -:0436A3000000000023 -:0436A4000000000022 -:0436A5000000000021 -:0436A6000000000020 -:0436A700000000001F -:0436A800000000001E -:0436A900000000001D -:0436AA00000000001C -:0436AB00000000001B -:0436AC00000000001A -:0436AD000000000019 -:0436AE000000000018 -:0436AF000000000017 -:0436B0000000000016 -:0436B1000000000015 -:0436B2000000000014 -:0436B3000000000013 -:0436B4000000000012 -:0436B5000000000011 -:0436B6000000000010 -:0436B700000000000F -:0436B800000000000E -:0436B900000000000D -:0436BA00000000000C -:0436BB00000000000B -:0436BC00000000000A -:0436BD000000000009 -:0436BE000000000008 -:0436BF000000000007 -:0436C0000000000006 -:0436C1000000000005 -:0436C2000000000004 -:0436C3000000000003 -:0436C4000000000002 -:0436C5000000000001 -:0436C6000000000000 -:0436C70000000000FF -:0436C80000000000FE -:0436C90000000000FD -:0436CA0000000000FC -:0436CB0000000000FB -:0436CC0000000000FA -:0436CD0000000000F9 -:0436CE0000000000F8 -:0436CF0000000000F7 -:0436D00000000000F6 -:0436D10000000000F5 -:0436D20000000000F4 -:0436D30000000000F3 -:0436D40000000000F2 -:0436D50000000000F1 -:0436D60000000000F0 -:0436D70000000000EF -:0436D80000000000EE -:0436D90000000000ED -:0436DA0000000000EC -:0436DB0000000000EB -:0436DC0000000000EA -:0436DD0000000000E9 -:0436DE0000000000E8 -:0436DF0000000000E7 -:0436E00000000000E6 -:0436E10000000000E5 -:0436E20000000000E4 -:0436E30000000000E3 -:0436E40000000000E2 -:0436E50000000000E1 -:0436E60000000000E0 -:0436E70000000000DF -:0436E80000000000DE -:0436E90000000000DD -:0436EA0000000000DC -:0436EB0000000000DB -:0436EC0000000000DA -:0436ED0000000000D9 -:0436EE0000000000D8 -:0436EF0000000000D7 -:0436F00000000000D6 -:0436F10000000000D5 -:0436F20000000000D4 -:0436F30000000000D3 -:0436F40000000000D2 -:0436F50000000000D1 -:0436F60000000000D0 -:0436F70000000000CF -:0436F80000000000CE -:0436F90000000000CD -:0436FA0000000000CC -:0436FB0000000000CB -:0436FC0000000000CA -:0436FD0000000000C9 -:0436FE0000000000C8 -:0436FF0000000000C7 -:0437000000000000C5 -:0437010000000000C4 -:0437020000000000C3 -:0437030000000000C2 -:0437040000000000C1 -:0437050000000000C0 -:0437060000000000BF -:0437070000000000BE -:0437080000000000BD -:0437090000000000BC -:04370A0000000000BB -:04370B0000000000BA -:04370C0000000000B9 -:04370D0000000000B8 -:04370E0000000000B7 -:04370F0000000000B6 -:0437100000000000B5 -:0437110000000000B4 -:0437120000000000B3 -:0437130000000000B2 -:0437140000000000B1 -:0437150000000000B0 -:0437160000000000AF -:0437170000000000AE -:0437180000000000AD -:0437190000000000AC -:04371A0000000000AB -:04371B0000000000AA -:04371C0000000000A9 -:04371D0000000000A8 -:04371E0000000000A7 -:04371F0000000000A6 -:0437200000000000A5 -:0437210000000000A4 -:0437220000000000A3 -:0437230000000000A2 -:0437240000000000A1 -:0437250000000000A0 -:04372600000000009F -:04372700000000009E -:04372800000000009D -:04372900000000009C -:04372A00000000009B -:04372B00000000009A -:04372C000000000099 -:04372D000000000098 -:04372E000000000097 -:04372F000000000096 -:043730000000000095 -:043731000000000094 -:043732000000000093 -:043733000000000092 -:043734000000000091 -:043735000000000090 -:04373600000000008F -:04373700000000008E -:04373800000000008D -:04373900000000008C -:04373A00000000008B -:04373B00000000008A -:04373C000000000089 -:04373D000000000088 -:04373E000000000087 -:04373F000000000086 -:043740000000000085 -:043741000000000084 -:043742000000000083 -:043743000000000082 -:043744000000000081 -:043745000000000080 -:04374600000000007F -:04374700000000007E -:04374800000000007D -:04374900000000007C -:04374A00000000007B -:04374B00000000007A -:04374C000000000079 -:04374D000000000078 -:04374E000000000077 -:04374F000000000076 -:043750000000000075 -:043751000000000074 -:043752000000000073 -:043753000000000072 -:043754000000000071 -:043755000000000070 -:04375600000000006F -:04375700000000006E -:04375800000000006D -:04375900000000006C -:04375A00000000006B -:04375B00000000006A -:04375C000000000069 -:04375D000000000068 -:04375E000000000067 -:04375F000000000066 -:043760000000000065 -:043761000000000064 -:043762000000000063 -:043763000000000062 -:043764000000000061 -:043765000000000060 -:04376600000000005F -:04376700000000005E -:04376800000000005D -:04376900000000005C -:04376A00000000005B -:04376B00000000005A -:04376C000000000059 -:04376D000000000058 -:04376E000000000057 -:04376F000000000056 -:043770000000000055 -:043771000000000054 -:043772000000000053 -:043773000000000052 -:043774000000000051 -:043775000000000050 -:04377600000000004F -:04377700000000004E -:04377800000000004D -:04377900000000004C -:04377A00000000004B -:04377B00000000004A -:04377C000000000049 -:04377D000000000048 -:04377E000000000047 -:04377F000000000046 -:043780000000000045 -:043781000000000044 -:043782000000000043 -:043783000000000042 -:043784000000000041 -:043785000000000040 -:04378600000000003F -:04378700000000003E -:04378800000000003D -:04378900000000003C -:04378A00000000003B -:04378B00000000003A -:04378C000000000039 -:04378D000000000038 -:04378E000000000037 -:04378F000000000036 -:043790000000000035 -:043791000000000034 -:043792000000000033 -:043793000000000032 -:043794000000000031 -:043795000000000030 -:04379600000000002F -:04379700000000002E -:04379800000000002D -:04379900000000002C -:04379A00000000002B -:04379B00000000002A -:04379C000000000029 -:04379D000000000028 -:04379E000000000027 -:04379F000000000026 -:0437A0000000000025 -:0437A1000000000024 -:0437A2000000000023 -:0437A3000000000022 -:0437A4000000000021 -:0437A5000000000020 -:0437A600000000001F -:0437A700000000001E -:0437A800000000001D -:0437A900000000001C -:0437AA00000000001B -:0437AB00000000001A -:0437AC000000000019 -:0437AD000000000018 -:0437AE000000000017 -:0437AF000000000016 -:0437B0000000000015 -:0437B1000000000014 -:0437B2000000000013 -:0437B3000000000012 -:0437B4000000000011 -:0437B5000000000010 -:0437B600000000000F -:0437B700000000000E -:0437B800000000000D -:0437B900000000000C -:0437BA00000000000B -:0437BB00000000000A -:0437BC000000000009 -:0437BD000000000008 -:0437BE000000000007 -:0437BF000000000006 -:0437C0000000000005 -:0437C1000000000004 -:0437C2000000000003 -:0437C3000000000002 -:0437C4000000000001 -:0437C5000000000000 -:0437C60000000000FF -:0437C70000000000FE -:0437C80000000000FD -:0437C90000000000FC -:0437CA0000000000FB -:0437CB0000000000FA -:0437CC0000000000F9 -:0437CD0000000000F8 -:0437CE0000000000F7 -:0437CF0000000000F6 -:0437D00000000000F5 -:0437D10000000000F4 -:0437D20000000000F3 -:0437D30000000000F2 -:0437D40000000000F1 -:0437D50000000000F0 -:0437D60000000000EF -:0437D70000000000EE -:0437D80000000000ED -:0437D90000000000EC -:0437DA0000000000EB -:0437DB0000000000EA -:0437DC0000000000E9 -:0437DD0000000000E8 -:0437DE0000000000E7 -:0437DF0000000000E6 -:0437E00000000000E5 -:0437E10000000000E4 -:0437E20000000000E3 -:0437E30000000000E2 -:0437E40000000000E1 -:0437E50000000000E0 -:0437E60000000000DF -:0437E70000000000DE -:0437E80000000000DD -:0437E90000000000DC -:0437EA0000000000DB -:0437EB0000000000DA -:0437EC0000000000D9 -:0437ED0000000000D8 -:0437EE0000000000D7 -:0437EF0000000000D6 -:0437F00000000000D5 -:0437F10000000000D4 -:0437F20000000000D3 -:0437F30000000000D2 -:0437F40000000000D1 -:0437F50000000000D0 -:0437F60000000000CF -:0437F70000000000CE -:0437F80000000000CD -:0437F90000000000CC -:0437FA0000000000CB -:0437FB0000000000CA -:0437FC0000000000C9 -:0437FD0000000000C8 -:0437FE0000000000C7 -:0437FF0000000000C6 -:0438000000000000C4 -:0438010000000000C3 -:0438020000000000C2 -:0438030000000000C1 -:0438040000000000C0 -:0438050000000000BF -:0438060000000000BE -:0438070000000000BD -:0438080000000000BC -:0438090000000000BB -:04380A0000000000BA -:04380B0000000000B9 -:04380C0000000000B8 -:04380D0000000000B7 -:04380E0000000000B6 -:04380F0000000000B5 -:0438100000000000B4 -:0438110000000000B3 -:0438120000000000B2 -:0438130000000000B1 -:0438140000000000B0 -:0438150000000000AF -:0438160000000000AE -:0438170000000000AD -:0438180000000000AC -:0438190000000000AB -:04381A0000000000AA -:04381B0000000000A9 -:04381C0000000000A8 -:04381D0000000000A7 -:04381E0000000000A6 -:04381F0000000000A5 -:0438200000000000A4 -:0438210000000000A3 -:0438220000000000A2 -:0438230000000000A1 -:0438240000000000A0 -:04382500000000009F -:04382600000000009E -:04382700000000009D -:04382800000000009C -:04382900000000009B -:04382A00000000009A -:04382B000000000099 -:04382C000000000098 -:04382D000000000097 -:04382E000000000096 -:04382F000000000095 -:043830000000000094 -:043831000000000093 -:043832000000000092 -:043833000000000091 -:043834000000000090 -:04383500000000008F -:04383600000000008E -:04383700000000008D -:04383800000000008C -:04383900000000008B -:04383A00000000008A -:04383B000000000089 -:04383C000000000088 -:04383D000000000087 -:04383E000000000086 -:04383F000000000085 -:043840000000000084 -:043841000000000083 -:043842000000000082 -:043843000000000081 -:043844000000000080 -:04384500000000007F -:04384600000000007E -:04384700000000007D -:04384800000000007C -:04384900000000007B -:04384A00000000007A -:04384B000000000079 -:04384C000000000078 -:04384D000000000077 -:04384E000000000076 -:04384F000000000075 -:043850000000000074 -:043851000000000073 -:043852000000000072 -:043853000000000071 -:043854000000000070 -:04385500000000006F -:04385600000000006E -:04385700000000006D -:04385800000000006C -:04385900000000006B -:04385A00000000006A -:04385B000000000069 -:04385C000000000068 -:04385D000000000067 -:04385E000000000066 -:04385F000000000065 -:043860000000000064 -:043861000000000063 -:043862000000000062 -:043863000000000061 -:043864000000000060 -:04386500000000005F -:04386600000000005E -:04386700000000005D -:04386800000000005C -:04386900000000005B -:04386A00000000005A -:04386B000000000059 -:04386C000000000058 -:04386D000000000057 -:04386E000000000056 -:04386F000000000055 -:043870000000000054 -:043871000000000053 -:043872000000000052 -:043873000000000051 -:043874000000000050 -:04387500000000004F -:04387600000000004E -:04387700000000004D -:04387800000000004C -:04387900000000004B -:04387A00000000004A -:04387B000000000049 -:04387C000000000048 -:04387D000000000047 -:04387E000000000046 -:04387F000000000045 -:043880000000000044 -:043881000000000043 -:043882000000000042 -:043883000000000041 -:043884000000000040 -:04388500000000003F -:04388600000000003E -:04388700000000003D -:04388800000000003C -:04388900000000003B -:04388A00000000003A -:04388B000000000039 -:04388C000000000038 -:04388D000000000037 -:04388E000000000036 -:04388F000000000035 -:043890000000000034 -:043891000000000033 -:043892000000000032 -:043893000000000031 -:043894000000000030 -:04389500000000002F -:04389600000000002E -:04389700000000002D -:04389800000000002C -:04389900000000002B -:04389A00000000002A -:04389B000000000029 -:04389C000000000028 -:04389D000000000027 -:04389E000000000026 -:04389F000000000025 -:0438A0000000000024 -:0438A1000000000023 -:0438A2000000000022 -:0438A3000000000021 -:0438A4000000000020 -:0438A500000000001F -:0438A600000000001E -:0438A700000000001D -:0438A800000000001C -:0438A900000000001B -:0438AA00000000001A -:0438AB000000000019 -:0438AC000000000018 -:0438AD000000000017 -:0438AE000000000016 -:0438AF000000000015 -:0438B0000000000014 -:0438B1000000000013 -:0438B2000000000012 -:0438B3000000000011 -:0438B4000000000010 -:0438B500000000000F -:0438B600000000000E -:0438B700000000000D -:0438B800000000000C -:0438B900000000000B -:0438BA00000000000A -:0438BB000000000009 -:0438BC000000000008 -:0438BD000000000007 -:0438BE000000000006 -:0438BF000000000005 -:0438C0000000000004 -:0438C1000000000003 -:0438C2000000000002 -:0438C3000000000001 -:0438C4000000000000 -:0438C50000000000FF -:0438C60000000000FE -:0438C70000000000FD -:0438C80000000000FC -:0438C90000000000FB -:0438CA0000000000FA -:0438CB0000000000F9 -:0438CC0000000000F8 -:0438CD0000000000F7 -:0438CE0000000000F6 -:0438CF0000000000F5 -:0438D00000000000F4 -:0438D10000000000F3 -:0438D20000000000F2 -:0438D30000000000F1 -:0438D40000000000F0 -:0438D50000000000EF -:0438D60000000000EE -:0438D70000000000ED -:0438D80000000000EC -:0438D90000000000EB -:0438DA0000000000EA -:0438DB0000000000E9 -:0438DC0000000000E8 -:0438DD0000000000E7 -:0438DE0000000000E6 -:0438DF0000000000E5 -:0438E00000000000E4 -:0438E10000000000E3 -:0438E20000000000E2 -:0438E30000000000E1 -:0438E40000000000E0 -:0438E50000000000DF -:0438E60000000000DE -:0438E70000000000DD -:0438E80000000000DC -:0438E90000000000DB -:0438EA0000000000DA -:0438EB0000000000D9 -:0438EC0000000000D8 -:0438ED0000000000D7 -:0438EE0000000000D6 -:0438EF0000000000D5 -:0438F00000000000D4 -:0438F10000000000D3 -:0438F20000000000D2 -:0438F30000000000D1 -:0438F40000000000D0 -:0438F50000000000CF -:0438F60000000000CE -:0438F70000000000CD -:0438F80000000000CC -:0438F90000000000CB -:0438FA0000000000CA -:0438FB0000000000C9 -:0438FC0000000000C8 -:0438FD0000000000C7 -:0438FE0000000000C6 -:0438FF0000000000C5 -:0439000000000000C3 -:0439010000000000C2 -:0439020000000000C1 -:0439030000000000C0 -:0439040000000000BF -:0439050000000000BE -:0439060000000000BD -:0439070000000000BC -:0439080000000000BB -:0439090000000000BA -:04390A0000000000B9 -:04390B0000000000B8 -:04390C0000000000B7 -:04390D0000000000B6 -:04390E0000000000B5 -:04390F0000000000B4 -:0439100000000000B3 -:0439110000000000B2 -:0439120000000000B1 -:0439130000000000B0 -:0439140000000000AF -:0439150000000000AE -:0439160000000000AD -:0439170000000000AC -:0439180000000000AB -:0439190000000000AA -:04391A0000000000A9 -:04391B0000000000A8 -:04391C0000000000A7 -:04391D0000000000A6 -:04391E0000000000A5 -:04391F0000000000A4 -:0439200000000000A3 -:0439210000000000A2 -:0439220000000000A1 -:0439230000000000A0 -:04392400000000009F -:04392500000000009E -:04392600000000009D -:04392700000000009C -:04392800000000009B -:04392900000000009A -:04392A000000000099 -:04392B000000000098 -:04392C000000000097 -:04392D000000000096 -:04392E000000000095 -:04392F000000000094 -:043930000000000093 -:043931000000000092 -:043932000000000091 -:043933000000000090 -:04393400000000008F -:04393500000000008E -:04393600000000008D -:04393700000000008C -:04393800000000008B -:04393900000000008A -:04393A000000000089 -:04393B000000000088 -:04393C000000000087 -:04393D000000000086 -:04393E000000000085 -:04393F000000000084 -:043940000000000083 -:043941000000000082 -:043942000000000081 -:043943000000000080 -:04394400000000007F -:04394500000000007E -:04394600000000007D -:04394700000000007C -:04394800000000007B -:04394900000000007A -:04394A000000000079 -:04394B000000000078 -:04394C000000000077 -:04394D000000000076 -:04394E000000000075 -:04394F000000000074 -:043950000000000073 -:043951000000000072 -:043952000000000071 -:043953000000000070 -:04395400000000006F -:04395500000000006E -:04395600000000006D -:04395700000000006C -:04395800000000006B -:04395900000000006A -:04395A000000000069 -:04395B000000000068 -:04395C000000000067 -:04395D000000000066 -:04395E000000000065 -:04395F000000000064 -:043960000000000063 -:043961000000000062 -:043962000000000061 -:043963000000000060 -:04396400000000005F -:04396500000000005E -:04396600000000005D -:04396700000000005C -:04396800000000005B -:04396900000000005A -:04396A000000000059 -:04396B000000000058 -:04396C000000000057 -:04396D000000000056 -:04396E000000000055 -:04396F000000000054 -:043970000000000053 -:043971000000000052 -:043972000000000051 -:043973000000000050 -:04397400000000004F -:04397500000000004E -:04397600000000004D -:04397700000000004C -:04397800000000004B -:04397900000000004A -:04397A000000000049 -:04397B000000000048 -:04397C000000000047 -:04397D000000000046 -:04397E000000000045 -:04397F000000000044 -:043980000000000043 -:043981000000000042 -:043982000000000041 -:043983000000000040 -:04398400000000003F -:04398500000000003E -:04398600000000003D -:04398700000000003C -:04398800000000003B -:04398900000000003A -:04398A000000000039 -:04398B000000000038 -:04398C000000000037 -:04398D000000000036 -:04398E000000000035 -:04398F000000000034 -:043990000000000033 -:043991000000000032 -:043992000000000031 -:043993000000000030 -:04399400000000002F -:04399500000000002E -:04399600000000002D -:04399700000000002C -:04399800000000002B -:04399900000000002A -:04399A000000000029 -:04399B000000000028 -:04399C000000000027 -:04399D000000000026 -:04399E000000000025 -:04399F000000000024 -:0439A0000000000023 -:0439A1000000000022 -:0439A2000000000021 -:0439A3000000000020 -:0439A400000000001F -:0439A500000000001E -:0439A600000000001D -:0439A700000000001C -:0439A800000000001B -:0439A900000000001A -:0439AA000000000019 -:0439AB000000000018 -:0439AC000000000017 -:0439AD000000000016 -:0439AE000000000015 -:0439AF000000000014 -:0439B0000000000013 -:0439B1000000000012 -:0439B2000000000011 -:0439B3000000000010 -:0439B400000000000F -:0439B500000000000E -:0439B600000000000D -:0439B700000000000C -:0439B800000000000B -:0439B900000000000A -:0439BA000000000009 -:0439BB000000000008 -:0439BC000000000007 -:0439BD000000000006 -:0439BE000000000005 -:0439BF000000000004 -:0439C0000000000003 -:0439C1000000000002 -:0439C2000000000001 -:0439C3000000000000 -:0439C40000000000FF -:0439C50000000000FE -:0439C60000000000FD -:0439C70000000000FC -:0439C80000000000FB -:0439C90000000000FA -:0439CA0000000000F9 -:0439CB0000000000F8 -:0439CC0000000000F7 -:0439CD0000000000F6 -:0439CE0000000000F5 -:0439CF0000000000F4 -:0439D00000000000F3 -:0439D10000000000F2 -:0439D20000000000F1 -:0439D30000000000F0 -:0439D40000000000EF -:0439D50000000000EE -:0439D60000000000ED -:0439D70000000000EC -:0439D80000000000EB -:0439D90000000000EA -:0439DA0000000000E9 -:0439DB0000000000E8 -:0439DC0000000000E7 -:0439DD0000000000E6 -:0439DE0000000000E5 -:0439DF0000000000E4 -:0439E00000000000E3 -:0439E10000000000E2 -:0439E20000000000E1 -:0439E30000000000E0 -:0439E40000000000DF -:0439E50000000000DE -:0439E60000000000DD -:0439E70000000000DC -:0439E80000000000DB -:0439E90000000000DA -:0439EA0000000000D9 -:0439EB0000000000D8 -:0439EC0000000000D7 -:0439ED0000000000D6 -:0439EE0000000000D5 -:0439EF0000000000D4 -:0439F00000000000D3 -:0439F10000000000D2 -:0439F20000000000D1 -:0439F30000000000D0 -:0439F40000000000CF -:0439F50000000000CE -:0439F60000000000CD -:0439F70000000000CC -:0439F80000000000CB -:0439F90000000000CA -:0439FA0000000000C9 -:0439FB0000000000C8 -:0439FC0000000000C7 -:0439FD0000000000C6 -:0439FE0000000000C5 -:0439FF0000000000C4 -:043A000000000000C2 -:043A010000000000C1 -:043A020000000000C0 -:043A030000000000BF -:043A040000000000BE -:043A050000000000BD -:043A060000000000BC -:043A070000000000BB -:043A080000000000BA -:043A090000000000B9 -:043A0A0000000000B8 -:043A0B0000000000B7 -:043A0C0000000000B6 -:043A0D0000000000B5 -:043A0E0000000000B4 -:043A0F0000000000B3 -:043A100000000000B2 -:043A110000000000B1 -:043A120000000000B0 -:043A130000000000AF -:043A140000000000AE -:043A150000000000AD -:043A160000000000AC -:043A170000000000AB -:043A180000000000AA -:043A190000000000A9 -:043A1A0000000000A8 -:043A1B0000000000A7 -:043A1C0000000000A6 -:043A1D0000000000A5 -:043A1E0000000000A4 -:043A1F0000000000A3 -:043A200000000000A2 -:043A210000000000A1 -:043A220000000000A0 -:043A2300000000009F -:043A2400000000009E -:043A2500000000009D -:043A2600000000009C -:043A2700000000009B -:043A2800000000009A -:043A29000000000099 -:043A2A000000000098 -:043A2B000000000097 -:043A2C000000000096 -:043A2D000000000095 -:043A2E000000000094 -:043A2F000000000093 -:043A30000000000092 -:043A31000000000091 -:043A32000000000090 -:043A3300000000008F -:043A3400000000008E -:043A3500000000008D -:043A3600000000008C -:043A3700000000008B -:043A3800000000008A -:043A39000000000089 -:043A3A000000000088 -:043A3B000000000087 -:043A3C000000000086 -:043A3D000000000085 -:043A3E000000000084 -:043A3F000000000083 -:043A40000000000082 -:043A41000000000081 -:043A42000000000080 -:043A4300000000007F -:043A4400000000007E -:043A4500000000007D -:043A4600000000007C -:043A4700000000007B -:043A4800000000007A -:043A49000000000079 -:043A4A000000000078 -:043A4B000000000077 -:043A4C000000000076 -:043A4D000000000075 -:043A4E000000000074 -:043A4F000000000073 -:043A50000000000072 -:043A51000000000071 -:043A52000000000070 -:043A5300000000006F -:043A5400000000006E -:043A5500000000006D -:043A5600000000006C -:043A5700000000006B -:043A5800000000006A -:043A59000000000069 -:043A5A000000000068 -:043A5B000000000067 -:043A5C000000000066 -:043A5D000000000065 -:043A5E000000000064 -:043A5F000000000063 -:043A60000000000062 -:043A61000000000061 -:043A62000000000060 -:043A6300000000005F -:043A6400000000005E -:043A6500000000005D -:043A6600000000005C -:043A6700000000005B -:043A6800000000005A -:043A69000000000059 -:043A6A000000000058 -:043A6B000000000057 -:043A6C000000000056 -:043A6D000000000055 -:043A6E000000000054 -:043A6F000000000053 -:043A70000000000052 -:043A71000000000051 -:043A72000000000050 -:043A7300000000004F -:043A7400000000004E -:043A7500000000004D -:043A7600000000004C -:043A7700000000004B -:043A7800000000004A -:043A79000000000049 -:043A7A000000000048 -:043A7B000000000047 -:043A7C000000000046 -:043A7D000000000045 -:043A7E000000000044 -:043A7F000000000043 -:043A80000000000042 -:043A81000000000041 -:043A82000000000040 -:043A8300000000003F -:043A8400000000003E -:043A8500000000003D -:043A8600000000003C -:043A8700000000003B -:043A8800000000003A -:043A89000000000039 -:043A8A000000000038 -:043A8B000000000037 -:043A8C000000000036 -:043A8D000000000035 -:043A8E000000000034 -:043A8F000000000033 -:043A90000000000032 -:043A91000000000031 -:043A92000000000030 -:043A9300000000002F -:043A9400000000002E -:043A9500000000002D -:043A9600000000002C -:043A9700000000002B -:043A9800000000002A -:043A99000000000029 -:043A9A000000000028 -:043A9B000000000027 -:043A9C000000000026 -:043A9D000000000025 -:043A9E000000000024 -:043A9F000000000023 -:043AA0000000000022 -:043AA1000000000021 -:043AA2000000000020 -:043AA300000000001F -:043AA400000000001E -:043AA500000000001D -:043AA600000000001C -:043AA700000000001B -:043AA800000000001A -:043AA9000000000019 -:043AAA000000000018 -:043AAB000000000017 -:043AAC000000000016 -:043AAD000000000015 -:043AAE000000000014 -:043AAF000000000013 -:043AB0000000000012 -:043AB1000000000011 -:043AB2000000000010 -:043AB300000000000F -:043AB400000000000E -:043AB500000000000D -:043AB600000000000C -:043AB700000000000B -:043AB800000000000A -:043AB9000000000009 -:043ABA000000000008 -:043ABB000000000007 -:043ABC000000000006 -:043ABD000000000005 -:043ABE000000000004 -:043ABF000000000003 -:043AC0000000000002 -:043AC1000000000001 -:043AC2000000000000 -:043AC30000000000FF -:043AC40000000000FE -:043AC50000000000FD -:043AC60000000000FC -:043AC70000000000FB -:043AC80000000000FA -:043AC90000000000F9 -:043ACA0000000000F8 -:043ACB0000000000F7 -:043ACC0000000000F6 -:043ACD0000000000F5 -:043ACE0000000000F4 -:043ACF0000000000F3 -:043AD00000000000F2 -:043AD10000000000F1 -:043AD20000000000F0 -:043AD30000000000EF -:043AD40000000000EE -:043AD50000000000ED -:043AD60000000000EC -:043AD70000000000EB -:043AD80000000000EA -:043AD90000000000E9 -:043ADA0000000000E8 -:043ADB0000000000E7 -:043ADC0000000000E6 -:043ADD0000000000E5 -:043ADE0000000000E4 -:043ADF0000000000E3 -:043AE00000000000E2 -:043AE10000000000E1 -:043AE20000000000E0 -:043AE30000000000DF -:043AE40000000000DE -:043AE50000000000DD -:043AE60000000000DC -:043AE70000000000DB -:043AE80000000000DA -:043AE90000000000D9 -:043AEA0000000000D8 -:043AEB0000000000D7 -:043AEC0000000000D6 -:043AED0000000000D5 -:043AEE0000000000D4 -:043AEF0000000000D3 -:043AF00000000000D2 -:043AF10000000000D1 -:043AF20000000000D0 -:043AF30000000000CF -:043AF40000000000CE -:043AF50000000000CD -:043AF60000000000CC -:043AF70000000000CB -:043AF80000000000CA -:043AF90000000000C9 -:043AFA0000000000C8 -:043AFB0000000000C7 -:043AFC0000000000C6 -:043AFD0000000000C5 -:043AFE0000000000C4 -:043AFF0000000000C3 -:043B000000000000C1 -:043B010000000000C0 -:043B020000000000BF -:043B030000000000BE -:043B040000000000BD -:043B050000000000BC -:043B060000000000BB -:043B070000000000BA -:043B080000000000B9 -:043B090000000000B8 -:043B0A0000000000B7 -:043B0B0000000000B6 -:043B0C0000000000B5 -:043B0D0000000000B4 -:043B0E0000000000B3 -:043B0F0000000000B2 -:043B100000000000B1 -:043B110000000000B0 -:043B120000000000AF -:043B130000000000AE -:043B140000000000AD -:043B150000000000AC -:043B160000000000AB -:043B170000000000AA -:043B180000000000A9 -:043B190000000000A8 -:043B1A0000000000A7 -:043B1B0000000000A6 -:043B1C0000000000A5 -:043B1D0000000000A4 -:043B1E0000000000A3 -:043B1F0000000000A2 -:043B200000000000A1 -:043B210000000000A0 -:043B2200000000009F -:043B2300000000009E -:043B2400000000009D -:043B2500000000009C -:043B2600000000009B -:043B2700000000009A -:043B28000000000099 -:043B29000000000098 -:043B2A000000000097 -:043B2B000000000096 -:043B2C000000000095 -:043B2D000000000094 -:043B2E000000000093 -:043B2F000000000092 -:043B30000000000091 -:043B31000000000090 -:043B3200000000008F -:043B3300000000008E -:043B3400000000008D -:043B3500000000008C -:043B3600000000008B -:043B3700000000008A -:043B38000000000089 -:043B39000000000088 -:043B3A000000000087 -:043B3B000000000086 -:043B3C000000000085 -:043B3D000000000084 -:043B3E000000000083 -:043B3F000000000082 -:043B40000000000081 -:043B41000000000080 -:043B4200000000007F -:043B4300000000007E -:043B4400000000007D -:043B4500000000007C -:043B4600000000007B -:043B4700000000007A -:043B48000000000079 -:043B49000000000078 -:043B4A000000000077 -:043B4B000000000076 -:043B4C000000000075 -:043B4D000000000074 -:043B4E000000000073 -:043B4F000000000072 -:043B50000000000071 -:043B51000000000070 -:043B5200000000006F -:043B5300000000006E -:043B5400000000006D -:043B5500000000006C -:043B5600000000006B -:043B5700000000006A -:043B58000000000069 -:043B59000000000068 -:043B5A000000000067 -:043B5B000000000066 -:043B5C000000000065 -:043B5D000000000064 -:043B5E000000000063 -:043B5F000000000062 -:043B60000000000061 -:043B61000000000060 -:043B6200000000005F -:043B6300000000005E -:043B6400000000005D -:043B6500000000005C -:043B6600000000005B -:043B6700000000005A -:043B68000000000059 -:043B69000000000058 -:043B6A000000000057 -:043B6B000000000056 -:043B6C000000000055 -:043B6D000000000054 -:043B6E000000000053 -:043B6F000000000052 -:043B70000000000051 -:043B71000000000050 -:043B7200000000004F -:043B7300000000004E -:043B7400000000004D -:043B7500000000004C -:043B7600000000004B -:043B7700000000004A -:043B78000000000049 -:043B79000000000048 -:043B7A000000000047 -:043B7B000000000046 -:043B7C000000000045 -:043B7D000000000044 -:043B7E000000000043 -:043B7F000000000042 -:043B80000000000041 -:043B81000000000040 -:043B8200000000003F -:043B8300000000003E -:043B8400000000003D -:043B8500000000003C -:043B8600000000003B -:043B8700000000003A -:043B88000000000039 -:043B89000000000038 -:043B8A000000000037 -:043B8B000000000036 -:043B8C000000000035 -:043B8D000000000034 -:043B8E000000000033 -:043B8F000000000032 -:043B90000000000031 -:043B91000000000030 -:043B9200000000002F -:043B9300000000002E -:043B9400000000002D -:043B9500000000002C -:043B9600000000002B -:043B9700000000002A -:043B98000000000029 -:043B99000000000028 -:043B9A000000000027 -:043B9B000000000026 -:043B9C000000000025 -:043B9D000000000024 -:043B9E000000000023 -:043B9F000000000022 -:043BA0000000000021 -:043BA1000000000020 -:043BA200000000001F -:043BA300000000001E -:043BA400000000001D -:043BA500000000001C -:043BA600000000001B -:043BA700000000001A -:043BA8000000000019 -:043BA9000000000018 -:043BAA000000000017 -:043BAB000000000016 -:043BAC000000000015 -:043BAD000000000014 -:043BAE000000000013 -:043BAF000000000012 -:043BB0000000000011 -:043BB1000000000010 -:043BB200000000000F -:043BB300000000000E -:043BB400000000000D -:043BB500000000000C -:043BB600000000000B -:043BB700000000000A -:043BB8000000000009 -:043BB9000000000008 -:043BBA000000000007 -:043BBB000000000006 -:043BBC000000000005 -:043BBD000000000004 -:043BBE000000000003 -:043BBF000000000002 -:043BC0000000000001 -:043BC1000000000000 -:043BC20000000000FF -:043BC30000000000FE -:043BC40000000000FD -:043BC50000000000FC -:043BC60000000000FB -:043BC70000000000FA -:043BC80000000000F9 -:043BC90000000000F8 -:043BCA0000000000F7 -:043BCB0000000000F6 -:043BCC0000000000F5 -:043BCD0000000000F4 -:043BCE0000000000F3 -:043BCF0000000000F2 -:043BD00000000000F1 -:043BD10000000000F0 -:043BD20000000000EF -:043BD30000000000EE -:043BD40000000000ED -:043BD50000000000EC -:043BD60000000000EB -:043BD70000000000EA -:043BD80000000000E9 -:043BD90000000000E8 -:043BDA0000000000E7 -:043BDB0000000000E6 -:043BDC0000000000E5 -:043BDD0000000000E4 -:043BDE0000000000E3 -:043BDF0000000000E2 -:043BE00000000000E1 -:043BE10000000000E0 -:043BE20000000000DF -:043BE30000000000DE -:043BE40000000000DD -:043BE50000000000DC -:043BE60000000000DB -:043BE70000000000DA -:043BE80000000000D9 -:043BE90000000000D8 -:043BEA0000000000D7 -:043BEB0000000000D6 -:043BEC0000000000D5 -:043BED0000000000D4 -:043BEE0000000000D3 -:043BEF0000000000D2 -:043BF00000000000D1 -:043BF10000000000D0 -:043BF20000000000CF -:043BF30000000000CE -:043BF40000000000CD -:043BF50000000000CC -:043BF60000000000CB -:043BF70000000000CA -:043BF80000000000C9 -:043BF90000000000C8 -:043BFA0000000000C7 -:043BFB0000000000C6 -:043BFC0000000000C5 -:043BFD0000000000C4 -:043BFE0000000000C3 -:043BFF0000000000C2 -:043C000000000000C0 -:043C010000000000BF -:043C020000000000BE -:043C030000000000BD -:043C040000000000BC -:043C050000000000BB -:043C060000000000BA -:043C070000000000B9 -:043C080000000000B8 -:043C090000000000B7 -:043C0A0000000000B6 -:043C0B0000000000B5 -:043C0C0000000000B4 -:043C0D0000000000B3 -:043C0E0000000000B2 -:043C0F0000000000B1 -:043C100000000000B0 -:043C110000000000AF -:043C120000000000AE -:043C130000000000AD -:043C140000000000AC -:043C150000000000AB -:043C160000000000AA -:043C170000000000A9 -:043C180000000000A8 -:043C190000000000A7 -:043C1A0000000000A6 -:043C1B0000000000A5 -:043C1C0000000000A4 -:043C1D0000000000A3 -:043C1E0000000000A2 -:043C1F0000000000A1 -:043C200000000000A0 -:043C2100000000009F -:043C2200000000009E -:043C2300000000009D -:043C2400000000009C -:043C2500000000009B -:043C2600000000009A -:043C27000000000099 -:043C28000000000098 -:043C29000000000097 -:043C2A000000000096 -:043C2B000000000095 -:043C2C000000000094 -:043C2D000000000093 -:043C2E000000000092 -:043C2F000000000091 -:043C30000000000090 -:043C3100000000008F -:043C3200000000008E -:043C3300000000008D -:043C3400000000008C -:043C3500000000008B -:043C3600000000008A -:043C37000000000089 -:043C38000000000088 -:043C39000000000087 -:043C3A000000000086 -:043C3B000000000085 -:043C3C000000000084 -:043C3D000000000083 -:043C3E000000000082 -:043C3F000000000081 -:043C40000000000080 -:043C4100000000007F -:043C4200000000007E -:043C4300000000007D -:043C4400000000007C -:043C4500000000007B -:043C4600000000007A -:043C47000000000079 -:043C48000000000078 -:043C49000000000077 -:043C4A000000000076 -:043C4B000000000075 -:043C4C000000000074 -:043C4D000000000073 -:043C4E000000000072 -:043C4F000000000071 -:043C50000000000070 -:043C5100000000006F -:043C5200000000006E -:043C5300000000006D -:043C5400000000006C -:043C5500000000006B -:043C5600000000006A -:043C57000000000069 -:043C58000000000068 -:043C59000000000067 -:043C5A000000000066 -:043C5B000000000065 -:043C5C000000000064 -:043C5D000000000063 -:043C5E000000000062 -:043C5F000000000061 -:043C60000000000060 -:043C6100000000005F -:043C6200000000005E -:043C6300000000005D -:043C6400000000005C -:043C6500000000005B -:043C6600000000005A -:043C67000000000059 -:043C68000000000058 -:043C69000000000057 -:043C6A000000000056 -:043C6B000000000055 -:043C6C000000000054 -:043C6D000000000053 -:043C6E000000000052 -:043C6F000000000051 -:043C70000000000050 -:043C7100000000004F -:043C7200000000004E -:043C7300000000004D -:043C7400000000004C -:043C7500000000004B -:043C7600000000004A -:043C77000000000049 -:043C78000000000048 -:043C79000000000047 -:043C7A000000000046 -:043C7B000000000045 -:043C7C000000000044 -:043C7D000000000043 -:043C7E000000000042 -:043C7F000000000041 -:043C80000000000040 -:043C8100000000003F -:043C8200000000003E -:043C8300000000003D -:043C8400000000003C -:043C8500000000003B -:043C8600000000003A -:043C87000000000039 -:043C88000000000038 -:043C89000000000037 -:043C8A000000000036 -:043C8B000000000035 -:043C8C000000000034 -:043C8D000000000033 -:043C8E000000000032 -:043C8F000000000031 -:043C90000000000030 -:043C9100000000002F -:043C9200000000002E -:043C9300000000002D -:043C9400000000002C -:043C9500000000002B -:043C9600000000002A -:043C97000000000029 -:043C98000000000028 -:043C99000000000027 -:043C9A000000000026 -:043C9B000000000025 -:043C9C000000000024 -:043C9D000000000023 -:043C9E000000000022 -:043C9F000000000021 -:043CA0000000000020 -:043CA100000000001F -:043CA200000000001E -:043CA300000000001D -:043CA400000000001C -:043CA500000000001B -:043CA600000000001A -:043CA7000000000019 -:043CA8000000000018 -:043CA9000000000017 -:043CAA000000000016 -:043CAB000000000015 -:043CAC000000000014 -:043CAD000000000013 -:043CAE000000000012 -:043CAF000000000011 -:043CB0000000000010 -:043CB100000000000F -:043CB200000000000E -:043CB300000000000D -:043CB400000000000C -:043CB500000000000B -:043CB600000000000A -:043CB7000000000009 -:043CB8000000000008 -:043CB9000000000007 -:043CBA000000000006 -:043CBB000000000005 -:043CBC000000000004 -:043CBD000000000003 -:043CBE000000000002 -:043CBF000000000001 -:043CC0000000000000 -:043CC10000000000FF -:043CC20000000000FE -:043CC30000000000FD -:043CC40000000000FC -:043CC50000000000FB -:043CC60000000000FA -:043CC70000000000F9 -:043CC80000000000F8 -:043CC90000000000F7 -:043CCA0000000000F6 -:043CCB0000000000F5 -:043CCC0000000000F4 -:043CCD0000000000F3 -:043CCE0000000000F2 -:043CCF0000000000F1 -:043CD00000000000F0 -:043CD10000000000EF -:043CD20000000000EE -:043CD30000000000ED -:043CD40000000000EC -:043CD50000000000EB -:043CD60000000000EA -:043CD70000000000E9 -:043CD80000000000E8 -:043CD90000000000E7 -:043CDA0000000000E6 -:043CDB0000000000E5 -:043CDC0000000000E4 -:043CDD0000000000E3 -:043CDE0000000000E2 -:043CDF0000000000E1 -:043CE00000000000E0 -:043CE10000000000DF -:043CE20000000000DE -:043CE30000000000DD -:043CE40000000000DC -:043CE50000000000DB -:043CE60000000000DA -:043CE70000000000D9 -:043CE80000000000D8 -:043CE90000000000D7 -:043CEA0000000000D6 -:043CEB0000000000D5 -:043CEC0000000000D4 -:043CED0000000000D3 -:043CEE0000000000D2 -:043CEF0000000000D1 -:043CF00000000000D0 -:043CF10000000000CF -:043CF20000000000CE -:043CF30000000000CD -:043CF40000000000CC -:043CF50000000000CB -:043CF60000000000CA -:043CF70000000000C9 -:043CF80000000000C8 -:043CF90000000000C7 -:043CFA0000000000C6 -:043CFB0000000000C5 -:043CFC0000000000C4 -:043CFD0000000000C3 -:043CFE0000000000C2 -:043CFF0000000000C1 -:043D000000000000BF -:043D010000000000BE -:043D020000000000BD -:043D030000000000BC -:043D040000000000BB -:043D050000000000BA -:043D060000000000B9 -:043D070000000000B8 -:043D080000000000B7 -:043D090000000000B6 -:043D0A0000000000B5 -:043D0B0000000000B4 -:043D0C0000000000B3 -:043D0D0000000000B2 -:043D0E0000000000B1 -:043D0F0000000000B0 -:043D100000000000AF -:043D110000000000AE -:043D120000000000AD -:043D130000000000AC -:043D140000000000AB -:043D150000000000AA -:043D160000000000A9 -:043D170000000000A8 -:043D180000000000A7 -:043D190000000000A6 -:043D1A0000000000A5 -:043D1B0000000000A4 -:043D1C0000000000A3 -:043D1D0000000000A2 -:043D1E0000000000A1 -:043D1F0000000000A0 -:043D2000000000009F -:043D2100000000009E -:043D2200000000009D -:043D2300000000009C -:043D2400000000009B -:043D2500000000009A -:043D26000000000099 -:043D27000000000098 -:043D28000000000097 -:043D29000000000096 -:043D2A000000000095 -:043D2B000000000094 -:043D2C000000000093 -:043D2D000000000092 -:043D2E000000000091 -:043D2F000000000090 -:043D3000000000008F -:043D3100000000008E -:043D3200000000008D -:043D3300000000008C -:043D3400000000008B -:043D3500000000008A -:043D36000000000089 -:043D37000000000088 -:043D38000000000087 -:043D39000000000086 -:043D3A000000000085 -:043D3B000000000084 -:043D3C000000000083 -:043D3D000000000082 -:043D3E000000000081 -:043D3F000000000080 -:043D4000000000007F -:043D4100000000007E -:043D4200000000007D -:043D4300000000007C -:043D4400000000007B -:043D4500000000007A -:043D46000000000079 -:043D47000000000078 -:043D48000000000077 -:043D49000000000076 -:043D4A000000000075 -:043D4B000000000074 -:043D4C000000000073 -:043D4D000000000072 -:043D4E000000000071 -:043D4F000000000070 -:043D5000000000006F -:043D5100000000006E -:043D5200000000006D -:043D5300000000006C -:043D5400000000006B -:043D5500000000006A -:043D56000000000069 -:043D57000000000068 -:043D58000000000067 -:043D59000000000066 -:043D5A000000000065 -:043D5B000000000064 -:043D5C000000000063 -:043D5D000000000062 -:043D5E000000000061 -:043D5F000000000060 -:043D6000000000005F -:043D6100000000005E -:043D6200000000005D -:043D6300000000005C -:043D6400000000005B -:043D6500000000005A -:043D66000000000059 -:043D67000000000058 -:043D68000000000057 -:043D69000000000056 -:043D6A000000000055 -:043D6B000000000054 -:043D6C000000000053 -:043D6D000000000052 -:043D6E000000000051 -:043D6F000000000050 -:043D7000000000004F -:043D7100000000004E -:043D7200000000004D -:043D7300000000004C -:043D7400000000004B -:043D7500000000004A -:043D76000000000049 -:043D77000000000048 -:043D78000000000047 -:043D79000000000046 -:043D7A000000000045 -:043D7B000000000044 -:043D7C000000000043 -:043D7D000000000042 -:043D7E000000000041 -:043D7F000000000040 -:043D8000000000003F -:043D8100000000003E -:043D8200000000003D -:043D8300000000003C -:043D8400000000003B -:043D8500000000003A -:043D86000000000039 -:043D87000000000038 -:043D88000000000037 -:043D89000000000036 -:043D8A000000000035 -:043D8B000000000034 -:043D8C000000000033 -:043D8D000000000032 -:043D8E000000000031 -:043D8F000000000030 -:043D9000000000002F -:043D9100000000002E -:043D9200000000002D -:043D9300000000002C -:043D9400000000002B -:043D9500000000002A -:043D96000000000029 -:043D97000000000028 -:043D98000000000027 -:043D99000000000026 -:043D9A000000000025 -:043D9B000000000024 -:043D9C000000000023 -:043D9D000000000022 -:043D9E000000000021 -:043D9F000000000020 -:043DA000000000001F -:043DA100000000001E -:043DA200000000001D -:043DA300000000001C -:043DA400000000001B -:043DA500000000001A -:043DA6000000000019 -:043DA7000000000018 -:043DA8000000000017 -:043DA9000000000016 -:043DAA000000000015 -:043DAB000000000014 -:043DAC000000000013 -:043DAD000000000012 -:043DAE000000000011 -:043DAF000000000010 -:043DB000000000000F -:043DB100000000000E -:043DB200000000000D -:043DB300000000000C -:043DB400000000000B -:043DB500000000000A -:043DB6000000000009 -:043DB7000000000008 -:043DB8000000000007 -:043DB9000000000006 -:043DBA000000000005 -:043DBB000000000004 -:043DBC000000000003 -:043DBD000000000002 -:043DBE000000000001 -:043DBF000000000000 -:043DC00000000000FF -:043DC10000000000FE -:043DC20000000000FD -:043DC30000000000FC -:043DC40000000000FB -:043DC50000000000FA -:043DC60000000000F9 -:043DC70000000000F8 -:043DC80000000000F7 -:043DC90000000000F6 -:043DCA0000000000F5 -:043DCB0000000000F4 -:043DCC0000000000F3 -:043DCD0000000000F2 -:043DCE0000000000F1 -:043DCF0000000000F0 -:043DD00000000000EF -:043DD10000000000EE -:043DD20000000000ED -:043DD30000000000EC -:043DD40000000000EB -:043DD50000000000EA -:043DD60000000000E9 -:043DD70000000000E8 -:043DD80000000000E7 -:043DD90000000000E6 -:043DDA0000000000E5 -:043DDB0000000000E4 -:043DDC0000000000E3 -:043DDD0000000000E2 -:043DDE0000000000E1 -:043DDF0000000000E0 -:043DE00000000000DF -:043DE10000000000DE -:043DE20000000000DD -:043DE30000000000DC -:043DE40000000000DB -:043DE50000000000DA -:043DE60000000000D9 -:043DE70000000000D8 -:043DE80000000000D7 -:043DE90000000000D6 -:043DEA0000000000D5 -:043DEB0000000000D4 -:043DEC0000000000D3 -:043DED0000000000D2 -:043DEE0000000000D1 -:043DEF0000000000D0 -:043DF00000000000CF -:043DF10000000000CE -:043DF20000000000CD -:043DF30000000000CC -:043DF40000000000CB -:043DF50000000000CA -:043DF60000000000C9 -:043DF70000000000C8 -:043DF80000000000C7 -:043DF90000000000C6 -:043DFA0000000000C5 -:043DFB0000000000C4 -:043DFC0000000000C3 -:043DFD0000000000C2 -:043DFE0000000000C1 -:043DFF0000000000C0 -:043E000000000000BE -:043E010000000000BD -:043E020000000000BC -:043E030000000000BB -:043E040000000000BA -:043E050000000000B9 -:043E060000000000B8 -:043E070000000000B7 -:043E080000000000B6 -:043E090000000000B5 -:043E0A0000000000B4 -:043E0B0000000000B3 -:043E0C0000000000B2 -:043E0D0000000000B1 -:043E0E0000000000B0 -:043E0F0000000000AF -:043E100000000000AE -:043E110000000000AD -:043E120000000000AC -:043E130000000000AB -:043E140000000000AA -:043E150000000000A9 -:043E160000000000A8 -:043E170000000000A7 -:043E180000000000A6 -:043E190000000000A5 -:043E1A0000000000A4 -:043E1B0000000000A3 -:043E1C0000000000A2 -:043E1D0000000000A1 -:043E1E0000000000A0 -:043E1F00000000009F -:043E2000000000009E -:043E2100000000009D -:043E2200000000009C -:043E2300000000009B -:043E2400000000009A -:043E25000000000099 -:043E26000000000098 -:043E27000000000097 -:043E28000000000096 -:043E29000000000095 -:043E2A000000000094 -:043E2B000000000093 -:043E2C000000000092 -:043E2D000000000091 -:043E2E000000000090 -:043E2F00000000008F -:043E3000000000008E -:043E3100000000008D -:043E3200000000008C -:043E3300000000008B -:043E3400000000008A -:043E35000000000089 -:043E36000000000088 -:043E37000000000087 -:043E38000000000086 -:043E39000000000085 -:043E3A000000000084 -:043E3B000000000083 -:043E3C000000000082 -:043E3D000000000081 -:043E3E000000000080 -:043E3F00000000007F -:043E4000000000007E -:043E4100000000007D -:043E4200000000007C -:043E4300000000007B -:043E4400000000007A -:043E45000000000079 -:043E46000000000078 -:043E47000000000077 -:043E48000000000076 -:043E49000000000075 -:043E4A000000000074 -:043E4B000000000073 -:043E4C000000000072 -:043E4D000000000071 -:043E4E000000000070 -:043E4F00000000006F -:043E5000000000006E -:043E5100000000006D -:043E5200000000006C -:043E5300000000006B -:043E5400000000006A -:043E55000000000069 -:043E56000000000068 -:043E57000000000067 -:043E58000000000066 -:043E59000000000065 -:043E5A000000000064 -:043E5B000000000063 -:043E5C000000000062 -:043E5D000000000061 -:043E5E000000000060 -:043E5F00000000005F -:043E6000000000005E -:043E6100000000005D -:043E6200000000005C -:043E6300000000005B -:043E6400000000005A -:043E65000000000059 -:043E66000000000058 -:043E67000000000057 -:043E68000000000056 -:043E69000000000055 -:043E6A000000000054 -:043E6B000000000053 -:043E6C000000000052 -:043E6D000000000051 -:043E6E000000000050 -:043E6F00000000004F -:043E7000000000004E -:043E7100000000004D -:043E7200000000004C -:043E7300000000004B -:043E7400000000004A -:043E75000000000049 -:043E76000000000048 -:043E77000000000047 -:043E78000000000046 -:043E79000000000045 -:043E7A000000000044 -:043E7B000000000043 -:043E7C000000000042 -:043E7D000000000041 -:043E7E000000000040 -:043E7F00000000003F -:043E8000000000003E -:043E8100000000003D -:043E8200000000003C -:043E8300000000003B -:043E8400000000003A -:043E85000000000039 -:043E86000000000038 -:043E87000000000037 -:043E88000000000036 -:043E89000000000035 -:043E8A000000000034 -:043E8B000000000033 -:043E8C000000000032 -:043E8D000000000031 -:043E8E000000000030 -:043E8F00000000002F -:043E9000000000002E -:043E9100000000002D -:043E9200000000002C -:043E9300000000002B -:043E9400000000002A -:043E95000000000029 -:043E96000000000028 -:043E97000000000027 -:043E98000000000026 -:043E99000000000025 -:043E9A000000000024 -:043E9B000000000023 -:043E9C000000000022 -:043E9D000000000021 -:043E9E000000000020 -:043E9F00000000001F -:043EA000000000001E -:043EA100000000001D -:043EA200000000001C -:043EA300000000001B -:043EA400000000001A -:043EA5000000000019 -:043EA6000000000018 -:043EA7000000000017 -:043EA8000000000016 -:043EA9000000000015 -:043EAA000000000014 -:043EAB000000000013 -:043EAC000000000012 -:043EAD000000000011 -:043EAE000000000010 -:043EAF00000000000F -:043EB000000000000E -:043EB100000000000D -:043EB200000000000C -:043EB300000000000B -:043EB400000000000A -:043EB5000000000009 -:043EB6000000000008 -:043EB7000000000007 -:043EB8000000000006 -:043EB9000000000005 -:043EBA000000000004 -:043EBB000000000003 -:043EBC000000000002 -:043EBD000000000001 -:043EBE000000000000 -:043EBF0000000000FF -:043EC00000000000FE -:043EC10000000000FD -:043EC20000000000FC -:043EC30000000000FB -:043EC40000000000FA -:043EC50000000000F9 -:043EC60000000000F8 -:043EC70000000000F7 -:043EC80000000000F6 -:043EC90000000000F5 -:043ECA0000000000F4 -:043ECB0000000000F3 -:043ECC0000000000F2 -:043ECD0000000000F1 -:043ECE0000000000F0 -:043ECF0000000000EF -:043ED00000000000EE -:043ED10000000000ED -:043ED20000000000EC -:043ED30000000000EB -:043ED40000000000EA -:043ED50000000000E9 -:043ED60000000000E8 -:043ED70000000000E7 -:043ED80000000000E6 -:043ED90000000000E5 -:043EDA0000000000E4 -:043EDB0000000000E3 -:043EDC0000000000E2 -:043EDD0000000000E1 -:043EDE0000000000E0 -:043EDF0000000000DF -:043EE00000000000DE -:043EE10000000000DD -:043EE20000000000DC -:043EE30000000000DB -:043EE40000000000DA -:043EE50000000000D9 -:043EE60000000000D8 -:043EE70000000000D7 -:043EE80000000000D6 -:043EE90000000000D5 -:043EEA0000000000D4 -:043EEB0000000000D3 -:043EEC0000000000D2 -:043EED0000000000D1 -:043EEE0000000000D0 -:043EEF0000000000CF -:043EF00000000000CE -:043EF10000000000CD -:043EF20000000000CC -:043EF30000000000CB -:043EF40000000000CA -:043EF50000000000C9 -:043EF60000000000C8 -:043EF70000000000C7 -:043EF80000000000C6 -:043EF90000000000C5 -:043EFA0000000000C4 -:043EFB0000000000C3 -:043EFC0000000000C2 -:043EFD0000000000C1 -:043EFE0000000000C0 -:043EFF0000000000BF -:043F000000000000BD -:043F010000000000BC -:043F020000000000BB -:043F030000000000BA -:043F040000000000B9 -:043F050000000000B8 -:043F060000000000B7 -:043F070000000000B6 -:043F080000000000B5 -:043F090000000000B4 -:043F0A0000000000B3 -:043F0B0000000000B2 -:043F0C0000000000B1 -:043F0D0000000000B0 -:043F0E0000000000AF -:043F0F0000000000AE -:043F100000000000AD -:043F110000000000AC -:043F120000000000AB -:043F130000000000AA -:043F140000000000A9 -:043F150000000000A8 -:043F160000000000A7 -:043F170000000000A6 -:043F180000000000A5 -:043F190000000000A4 -:043F1A0000000000A3 -:043F1B0000000000A2 -:043F1C0000000000A1 -:043F1D0000000000A0 -:043F1E00000000009F -:043F1F00000000009E -:043F2000000000009D -:043F2100000000009C -:043F2200000000009B -:043F2300000000009A -:043F24000000000099 -:043F25000000000098 -:043F26000000000097 -:043F27000000000096 -:043F28000000000095 -:043F29000000000094 -:043F2A000000000093 -:043F2B000000000092 -:043F2C000000000091 -:043F2D000000000090 -:043F2E00000000008F -:043F2F00000000008E -:043F3000000000008D -:043F3100000000008C -:043F3200000000008B -:043F3300000000008A -:043F34000000000089 -:043F35000000000088 -:043F36000000000087 -:043F37000000000086 -:043F38000000000085 -:043F39000000000084 -:043F3A000000000083 -:043F3B000000000082 -:043F3C000000000081 -:043F3D000000000080 -:043F3E00000000007F -:043F3F00000000007E -:043F4000000000007D -:043F4100000000007C -:043F4200000000007B -:043F4300000000007A -:043F44000000000079 -:043F45000000000078 -:043F46000000000077 -:043F47000000000076 -:043F48000000000075 -:043F49000000000074 -:043F4A000000000073 -:043F4B000000000072 -:043F4C000000000071 -:043F4D000000000070 -:043F4E00000000006F -:043F4F00000000006E -:043F5000000000006D -:043F5100000000006C -:043F5200000000006B -:043F5300000000006A -:043F54000000000069 -:043F55000000000068 -:043F56000000000067 -:043F57000000000066 -:043F58000000000065 -:043F59000000000064 -:043F5A000000000063 -:043F5B000000000062 -:043F5C000000000061 -:043F5D000000000060 -:043F5E00000000005F -:043F5F00000000005E -:043F6000000000005D -:043F6100000000005C -:043F6200000000005B -:043F6300000000005A -:043F64000000000059 -:043F65000000000058 -:043F66000000000057 -:043F67000000000056 -:043F68000000000055 -:043F69000000000054 -:043F6A000000000053 -:043F6B000000000052 -:043F6C000000000051 -:043F6D000000000050 -:043F6E00000000004F -:043F6F00000000004E -:043F7000000000004D -:043F7100000000004C -:043F7200000000004B -:043F7300000000004A -:043F74000000000049 -:043F75000000000048 -:043F76000000000047 -:043F77000000000046 -:043F78000000000045 -:043F79000000000044 -:043F7A000000000043 -:043F7B000000000042 -:043F7C000000000041 -:043F7D000000000040 -:043F7E00000000003F -:043F7F00000000003E -:043F8000000000003D -:043F8100000000003C -:043F8200000000003B -:043F8300000000003A -:043F84000000000039 -:043F85000000000038 -:043F86000000000037 -:043F87000000000036 -:043F88000000000035 -:043F89000000000034 -:043F8A000000000033 -:043F8B000000000032 -:043F8C000000000031 -:043F8D000000000030 -:043F8E00000000002F -:043F8F00000000002E -:043F9000000000002D -:043F9100000000002C -:043F9200000000002B -:043F9300000000002A -:043F94000000000029 -:043F95000000000028 -:043F96000000000027 -:043F97000000000026 -:043F98000000000025 -:043F99000000000024 -:043F9A000000000023 -:043F9B000000000022 -:043F9C000000000021 -:043F9D000000000020 -:043F9E00000000001F -:043F9F00000000001E -:043FA000000000001D -:043FA100000000001C -:043FA200000000001B -:043FA300000000001A -:043FA4000000000019 -:043FA5000000000018 -:043FA6000000000017 -:043FA7000000000016 -:043FA8000000000015 -:043FA9000000000014 -:043FAA000000000013 -:043FAB000000000012 -:043FAC000000000011 -:043FAD000000000010 -:043FAE00000000000F -:043FAF00000000000E -:043FB000000000000D -:043FB100000000000C -:043FB200000000000B -:043FB300000000000A -:043FB4000000000009 -:043FB5000000000008 -:043FB6000000000007 -:043FB7000000000006 -:043FB8000000000005 -:043FB9000000000004 -:043FBA000000000003 -:043FBB000000000002 -:043FBC000000000001 -:043FBD000000000000 -:043FBE0000000000FF -:043FBF0000000000FE -:043FC00000000000FD -:043FC10000000000FC -:043FC20000000000FB -:043FC30000000000FA -:043FC40000000000F9 -:043FC50000000000F8 -:043FC60000000000F7 -:043FC70000000000F6 -:043FC80000000000F5 -:043FC90000000000F4 -:043FCA0000000000F3 -:043FCB0000000000F2 -:043FCC0000000000F1 -:043FCD0000000000F0 -:043FCE0000000000EF -:043FCF0000000000EE -:043FD00000000000ED -:043FD10000000000EC -:043FD20000000000EB -:043FD30000000000EA -:043FD40000000000E9 -:043FD50000000000E8 -:043FD60000000000E7 -:043FD70000000000E6 -:043FD80000000000E5 -:043FD90000000000E4 -:043FDA0000000000E3 -:043FDB0000000000E2 -:043FDC0000000000E1 -:043FDD0000000000E0 -:043FDE0000000000DF -:043FDF0000000000DE -:043FE00000000000DD -:043FE10000000000DC -:043FE20000000000DB -:043FE30000000000DA -:043FE40000000000D9 -:043FE50000000000D8 -:043FE60000000000D7 -:043FE70000000000D6 -:043FE80000000000D5 -:043FE90000000000D4 -:043FEA0000000000D3 -:043FEB0000000000D2 -:043FEC0000000000D1 -:043FED0000000000D0 -:043FEE0000000000CF -:043FEF0000000000CE -:043FF00000000000CD -:043FF10000000000CC -:043FF20000000000CB -:043FF30000000000CA -:043FF40000000000C9 -:043FF50000000000C8 -:043FF60000000000C7 -:043FF70000000000C6 -:043FF80000000000C5 -:043FF90000000000C4 -:043FFA0000000000C3 -:043FFB0000000000C2 -:043FFC0000000000C1 -:043FFD0000000000C0 -:043FFE0000000000BF -:043FFF0000000000BE -:0440000000000000BC -:0440010000000000BB -:0440020000000000BA -:0440030000000000B9 -:0440040000000000B8 -:0440050000000000B7 -:0440060000000000B6 -:0440070000000000B5 -:0440080000000000B4 -:0440090000000000B3 -:04400A0000000000B2 -:04400B0000000000B1 -:04400C0000000000B0 -:04400D0000000000AF -:04400E0000000000AE -:04400F0000000000AD -:0440100000000000AC -:0440110000000000AB -:0440120000000000AA -:0440130000000000A9 -:0440140000000000A8 -:0440150000000000A7 -:0440160000000000A6 -:0440170000000000A5 -:0440180000000000A4 -:0440190000000000A3 -:04401A0000000000A2 -:04401B0000000000A1 -:04401C0000000000A0 -:04401D00000000009F -:04401E00000000009E -:04401F00000000009D -:04402000000000009C -:04402100000000009B -:04402200000000009A -:044023000000000099 -:044024000000000098 -:044025000000000097 -:044026000000000096 -:044027000000000095 -:044028000000000094 -:044029000000000093 -:04402A000000000092 -:04402B000000000091 -:04402C000000000090 -:04402D00000000008F -:04402E00000000008E -:04402F00000000008D -:04403000000000008C -:04403100000000008B -:04403200000000008A -:044033000000000089 -:044034000000000088 -:044035000000000087 -:044036000000000086 -:044037000000000085 -:044038000000000084 -:044039000000000083 -:04403A000000000082 -:04403B000000000081 -:04403C000000000080 -:04403D00000000007F -:04403E00000000007E -:04403F00000000007D -:04404000000000007C -:04404100000000007B -:04404200000000007A -:044043000000000079 -:044044000000000078 -:044045000000000077 -:044046000000000076 -:044047000000000075 -:044048000000000074 -:044049000000000073 -:04404A000000000072 -:04404B000000000071 -:04404C000000000070 -:04404D00000000006F -:04404E00000000006E -:04404F00000000006D -:04405000000000006C -:04405100000000006B -:04405200000000006A -:044053000000000069 -:044054000000000068 -:044055000000000067 -:044056000000000066 -:044057000000000065 -:044058000000000064 -:044059000000000063 -:04405A000000000062 -:04405B000000000061 -:04405C000000000060 -:04405D00000000005F -:04405E00000000005E -:04405F00000000005D -:04406000000000005C -:04406100000000005B -:04406200000000005A -:044063000000000059 -:044064000000000058 -:044065000000000057 -:044066000000000056 -:044067000000000055 -:044068000000000054 -:044069000000000053 -:04406A000000000052 -:04406B000000000051 -:04406C000000000050 -:04406D00000000004F -:04406E00000000004E -:04406F00000000004D -:04407000000000004C -:04407100000000004B -:04407200000000004A -:044073000000000049 -:044074000000000048 -:044075000000000047 -:044076000000000046 -:044077000000000045 -:044078000000000044 -:044079000000000043 -:04407A000000000042 -:04407B000000000041 -:04407C000000000040 -:04407D00000000003F -:04407E00000000003E -:04407F00000000003D -:04408000000000003C -:04408100000000003B -:04408200000000003A -:044083000000000039 -:044084000000000038 -:044085000000000037 -:044086000000000036 -:044087000000000035 -:044088000000000034 -:044089000000000033 -:04408A000000000032 -:04408B000000000031 -:04408C000000000030 -:04408D00000000002F -:04408E00000000002E -:04408F00000000002D -:04409000000000002C -:04409100000000002B -:04409200000000002A -:044093000000000029 -:044094000000000028 -:044095000000000027 -:044096000000000026 -:044097000000000025 -:044098000000000024 -:044099000000000023 -:04409A000000000022 -:04409B000000000021 -:04409C000000000020 -:04409D00000000001F -:04409E00000000001E -:04409F00000000001D -:0440A000000000001C -:0440A100000000001B -:0440A200000000001A -:0440A3000000000019 -:0440A4000000000018 -:0440A5000000000017 -:0440A6000000000016 -:0440A7000000000015 -:0440A8000000000014 -:0440A9000000000013 -:0440AA000000000012 -:0440AB000000000011 -:0440AC000000000010 -:0440AD00000000000F -:0440AE00000000000E -:0440AF00000000000D -:0440B000000000000C -:0440B100000000000B -:0440B200000000000A -:0440B3000000000009 -:0440B4000000000008 -:0440B5000000000007 -:0440B6000000000006 -:0440B7000000000005 -:0440B8000000000004 -:0440B9000000000003 -:0440BA000000000002 -:0440BB000000000001 -:0440BC000000000000 -:0440BD0000000000FF -:0440BE0000000000FE -:0440BF0000000000FD -:0440C00000000000FC -:0440C10000000000FB -:0440C20000000000FA -:0440C30000000000F9 -:0440C40000000000F8 -:0440C50000000000F7 -:0440C60000000000F6 -:0440C70000000000F5 -:0440C80000000000F4 -:0440C90000000000F3 -:0440CA0000000000F2 -:0440CB0000000000F1 -:0440CC0000000000F0 -:0440CD0000000000EF -:0440CE0000000000EE -:0440CF0000000000ED -:0440D00000000000EC -:0440D10000000000EB -:0440D20000000000EA -:0440D30000000000E9 -:0440D40000000000E8 -:0440D50000000000E7 -:0440D60000000000E6 -:0440D70000000000E5 -:0440D80000000000E4 -:0440D90000000000E3 -:0440DA0000000000E2 -:0440DB0000000000E1 -:0440DC0000000000E0 -:0440DD0000000000DF -:0440DE0000000000DE -:0440DF0000000000DD -:0440E00000000000DC -:0440E10000000000DB -:0440E20000000000DA -:0440E30000000000D9 -:0440E40000000000D8 -:0440E50000000000D7 -:0440E60000000000D6 -:0440E70000000000D5 -:0440E80000000000D4 -:0440E90000000000D3 -:0440EA0000000000D2 -:0440EB0000000000D1 -:0440EC0000000000D0 -:0440ED0000000000CF -:0440EE0000000000CE -:0440EF0000000000CD -:0440F00000000000CC -:0440F10000000000CB -:0440F20000000000CA -:0440F30000000000C9 -:0440F40000000000C8 -:0440F50000000000C7 -:0440F60000000000C6 -:0440F70000000000C5 -:0440F80000000000C4 -:0440F90000000000C3 -:0440FA0000000000C2 -:0440FB0000000000C1 -:0440FC0000000000C0 -:0440FD0000000000BF -:0440FE0000000000BE -:0440FF0000000000BD -:0441000000000000BB -:0441010000000000BA -:0441020000000000B9 -:0441030000000000B8 -:0441040000000000B7 -:0441050000000000B6 -:0441060000000000B5 -:0441070000000000B4 -:0441080000000000B3 -:0441090000000000B2 -:04410A0000000000B1 -:04410B0000000000B0 -:04410C0000000000AF -:04410D0000000000AE -:04410E0000000000AD -:04410F0000000000AC -:0441100000000000AB -:0441110000000000AA -:0441120000000000A9 -:0441130000000000A8 -:0441140000000000A7 -:0441150000000000A6 -:0441160000000000A5 -:0441170000000000A4 -:0441180000000000A3 -:0441190000000000A2 -:04411A0000000000A1 -:04411B0000000000A0 -:04411C00000000009F -:04411D00000000009E -:04411E00000000009D -:04411F00000000009C -:04412000000000009B -:04412100000000009A -:044122000000000099 -:044123000000000098 -:044124000000000097 -:044125000000000096 -:044126000000000095 -:044127000000000094 -:044128000000000093 -:044129000000000092 -:04412A000000000091 -:04412B000000000090 -:04412C00000000008F -:04412D00000000008E -:04412E00000000008D -:04412F00000000008C -:04413000000000008B -:04413100000000008A -:044132000000000089 -:044133000000000088 -:044134000000000087 -:044135000000000086 -:044136000000000085 -:044137000000000084 -:044138000000000083 -:044139000000000082 -:04413A000000000081 -:04413B000000000080 -:04413C00000000007F -:04413D00000000007E -:04413E00000000007D -:04413F00000000007C -:04414000000000007B -:04414100000000007A -:044142000000000079 -:044143000000000078 -:044144000000000077 -:044145000000000076 -:044146000000000075 -:044147000000000074 -:044148000000000073 -:044149000000000072 -:04414A000000000071 -:04414B000000000070 -:04414C00000000006F -:04414D00000000006E -:04414E00000000006D -:04414F00000000006C -:04415000000000006B -:04415100000000006A -:044152000000000069 -:044153000000000068 -:044154000000000067 -:044155000000000066 -:044156000000000065 -:044157000000000064 -:044158000000000063 -:044159000000000062 -:04415A000000000061 -:04415B000000000060 -:04415C00000000005F -:04415D00000000005E -:04415E00000000005D -:04415F00000000005C -:04416000000000005B -:04416100000000005A -:044162000000000059 -:044163000000000058 -:044164000000000057 -:044165000000000056 -:044166000000000055 -:044167000000000054 -:044168000000000053 -:044169000000000052 -:04416A000000000051 -:04416B000000000050 -:04416C00000000004F -:04416D00000000004E -:04416E00000000004D -:04416F00000000004C -:04417000000000004B -:04417100000000004A -:044172000000000049 -:044173000000000048 -:044174000000000047 -:044175000000000046 -:044176000000000045 -:044177000000000044 -:044178000000000043 -:044179000000000042 -:04417A000000000041 -:04417B000000000040 -:04417C00000000003F -:04417D00000000003E -:04417E00000000003D -:04417F00000000003C -:04418000000000003B -:04418100000000003A -:044182000000000039 -:044183000000000038 -:044184000000000037 -:044185000000000036 -:044186000000000035 -:044187000000000034 -:044188000000000033 -:044189000000000032 -:04418A000000000031 -:04418B000000000030 -:04418C00000000002F -:04418D00000000002E -:04418E00000000002D -:04418F00000000002C -:04419000000000002B -:04419100000000002A -:044192000000000029 -:044193000000000028 -:044194000000000027 -:044195000000000026 -:044196000000000025 -:044197000000000024 -:044198000000000023 -:044199000000000022 -:04419A000000000021 -:04419B000000000020 -:04419C00000000001F -:04419D00000000001E -:04419E00000000001D -:04419F00000000001C -:0441A000000000001B -:0441A100000000001A -:0441A2000000000019 -:0441A3000000000018 -:0441A4000000000017 -:0441A5000000000016 -:0441A6000000000015 -:0441A7000000000014 -:0441A8000000000013 -:0441A9000000000012 -:0441AA000000000011 -:0441AB000000000010 -:0441AC00000000000F -:0441AD00000000000E -:0441AE00000000000D -:0441AF00000000000C -:0441B000000000000B -:0441B100000000000A -:0441B2000000000009 -:0441B3000000000008 -:0441B4000000000007 -:0441B5000000000006 -:0441B6000000000005 -:0441B7000000000004 -:0441B8000000000003 -:0441B9000000000002 -:0441BA000000000001 -:0441BB000000000000 -:0441BC0000000000FF -:0441BD0000000000FE -:0441BE0000000000FD -:0441BF0000000000FC -:0441C00000000000FB -:0441C10000000000FA -:0441C20000000000F9 -:0441C30000000000F8 -:0441C40000000000F7 -:0441C50000000000F6 -:0441C60000000000F5 -:0441C70000000000F4 -:0441C80000000000F3 -:0441C90000000000F2 -:0441CA0000000000F1 -:0441CB0000000000F0 -:0441CC0000000000EF -:0441CD0000000000EE -:0441CE0000000000ED -:0441CF0000000000EC -:0441D00000000000EB -:0441D10000000000EA -:0441D20000000000E9 -:0441D30000000000E8 -:0441D40000000000E7 -:0441D50000000000E6 -:0441D60000000000E5 -:0441D70000000000E4 -:0441D80000000000E3 -:0441D90000000000E2 -:0441DA0000000000E1 -:0441DB0000000000E0 -:0441DC0000000000DF -:0441DD0000000000DE -:0441DE0000000000DD -:0441DF0000000000DC -:0441E00000000000DB -:0441E10000000000DA -:0441E20000000000D9 -:0441E30000000000D8 -:0441E40000000000D7 -:0441E50000000000D6 -:0441E60000000000D5 -:0441E70000000000D4 -:0441E80000000000D3 -:0441E90000000000D2 -:0441EA0000000000D1 -:0441EB0000000000D0 -:0441EC0000000000CF -:0441ED0000000000CE -:0441EE0000000000CD -:0441EF0000000000CC -:0441F00000000000CB -:0441F10000000000CA -:0441F20000000000C9 -:0441F30000000000C8 -:0441F40000000000C7 -:0441F50000000000C6 -:0441F60000000000C5 -:0441F70000000000C4 -:0441F80000000000C3 -:0441F90000000000C2 -:0441FA0000000000C1 -:0441FB0000000000C0 -:0441FC0000000000BF -:0441FD0000000000BE -:0441FE0000000000BD -:0441FF0000000000BC -:0442000000000000BA -:0442010000000000B9 -:0442020000000000B8 -:0442030000000000B7 -:0442040000000000B6 -:0442050000000000B5 -:0442060000000000B4 -:0442070000000000B3 -:0442080000000000B2 -:0442090000000000B1 -:04420A0000000000B0 -:04420B0000000000AF -:04420C0000000000AE -:04420D0000000000AD -:04420E0000000000AC -:04420F0000000000AB -:0442100000000000AA -:0442110000000000A9 -:0442120000000000A8 -:0442130000000000A7 -:0442140000000000A6 -:0442150000000000A5 -:0442160000000000A4 -:0442170000000000A3 -:0442180000000000A2 -:0442190000000000A1 -:04421A0000000000A0 -:04421B00000000009F -:04421C00000000009E -:04421D00000000009D -:04421E00000000009C -:04421F00000000009B -:04422000000000009A -:044221000000000099 -:044222000000000098 -:044223000000000097 -:044224000000000096 -:044225000000000095 -:044226000000000094 -:044227000000000093 -:044228000000000092 -:044229000000000091 -:04422A000000000090 -:04422B00000000008F -:04422C00000000008E -:04422D00000000008D -:04422E00000000008C -:04422F00000000008B -:04423000000000008A -:044231000000000089 -:044232000000000088 -:044233000000000087 -:044234000000000086 -:044235000000000085 -:044236000000000084 -:044237000000000083 -:044238000000000082 -:044239000000000081 -:04423A000000000080 -:04423B00000000007F -:04423C00000000007E -:04423D00000000007D -:04423E00000000007C -:04423F00000000007B -:04424000000000007A -:044241000000000079 -:044242000000000078 -:044243000000000077 -:044244000000000076 -:044245000000000075 -:044246000000000074 -:044247000000000073 -:044248000000000072 -:044249000000000071 -:04424A000000000070 -:04424B00000000006F -:04424C00000000006E -:04424D00000000006D -:04424E00000000006C -:04424F00000000006B -:04425000000000006A -:044251000000000069 -:044252000000000068 -:044253000000000067 -:044254000000000066 -:044255000000000065 -:044256000000000064 -:044257000000000063 -:044258000000000062 -:044259000000000061 -:04425A000000000060 -:04425B00000000005F -:04425C00000000005E -:04425D00000000005D -:04425E00000000005C -:04425F00000000005B -:04426000000000005A -:044261000000000059 -:044262000000000058 -:044263000000000057 -:044264000000000056 -:044265000000000055 -:044266000000000054 -:044267000000000053 -:044268000000000052 -:044269000000000051 -:04426A000000000050 -:04426B00000000004F -:04426C00000000004E -:04426D00000000004D -:04426E00000000004C -:04426F00000000004B -:04427000000000004A -:044271000000000049 -:044272000000000048 -:044273000000000047 -:044274000000000046 -:044275000000000045 -:044276000000000044 -:044277000000000043 -:044278000000000042 -:044279000000000041 -:04427A000000000040 -:04427B00000000003F -:04427C00000000003E -:04427D00000000003D -:04427E00000000003C -:04427F00000000003B -:04428000000000003A -:044281000000000039 -:044282000000000038 -:044283000000000037 -:044284000000000036 -:044285000000000035 -:044286000000000034 -:044287000000000033 -:044288000000000032 -:044289000000000031 -:04428A000000000030 -:04428B00000000002F -:04428C00000000002E -:04428D00000000002D -:04428E00000000002C -:04428F00000000002B -:04429000000000002A -:044291000000000029 -:044292000000000028 -:044293000000000027 -:044294000000000026 -:044295000000000025 -:044296000000000024 -:044297000000000023 -:044298000000000022 -:044299000000000021 -:04429A000000000020 -:04429B00000000001F -:04429C00000000001E -:04429D00000000001D -:04429E00000000001C -:04429F00000000001B -:0442A000000000001A -:0442A1000000000019 -:0442A2000000000018 -:0442A3000000000017 -:0442A4000000000016 -:0442A5000000000015 -:0442A6000000000014 -:0442A7000000000013 -:0442A8000000000012 -:0442A9000000000011 -:0442AA000000000010 -:0442AB00000000000F -:0442AC00000000000E -:0442AD00000000000D -:0442AE00000000000C -:0442AF00000000000B -:0442B000000000000A -:0442B1000000000009 -:0442B2000000000008 -:0442B3000000000007 -:0442B4000000000006 -:0442B5000000000005 -:0442B6000000000004 -:0442B7000000000003 -:0442B8000000000002 -:0442B9000000000001 -:0442BA000000000000 -:0442BB0000000000FF -:0442BC0000000000FE -:0442BD0000000000FD -:0442BE0000000000FC -:0442BF0000000000FB -:0442C00000000000FA -:0442C10000000000F9 -:0442C20000000000F8 -:0442C30000000000F7 -:0442C40000000000F6 -:0442C50000000000F5 -:0442C60000000000F4 -:0442C70000000000F3 -:0442C80000000000F2 -:0442C90000000000F1 -:0442CA0000000000F0 -:0442CB0000000000EF -:0442CC0000000000EE -:0442CD0000000000ED -:0442CE0000000000EC -:0442CF0000000000EB -:0442D00000000000EA -:0442D10000000000E9 -:0442D20000000000E8 -:0442D30000000000E7 -:0442D40000000000E6 -:0442D50000000000E5 -:0442D60000000000E4 -:0442D70000000000E3 -:0442D80000000000E2 -:0442D90000000000E1 -:0442DA0000000000E0 -:0442DB0000000000DF -:0442DC0000000000DE -:0442DD0000000000DD -:0442DE0000000000DC -:0442DF0000000000DB -:0442E00000000000DA -:0442E10000000000D9 -:0442E20000000000D8 -:0442E30000000000D7 -:0442E40000000000D6 -:0442E50000000000D5 -:0442E60000000000D4 -:0442E70000000000D3 -:0442E80000000000D2 -:0442E90000000000D1 -:0442EA0000000000D0 -:0442EB0000000000CF -:0442EC0000000000CE -:0442ED0000000000CD -:0442EE0000000000CC -:0442EF0000000000CB -:0442F00000000000CA -:0442F10000000000C9 -:0442F20000000000C8 -:0442F30000000000C7 -:0442F40000000000C6 -:0442F50000000000C5 -:0442F60000000000C4 -:0442F70000000000C3 -:0442F80000000000C2 -:0442F90000000000C1 -:0442FA0000000000C0 -:0442FB0000000000BF -:0442FC0000000000BE -:0442FD0000000000BD -:0442FE0000000000BC -:0442FF0000000000BB -:0443000000000000B9 -:0443010000000000B8 -:0443020000000000B7 -:0443030000000000B6 -:0443040000000000B5 -:0443050000000000B4 -:0443060000000000B3 -:0443070000000000B2 -:0443080000000000B1 -:0443090000000000B0 -:04430A0000000000AF -:04430B0000000000AE -:04430C0000000000AD -:04430D0000000000AC -:04430E0000000000AB -:04430F0000000000AA -:0443100000000000A9 -:0443110000000000A8 -:0443120000000000A7 -:0443130000000000A6 -:0443140000000000A5 -:0443150000000000A4 -:0443160000000000A3 -:0443170000000000A2 -:0443180000000000A1 -:0443190000000000A0 -:04431A00000000009F -:04431B00000000009E -:04431C00000000009D -:04431D00000000009C -:04431E00000000009B -:04431F00000000009A -:044320000000000099 -:044321000000000098 -:044322000000000097 -:044323000000000096 -:044324000000000095 -:044325000000000094 -:044326000000000093 -:044327000000000092 -:044328000000000091 -:044329000000000090 -:04432A00000000008F -:04432B00000000008E -:04432C00000000008D -:04432D00000000008C -:04432E00000000008B -:04432F00000000008A -:044330000000000089 -:044331000000000088 -:044332000000000087 -:044333000000000086 -:044334000000000085 -:044335000000000084 -:044336000000000083 -:044337000000000082 -:044338000000000081 -:044339000000000080 -:04433A00000000007F -:04433B00000000007E -:04433C00000000007D -:04433D00000000007C -:04433E00000000007B -:04433F00000000007A -:044340000000000079 -:044341000000000078 -:044342000000000077 -:044343000000000076 -:044344000000000075 -:044345000000000074 -:044346000000000073 -:044347000000000072 -:044348000000000071 -:044349000000000070 -:04434A00000000006F -:04434B00000000006E -:04434C00000000006D -:04434D00000000006C -:04434E00000000006B -:04434F00000000006A -:044350000000000069 -:044351000000000068 -:044352000000000067 -:044353000000000066 -:044354000000000065 -:044355000000000064 -:044356000000000063 -:044357000000000062 -:044358000000000061 -:044359000000000060 -:04435A00000000005F -:04435B00000000005E -:04435C00000000005D -:04435D00000000005C -:04435E00000000005B -:04435F00000000005A -:044360000000000059 -:044361000000000058 -:044362000000000057 -:044363000000000056 -:044364000000000055 -:044365000000000054 -:044366000000000053 -:044367000000000052 -:044368000000000051 -:044369000000000050 -:04436A00000000004F -:04436B00000000004E -:04436C00000000004D -:04436D00000000004C -:04436E00000000004B -:04436F00000000004A -:044370000000000049 -:044371000000000048 -:044372000000000047 -:044373000000000046 -:044374000000000045 -:044375000000000044 -:044376000000000043 -:044377000000000042 -:044378000000000041 -:044379000000000040 -:04437A00000000003F -:04437B00000000003E -:04437C00000000003D -:04437D00000000003C -:04437E00000000003B -:04437F00000000003A -:044380000000000039 -:044381000000000038 -:044382000000000037 -:044383000000000036 -:044384000000000035 -:044385000000000034 -:044386000000000033 -:044387000000000032 -:044388000000000031 -:044389000000000030 -:04438A00000000002F -:04438B00000000002E -:04438C00000000002D -:04438D00000000002C -:04438E00000000002B -:04438F00000000002A -:044390000000000029 -:044391000000000028 -:044392000000000027 -:044393000000000026 -:044394000000000025 -:044395000000000024 -:044396000000000023 -:044397000000000022 -:044398000000000021 -:044399000000000020 -:04439A00000000001F -:04439B00000000001E -:04439C00000000001D -:04439D00000000001C -:04439E00000000001B -:04439F00000000001A -:0443A0000000000019 -:0443A1000000000018 -:0443A2000000000017 -:0443A3000000000016 -:0443A4000000000015 -:0443A5000000000014 -:0443A6000000000013 -:0443A7000000000012 -:0443A8000000000011 -:0443A9000000000010 -:0443AA00000000000F -:0443AB00000000000E -:0443AC00000000000D -:0443AD00000000000C -:0443AE00000000000B -:0443AF00000000000A -:0443B0000000000009 -:0443B1000000000008 -:0443B2000000000007 -:0443B3000000000006 -:0443B4000000000005 -:0443B5000000000004 -:0443B6000000000003 -:0443B7000000000002 -:0443B8000000000001 -:0443B9000000000000 -:0443BA0000000000FF -:0443BB0000000000FE -:0443BC0000000000FD -:0443BD0000000000FC -:0443BE0000000000FB -:0443BF0000000000FA -:0443C00000000000F9 -:0443C10000000000F8 -:0443C20000000000F7 -:0443C30000000000F6 -:0443C40000000000F5 -:0443C50000000000F4 -:0443C60000000000F3 -:0443C70000000000F2 -:0443C80000000000F1 -:0443C90000000000F0 -:0443CA0000000000EF -:0443CB0000000000EE -:0443CC0000000000ED -:0443CD0000000000EC -:0443CE0000000000EB -:0443CF0000000000EA -:0443D00000000000E9 -:0443D10000000000E8 -:0443D20000000000E7 -:0443D30000000000E6 -:0443D40000000000E5 -:0443D50000000000E4 -:0443D60000000000E3 -:0443D70000000000E2 -:0443D80000000000E1 -:0443D90000000000E0 -:0443DA0000000000DF -:0443DB0000000000DE -:0443DC0000000000DD -:0443DD0000000000DC -:0443DE0000000000DB -:0443DF0000000000DA -:0443E00000000000D9 -:0443E10000000000D8 -:0443E20000000000D7 -:0443E30000000000D6 -:0443E40000000000D5 -:0443E50000000000D4 -:0443E60000000000D3 -:0443E70000000000D2 -:0443E80000000000D1 -:0443E90000000000D0 -:0443EA0000000000CF -:0443EB0000000000CE -:0443EC0000000000CD -:0443ED0000000000CC -:0443EE0000000000CB -:0443EF0000000000CA -:0443F00000000000C9 -:0443F10000000000C8 -:0443F20000000000C7 -:0443F30000000000C6 -:0443F40000000000C5 -:0443F50000000000C4 -:0443F60000000000C3 -:0443F70000000000C2 -:0443F80000000000C1 -:0443F90000000000C0 -:0443FA0000000000BF -:0443FB0000000000BE -:0443FC0000000000BD -:0443FD0000000000BC -:0443FE0000000000BB -:0443FF0000000000BA -:0444000000000000B8 -:0444010000000000B7 -:0444020000000000B6 -:0444030000000000B5 -:0444040000000000B4 -:0444050000000000B3 -:0444060000000000B2 -:0444070000000000B1 -:0444080000000000B0 -:0444090000000000AF -:04440A0000000000AE -:04440B0000000000AD -:04440C0000000000AC -:04440D0000000000AB -:04440E0000000000AA -:04440F0000000000A9 -:0444100000000000A8 -:0444110000000000A7 -:0444120000000000A6 -:0444130000000000A5 -:0444140000000000A4 -:0444150000000000A3 -:0444160000000000A2 -:0444170000000000A1 -:0444180000000000A0 -:04441900000000009F -:04441A00000000009E -:04441B00000000009D -:04441C00000000009C -:04441D00000000009B -:04441E00000000009A -:04441F000000000099 -:044420000000000098 -:044421000000000097 -:044422000000000096 -:044423000000000095 -:044424000000000094 -:044425000000000093 -:044426000000000092 -:044427000000000091 -:044428000000000090 -:04442900000000008F -:04442A00000000008E -:04442B00000000008D -:04442C00000000008C -:04442D00000000008B -:04442E00000000008A -:04442F000000000089 -:044430000000000088 -:044431000000000087 -:044432000000000086 -:044433000000000085 -:044434000000000084 -:044435000000000083 -:044436000000000082 -:044437000000000081 -:044438000000000080 -:04443900000000007F -:04443A00000000007E -:04443B00000000007D -:04443C00000000007C -:04443D00000000007B -:04443E00000000007A -:04443F000000000079 -:044440000000000078 -:044441000000000077 -:044442000000000076 -:044443000000000075 -:044444000000000074 -:044445000000000073 -:044446000000000072 -:044447000000000071 -:044448000000000070 -:04444900000000006F -:04444A00000000006E -:04444B00000000006D -:04444C00000000006C -:04444D00000000006B -:04444E00000000006A -:04444F000000000069 -:044450000000000068 -:044451000000000067 -:044452000000000066 -:044453000000000065 -:044454000000000064 -:044455000000000063 -:044456000000000062 -:044457000000000061 -:044458000000000060 -:04445900000000005F -:04445A00000000005E -:04445B00000000005D -:04445C00000000005C -:04445D00000000005B -:04445E00000000005A -:04445F000000000059 -:044460000000000058 -:044461000000000057 -:044462000000000056 -:044463000000000055 -:044464000000000054 -:044465000000000053 -:044466000000000052 -:044467000000000051 -:044468000000000050 -:04446900000000004F -:04446A00000000004E -:04446B00000000004D -:04446C00000000004C -:04446D00000000004B -:04446E00000000004A -:04446F000000000049 -:044470000000000048 -:044471000000000047 -:044472000000000046 -:044473000000000045 -:044474000000000044 -:044475000000000043 -:044476000000000042 -:044477000000000041 -:044478000000000040 -:04447900000000003F -:04447A00000000003E -:04447B00000000003D -:04447C00000000003C -:04447D00000000003B -:04447E00000000003A -:04447F000000000039 -:044480000000000038 -:044481000000000037 -:044482000000000036 -:044483000000000035 -:044484000000000034 -:044485000000000033 -:044486000000000032 -:044487000000000031 -:044488000000000030 -:04448900000000002F -:04448A00000000002E -:04448B00000000002D -:04448C00000000002C -:04448D00000000002B -:04448E00000000002A -:04448F000000000029 -:044490000000000028 -:044491000000000027 -:044492000000000026 -:044493000000000025 -:044494000000000024 -:044495000000000023 -:044496000000000022 -:044497000000000021 -:044498000000000020 -:04449900000000001F -:04449A00000000001E -:04449B00000000001D -:04449C00000000001C -:04449D00000000001B -:04449E00000000001A -:04449F000000000019 -:0444A0000000000018 -:0444A1000000000017 -:0444A2000000000016 -:0444A3000000000015 -:0444A4000000000014 -:0444A5000000000013 -:0444A6000000000012 -:0444A7000000000011 -:0444A8000000000010 -:0444A900000000000F -:0444AA00000000000E -:0444AB00000000000D -:0444AC00000000000C -:0444AD00000000000B -:0444AE00000000000A -:0444AF000000000009 -:0444B0000000000008 -:0444B1000000000007 -:0444B2000000000006 -:0444B3000000000005 -:0444B4000000000004 -:0444B5000000000003 -:0444B6000000000002 -:0444B7000000000001 -:0444B8000000000000 -:0444B90000000000FF -:0444BA0000000000FE -:0444BB0000000000FD -:0444BC0000000000FC -:0444BD0000000000FB -:0444BE0000000000FA -:0444BF0000000000F9 -:0444C00000000000F8 -:0444C10000000000F7 -:0444C20000000000F6 -:0444C30000000000F5 -:0444C40000000000F4 -:0444C50000000000F3 -:0444C60000000000F2 -:0444C70000000000F1 -:0444C80000000000F0 -:0444C90000000000EF -:0444CA0000000000EE -:0444CB0000000000ED -:0444CC0000000000EC -:0444CD0000000000EB -:0444CE0000000000EA -:0444CF0000000000E9 -:0444D00000000000E8 -:0444D10000000000E7 -:0444D20000000000E6 -:0444D30000000000E5 -:0444D40000000000E4 -:0444D50000000000E3 -:0444D60000000000E2 -:0444D70000000000E1 -:0444D80000000000E0 -:0444D90000000000DF -:0444DA0000000000DE -:0444DB0000000000DD -:0444DC0000000000DC -:0444DD0000000000DB -:0444DE0000000000DA -:0444DF0000000000D9 -:0444E00000000000D8 -:0444E10000000000D7 -:0444E20000000000D6 -:0444E30000000000D5 -:0444E40000000000D4 -:0444E50000000000D3 -:0444E60000000000D2 -:0444E70000000000D1 -:0444E80000000000D0 -:0444E90000000000CF -:0444EA0000000000CE -:0444EB0000000000CD -:0444EC0000000000CC -:0444ED0000000000CB -:0444EE0000000000CA -:0444EF0000000000C9 -:0444F00000000000C8 -:0444F10000000000C7 -:0444F20000000000C6 -:0444F30000000000C5 -:0444F40000000000C4 -:0444F50000000000C3 -:0444F60000000000C2 -:0444F70000000000C1 -:0444F80000000000C0 -:0444F90000000000BF -:0444FA0000000000BE -:0444FB0000000000BD -:0444FC0000000000BC -:0444FD0000000000BB -:0444FE0000000000BA -:0444FF0000000000B9 -:0445000000000000B7 -:0445010000000000B6 -:0445020000000000B5 -:0445030000000000B4 -:0445040000000000B3 -:0445050000000000B2 -:0445060000000000B1 -:0445070000000000B0 -:0445080000000000AF -:0445090000000000AE -:04450A0000000000AD -:04450B0000000000AC -:04450C0000000000AB -:04450D0000000000AA -:04450E0000000000A9 -:04450F0000000000A8 -:0445100000000000A7 -:0445110000000000A6 -:0445120000000000A5 -:0445130000000000A4 -:0445140000000000A3 -:0445150000000000A2 -:0445160000000000A1 -:0445170000000000A0 -:04451800000000009F -:04451900000000009E -:04451A00000000009D -:04451B00000000009C -:04451C00000000009B -:04451D00000000009A -:04451E000000000099 -:04451F000000000098 -:044520000000000097 -:044521000000000096 -:044522000000000095 -:044523000000000094 -:044524000000000093 -:044525000000000092 -:044526000000000091 -:044527000000000090 -:04452800000000008F -:04452900000000008E -:04452A00000000008D -:04452B00000000008C -:04452C00000000008B -:04452D00000000008A -:04452E000000000089 -:04452F000000000088 -:044530000000000087 -:044531000000000086 -:044532000000000085 -:044533000000000084 -:044534000000000083 -:044535000000000082 -:044536000000000081 -:044537000000000080 -:04453800000000007F -:04453900000000007E -:04453A00000000007D -:04453B00000000007C -:04453C00000000007B -:04453D00000000007A -:04453E000000000079 -:04453F000000000078 -:044540000000000077 -:044541000000000076 -:044542000000000075 -:044543000000000074 -:044544000000000073 -:044545000000000072 -:044546000000000071 -:044547000000000070 -:04454800000000006F -:04454900000000006E -:04454A00000000006D -:04454B00000000006C -:04454C00000000006B -:04454D00000000006A -:04454E000000000069 -:04454F000000000068 -:044550000000000067 -:044551000000000066 -:044552000000000065 -:044553000000000064 -:044554000000000063 -:044555000000000062 -:044556000000000061 -:044557000000000060 -:04455800000000005F -:04455900000000005E -:04455A00000000005D -:04455B00000000005C -:04455C00000000005B -:04455D00000000005A -:04455E000000000059 -:04455F000000000058 -:044560000000000057 -:044561000000000056 -:044562000000000055 -:044563000000000054 -:044564000000000053 -:044565000000000052 -:044566000000000051 -:044567000000000050 -:04456800000000004F -:04456900000000004E -:04456A00000000004D -:04456B00000000004C -:04456C00000000004B -:04456D00000000004A -:04456E000000000049 -:04456F000000000048 -:044570000000000047 -:044571000000000046 -:044572000000000045 -:044573000000000044 -:044574000000000043 -:044575000000000042 -:044576000000000041 -:044577000000000040 -:04457800000000003F -:04457900000000003E -:04457A00000000003D -:04457B00000000003C -:04457C00000000003B -:04457D00000000003A -:04457E000000000039 -:04457F000000000038 -:044580000000000037 -:044581000000000036 -:044582000000000035 -:044583000000000034 -:044584000000000033 -:044585000000000032 -:044586000000000031 -:044587000000000030 -:04458800000000002F -:04458900000000002E -:04458A00000000002D -:04458B00000000002C -:04458C00000000002B -:04458D00000000002A -:04458E000000000029 -:04458F000000000028 -:044590000000000027 -:044591000000000026 -:044592000000000025 -:044593000000000024 -:044594000000000023 -:044595000000000022 -:044596000000000021 -:044597000000000020 -:04459800000000001F -:04459900000000001E -:04459A00000000001D -:04459B00000000001C -:04459C00000000001B -:04459D00000000001A -:04459E000000000019 -:04459F000000000018 -:0445A0000000000017 -:0445A1000000000016 -:0445A2000000000015 -:0445A3000000000014 -:0445A4000000000013 -:0445A5000000000012 -:0445A6000000000011 -:0445A7000000000010 -:0445A800000000000F -:0445A900000000000E -:0445AA00000000000D -:0445AB00000000000C -:0445AC00000000000B -:0445AD00000000000A -:0445AE000000000009 -:0445AF000000000008 -:0445B0000000000007 -:0445B1000000000006 -:0445B2000000000005 -:0445B3000000000004 -:0445B4000000000003 -:0445B5000000000002 -:0445B6000000000001 -:0445B7000000000000 -:0445B80000000000FF -:0445B90000000000FE -:0445BA0000000000FD -:0445BB0000000000FC -:0445BC0000000000FB -:0445BD0000000000FA -:0445BE0000000000F9 -:0445BF0000000000F8 -:0445C00000000000F7 -:0445C10000000000F6 -:0445C20000000000F5 -:0445C30000000000F4 -:0445C40000000000F3 -:0445C50000000000F2 -:0445C60000000000F1 -:0445C70000000000F0 -:0445C80000000000EF -:0445C90000000000EE -:0445CA0000000000ED -:0445CB0000000000EC -:0445CC0000000000EB -:0445CD0000000000EA -:0445CE0000000000E9 -:0445CF0000000000E8 -:0445D00000000000E7 -:0445D10000000000E6 -:0445D20000000000E5 -:0445D30000000000E4 -:0445D40000000000E3 -:0445D50000000000E2 -:0445D60000000000E1 -:0445D70000000000E0 -:0445D80000000000DF -:0445D90000000000DE -:0445DA0000000000DD -:0445DB0000000000DC -:0445DC0000000000DB -:0445DD0000000000DA -:0445DE0000000000D9 -:0445DF0000000000D8 -:0445E00000000000D7 -:0445E10000000000D6 -:0445E20000000000D5 -:0445E30000000000D4 -:0445E40000000000D3 -:0445E50000000000D2 -:0445E60000000000D1 -:0445E70000000000D0 -:0445E80000000000CF -:0445E90000000000CE -:0445EA0000000000CD -:0445EB0000000000CC -:0445EC0000000000CB -:0445ED0000000000CA -:0445EE0000000000C9 -:0445EF0000000000C8 -:0445F00000000000C7 -:0445F10000000000C6 -:0445F20000000000C5 -:0445F30000000000C4 -:0445F40000000000C3 -:0445F50000000000C2 -:0445F60000000000C1 -:0445F70000000000C0 -:0445F80000000000BF -:0445F90000000000BE -:0445FA0000000000BD -:0445FB0000000000BC -:0445FC0000000000BB -:0445FD0000000000BA -:0445FE0000000000B9 -:0445FF0000000000B8 -:0446000000000000B6 -:0446010000000000B5 -:0446020000000000B4 -:0446030000000000B3 -:0446040000000000B2 -:0446050000000000B1 -:0446060000000000B0 -:0446070000000000AF -:0446080000000000AE -:0446090000000000AD -:04460A0000000000AC -:04460B0000000000AB -:04460C0000000000AA -:04460D0000000000A9 -:04460E0000000000A8 -:04460F0000000000A7 -:0446100000000000A6 -:0446110000000000A5 -:0446120000000000A4 -:0446130000000000A3 -:0446140000000000A2 -:0446150000000000A1 -:0446160000000000A0 -:04461700000000009F -:04461800000000009E -:04461900000000009D -:04461A00000000009C -:04461B00000000009B -:04461C00000000009A -:04461D000000000099 -:04461E000000000098 -:04461F000000000097 -:044620000000000096 -:044621000000000095 -:044622000000000094 -:044623000000000093 -:044624000000000092 -:044625000000000091 -:044626000000000090 -:04462700000000008F -:04462800000000008E -:04462900000000008D -:04462A00000000008C -:04462B00000000008B -:04462C00000000008A -:04462D000000000089 -:04462E000000000088 -:04462F000000000087 -:044630000000000086 -:044631000000000085 -:044632000000000084 -:044633000000000083 -:044634000000000082 -:044635000000000081 -:044636000000000080 -:04463700000000007F -:04463800000000007E -:04463900000000007D -:04463A00000000007C -:04463B00000000007B -:04463C00000000007A -:04463D000000000079 -:04463E000000000078 -:04463F000000000077 -:044640000000000076 -:044641000000000075 -:044642000000000074 -:044643000000000073 -:044644000000000072 -:044645000000000071 -:044646000000000070 -:04464700000000006F -:04464800000000006E -:04464900000000006D -:04464A00000000006C -:04464B00000000006B -:04464C00000000006A -:04464D000000000069 -:04464E000000000068 -:04464F000000000067 -:044650000000000066 -:044651000000000065 -:044652000000000064 -:044653000000000063 -:044654000000000062 -:044655000000000061 -:044656000000000060 -:04465700000000005F -:04465800000000005E -:04465900000000005D -:04465A00000000005C -:04465B00000000005B -:04465C00000000005A -:04465D000000000059 -:04465E000000000058 -:04465F000000000057 -:044660000000000056 -:044661000000000055 -:044662000000000054 -:044663000000000053 -:044664000000000052 -:044665000000000051 -:044666000000000050 -:04466700000000004F -:04466800000000004E -:04466900000000004D -:04466A00000000004C -:04466B00000000004B -:04466C00000000004A -:04466D000000000049 -:04466E000000000048 -:04466F000000000047 -:044670000000000046 -:044671000000000045 -:044672000000000044 -:044673000000000043 -:044674000000000042 -:044675000000000041 -:044676000000000040 -:04467700000000003F -:04467800000000003E -:04467900000000003D -:04467A00000000003C -:04467B00000000003B -:04467C00000000003A -:04467D000000000039 -:04467E000000000038 -:04467F000000000037 -:044680000000000036 -:044681000000000035 -:044682000000000034 -:044683000000000033 -:044684000000000032 -:044685000000000031 -:044686000000000030 -:04468700000000002F -:04468800000000002E -:04468900000000002D -:04468A00000000002C -:04468B00000000002B -:04468C00000000002A -:04468D000000000029 -:04468E000000000028 -:04468F000000000027 -:044690000000000026 -:044691000000000025 -:044692000000000024 -:044693000000000023 -:044694000000000022 -:044695000000000021 -:044696000000000020 -:04469700000000001F -:04469800000000001E -:04469900000000001D -:04469A00000000001C -:04469B00000000001B -:04469C00000000001A -:04469D000000000019 -:04469E000000000018 -:04469F000000000017 -:0446A0000000000016 -:0446A1000000000015 -:0446A2000000000014 -:0446A3000000000013 -:0446A4000000000012 -:0446A5000000000011 -:0446A6000000000010 -:0446A700000000000F -:0446A800000000000E -:0446A900000000000D -:0446AA00000000000C -:0446AB00000000000B -:0446AC00000000000A -:0446AD000000000009 -:0446AE000000000008 -:0446AF000000000007 -:0446B0000000000006 -:0446B1000000000005 -:0446B2000000000004 -:0446B3000000000003 -:0446B4000000000002 -:0446B5000000000001 -:0446B6000000000000 -:0446B70000000000FF -:0446B80000000000FE -:0446B90000000000FD -:0446BA0000000000FC -:0446BB0000000000FB -:0446BC0000000000FA -:0446BD0000000000F9 -:0446BE0000000000F8 -:0446BF0000000000F7 -:0446C00000000000F6 -:0446C10000000000F5 -:0446C20000000000F4 -:0446C30000000000F3 -:0446C40000000000F2 -:0446C50000000000F1 -:0446C60000000000F0 -:0446C70000000000EF -:0446C80000000000EE -:0446C90000000000ED -:0446CA0000000000EC -:0446CB0000000000EB -:0446CC0000000000EA -:0446CD0000000000E9 -:0446CE0000000000E8 -:0446CF0000000000E7 -:0446D00000000000E6 -:0446D10000000000E5 -:0446D20000000000E4 -:0446D30000000000E3 -:0446D40000000000E2 -:0446D50000000000E1 -:0446D60000000000E0 -:0446D70000000000DF -:0446D80000000000DE -:0446D90000000000DD -:0446DA0000000000DC -:0446DB0000000000DB -:0446DC0000000000DA -:0446DD0000000000D9 -:0446DE0000000000D8 -:0446DF0000000000D7 -:0446E00000000000D6 -:0446E10000000000D5 -:0446E20000000000D4 -:0446E30000000000D3 -:0446E40000000000D2 -:0446E50000000000D1 -:0446E60000000000D0 -:0446E70000000000CF -:0446E80000000000CE -:0446E90000000000CD -:0446EA0000000000CC -:0446EB0000000000CB -:0446EC0000000000CA -:0446ED0000000000C9 -:0446EE0000000000C8 -:0446EF0000000000C7 -:0446F00000000000C6 -:0446F10000000000C5 -:0446F20000000000C4 -:0446F30000000000C3 -:0446F40000000000C2 -:0446F50000000000C1 -:0446F60000000000C0 -:0446F70000000000BF -:0446F80000000000BE -:0446F90000000000BD -:0446FA0000000000BC -:0446FB0000000000BB -:0446FC0000000000BA -:0446FD0000000000B9 -:0446FE0000000000B8 -:0446FF0000000000B7 -:0447000000000000B5 -:0447010000000000B4 -:0447020000000000B3 -:0447030000000000B2 -:0447040000000000B1 -:0447050000000000B0 -:0447060000000000AF -:0447070000000000AE -:0447080000000000AD -:0447090000000000AC -:04470A0000000000AB -:04470B0000000000AA -:04470C0000000000A9 -:04470D0000000000A8 -:04470E0000000000A7 -:04470F0000000000A6 -:0447100000000000A5 -:0447110000000000A4 -:0447120000000000A3 -:0447130000000000A2 -:0447140000000000A1 -:0447150000000000A0 -:04471600000000009F -:04471700000000009E -:04471800000000009D -:04471900000000009C -:04471A00000000009B -:04471B00000000009A -:04471C000000000099 -:04471D000000000098 -:04471E000000000097 -:04471F000000000096 -:044720000000000095 -:044721000000000094 -:044722000000000093 -:044723000000000092 -:044724000000000091 -:044725000000000090 -:04472600000000008F -:04472700000000008E -:04472800000000008D -:04472900000000008C -:04472A00000000008B -:04472B00000000008A -:04472C000000000089 -:04472D000000000088 -:04472E000000000087 -:04472F000000000086 -:044730000000000085 -:044731000000000084 -:044732000000000083 -:044733000000000082 -:044734000000000081 -:044735000000000080 -:04473600000000007F -:04473700000000007E -:04473800000000007D -:04473900000000007C -:04473A00000000007B -:04473B00000000007A -:04473C000000000079 -:04473D000000000078 -:04473E000000000077 -:04473F000000000076 -:044740000000000075 -:044741000000000074 -:044742000000000073 -:044743000000000072 -:044744000000000071 -:044745000000000070 -:04474600000000006F -:04474700000000006E -:04474800000000006D -:04474900000000006C -:04474A00000000006B -:04474B00000000006A -:04474C000000000069 -:04474D000000000068 -:04474E000000000067 -:04474F000000000066 -:044750000000000065 -:044751000000000064 -:044752000000000063 -:044753000000000062 -:044754000000000061 -:044755000000000060 -:04475600000000005F -:04475700000000005E -:04475800000000005D -:04475900000000005C -:04475A00000000005B -:04475B00000000005A -:04475C000000000059 -:04475D000000000058 -:04475E000000000057 -:04475F000000000056 -:044760000000000055 -:044761000000000054 -:044762000000000053 -:044763000000000052 -:044764000000000051 -:044765000000000050 -:04476600000000004F -:04476700000000004E -:04476800000000004D -:04476900000000004C -:04476A00000000004B -:04476B00000000004A -:04476C000000000049 -:04476D000000000048 -:04476E000000000047 -:04476F000000000046 -:044770000000000045 -:044771000000000044 -:044772000000000043 -:044773000000000042 -:044774000000000041 -:044775000000000040 -:04477600000000003F -:04477700000000003E -:04477800000000003D -:04477900000000003C -:04477A00000000003B -:04477B00000000003A -:04477C000000000039 -:04477D000000000038 -:04477E000000000037 -:04477F000000000036 -:044780000000000035 -:044781000000000034 -:044782000000000033 -:044783000000000032 -:044784000000000031 -:044785000000000030 -:04478600000000002F -:04478700000000002E -:04478800000000002D -:04478900000000002C -:04478A00000000002B -:04478B00000000002A -:04478C000000000029 -:04478D000000000028 -:04478E000000000027 -:04478F000000000026 -:044790000000000025 -:044791000000000024 -:044792000000000023 -:044793000000000022 -:044794000000000021 -:044795000000000020 -:04479600000000001F -:04479700000000001E -:04479800000000001D -:04479900000000001C -:04479A00000000001B -:04479B00000000001A -:04479C000000000019 -:04479D000000000018 -:04479E000000000017 -:04479F000000000016 -:0447A0000000000015 -:0447A1000000000014 -:0447A2000000000013 -:0447A3000000000012 -:0447A4000000000011 -:0447A5000000000010 -:0447A600000000000F -:0447A700000000000E -:0447A800000000000D -:0447A900000000000C -:0447AA00000000000B -:0447AB00000000000A -:0447AC000000000009 -:0447AD000000000008 -:0447AE000000000007 -:0447AF000000000006 -:0447B0000000000005 -:0447B1000000000004 -:0447B2000000000003 -:0447B3000000000002 -:0447B4000000000001 -:0447B5000000000000 -:0447B60000000000FF -:0447B70000000000FE -:0447B80000000000FD -:0447B90000000000FC -:0447BA0000000000FB -:0447BB0000000000FA -:0447BC0000000000F9 -:0447BD0000000000F8 -:0447BE0000000000F7 -:0447BF0000000000F6 -:0447C00000000000F5 -:0447C10000000000F4 -:0447C20000000000F3 -:0447C30000000000F2 -:0447C40000000000F1 -:0447C50000000000F0 -:0447C60000000000EF -:0447C70000000000EE -:0447C80000000000ED -:0447C90000000000EC -:0447CA0000000000EB -:0447CB0000000000EA -:0447CC0000000000E9 -:0447CD0000000000E8 -:0447CE0000000000E7 -:0447CF0000000000E6 -:0447D00000000000E5 -:0447D10000000000E4 -:0447D20000000000E3 -:0447D30000000000E2 -:0447D40000000000E1 -:0447D50000000000E0 -:0447D60000000000DF -:0447D70000000000DE -:0447D80000000000DD -:0447D90000000000DC -:0447DA0000000000DB -:0447DB0000000000DA -:0447DC0000000000D9 -:0447DD0000000000D8 -:0447DE0000000000D7 -:0447DF0000000000D6 -:0447E00000000000D5 -:0447E10000000000D4 -:0447E20000000000D3 -:0447E30000000000D2 -:0447E40000000000D1 -:0447E50000000000D0 -:0447E60000000000CF -:0447E70000000000CE -:0447E80000000000CD -:0447E90000000000CC -:0447EA0000000000CB -:0447EB0000000000CA -:0447EC0000000000C9 -:0447ED0000000000C8 -:0447EE0000000000C7 -:0447EF0000000000C6 -:0447F00000000000C5 -:0447F10000000000C4 -:0447F20000000000C3 -:0447F30000000000C2 -:0447F40000000000C1 -:0447F50000000000C0 -:0447F60000000000BF -:0447F70000000000BE -:0447F80000000000BD -:0447F90000000000BC -:0447FA0000000000BB -:0447FB0000000000BA -:0447FC0000000000B9 -:0447FD0000000000B8 -:0447FE0000000000B7 -:0447FF0000000000B6 -:0448000000000000B4 -:0448010000000000B3 -:0448020000000000B2 -:0448030000000000B1 -:0448040000000000B0 -:0448050000000000AF -:0448060000000000AE -:0448070000000000AD -:0448080000000000AC -:0448090000000000AB -:04480A0000000000AA -:04480B0000000000A9 -:04480C0000000000A8 -:04480D0000000000A7 -:04480E0000000000A6 -:04480F0000000000A5 -:0448100000000000A4 -:0448110000000000A3 -:0448120000000000A2 -:0448130000000000A1 -:0448140000000000A0 -:04481500000000009F -:04481600000000009E -:04481700000000009D -:04481800000000009C -:04481900000000009B -:04481A00000000009A -:04481B000000000099 -:04481C000000000098 -:04481D000000000097 -:04481E000000000096 -:04481F000000000095 -:044820000000000094 -:044821000000000093 -:044822000000000092 -:044823000000000091 -:044824000000000090 -:04482500000000008F -:04482600000000008E -:04482700000000008D -:04482800000000008C -:04482900000000008B -:04482A00000000008A -:04482B000000000089 -:04482C000000000088 -:04482D000000000087 -:04482E000000000086 -:04482F000000000085 -:044830000000000084 -:044831000000000083 -:044832000000000082 -:044833000000000081 -:044834000000000080 -:04483500000000007F -:04483600000000007E -:04483700000000007D -:04483800000000007C -:04483900000000007B -:04483A00000000007A -:04483B000000000079 -:04483C000000000078 -:04483D000000000077 -:04483E000000000076 -:04483F000000000075 -:044840000000000074 -:044841000000000073 -:044842000000000072 -:044843000000000071 -:044844000000000070 -:04484500000000006F -:04484600000000006E -:04484700000000006D -:04484800000000006C -:04484900000000006B -:04484A00000000006A -:04484B000000000069 -:04484C000000000068 -:04484D000000000067 -:04484E000000000066 -:04484F000000000065 -:044850000000000064 -:044851000000000063 -:044852000000000062 -:044853000000000061 -:044854000000000060 -:04485500000000005F -:04485600000000005E -:04485700000000005D -:04485800000000005C -:04485900000000005B -:04485A00000000005A -:04485B000000000059 -:04485C000000000058 -:04485D000000000057 -:04485E000000000056 -:04485F000000000055 -:044860000000000054 -:044861000000000053 -:044862000000000052 -:044863000000000051 -:044864000000000050 -:04486500000000004F -:04486600000000004E -:04486700000000004D -:04486800000000004C -:04486900000000004B -:04486A00000000004A -:04486B000000000049 -:04486C000000000048 -:04486D000000000047 -:04486E000000000046 -:04486F000000000045 -:044870000000000044 -:044871000000000043 -:044872000000000042 -:044873000000000041 -:044874000000000040 -:04487500000000003F -:04487600000000003E -:04487700000000003D -:04487800000000003C -:04487900000000003B -:04487A00000000003A -:04487B000000000039 -:04487C000000000038 -:04487D000000000037 -:04487E000000000036 -:04487F000000000035 -:044880000000000034 -:044881000000000033 -:044882000000000032 -:044883000000000031 -:044884000000000030 -:04488500000000002F -:04488600000000002E -:04488700000000002D -:04488800000000002C -:04488900000000002B -:04488A00000000002A -:04488B000000000029 -:04488C000000000028 -:04488D000000000027 -:04488E000000000026 -:04488F000000000025 -:044890000000000024 -:044891000000000023 -:044892000000000022 -:044893000000000021 -:044894000000000020 -:04489500000000001F -:04489600000000001E -:04489700000000001D -:04489800000000001C -:04489900000000001B -:04489A00000000001A -:04489B000000000019 -:04489C000000000018 -:04489D000000000017 -:04489E000000000016 -:04489F000000000015 -:0448A0000000000014 -:0448A1000000000013 -:0448A2000000000012 -:0448A3000000000011 -:0448A4000000000010 -:0448A500000000000F -:0448A600000000000E -:0448A700000000000D -:0448A800000000000C -:0448A900000000000B -:0448AA00000000000A -:0448AB000000000009 -:0448AC000000000008 -:0448AD000000000007 -:0448AE000000000006 -:0448AF000000000005 -:0448B0000000000004 -:0448B1000000000003 -:0448B2000000000002 -:0448B3000000000001 -:0448B4000000000000 -:0448B50000000000FF -:0448B60000000000FE -:0448B70000000000FD -:0448B80000000000FC -:0448B90000000000FB -:0448BA0000000000FA -:0448BB0000000000F9 -:0448BC0000000000F8 -:0448BD0000000000F7 -:0448BE0000000000F6 -:0448BF0000000000F5 -:0448C00000000000F4 -:0448C10000000000F3 -:0448C20000000000F2 -:0448C30000000000F1 -:0448C40000000000F0 -:0448C50000000000EF -:0448C60000000000EE -:0448C70000000000ED -:0448C80000000000EC -:0448C90000000000EB -:0448CA0000000000EA -:0448CB0000000000E9 -:0448CC0000000000E8 -:0448CD0000000000E7 -:0448CE0000000000E6 -:0448CF0000000000E5 -:0448D00000000000E4 -:0448D10000000000E3 -:0448D20000000000E2 -:0448D30000000000E1 -:0448D40000000000E0 -:0448D50000000000DF -:0448D60000000000DE -:0448D70000000000DD -:0448D80000000000DC -:0448D90000000000DB -:0448DA0000000000DA -:0448DB0000000000D9 -:0448DC0000000000D8 -:0448DD0000000000D7 -:0448DE0000000000D6 -:0448DF0000000000D5 -:0448E00000000000D4 -:0448E10000000000D3 -:0448E20000000000D2 -:0448E30000000000D1 -:0448E40000000000D0 -:0448E50000000000CF -:0448E60000000000CE -:0448E70000000000CD -:0448E80000000000CC -:0448E90000000000CB -:0448EA0000000000CA -:0448EB0000000000C9 -:0448EC0000000000C8 -:0448ED0000000000C7 -:0448EE0000000000C6 -:0448EF0000000000C5 -:0448F00000000000C4 -:0448F10000000000C3 -:0448F20000000000C2 -:0448F30000000000C1 -:0448F40000000000C0 -:0448F50000000000BF -:0448F60000000000BE -:0448F70000000000BD -:0448F80000000000BC -:0448F90000000000BB -:0448FA0000000000BA -:0448FB0000000000B9 -:0448FC0000000000B8 -:0448FD0000000000B7 -:0448FE0000000000B6 -:0448FF0000000000B5 -:0449000000000000B3 -:0449010000000000B2 -:0449020000000000B1 -:0449030000000000B0 -:0449040000000000AF -:0449050000000000AE -:0449060000000000AD -:0449070000000000AC -:0449080000000000AB -:0449090000000000AA -:04490A0000000000A9 -:04490B0000000000A8 -:04490C0000000000A7 -:04490D0000000000A6 -:04490E0000000000A5 -:04490F0000000000A4 -:0449100000000000A3 -:0449110000000000A2 -:0449120000000000A1 -:0449130000000000A0 -:04491400000000009F -:04491500000000009E -:04491600000000009D -:04491700000000009C -:04491800000000009B -:04491900000000009A -:04491A000000000099 -:04491B000000000098 -:04491C000000000097 -:04491D000000000096 -:04491E000000000095 -:04491F000000000094 -:044920000000000093 -:044921000000000092 -:044922000000000091 -:044923000000000090 -:04492400000000008F -:04492500000000008E -:04492600000000008D -:04492700000000008C -:04492800000000008B -:04492900000000008A -:04492A000000000089 -:04492B000000000088 -:04492C000000000087 -:04492D000000000086 -:04492E000000000085 -:04492F000000000084 -:044930000000000083 -:044931000000000082 -:044932000000000081 -:044933000000000080 -:04493400000000007F -:04493500000000007E -:04493600000000007D -:04493700000000007C -:04493800000000007B -:04493900000000007A -:04493A000000000079 -:04493B000000000078 -:04493C000000000077 -:04493D000000000076 -:04493E000000000075 -:04493F000000000074 -:044940000000000073 -:044941000000000072 -:044942000000000071 -:044943000000000070 -:04494400000000006F -:04494500000000006E -:04494600000000006D -:04494700000000006C -:04494800000000006B -:04494900000000006A -:04494A000000000069 -:04494B000000000068 -:04494C000000000067 -:04494D000000000066 -:04494E000000000065 -:04494F000000000064 -:044950000000000063 -:044951000000000062 -:044952000000000061 -:044953000000000060 -:04495400000000005F -:04495500000000005E -:04495600000000005D -:04495700000000005C -:04495800000000005B -:04495900000000005A -:04495A000000000059 -:04495B000000000058 -:04495C000000000057 -:04495D000000000056 -:04495E000000000055 -:04495F000000000054 -:044960000000000053 -:044961000000000052 -:044962000000000051 -:044963000000000050 -:04496400000000004F -:04496500000000004E -:04496600000000004D -:04496700000000004C -:04496800000000004B -:04496900000000004A -:04496A000000000049 -:04496B000000000048 -:04496C000000000047 -:04496D000000000046 -:04496E000000000045 -:04496F000000000044 -:044970000000000043 -:044971000000000042 -:044972000000000041 -:044973000000000040 -:04497400000000003F -:04497500000000003E -:04497600000000003D -:04497700000000003C -:04497800000000003B -:04497900000000003A -:04497A000000000039 -:04497B000000000038 -:04497C000000000037 -:04497D000000000036 -:04497E000000000035 -:04497F000000000034 -:044980000000000033 -:044981000000000032 -:044982000000000031 -:044983000000000030 -:04498400000000002F -:04498500000000002E -:04498600000000002D -:04498700000000002C -:04498800000000002B -:04498900000000002A -:04498A000000000029 -:04498B000000000028 -:04498C000000000027 -:04498D000000000026 -:04498E000000000025 -:04498F000000000024 -:044990000000000023 -:044991000000000022 -:044992000000000021 -:044993000000000020 -:04499400000000001F -:04499500000000001E -:04499600000000001D -:04499700000000001C -:04499800000000001B -:04499900000000001A -:04499A000000000019 -:04499B000000000018 -:04499C000000000017 -:04499D000000000016 -:04499E000000000015 -:04499F000000000014 -:0449A0000000000013 -:0449A1000000000012 -:0449A2000000000011 -:0449A3000000000010 -:0449A400000000000F -:0449A500000000000E -:0449A600000000000D -:0449A700000000000C -:0449A800000000000B -:0449A900000000000A -:0449AA000000000009 -:0449AB000000000008 -:0449AC000000000007 -:0449AD000000000006 -:0449AE000000000005 -:0449AF000000000004 -:0449B0000000000003 -:0449B1000000000002 -:0449B2000000000001 -:0449B3000000000000 -:0449B40000000000FF -:0449B50000000000FE -:0449B60000000000FD -:0449B70000000000FC -:0449B80000000000FB -:0449B90000000000FA -:0449BA0000000000F9 -:0449BB0000000000F8 -:0449BC0000000000F7 -:0449BD0000000000F6 -:0449BE0000000000F5 -:0449BF0000000000F4 -:0449C00000000000F3 -:0449C10000000000F2 -:0449C20000000000F1 -:0449C30000000000F0 -:0449C40000000000EF -:0449C50000000000EE -:0449C60000000000ED -:0449C70000000000EC -:0449C80000000000EB -:0449C90000000000EA -:0449CA0000000000E9 -:0449CB0000000000E8 -:0449CC0000000000E7 -:0449CD0000000000E6 -:0449CE0000000000E5 -:0449CF0000000000E4 -:0449D00000000000E3 -:0449D10000000000E2 -:0449D20000000000E1 -:0449D30000000000E0 -:0449D40000000000DF -:0449D50000000000DE -:0449D60000000000DD -:0449D70000000000DC -:0449D80000000000DB -:0449D90000000000DA -:0449DA0000000000D9 -:0449DB0000000000D8 -:0449DC0000000000D7 -:0449DD0000000000D6 -:0449DE0000000000D5 -:0449DF0000000000D4 -:0449E00000000000D3 -:0449E10000000000D2 -:0449E20000000000D1 -:0449E30000000000D0 -:0449E40000000000CF -:0449E50000000000CE -:0449E60000000000CD -:0449E70000000000CC -:0449E80000000000CB -:0449E90000000000CA -:0449EA0000000000C9 -:0449EB0000000000C8 -:0449EC0000000000C7 -:0449ED0000000000C6 -:0449EE0000000000C5 -:0449EF0000000000C4 -:0449F00000000000C3 -:0449F10000000000C2 -:0449F20000000000C1 -:0449F30000000000C0 -:0449F40000000000BF -:0449F50000000000BE -:0449F60000000000BD -:0449F70000000000BC -:0449F80000000000BB -:0449F90000000000BA -:0449FA0000000000B9 -:0449FB0000000000B8 -:0449FC0000000000B7 -:0449FD0000000000B6 -:0449FE0000000000B5 -:0449FF0000000000B4 -:044A000000000000B2 -:044A010000000000B1 -:044A020000000000B0 -:044A030000000000AF -:044A040000000000AE -:044A050000000000AD -:044A060000000000AC -:044A070000000000AB -:044A080000000000AA -:044A090000000000A9 -:044A0A0000000000A8 -:044A0B0000000000A7 -:044A0C0000000000A6 -:044A0D0000000000A5 -:044A0E0000000000A4 -:044A0F0000000000A3 -:044A100000000000A2 -:044A110000000000A1 -:044A120000000000A0 -:044A1300000000009F -:044A1400000000009E -:044A1500000000009D -:044A1600000000009C -:044A1700000000009B -:044A1800000000009A -:044A19000000000099 -:044A1A000000000098 -:044A1B000000000097 -:044A1C000000000096 -:044A1D000000000095 -:044A1E000000000094 -:044A1F000000000093 -:044A20000000000092 -:044A21000000000091 -:044A22000000000090 -:044A2300000000008F -:044A2400000000008E -:044A2500000000008D -:044A2600000000008C -:044A2700000000008B -:044A2800000000008A -:044A29000000000089 -:044A2A000000000088 -:044A2B000000000087 -:044A2C000000000086 -:044A2D000000000085 -:044A2E000000000084 -:044A2F000000000083 -:044A30000000000082 -:044A31000000000081 -:044A32000000000080 -:044A3300000000007F -:044A3400000000007E -:044A3500000000007D -:044A3600000000007C -:044A3700000000007B -:044A3800000000007A -:044A39000000000079 -:044A3A000000000078 -:044A3B000000000077 -:044A3C000000000076 -:044A3D000000000075 -:044A3E000000000074 -:044A3F000000000073 -:044A40000000000072 -:044A41000000000071 -:044A42000000000070 -:044A4300000000006F -:044A4400000000006E -:044A4500000000006D -:044A4600000000006C -:044A4700000000006B -:044A4800000000006A -:044A49000000000069 -:044A4A000000000068 -:044A4B000000000067 -:044A4C000000000066 -:044A4D000000000065 -:044A4E000000000064 -:044A4F000000000063 -:044A50000000000062 -:044A51000000000061 -:044A52000000000060 -:044A5300000000005F -:044A5400000000005E -:044A5500000000005D -:044A5600000000005C -:044A5700000000005B -:044A5800000000005A -:044A59000000000059 -:044A5A000000000058 -:044A5B000000000057 -:044A5C000000000056 -:044A5D000000000055 -:044A5E000000000054 -:044A5F000000000053 -:044A60000000000052 -:044A61000000000051 -:044A62000000000050 -:044A6300000000004F -:044A6400000000004E -:044A6500000000004D -:044A6600000000004C -:044A6700000000004B -:044A6800000000004A -:044A69000000000049 -:044A6A000000000048 -:044A6B000000000047 -:044A6C000000000046 -:044A6D000000000045 -:044A6E000000000044 -:044A6F000000000043 -:044A70000000000042 -:044A71000000000041 -:044A72000000000040 -:044A7300000000003F -:044A7400000000003E -:044A7500000000003D -:044A7600000000003C -:044A7700000000003B -:044A7800000000003A -:044A79000000000039 -:044A7A000000000038 -:044A7B000000000037 -:044A7C000000000036 -:044A7D000000000035 -:044A7E000000000034 -:044A7F000000000033 -:044A80000000000032 -:044A81000000000031 -:044A82000000000030 -:044A8300000000002F -:044A8400000000002E -:044A8500000000002D -:044A8600000000002C -:044A8700000000002B -:044A8800000000002A -:044A89000000000029 -:044A8A000000000028 -:044A8B000000000027 -:044A8C000000000026 -:044A8D000000000025 -:044A8E000000000024 -:044A8F000000000023 -:044A90000000000022 -:044A91000000000021 -:044A92000000000020 -:044A9300000000001F -:044A9400000000001E -:044A9500000000001D -:044A9600000000001C -:044A9700000000001B -:044A9800000000001A -:044A99000000000019 -:044A9A000000000018 -:044A9B000000000017 -:044A9C000000000016 -:044A9D000000000015 -:044A9E000000000014 -:044A9F000000000013 -:044AA0000000000012 -:044AA1000000000011 -:044AA2000000000010 -:044AA300000000000F -:044AA400000000000E -:044AA500000000000D -:044AA600000000000C -:044AA700000000000B -:044AA800000000000A -:044AA9000000000009 -:044AAA000000000008 -:044AAB000000000007 -:044AAC000000000006 -:044AAD000000000005 -:044AAE000000000004 -:044AAF000000000003 -:044AB0000000000002 -:044AB1000000000001 -:044AB2000000000000 -:044AB30000000000FF -:044AB40000000000FE -:044AB50000000000FD -:044AB60000000000FC -:044AB70000000000FB -:044AB80000000000FA -:044AB90000000000F9 -:044ABA0000000000F8 -:044ABB0000000000F7 -:044ABC0000000000F6 -:044ABD0000000000F5 -:044ABE0000000000F4 -:044ABF0000000000F3 -:044AC00000000000F2 -:044AC10000000000F1 -:044AC20000000000F0 -:044AC30000000000EF -:044AC40000000000EE -:044AC50000000000ED -:044AC60000000000EC -:044AC70000000000EB -:044AC80000000000EA -:044AC90000000000E9 -:044ACA0000000000E8 -:044ACB0000000000E7 -:044ACC0000000000E6 -:044ACD0000000000E5 -:044ACE0000000000E4 -:044ACF0000000000E3 -:044AD00000000000E2 -:044AD10000000000E1 -:044AD20000000000E0 -:044AD30000000000DF -:044AD40000000000DE -:044AD50000000000DD -:044AD60000000000DC -:044AD70000000000DB -:044AD80000000000DA -:044AD90000000000D9 -:044ADA0000000000D8 -:044ADB0000000000D7 -:044ADC0000000000D6 -:044ADD0000000000D5 -:044ADE0000000000D4 -:044ADF0000000000D3 -:044AE00000000000D2 -:044AE10000000000D1 -:044AE20000000000D0 -:044AE30000000000CF -:044AE40000000000CE -:044AE50000000000CD -:044AE60000000000CC -:044AE70000000000CB -:044AE80000000000CA -:044AE90000000000C9 -:044AEA0000000000C8 -:044AEB0000000000C7 -:044AEC0000000000C6 -:044AED0000000000C5 -:044AEE0000000000C4 -:044AEF0000000000C3 -:044AF00000000000C2 -:044AF10000000000C1 -:044AF20000000000C0 -:044AF30000000000BF -:044AF40000000000BE -:044AF50000000000BD -:044AF60000000000BC -:044AF70000000000BB -:044AF80000000000BA -:044AF90000000000B9 -:044AFA0000000000B8 -:044AFB0000000000B7 -:044AFC0000000000B6 -:044AFD0000000000B5 -:044AFE0000000000B4 -:044AFF0000000000B3 -:044B000000000000B1 -:044B010000000000B0 -:044B020000000000AF -:044B030000000000AE -:044B040000000000AD -:044B050000000000AC -:044B060000000000AB -:044B070000000000AA -:044B080000000000A9 -:044B090000000000A8 -:044B0A0000000000A7 -:044B0B0000000000A6 -:044B0C0000000000A5 -:044B0D0000000000A4 -:044B0E0000000000A3 -:044B0F0000000000A2 -:044B100000000000A1 -:044B110000000000A0 -:044B1200000000009F -:044B1300000000009E -:044B1400000000009D -:044B1500000000009C -:044B1600000000009B -:044B1700000000009A -:044B18000000000099 -:044B19000000000098 -:044B1A000000000097 -:044B1B000000000096 -:044B1C000000000095 -:044B1D000000000094 -:044B1E000000000093 -:044B1F000000000092 -:044B20000000000091 -:044B21000000000090 -:044B2200000000008F -:044B2300000000008E -:044B2400000000008D -:044B2500000000008C -:044B2600000000008B -:044B2700000000008A -:044B28000000000089 -:044B29000000000088 -:044B2A000000000087 -:044B2B000000000086 -:044B2C000000000085 -:044B2D000000000084 -:044B2E000000000083 -:044B2F000000000082 -:044B30000000000081 -:044B31000000000080 -:044B3200000000007F -:044B3300000000007E -:044B3400000000007D -:044B3500000000007C -:044B3600000000007B -:044B3700000000007A -:044B38000000000079 -:044B39000000000078 -:044B3A000000000077 -:044B3B000000000076 -:044B3C000000000075 -:044B3D000000000074 -:044B3E000000000073 -:044B3F000000000072 -:044B40000000000071 -:044B41000000000070 -:044B4200000000006F -:044B4300000000006E -:044B4400000000006D -:044B4500000000006C -:044B4600000000006B -:044B4700000000006A -:044B48000000000069 -:044B49000000000068 -:044B4A000000000067 -:044B4B000000000066 -:044B4C000000000065 -:044B4D000000000064 -:044B4E000000000063 -:044B4F000000000062 -:044B50000000000061 -:044B51000000000060 -:044B5200000000005F -:044B5300000000005E -:044B5400000000005D -:044B5500000000005C -:044B5600000000005B -:044B5700000000005A -:044B58000000000059 -:044B59000000000058 -:044B5A000000000057 -:044B5B000000000056 -:044B5C000000000055 -:044B5D000000000054 -:044B5E000000000053 -:044B5F000000000052 -:044B60000000000051 -:044B61000000000050 -:044B6200000000004F -:044B6300000000004E -:044B6400000000004D -:044B6500000000004C -:044B6600000000004B -:044B6700000000004A -:044B68000000000049 -:044B69000000000048 -:044B6A000000000047 -:044B6B000000000046 -:044B6C000000000045 -:044B6D000000000044 -:044B6E000000000043 -:044B6F000000000042 -:044B70000000000041 -:044B71000000000040 -:044B7200000000003F -:044B7300000000003E -:044B7400000000003D -:044B7500000000003C -:044B7600000000003B -:044B7700000000003A -:044B78000000000039 -:044B79000000000038 -:044B7A000000000037 -:044B7B000000000036 -:044B7C000000000035 -:044B7D000000000034 -:044B7E000000000033 -:044B7F000000000032 -:044B80000000000031 -:044B81000000000030 -:044B8200000000002F -:044B8300000000002E -:044B8400000000002D -:044B8500000000002C -:044B8600000000002B -:044B8700000000002A -:044B88000000000029 -:044B89000000000028 -:044B8A000000000027 -:044B8B000000000026 -:044B8C000000000025 -:044B8D000000000024 -:044B8E000000000023 -:044B8F000000000022 -:044B90000000000021 -:044B91000000000020 -:044B9200000000001F -:044B9300000000001E -:044B9400000000001D -:044B9500000000001C -:044B9600000000001B -:044B9700000000001A -:044B98000000000019 -:044B99000000000018 -:044B9A000000000017 -:044B9B000000000016 -:044B9C000000000015 -:044B9D000000000014 -:044B9E000000000013 -:044B9F000000000012 -:044BA0000000000011 -:044BA1000000000010 -:044BA200000000000F -:044BA300000000000E -:044BA400000000000D -:044BA500000000000C -:044BA600000000000B -:044BA700000000000A -:044BA8000000000009 -:044BA9000000000008 -:044BAA000000000007 -:044BAB000000000006 -:044BAC000000000005 -:044BAD000000000004 -:044BAE000000000003 -:044BAF000000000002 -:044BB0000000000001 -:044BB1000000000000 -:044BB20000000000FF -:044BB30000000000FE -:044BB40000000000FD -:044BB50000000000FC -:044BB60000000000FB -:044BB70000000000FA -:044BB80000000000F9 -:044BB90000000000F8 -:044BBA0000000000F7 -:044BBB0000000000F6 -:044BBC0000000000F5 -:044BBD0000000000F4 -:044BBE0000000000F3 -:044BBF0000000000F2 -:044BC00000000000F1 -:044BC10000000000F0 -:044BC20000000000EF -:044BC30000000000EE -:044BC40000000000ED -:044BC50000000000EC -:044BC60000000000EB -:044BC70000000000EA -:044BC80000000000E9 -:044BC90000000000E8 -:044BCA0000000000E7 -:044BCB0000000000E6 -:044BCC0000000000E5 -:044BCD0000000000E4 -:044BCE0000000000E3 -:044BCF0000000000E2 -:044BD00000000000E1 -:044BD10000000000E0 -:044BD20000000000DF -:044BD30000000000DE -:044BD40000000000DD -:044BD50000000000DC -:044BD60000000000DB -:044BD70000000000DA -:044BD80000000000D9 -:044BD90000000000D8 -:044BDA0000000000D7 -:044BDB0000000000D6 -:044BDC0000000000D5 -:044BDD0000000000D4 -:044BDE0000000000D3 -:044BDF0000000000D2 -:044BE00000000000D1 -:044BE10000000000D0 -:044BE20000000000CF -:044BE30000000000CE -:044BE40000000000CD -:044BE50000000000CC -:044BE60000000000CB -:044BE70000000000CA -:044BE80000000000C9 -:044BE90000000000C8 -:044BEA0000000000C7 -:044BEB0000000000C6 -:044BEC0000000000C5 -:044BED0000000000C4 -:044BEE0000000000C3 -:044BEF0000000000C2 -:044BF00000000000C1 -:044BF10000000000C0 -:044BF20000000000BF -:044BF30000000000BE -:044BF40000000000BD -:044BF50000000000BC -:044BF60000000000BB -:044BF70000000000BA -:044BF80000000000B9 -:044BF90000000000B8 -:044BFA0000000000B7 -:044BFB0000000000B6 -:044BFC0000000000B5 -:044BFD0000000000B4 -:044BFE0000000000B3 -:044BFF0000000000B2 -:044C000000000000B0 -:044C010000000000AF -:044C020000000000AE -:044C030000000000AD -:044C040000000000AC -:044C050000000000AB -:044C060000000000AA -:044C070000000000A9 -:044C080000000000A8 -:044C090000000000A7 -:044C0A0000000000A6 -:044C0B0000000000A5 -:044C0C0000000000A4 -:044C0D0000000000A3 -:044C0E0000000000A2 -:044C0F0000000000A1 -:044C100000000000A0 -:044C1100000000009F -:044C1200000000009E -:044C1300000000009D -:044C1400000000009C -:044C1500000000009B -:044C1600000000009A -:044C17000000000099 -:044C18000000000098 -:044C19000000000097 -:044C1A000000000096 -:044C1B000000000095 -:044C1C000000000094 -:044C1D000000000093 -:044C1E000000000092 -:044C1F000000000091 -:044C20000000000090 -:044C2100000000008F -:044C2200000000008E -:044C2300000000008D -:044C2400000000008C -:044C2500000000008B -:044C2600000000008A -:044C27000000000089 -:044C28000000000088 -:044C29000000000087 -:044C2A000000000086 -:044C2B000000000085 -:044C2C000000000084 -:044C2D000000000083 -:044C2E000000000082 -:044C2F000000000081 -:044C30000000000080 -:044C3100000000007F -:044C3200000000007E -:044C3300000000007D -:044C3400000000007C -:044C3500000000007B -:044C3600000000007A -:044C37000000000079 -:044C38000000000078 -:044C39000000000077 -:044C3A000000000076 -:044C3B000000000075 -:044C3C000000000074 -:044C3D000000000073 -:044C3E000000000072 -:044C3F000000000071 -:044C40000000000070 -:044C4100000000006F -:044C4200000000006E -:044C4300000000006D -:044C4400000000006C -:044C4500000000006B -:044C4600000000006A -:044C47000000000069 -:044C48000000000068 -:044C49000000000067 -:044C4A000000000066 -:044C4B000000000065 -:044C4C000000000064 -:044C4D000000000063 -:044C4E000000000062 -:044C4F000000000061 -:044C50000000000060 -:044C5100000000005F -:044C5200000000005E -:044C5300000000005D -:044C5400000000005C -:044C5500000000005B -:044C5600000000005A -:044C57000000000059 -:044C58000000000058 -:044C59000000000057 -:044C5A000000000056 -:044C5B000000000055 -:044C5C000000000054 -:044C5D000000000053 -:044C5E000000000052 -:044C5F000000000051 -:044C60000000000050 -:044C6100000000004F -:044C6200000000004E -:044C6300000000004D -:044C6400000000004C -:044C6500000000004B -:044C6600000000004A -:044C67000000000049 -:044C68000000000048 -:044C69000000000047 -:044C6A000000000046 -:044C6B000000000045 -:044C6C000000000044 -:044C6D000000000043 -:044C6E000000000042 -:044C6F000000000041 -:044C70000000000040 -:044C7100000000003F -:044C7200000000003E -:044C7300000000003D -:044C7400000000003C -:044C7500000000003B -:044C7600000000003A -:044C77000000000039 -:044C78000000000038 -:044C79000000000037 -:044C7A000000000036 -:044C7B000000000035 -:044C7C000000000034 -:044C7D000000000033 -:044C7E000000000032 -:044C7F000000000031 -:044C80000000000030 -:044C8100000000002F -:044C8200000000002E -:044C8300000000002D -:044C8400000000002C -:044C8500000000002B -:044C8600000000002A -:044C87000000000029 -:044C88000000000028 -:044C89000000000027 -:044C8A000000000026 -:044C8B000000000025 -:044C8C000000000024 -:044C8D000000000023 -:044C8E000000000022 -:044C8F000000000021 -:044C90000000000020 -:044C9100000000001F -:044C9200000000001E -:044C9300000000001D -:044C9400000000001C -:044C9500000000001B -:044C9600000000001A -:044C97000000000019 -:044C98000000000018 -:044C99000000000017 -:044C9A000000000016 -:044C9B000000000015 -:044C9C000000000014 -:044C9D000000000013 -:044C9E000000000012 -:044C9F000000000011 -:044CA0000000000010 -:044CA100000000000F -:044CA200000000000E -:044CA300000000000D -:044CA400000000000C -:044CA500000000000B -:044CA600000000000A -:044CA7000000000009 -:044CA8000000000008 -:044CA9000000000007 -:044CAA000000000006 -:044CAB000000000005 -:044CAC000000000004 -:044CAD000000000003 -:044CAE000000000002 -:044CAF000000000001 -:044CB0000000000000 -:044CB10000000000FF -:044CB20000000000FE -:044CB30000000000FD -:044CB40000000000FC -:044CB50000000000FB -:044CB60000000000FA -:044CB70000000000F9 -:044CB80000000000F8 -:044CB90000000000F7 -:044CBA0000000000F6 -:044CBB0000000000F5 -:044CBC0000000000F4 -:044CBD0000000000F3 -:044CBE0000000000F2 -:044CBF0000000000F1 -:044CC00000000000F0 -:044CC10000000000EF -:044CC20000000000EE -:044CC30000000000ED -:044CC40000000000EC -:044CC50000000000EB -:044CC60000000000EA -:044CC70000000000E9 -:044CC80000000000E8 -:044CC90000000000E7 -:044CCA0000000000E6 -:044CCB0000000000E5 -:044CCC0000000000E4 -:044CCD0000000000E3 -:044CCE0000000000E2 -:044CCF0000000000E1 -:044CD00000000000E0 -:044CD10000000000DF -:044CD20000000000DE -:044CD30000000000DD -:044CD40000000000DC -:044CD50000000000DB -:044CD60000000000DA -:044CD70000000000D9 -:044CD80000000000D8 -:044CD90000000000D7 -:044CDA0000000000D6 -:044CDB0000000000D5 -:044CDC0000000000D4 -:044CDD0000000000D3 -:044CDE0000000000D2 -:044CDF0000000000D1 -:044CE00000000000D0 -:044CE10000000000CF -:044CE20000000000CE -:044CE30000000000CD -:044CE40000000000CC -:044CE50000000000CB -:044CE60000000000CA -:044CE70000000000C9 -:044CE80000000000C8 -:044CE90000000000C7 -:044CEA0000000000C6 -:044CEB0000000000C5 -:044CEC0000000000C4 -:044CED0000000000C3 -:044CEE0000000000C2 -:044CEF0000000000C1 -:044CF00000000000C0 -:044CF10000000000BF -:044CF20000000000BE -:044CF30000000000BD -:044CF40000000000BC -:044CF50000000000BB -:044CF60000000000BA -:044CF70000000000B9 -:044CF80000000000B8 -:044CF90000000000B7 -:044CFA0000000000B6 -:044CFB0000000000B5 -:044CFC0000000000B4 -:044CFD0000000000B3 -:044CFE0000000000B2 -:044CFF0000000000B1 -:044D000000000000AF -:044D010000000000AE -:044D020000000000AD -:044D030000000000AC -:044D040000000000AB -:044D050000000000AA -:044D060000000000A9 -:044D070000000000A8 -:044D080000000000A7 -:044D090000000000A6 -:044D0A0000000000A5 -:044D0B0000000000A4 -:044D0C0000000000A3 -:044D0D0000000000A2 -:044D0E0000000000A1 -:044D0F0000000000A0 -:044D1000000000009F -:044D1100000000009E -:044D1200000000009D -:044D1300000000009C -:044D1400000000009B -:044D1500000000009A -:044D16000000000099 -:044D17000000000098 -:044D18000000000097 -:044D19000000000096 -:044D1A000000000095 -:044D1B000000000094 -:044D1C000000000093 -:044D1D000000000092 -:044D1E000000000091 -:044D1F000000000090 -:044D2000000000008F -:044D2100000000008E -:044D2200000000008D -:044D2300000000008C -:044D2400000000008B -:044D2500000000008A -:044D26000000000089 -:044D27000000000088 -:044D28000000000087 -:044D29000000000086 -:044D2A000000000085 -:044D2B000000000084 -:044D2C000000000083 -:044D2D000000000082 -:044D2E000000000081 -:044D2F000000000080 -:044D3000000000007F -:044D3100000000007E -:044D3200000000007D -:044D3300000000007C -:044D3400000000007B -:044D3500000000007A -:044D36000000000079 -:044D37000000000078 -:044D38000000000077 -:044D39000000000076 -:044D3A000000000075 -:044D3B000000000074 -:044D3C000000000073 -:044D3D000000000072 -:044D3E000000000071 -:044D3F000000000070 -:044D4000000000006F -:044D4100000000006E -:044D4200000000006D -:044D4300000000006C -:044D4400000000006B -:044D4500000000006A -:044D46000000000069 -:044D47000000000068 -:044D48000000000067 -:044D49000000000066 -:044D4A000000000065 -:044D4B000000000064 -:044D4C000000000063 -:044D4D000000000062 -:044D4E000000000061 -:044D4F000000000060 -:044D5000000000005F -:044D5100000000005E -:044D5200000000005D -:044D5300000000005C -:044D5400000000005B -:044D5500000000005A -:044D56000000000059 -:044D57000000000058 -:044D58000000000057 -:044D59000000000056 -:044D5A000000000055 -:044D5B000000000054 -:044D5C000000000053 -:044D5D000000000052 -:044D5E000000000051 -:044D5F000000000050 -:044D6000000000004F -:044D6100000000004E -:044D6200000000004D -:044D6300000000004C -:044D6400000000004B -:044D6500000000004A -:044D66000000000049 -:044D67000000000048 -:044D68000000000047 -:044D69000000000046 -:044D6A000000000045 -:044D6B000000000044 -:044D6C000000000043 -:044D6D000000000042 -:044D6E000000000041 -:044D6F000000000040 -:044D7000000000003F -:044D7100000000003E -:044D7200000000003D -:044D7300000000003C -:044D7400000000003B -:044D7500000000003A -:044D76000000000039 -:044D77000000000038 -:044D78000000000037 -:044D79000000000036 -:044D7A000000000035 -:044D7B000000000034 -:044D7C000000000033 -:044D7D000000000032 -:044D7E000000000031 -:044D7F000000000030 -:044D8000000000002F -:044D8100000000002E -:044D8200000000002D -:044D8300000000002C -:044D8400000000002B -:044D8500000000002A -:044D86000000000029 -:044D87000000000028 -:044D88000000000027 -:044D89000000000026 -:044D8A000000000025 -:044D8B000000000024 -:044D8C000000000023 -:044D8D000000000022 -:044D8E000000000021 -:044D8F000000000020 -:044D9000000000001F -:044D9100000000001E -:044D9200000000001D -:044D9300000000001C -:044D9400000000001B -:044D9500000000001A -:044D96000000000019 -:044D97000000000018 -:044D98000000000017 -:044D99000000000016 -:044D9A000000000015 -:044D9B000000000014 -:044D9C000000000013 -:044D9D000000000012 -:044D9E000000000011 -:044D9F000000000010 -:044DA000000000000F -:044DA100000000000E -:044DA200000000000D -:044DA300000000000C -:044DA400000000000B -:044DA500000000000A -:044DA6000000000009 -:044DA7000000000008 -:044DA8000000000007 -:044DA9000000000006 -:044DAA000000000005 -:044DAB000000000004 -:044DAC000000000003 -:044DAD000000000002 -:044DAE000000000001 -:044DAF000000000000 -:044DB00000000000FF -:044DB10000000000FE -:044DB20000000000FD -:044DB30000000000FC -:044DB40000000000FB -:044DB50000000000FA -:044DB60000000000F9 -:044DB70000000000F8 -:044DB80000000000F7 -:044DB90000000000F6 -:044DBA0000000000F5 -:044DBB0000000000F4 -:044DBC0000000000F3 -:044DBD0000000000F2 -:044DBE0000000000F1 -:044DBF0000000000F0 -:044DC00000000000EF -:044DC10000000000EE -:044DC20000000000ED -:044DC30000000000EC -:044DC40000000000EB -:044DC50000000000EA -:044DC60000000000E9 -:044DC70000000000E8 -:044DC80000000000E7 -:044DC90000000000E6 -:044DCA0000000000E5 -:044DCB0000000000E4 -:044DCC0000000000E3 -:044DCD0000000000E2 -:044DCE0000000000E1 -:044DCF0000000000E0 -:044DD00000000000DF -:044DD10000000000DE -:044DD20000000000DD -:044DD30000000000DC -:044DD40000000000DB -:044DD50000000000DA -:044DD60000000000D9 -:044DD70000000000D8 -:044DD80000000000D7 -:044DD90000000000D6 -:044DDA0000000000D5 -:044DDB0000000000D4 -:044DDC0000000000D3 -:044DDD0000000000D2 -:044DDE0000000000D1 -:044DDF0000000000D0 -:044DE00000000000CF -:044DE10000000000CE -:044DE20000000000CD -:044DE30000000000CC -:044DE40000000000CB -:044DE50000000000CA -:044DE60000000000C9 -:044DE70000000000C8 -:044DE80000000000C7 -:044DE90000000000C6 -:044DEA0000000000C5 -:044DEB0000000000C4 -:044DEC0000000000C3 -:044DED0000000000C2 -:044DEE0000000000C1 -:044DEF0000000000C0 -:044DF00000000000BF -:044DF10000000000BE -:044DF20000000000BD -:044DF30000000000BC -:044DF40000000000BB -:044DF50000000000BA -:044DF60000000000B9 -:044DF70000000000B8 -:044DF80000000000B7 -:044DF90000000000B6 -:044DFA0000000000B5 -:044DFB0000000000B4 -:044DFC0000000000B3 -:044DFD0000000000B2 -:044DFE0000000000B1 -:044DFF0000000000B0 -:044E000000000000AE -:044E010000000000AD -:044E020000000000AC -:044E030000000000AB -:044E040000000000AA -:044E050000000000A9 -:044E060000000000A8 -:044E070000000000A7 -:044E080000000000A6 -:044E090000000000A5 -:044E0A0000000000A4 -:044E0B0000000000A3 -:044E0C0000000000A2 -:044E0D0000000000A1 -:044E0E0000000000A0 -:044E0F00000000009F -:044E1000000000009E -:044E1100000000009D -:044E1200000000009C -:044E1300000000009B -:044E1400000000009A -:044E15000000000099 -:044E16000000000098 -:044E17000000000097 -:044E18000000000096 -:044E19000000000095 -:044E1A000000000094 -:044E1B000000000093 -:044E1C000000000092 -:044E1D000000000091 -:044E1E000000000090 -:044E1F00000000008F -:044E2000000000008E -:044E2100000000008D -:044E2200000000008C -:044E2300000000008B -:044E2400000000008A -:044E25000000000089 -:044E26000000000088 -:044E27000000000087 -:044E28000000000086 -:044E29000000000085 -:044E2A000000000084 -:044E2B000000000083 -:044E2C000000000082 -:044E2D000000000081 -:044E2E000000000080 -:044E2F00000000007F -:044E3000000000007E -:044E3100000000007D -:044E3200000000007C -:044E3300000000007B -:044E3400000000007A -:044E35000000000079 -:044E36000000000078 -:044E37000000000077 -:044E38000000000076 -:044E39000000000075 -:044E3A000000000074 -:044E3B000000000073 -:044E3C000000000072 -:044E3D000000000071 -:044E3E000000000070 -:044E3F00000000006F -:044E4000000000006E -:044E4100000000006D -:044E4200000000006C -:044E4300000000006B -:044E4400000000006A -:044E45000000000069 -:044E46000000000068 -:044E47000000000067 -:044E48000000000066 -:044E49000000000065 -:044E4A000000000064 -:044E4B000000000063 -:044E4C000000000062 -:044E4D000000000061 -:044E4E000000000060 -:044E4F00000000005F -:044E5000000000005E -:044E5100000000005D -:044E5200000000005C -:044E5300000000005B -:044E5400000000005A -:044E55000000000059 -:044E56000000000058 -:044E57000000000057 -:044E58000000000056 -:044E59000000000055 -:044E5A000000000054 -:044E5B000000000053 -:044E5C000000000052 -:044E5D000000000051 -:044E5E000000000050 -:044E5F00000000004F -:044E6000000000004E -:044E6100000000004D -:044E6200000000004C -:044E6300000000004B -:044E6400000000004A -:044E65000000000049 -:044E66000000000048 -:044E67000000000047 -:044E68000000000046 -:044E69000000000045 -:044E6A000000000044 -:044E6B000000000043 -:044E6C000000000042 -:044E6D000000000041 -:044E6E000000000040 -:044E6F00000000003F -:044E7000000000003E -:044E7100000000003D -:044E7200000000003C -:044E7300000000003B -:044E7400000000003A -:044E75000000000039 -:044E76000000000038 -:044E77000000000037 -:044E78000000000036 -:044E79000000000035 -:044E7A000000000034 -:044E7B000000000033 -:044E7C000000000032 -:044E7D000000000031 -:044E7E000000000030 -:044E7F00000000002F -:044E8000000000002E -:044E8100000000002D -:044E8200000000002C -:044E8300000000002B -:044E8400000000002A -:044E85000000000029 -:044E86000000000028 -:044E87000000000027 -:044E88000000000026 -:044E89000000000025 -:044E8A000000000024 -:044E8B000000000023 -:044E8C000000000022 -:044E8D000000000021 -:044E8E000000000020 -:044E8F00000000001F -:044E9000000000001E -:044E9100000000001D -:044E9200000000001C -:044E9300000000001B -:044E9400000000001A -:044E95000000000019 -:044E96000000000018 -:044E97000000000017 -:044E98000000000016 -:044E99000000000015 -:044E9A000000000014 -:044E9B000000000013 -:044E9C000000000012 -:044E9D000000000011 -:044E9E000000000010 -:044E9F00000000000F -:044EA000000000000E -:044EA100000000000D -:044EA200000000000C -:044EA300000000000B -:044EA400000000000A -:044EA5000000000009 -:044EA6000000000008 -:044EA7000000000007 -:044EA8000000000006 -:044EA9000000000005 -:044EAA000000000004 -:044EAB000000000003 -:044EAC000000000002 -:044EAD000000000001 -:044EAE000000000000 -:044EAF0000000000FF -:044EB00000000000FE -:044EB10000000000FD -:044EB20000000000FC -:044EB30000000000FB -:044EB40000000000FA -:044EB50000000000F9 -:044EB60000000000F8 -:044EB70000000000F7 -:044EB80000000000F6 -:044EB90000000000F5 -:044EBA0000000000F4 -:044EBB0000000000F3 -:044EBC0000000000F2 -:044EBD0000000000F1 -:044EBE0000000000F0 -:044EBF0000000000EF -:044EC00000000000EE -:044EC10000000000ED -:044EC20000000000EC -:044EC30000000000EB -:044EC40000000000EA -:044EC50000000000E9 -:044EC60000000000E8 -:044EC70000000000E7 -:044EC80000000000E6 -:044EC90000000000E5 -:044ECA0000000000E4 -:044ECB0000000000E3 -:044ECC0000000000E2 -:044ECD0000000000E1 -:044ECE0000000000E0 -:044ECF0000000000DF -:044ED00000000000DE -:044ED10000000000DD -:044ED20000000000DC -:044ED30000000000DB -:044ED40000000000DA -:044ED50000000000D9 -:044ED60000000000D8 -:044ED70000000000D7 -:044ED80000000000D6 -:044ED90000000000D5 -:044EDA0000000000D4 -:044EDB0000000000D3 -:044EDC0000000000D2 -:044EDD0000000000D1 -:044EDE0000000000D0 -:044EDF0000000000CF -:044EE00000000000CE -:044EE10000000000CD -:044EE20000000000CC -:044EE30000000000CB -:044EE40000000000CA -:044EE50000000000C9 -:044EE60000000000C8 -:044EE70000000000C7 -:044EE80000000000C6 -:044EE90000000000C5 -:044EEA0000000000C4 -:044EEB0000000000C3 -:044EEC0000000000C2 -:044EED0000000000C1 -:044EEE0000000000C0 -:044EEF0000000000BF -:044EF00000000000BE -:044EF10000000000BD -:044EF20000000000BC -:044EF30000000000BB -:044EF40000000000BA -:044EF50000000000B9 -:044EF60000000000B8 -:044EF70000000000B7 -:044EF80000000000B6 -:044EF90000000000B5 -:044EFA0000000000B4 -:044EFB0000000000B3 -:044EFC0000000000B2 -:044EFD0000000000B1 -:044EFE0000000000B0 -:044EFF0000000000AF -:044F000000000000AD -:044F010000000000AC -:044F020000000000AB -:044F030000000000AA -:044F040000000000A9 -:044F050000000000A8 -:044F060000000000A7 -:044F070000000000A6 -:044F080000000000A5 -:044F090000000000A4 -:044F0A0000000000A3 -:044F0B0000000000A2 -:044F0C0000000000A1 -:044F0D0000000000A0 -:044F0E00000000009F -:044F0F00000000009E -:044F1000000000009D -:044F1100000000009C -:044F1200000000009B -:044F1300000000009A -:044F14000000000099 -:044F15000000000098 -:044F16000000000097 -:044F17000000000096 -:044F18000000000095 -:044F19000000000094 -:044F1A000000000093 -:044F1B000000000092 -:044F1C000000000091 -:044F1D000000000090 -:044F1E00000000008F -:044F1F00000000008E -:044F2000000000008D -:044F2100000000008C -:044F2200000000008B -:044F2300000000008A -:044F24000000000089 -:044F25000000000088 -:044F26000000000087 -:044F27000000000086 -:044F28000000000085 -:044F29000000000084 -:044F2A000000000083 -:044F2B000000000082 -:044F2C000000000081 -:044F2D000000000080 -:044F2E00000000007F -:044F2F00000000007E -:044F3000000000007D -:044F3100000000007C -:044F3200000000007B -:044F3300000000007A -:044F34000000000079 -:044F35000000000078 -:044F36000000000077 -:044F37000000000076 -:044F38000000000075 -:044F39000000000074 -:044F3A000000000073 -:044F3B000000000072 -:044F3C000000000071 -:044F3D000000000070 -:044F3E00000000006F -:044F3F00000000006E -:044F4000000000006D -:044F4100000000006C -:044F4200000000006B -:044F4300000000006A -:044F44000000000069 -:044F45000000000068 -:044F46000000000067 -:044F47000000000066 -:044F48000000000065 -:044F49000000000064 -:044F4A000000000063 -:044F4B000000000062 -:044F4C000000000061 -:044F4D000000000060 -:044F4E00000000005F -:044F4F00000000005E -:044F5000000000005D -:044F5100000000005C -:044F5200000000005B -:044F5300000000005A -:044F54000000000059 -:044F55000000000058 -:044F56000000000057 -:044F57000000000056 -:044F58000000000055 -:044F59000000000054 -:044F5A000000000053 -:044F5B000000000052 -:044F5C000000000051 -:044F5D000000000050 -:044F5E00000000004F -:044F5F00000000004E -:044F6000000000004D -:044F6100000000004C -:044F6200000000004B -:044F6300000000004A -:044F64000000000049 -:044F65000000000048 -:044F66000000000047 -:044F67000000000046 -:044F68000000000045 -:044F69000000000044 -:044F6A000000000043 -:044F6B000000000042 -:044F6C000000000041 -:044F6D000000000040 -:044F6E00000000003F -:044F6F00000000003E -:044F7000000000003D -:044F7100000000003C -:044F7200000000003B -:044F7300000000003A -:044F74000000000039 -:044F75000000000038 -:044F76000000000037 -:044F77000000000036 -:044F78000000000035 -:044F79000000000034 -:044F7A000000000033 -:044F7B000000000032 -:044F7C000000000031 -:044F7D000000000030 -:044F7E00000000002F -:044F7F00000000002E -:044F8000000000002D -:044F8100000000002C -:044F8200000000002B -:044F8300000000002A -:044F84000000000029 -:044F85000000000028 -:044F86000000000027 -:044F87000000000026 -:044F88000000000025 -:044F89000000000024 -:044F8A000000000023 -:044F8B000000000022 -:044F8C000000000021 -:044F8D000000000020 -:044F8E00000000001F -:044F8F00000000001E -:044F9000000000001D -:044F9100000000001C -:044F9200000000001B -:044F9300000000001A -:044F94000000000019 -:044F95000000000018 -:044F96000000000017 -:044F97000000000016 -:044F98000000000015 -:044F99000000000014 -:044F9A000000000013 -:044F9B000000000012 -:044F9C000000000011 -:044F9D000000000010 -:044F9E00000000000F -:044F9F00000000000E -:044FA000000000000D -:044FA100000000000C -:044FA200000000000B -:044FA300000000000A -:044FA4000000000009 -:044FA5000000000008 -:044FA6000000000007 -:044FA7000000000006 -:044FA8000000000005 -:044FA9000000000004 -:044FAA000000000003 -:044FAB000000000002 -:044FAC000000000001 -:044FAD000000000000 -:044FAE0000000000FF -:044FAF0000000000FE -:044FB00000000000FD -:044FB10000000000FC -:044FB20000000000FB -:044FB30000000000FA -:044FB40000000000F9 -:044FB50000000000F8 -:044FB60000000000F7 -:044FB70000000000F6 -:044FB80000000000F5 -:044FB90000000000F4 -:044FBA0000000000F3 -:044FBB0000000000F2 -:044FBC0000000000F1 -:044FBD0000000000F0 -:044FBE0000000000EF -:044FBF0000000000EE -:044FC00000000000ED -:044FC10000000000EC -:044FC20000000000EB -:044FC30000000000EA -:044FC40000000000E9 -:044FC50000000000E8 -:044FC60000000000E7 -:044FC70000000000E6 -:044FC80000000000E5 -:044FC90000000000E4 -:044FCA0000000000E3 -:044FCB0000000000E2 -:044FCC0000000000E1 -:044FCD0000000000E0 -:044FCE0000000000DF -:044FCF0000000000DE -:044FD00000000000DD -:044FD10000000000DC -:044FD20000000000DB -:044FD30000000000DA -:044FD40000000000D9 -:044FD50000000000D8 -:044FD60000000000D7 -:044FD70000000000D6 -:044FD80000000000D5 -:044FD90000000000D4 -:044FDA0000000000D3 -:044FDB0000000000D2 -:044FDC0000000000D1 -:044FDD0000000000D0 -:044FDE0000000000CF -:044FDF0000000000CE -:044FE00000000000CD -:044FE10000000000CC -:044FE20000000000CB -:044FE30000000000CA -:044FE40000000000C9 -:044FE50000000000C8 -:044FE60000000000C7 -:044FE70000000000C6 -:044FE80000000000C5 -:044FE90000000000C4 -:044FEA0000000000C3 -:044FEB0000000000C2 -:044FEC0000000000C1 -:044FED0000000000C0 -:044FEE0000000000BF -:044FEF0000000000BE -:044FF00000000000BD -:044FF10000000000BC -:044FF20000000000BB -:044FF30000000000BA -:044FF40000000000B9 -:044FF50000000000B8 -:044FF60000000000B7 -:044FF70000000000B6 -:044FF80000000000B5 -:044FF90000000000B4 -:044FFA0000000000B3 -:044FFB0000000000B2 -:044FFC0000000000B1 -:044FFD0000000000B0 -:044FFE0000000000AF -:044FFF0000000000AE -:0450000000000000AC -:0450010000000000AB -:0450020000000000AA -:0450030000000000A9 -:0450040000000000A8 -:0450050000000000A7 -:0450060000000000A6 -:0450070000000000A5 -:0450080000000000A4 -:0450090000000000A3 -:04500A0000000000A2 -:04500B0000000000A1 -:04500C0000000000A0 -:04500D00000000009F -:04500E00000000009E -:04500F00000000009D -:04501000000000009C -:04501100000000009B -:04501200000000009A -:045013000000000099 -:045014000000000098 -:045015000000000097 -:045016000000000096 -:045017000000000095 -:045018000000000094 -:045019000000000093 -:04501A000000000092 -:04501B000000000091 -:04501C000000000090 -:04501D00000000008F -:04501E00000000008E -:04501F00000000008D -:04502000000000008C -:04502100000000008B -:04502200000000008A -:045023000000000089 -:045024000000000088 -:045025000000000087 -:045026000000000086 -:045027000000000085 -:045028000000000084 -:045029000000000083 -:04502A000000000082 -:04502B000000000081 -:04502C000000000080 -:04502D00000000007F -:04502E00000000007E -:04502F00000000007D -:04503000000000007C -:04503100000000007B -:04503200000000007A -:045033000000000079 -:045034000000000078 -:045035000000000077 -:045036000000000076 -:045037000000000075 -:045038000000000074 -:045039000000000073 -:04503A000000000072 -:04503B000000000071 -:04503C000000000070 -:04503D00000000006F -:04503E00000000006E -:04503F00000000006D -:04504000000000006C -:04504100000000006B -:04504200000000006A -:045043000000000069 -:045044000000000068 -:045045000000000067 -:045046000000000066 -:045047000000000065 -:045048000000000064 -:045049000000000063 -:04504A000000000062 -:04504B000000000061 -:04504C000000000060 -:04504D00000000005F -:04504E00000000005E -:04504F00000000005D -:04505000000000005C -:04505100000000005B -:04505200000000005A -:045053000000000059 -:045054000000000058 -:045055000000000057 -:045056000000000056 -:045057000000000055 -:045058000000000054 -:045059000000000053 -:04505A000000000052 -:04505B000000000051 -:04505C000000000050 -:04505D00000000004F -:04505E00000000004E -:04505F00000000004D -:04506000000000004C -:04506100000000004B -:04506200000000004A -:045063000000000049 -:045064000000000048 -:045065000000000047 -:045066000000000046 -:045067000000000045 -:045068000000000044 -:045069000000000043 -:04506A000000000042 -:04506B000000000041 -:04506C000000000040 -:04506D00000000003F -:04506E00000000003E -:04506F00000000003D -:04507000000000003C -:04507100000000003B -:04507200000000003A -:045073000000000039 -:045074000000000038 -:045075000000000037 -:045076000000000036 -:045077000000000035 -:045078000000000034 -:045079000000000033 -:04507A000000000032 -:04507B000000000031 -:04507C000000000030 -:04507D00000000002F -:04507E00000000002E -:04507F00000000002D -:04508000000000002C -:04508100000000002B -:04508200000000002A -:045083000000000029 -:045084000000000028 -:045085000000000027 -:045086000000000026 -:045087000000000025 -:045088000000000024 -:045089000000000023 -:04508A000000000022 -:04508B000000000021 -:04508C000000000020 -:04508D00000000001F -:04508E00000000001E -:04508F00000000001D -:04509000000000001C -:04509100000000001B -:04509200000000001A -:045093000000000019 -:045094000000000018 -:045095000000000017 -:045096000000000016 -:045097000000000015 -:045098000000000014 -:045099000000000013 -:04509A000000000012 -:04509B000000000011 -:04509C000000000010 -:04509D00000000000F -:04509E00000000000E -:04509F00000000000D -:0450A000000000000C -:0450A100000000000B -:0450A200000000000A -:0450A3000000000009 -:0450A4000000000008 -:0450A5000000000007 -:0450A6000000000006 -:0450A7000000000005 -:0450A8000000000004 -:0450A9000000000003 -:0450AA000000000002 -:0450AB000000000001 -:0450AC000000000000 -:0450AD0000000000FF -:0450AE0000000000FE -:0450AF0000000000FD -:0450B00000000000FC -:0450B10000000000FB -:0450B20000000000FA -:0450B30000000000F9 -:0450B40000000000F8 -:0450B50000000000F7 -:0450B60000000000F6 -:0450B70000000000F5 -:0450B80000000000F4 -:0450B90000000000F3 -:0450BA0000000000F2 -:0450BB0000000000F1 -:0450BC0000000000F0 -:0450BD0000000000EF -:0450BE0000000000EE -:0450BF0000000000ED -:0450C00000000000EC -:0450C10000000000EB -:0450C20000000000EA -:0450C30000000000E9 -:0450C40000000000E8 -:0450C50000000000E7 -:0450C60000000000E6 -:0450C70000000000E5 -:0450C80000000000E4 -:0450C90000000000E3 -:0450CA0000000000E2 -:0450CB0000000000E1 -:0450CC0000000000E0 -:0450CD0000000000DF -:0450CE0000000000DE -:0450CF0000000000DD -:0450D00000000000DC -:0450D10000000000DB -:0450D20000000000DA -:0450D30000000000D9 -:0450D40000000000D8 -:0450D50000000000D7 -:0450D60000000000D6 -:0450D70000000000D5 -:0450D80000000000D4 -:0450D90000000000D3 -:0450DA0000000000D2 -:0450DB0000000000D1 -:0450DC0000000000D0 -:0450DD0000000000CF -:0450DE0000000000CE -:0450DF0000000000CD -:0450E00000000000CC -:0450E10000000000CB -:0450E20000000000CA -:0450E30000000000C9 -:0450E40000000000C8 -:0450E50000000000C7 -:0450E60000000000C6 -:0450E70000000000C5 -:0450E80000000000C4 -:0450E90000000000C3 -:0450EA0000000000C2 -:0450EB0000000000C1 -:0450EC0000000000C0 -:0450ED0000000000BF -:0450EE0000000000BE -:0450EF0000000000BD -:0450F00000000000BC -:0450F10000000000BB -:0450F20000000000BA -:0450F30000000000B9 -:0450F40000000000B8 -:0450F50000000000B7 -:0450F60000000000B6 -:0450F70000000000B5 -:0450F80000000000B4 -:0450F90000000000B3 -:0450FA0000000000B2 -:0450FB0000000000B1 -:0450FC0000000000B0 -:0450FD0000000000AF -:0450FE0000000000AE -:0450FF0000000000AD -:0451000000000000AB -:0451010000000000AA -:0451020000000000A9 -:0451030000000000A8 -:0451040000000000A7 -:0451050000000000A6 -:0451060000000000A5 -:0451070000000000A4 -:0451080000000000A3 -:0451090000000000A2 -:04510A0000000000A1 -:04510B0000000000A0 -:04510C00000000009F -:04510D00000000009E -:04510E00000000009D -:04510F00000000009C -:04511000000000009B -:04511100000000009A -:045112000000000099 -:045113000000000098 -:045114000000000097 -:045115000000000096 -:045116000000000095 -:045117000000000094 -:045118000000000093 -:045119000000000092 -:04511A000000000091 -:04511B000000000090 -:04511C00000000008F -:04511D00000000008E -:04511E00000000008D -:04511F00000000008C -:04512000000000008B -:04512100000000008A -:045122000000000089 -:045123000000000088 -:045124000000000087 -:045125000000000086 -:045126000000000085 -:045127000000000084 -:045128000000000083 -:045129000000000082 -:04512A000000000081 -:04512B000000000080 -:04512C00000000007F -:04512D00000000007E -:04512E00000000007D -:04512F00000000007C -:04513000000000007B -:04513100000000007A -:045132000000000079 -:045133000000000078 -:045134000000000077 -:045135000000000076 -:045136000000000075 -:045137000000000074 -:045138000000000073 -:045139000000000072 -:04513A000000000071 -:04513B000000000070 -:04513C00000000006F -:04513D00000000006E -:04513E00000000006D -:04513F00000000006C -:04514000000000006B -:04514100000000006A -:045142000000000069 -:045143000000000068 -:045144000000000067 -:045145000000000066 -:045146000000000065 -:045147000000000064 -:045148000000000063 -:045149000000000062 -:04514A000000000061 -:04514B000000000060 -:04514C00000000005F -:04514D00000000005E -:04514E00000000005D -:04514F00000000005C -:04515000000000005B -:04515100000000005A -:045152000000000059 -:045153000000000058 -:045154000000000057 -:045155000000000056 -:045156000000000055 -:045157000000000054 -:045158000000000053 -:045159000000000052 -:04515A000000000051 -:04515B000000000050 -:04515C00000000004F -:04515D00000000004E -:04515E00000000004D -:04515F00000000004C -:04516000000000004B -:04516100000000004A -:045162000000000049 -:045163000000000048 -:045164000000000047 -:045165000000000046 -:045166000000000045 -:045167000000000044 -:045168000000000043 -:045169000000000042 -:04516A000000000041 -:04516B000000000040 -:04516C00000000003F -:04516D00000000003E -:04516E00000000003D -:04516F00000000003C -:04517000000000003B -:04517100000000003A -:045172000000000039 -:045173000000000038 -:045174000000000037 -:045175000000000036 -:045176000000000035 -:045177000000000034 -:045178000000000033 -:045179000000000032 -:04517A000000000031 -:04517B000000000030 -:04517C00000000002F -:04517D00000000002E -:04517E00000000002D -:04517F00000000002C -:04518000000000002B -:04518100000000002A -:045182000000000029 -:045183000000000028 -:045184000000000027 -:045185000000000026 -:045186000000000025 -:045187000000000024 -:045188000000000023 -:045189000000000022 -:04518A000000000021 -:04518B000000000020 -:04518C00000000001F -:04518D00000000001E -:04518E00000000001D -:04518F00000000001C -:04519000000000001B -:04519100000000001A -:045192000000000019 -:045193000000000018 -:045194000000000017 -:045195000000000016 -:045196000000000015 -:045197000000000014 -:045198000000000013 -:045199000000000012 -:04519A000000000011 -:04519B000000000010 -:04519C00000000000F -:04519D00000000000E -:04519E00000000000D -:04519F00000000000C -:0451A000000000000B -:0451A100000000000A -:0451A2000000000009 -:0451A3000000000008 -:0451A4000000000007 -:0451A5000000000006 -:0451A6000000000005 -:0451A7000000000004 -:0451A8000000000003 -:0451A9000000000002 -:0451AA000000000001 -:0451AB000000000000 -:0451AC0000000000FF -:0451AD0000000000FE -:0451AE0000000000FD -:0451AF0000000000FC -:0451B00000000000FB -:0451B10000000000FA -:0451B20000000000F9 -:0451B30000000000F8 -:0451B40000000000F7 -:0451B50000000000F6 -:0451B60000000000F5 -:0451B70000000000F4 -:0451B80000000000F3 -:0451B90000000000F2 -:0451BA0000000000F1 -:0451BB0000000000F0 -:0451BC0000000000EF -:0451BD0000000000EE -:0451BE0000000000ED -:0451BF0000000000EC -:0451C00000000000EB -:0451C10000000000EA -:0451C20000000000E9 -:0451C30000000000E8 -:0451C40000000000E7 -:0451C50000000000E6 -:0451C60000000000E5 -:0451C70000000000E4 -:0451C80000000000E3 -:0451C90000000000E2 -:0451CA0000000000E1 -:0451CB0000000000E0 -:0451CC0000000000DF -:0451CD0000000000DE -:0451CE0000000000DD -:0451CF0000000000DC -:0451D00000000000DB -:0451D10000000000DA -:0451D20000000000D9 -:0451D30000000000D8 -:0451D40000000000D7 -:0451D50000000000D6 -:0451D60000000000D5 -:0451D70000000000D4 -:0451D80000000000D3 -:0451D90000000000D2 -:0451DA0000000000D1 -:0451DB0000000000D0 -:0451DC0000000000CF -:0451DD0000000000CE -:0451DE0000000000CD -:0451DF0000000000CC -:0451E00000000000CB -:0451E10000000000CA -:0451E20000000000C9 -:0451E30000000000C8 -:0451E40000000000C7 -:0451E50000000000C6 -:0451E60000000000C5 -:0451E70000000000C4 -:0451E80000000000C3 -:0451E90000000000C2 -:0451EA0000000000C1 -:0451EB0000000000C0 -:0451EC0000000000BF -:0451ED0000000000BE -:0451EE0000000000BD -:0451EF0000000000BC -:0451F00000000000BB -:0451F10000000000BA -:0451F20000000000B9 -:0451F30000000000B8 -:0451F40000000000B7 -:0451F50000000000B6 -:0451F60000000000B5 -:0451F70000000000B4 -:0451F80000000000B3 -:0451F90000000000B2 -:0451FA0000000000B1 -:0451FB0000000000B0 -:0451FC0000000000AF -:0451FD0000000000AE -:0451FE0000000000AD -:0451FF0000000000AC -:0452000000000000AA -:0452010000000000A9 -:0452020000000000A8 -:0452030000000000A7 -:0452040000000000A6 -:0452050000000000A5 -:0452060000000000A4 -:0452070000000000A3 -:0452080000000000A2 -:0452090000000000A1 -:04520A0000000000A0 -:04520B00000000009F -:04520C00000000009E -:04520D00000000009D -:04520E00000000009C -:04520F00000000009B -:04521000000000009A -:045211000000000099 -:045212000000000098 -:045213000000000097 -:045214000000000096 -:045215000000000095 -:045216000000000094 -:045217000000000093 -:045218000000000092 -:045219000000000091 -:04521A000000000090 -:04521B00000000008F -:04521C00000000008E -:04521D00000000008D -:04521E00000000008C -:04521F00000000008B -:04522000000000008A -:045221000000000089 -:045222000000000088 -:045223000000000087 -:045224000000000086 -:045225000000000085 -:045226000000000084 -:045227000000000083 -:045228000000000082 -:045229000000000081 -:04522A000000000080 -:04522B00000000007F -:04522C00000000007E -:04522D00000000007D -:04522E00000000007C -:04522F00000000007B -:04523000000000007A -:045231000000000079 -:045232000000000078 -:045233000000000077 -:045234000000000076 -:045235000000000075 -:045236000000000074 -:045237000000000073 -:045238000000000072 -:045239000000000071 -:04523A000000000070 -:04523B00000000006F -:04523C00000000006E -:04523D00000000006D -:04523E00000000006C -:04523F00000000006B -:04524000000000006A -:045241000000000069 -:045242000000000068 -:045243000000000067 -:045244000000000066 -:045245000000000065 -:045246000000000064 -:045247000000000063 -:045248000000000062 -:045249000000000061 -:04524A000000000060 -:04524B00000000005F -:04524C00000000005E -:04524D00000000005D -:04524E00000000005C -:04524F00000000005B -:04525000000000005A -:045251000000000059 -:045252000000000058 -:045253000000000057 -:045254000000000056 -:045255000000000055 -:045256000000000054 -:045257000000000053 -:045258000000000052 -:045259000000000051 -:04525A000000000050 -:04525B00000000004F -:04525C00000000004E -:04525D00000000004D -:04525E00000000004C -:04525F00000000004B -:04526000000000004A -:045261000000000049 -:045262000000000048 -:045263000000000047 -:045264000000000046 -:045265000000000045 -:045266000000000044 -:045267000000000043 -:045268000000000042 -:045269000000000041 -:04526A000000000040 -:04526B00000000003F -:04526C00000000003E -:04526D00000000003D -:04526E00000000003C -:04526F00000000003B -:04527000000000003A -:045271000000000039 -:045272000000000038 -:045273000000000037 -:045274000000000036 -:045275000000000035 -:045276000000000034 -:045277000000000033 -:045278000000000032 -:045279000000000031 -:04527A000000000030 -:04527B00000000002F -:04527C00000000002E -:04527D00000000002D -:04527E00000000002C -:04527F00000000002B -:04528000000000002A -:045281000000000029 -:045282000000000028 -:045283000000000027 -:045284000000000026 -:045285000000000025 -:045286000000000024 -:045287000000000023 -:045288000000000022 -:045289000000000021 -:04528A000000000020 -:04528B00000000001F -:04528C00000000001E -:04528D00000000001D -:04528E00000000001C -:04528F00000000001B -:04529000000000001A -:045291000000000019 -:045292000000000018 -:045293000000000017 -:045294000000000016 -:045295000000000015 -:045296000000000014 -:045297000000000013 -:045298000000000012 -:045299000000000011 -:04529A000000000010 -:04529B00000000000F -:04529C00000000000E -:04529D00000000000D -:04529E00000000000C -:04529F00000000000B -:0452A000000000000A -:0452A1000000000009 -:0452A2000000000008 -:0452A3000000000007 -:0452A4000000000006 -:0452A5000000000005 -:0452A6000000000004 -:0452A7000000000003 -:0452A8000000000002 -:0452A9000000000001 -:0452AA000000000000 -:0452AB0000000000FF -:0452AC0000000000FE -:0452AD0000000000FD -:0452AE0000000000FC -:0452AF0000000000FB -:0452B00000000000FA -:0452B10000000000F9 -:0452B20000000000F8 -:0452B30000000000F7 -:0452B40000000000F6 -:0452B50000000000F5 -:0452B60000000000F4 -:0452B70000000000F3 -:0452B80000000000F2 -:0452B90000000000F1 -:0452BA0000000000F0 -:0452BB0000000000EF -:0452BC0000000000EE -:0452BD0000000000ED -:0452BE0000000000EC -:0452BF0000000000EB -:0452C00000000000EA -:0452C10000000000E9 -:0452C20000000000E8 -:0452C30000000000E7 -:0452C40000000000E6 -:0452C50000000000E5 -:0452C60000000000E4 -:0452C70000000000E3 -:0452C80000000000E2 -:0452C90000000000E1 -:0452CA0000000000E0 -:0452CB0000000000DF -:0452CC0000000000DE -:0452CD0000000000DD -:0452CE0000000000DC -:0452CF0000000000DB -:0452D00000000000DA -:0452D10000000000D9 -:0452D20000000000D8 -:0452D30000000000D7 -:0452D40000000000D6 -:0452D50000000000D5 -:0452D60000000000D4 -:0452D70000000000D3 -:0452D80000000000D2 -:0452D90000000000D1 -:0452DA0000000000D0 -:0452DB0000000000CF -:0452DC0000000000CE -:0452DD0000000000CD -:0452DE0000000000CC -:0452DF0000000000CB -:0452E00000000000CA -:0452E10000000000C9 -:0452E20000000000C8 -:0452E30000000000C7 -:0452E40000000000C6 -:0452E50000000000C5 -:0452E60000000000C4 -:0452E70000000000C3 -:0452E80000000000C2 -:0452E90000000000C1 -:0452EA0000000000C0 -:0452EB0000000000BF -:0452EC0000000000BE -:0452ED0000000000BD -:0452EE0000000000BC -:0452EF0000000000BB -:0452F00000000000BA -:0452F10000000000B9 -:0452F20000000000B8 -:0452F30000000000B7 -:0452F40000000000B6 -:0452F50000000000B5 -:0452F60000000000B4 -:0452F70000000000B3 -:0452F80000000000B2 -:0452F90000000000B1 -:0452FA0000000000B0 -:0452FB0000000000AF -:0452FC0000000000AE -:0452FD0000000000AD -:0452FE0000000000AC -:0452FF0000000000AB -:0453000000000000A9 -:0453010000000000A8 -:0453020000000000A7 -:0453030000000000A6 -:0453040000000000A5 -:0453050000000000A4 -:0453060000000000A3 -:0453070000000000A2 -:0453080000000000A1 -:0453090000000000A0 -:04530A00000000009F -:04530B00000000009E -:04530C00000000009D -:04530D00000000009C -:04530E00000000009B -:04530F00000000009A -:045310000000000099 -:045311000000000098 -:045312000000000097 -:045313000000000096 -:045314000000000095 -:045315000000000094 -:045316000000000093 -:045317000000000092 -:045318000000000091 -:045319000000000090 -:04531A00000000008F -:04531B00000000008E -:04531C00000000008D -:04531D00000000008C -:04531E00000000008B -:04531F00000000008A -:045320000000000089 -:045321000000000088 -:045322000000000087 -:045323000000000086 -:045324000000000085 -:045325000000000084 -:045326000000000083 -:045327000000000082 -:045328000000000081 -:045329000000000080 -:04532A00000000007F -:04532B00000000007E -:04532C00000000007D -:04532D00000000007C -:04532E00000000007B -:04532F00000000007A -:045330000000000079 -:045331000000000078 -:045332000000000077 -:045333000000000076 -:045334000000000075 -:045335000000000074 -:045336000000000073 -:045337000000000072 -:045338000000000071 -:045339000000000070 -:04533A00000000006F -:04533B00000000006E -:04533C00000000006D -:04533D00000000006C -:04533E00000000006B -:04533F00000000006A -:045340000000000069 -:045341000000000068 -:045342000000000067 -:045343000000000066 -:045344000000000065 -:045345000000000064 -:045346000000000063 -:045347000000000062 -:045348000000000061 -:045349000000000060 -:04534A00000000005F -:04534B00000000005E -:04534C00000000005D -:04534D00000000005C -:04534E00000000005B -:04534F00000000005A -:045350000000000059 -:045351000000000058 -:045352000000000057 -:045353000000000056 -:045354000000000055 -:045355000000000054 -:045356000000000053 -:045357000000000052 -:045358000000000051 -:045359000000000050 -:04535A00000000004F -:04535B00000000004E -:04535C00000000004D -:04535D00000000004C -:04535E00000000004B -:04535F00000000004A -:045360000000000049 -:045361000000000048 -:045362000000000047 -:045363000000000046 -:045364000000000045 -:045365000000000044 -:045366000000000043 -:045367000000000042 -:045368000000000041 -:045369000000000040 -:04536A00000000003F -:04536B00000000003E -:04536C00000000003D -:04536D00000000003C -:04536E00000000003B -:04536F00000000003A -:045370000000000039 -:045371000000000038 -:045372000000000037 -:045373000000000036 -:045374000000000035 -:045375000000000034 -:045376000000000033 -:045377000000000032 -:045378000000000031 -:045379000000000030 -:04537A00000000002F -:04537B00000000002E -:04537C00000000002D -:04537D00000000002C -:04537E00000000002B -:04537F00000000002A -:045380000000000029 -:045381000000000028 -:045382000000000027 -:045383000000000026 -:045384000000000025 -:045385000000000024 -:045386000000000023 -:045387000000000022 -:045388000000000021 -:045389000000000020 -:04538A00000000001F -:04538B00000000001E -:04538C00000000001D -:04538D00000000001C -:04538E00000000001B -:04538F00000000001A -:045390000000000019 -:045391000000000018 -:045392000000000017 -:045393000000000016 -:045394000000000015 -:045395000000000014 -:045396000000000013 -:045397000000000012 -:045398000000000011 -:045399000000000010 -:04539A00000000000F -:04539B00000000000E -:04539C00000000000D -:04539D00000000000C -:04539E00000000000B -:04539F00000000000A -:0453A0000000000009 -:0453A1000000000008 -:0453A2000000000007 -:0453A3000000000006 -:0453A4000000000005 -:0453A5000000000004 -:0453A6000000000003 -:0453A7000000000002 -:0453A8000000000001 -:0453A9000000000000 -:0453AA0000000000FF -:0453AB0000000000FE -:0453AC0000000000FD -:0453AD0000000000FC -:0453AE0000000000FB -:0453AF0000000000FA -:0453B00000000000F9 -:0453B10000000000F8 -:0453B20000000000F7 -:0453B30000000000F6 -:0453B40000000000F5 -:0453B50000000000F4 -:0453B60000000000F3 -:0453B70000000000F2 -:0453B80000000000F1 -:0453B90000000000F0 -:0453BA0000000000EF -:0453BB0000000000EE -:0453BC0000000000ED -:0453BD0000000000EC -:0453BE0000000000EB -:0453BF0000000000EA -:0453C00000000000E9 -:0453C10000000000E8 -:0453C20000000000E7 -:0453C30000000000E6 -:0453C40000000000E5 -:0453C50000000000E4 -:0453C60000000000E3 -:0453C70000000000E2 -:0453C80000000000E1 -:0453C90000000000E0 -:0453CA0000000000DF -:0453CB0000000000DE -:0453CC0000000000DD -:0453CD0000000000DC -:0453CE0000000000DB -:0453CF0000000000DA -:0453D00000000000D9 -:0453D10000000000D8 -:0453D20000000000D7 -:0453D30000000000D6 -:0453D40000000000D5 -:0453D50000000000D4 -:0453D60000000000D3 -:0453D70000000000D2 -:0453D80000000000D1 -:0453D90000000000D0 -:0453DA0000000000CF -:0453DB0000000000CE -:0453DC0000000000CD -:0453DD0000000000CC -:0453DE0000000000CB -:0453DF0000000000CA -:0453E00000000000C9 -:0453E10000000000C8 -:0453E20000000000C7 -:0453E30000000000C6 -:0453E40000000000C5 -:0453E50000000000C4 -:0453E60000000000C3 -:0453E70000000000C2 -:0453E80000000000C1 -:0453E90000000000C0 -:0453EA0000000000BF -:0453EB0000000000BE -:0453EC0000000000BD -:0453ED0000000000BC -:0453EE0000000000BB -:0453EF0000000000BA -:0453F00000000000B9 -:0453F10000000000B8 -:0453F20000000000B7 -:0453F30000000000B6 -:0453F40000000000B5 -:0453F50000000000B4 -:0453F60000000000B3 -:0453F70000000000B2 -:0453F80000000000B1 -:0453F90000000000B0 -:0453FA0000000000AF -:0453FB0000000000AE -:0453FC0000000000AD -:0453FD0000000000AC -:0453FE0000000000AB -:0453FF0000000000AA -:0454000000000000A8 -:0454010000000000A7 -:0454020000000000A6 -:0454030000000000A5 -:0454040000000000A4 -:0454050000000000A3 -:0454060000000000A2 -:0454070000000000A1 -:0454080000000000A0 -:04540900000000009F -:04540A00000000009E -:04540B00000000009D -:04540C00000000009C -:04540D00000000009B -:04540E00000000009A -:04540F000000000099 -:045410000000000098 -:045411000000000097 -:045412000000000096 -:045413000000000095 -:045414000000000094 -:045415000000000093 -:045416000000000092 -:045417000000000091 -:045418000000000090 -:04541900000000008F -:04541A00000000008E -:04541B00000000008D -:04541C00000000008C -:04541D00000000008B -:04541E00000000008A -:04541F000000000089 -:045420000000000088 -:045421000000000087 -:045422000000000086 -:045423000000000085 -:045424000000000084 -:045425000000000083 -:045426000000000082 -:045427000000000081 -:045428000000000080 -:04542900000000007F -:04542A00000000007E -:04542B00000000007D -:04542C00000000007C -:04542D00000000007B -:04542E00000000007A -:04542F000000000079 -:045430000000000078 -:045431000000000077 -:045432000000000076 -:045433000000000075 -:045434000000000074 -:045435000000000073 -:045436000000000072 -:045437000000000071 -:045438000000000070 -:04543900000000006F -:04543A00000000006E -:04543B00000000006D -:04543C00000000006C -:04543D00000000006B -:04543E00000000006A -:04543F000000000069 -:045440000000000068 -:045441000000000067 -:045442000000000066 -:045443000000000065 -:045444000000000064 -:045445000000000063 -:045446000000000062 -:045447000000000061 -:045448000000000060 -:04544900000000005F -:04544A00000000005E -:04544B00000000005D -:04544C00000000005C -:04544D00000000005B -:04544E00000000005A -:04544F000000000059 -:045450000000000058 -:045451000000000057 -:045452000000000056 -:045453000000000055 -:045454000000000054 -:045455000000000053 -:045456000000000052 -:045457000000000051 -:045458000000000050 -:04545900000000004F -:04545A00000000004E -:04545B00000000004D -:04545C00000000004C -:04545D00000000004B -:04545E00000000004A -:04545F000000000049 -:045460000000000048 -:045461000000000047 -:045462000000000046 -:045463000000000045 -:045464000000000044 -:045465000000000043 -:045466000000000042 -:045467000000000041 -:045468000000000040 -:04546900000000003F -:04546A00000000003E -:04546B00000000003D -:04546C00000000003C -:04546D00000000003B -:04546E00000000003A -:04546F000000000039 -:045470000000000038 -:045471000000000037 -:045472000000000036 -:045473000000000035 -:045474000000000034 -:045475000000000033 -:045476000000000032 -:045477000000000031 -:045478000000000030 -:04547900000000002F -:04547A00000000002E -:04547B00000000002D -:04547C00000000002C -:04547D00000000002B -:04547E00000000002A -:04547F000000000029 -:045480000000000028 -:045481000000000027 -:045482000000000026 -:045483000000000025 -:045484000000000024 -:045485000000000023 -:045486000000000022 -:045487000000000021 -:045488000000000020 -:04548900000000001F -:04548A00000000001E -:04548B00000000001D -:04548C00000000001C -:04548D00000000001B -:04548E00000000001A -:04548F000000000019 -:045490000000000018 -:045491000000000017 -:045492000000000016 -:045493000000000015 -:045494000000000014 -:045495000000000013 -:045496000000000012 -:045497000000000011 -:045498000000000010 -:04549900000000000F -:04549A00000000000E -:04549B00000000000D -:04549C00000000000C -:04549D00000000000B -:04549E00000000000A -:04549F000000000009 -:0454A0000000000008 -:0454A1000000000007 -:0454A2000000000006 -:0454A3000000000005 -:0454A4000000000004 -:0454A5000000000003 -:0454A6000000000002 -:0454A7000000000001 -:0454A8000000000000 -:0454A90000000000FF -:0454AA0000000000FE -:0454AB0000000000FD -:0454AC0000000000FC -:0454AD0000000000FB -:0454AE0000000000FA -:0454AF0000000000F9 -:0454B00000000000F8 -:0454B10000000000F7 -:0454B20000000000F6 -:0454B30000000000F5 -:0454B40000000000F4 -:0454B50000000000F3 -:0454B60000000000F2 -:0454B70000000000F1 -:0454B80000000000F0 -:0454B90000000000EF -:0454BA0000000000EE -:0454BB0000000000ED -:0454BC0000000000EC -:0454BD0000000000EB -:0454BE0000000000EA -:0454BF0000000000E9 -:0454C00000000000E8 -:0454C10000000000E7 -:0454C20000000000E6 -:0454C30000000000E5 -:0454C40000000000E4 -:0454C50000000000E3 -:0454C60000000000E2 -:0454C70000000000E1 -:0454C80000000000E0 -:0454C90000000000DF -:0454CA0000000000DE -:0454CB0000000000DD -:0454CC0000000000DC -:0454CD0000000000DB -:0454CE0000000000DA -:0454CF0000000000D9 -:0454D00000000000D8 -:0454D10000000000D7 -:0454D20000000000D6 -:0454D30000000000D5 -:0454D40000000000D4 -:0454D50000000000D3 -:0454D60000000000D2 -:0454D70000000000D1 -:0454D80000000000D0 -:0454D90000000000CF -:0454DA0000000000CE -:0454DB0000000000CD -:0454DC0000000000CC -:0454DD0000000000CB -:0454DE0000000000CA -:0454DF0000000000C9 -:0454E00000000000C8 -:0454E10000000000C7 -:0454E20000000000C6 -:0454E30000000000C5 -:0454E40000000000C4 -:0454E50000000000C3 -:0454E60000000000C2 -:0454E70000000000C1 -:0454E80000000000C0 -:0454E90000000000BF -:0454EA0000000000BE -:0454EB0000000000BD -:0454EC0000000000BC -:0454ED0000000000BB -:0454EE0000000000BA -:0454EF0000000000B9 -:0454F00000000000B8 -:0454F10000000000B7 -:0454F20000000000B6 -:0454F30000000000B5 -:0454F40000000000B4 -:0454F50000000000B3 -:0454F60000000000B2 -:0454F70000000000B1 -:0454F80000000000B0 -:0454F90000000000AF -:0454FA0000000000AE -:0454FB0000000000AD -:0454FC0000000000AC -:0454FD0000000000AB -:0454FE0000000000AA -:0454FF0000000000A9 -:0455000000000000A7 -:0455010000000000A6 -:0455020000000000A5 -:0455030000000000A4 -:0455040000000000A3 -:0455050000000000A2 -:0455060000000000A1 -:0455070000000000A0 -:04550800000000009F -:04550900000000009E -:04550A00000000009D -:04550B00000000009C -:04550C00000000009B -:04550D00000000009A -:04550E000000000099 -:04550F000000000098 -:045510000000000097 -:045511000000000096 -:045512000000000095 -:045513000000000094 -:045514000000000093 -:045515000000000092 -:045516000000000091 -:045517000000000090 -:04551800000000008F -:04551900000000008E -:04551A00000000008D -:04551B00000000008C -:04551C00000000008B -:04551D00000000008A -:04551E000000000089 -:04551F000000000088 -:045520000000000087 -:045521000000000086 -:045522000000000085 -:045523000000000084 -:045524000000000083 -:045525000000000082 -:045526000000000081 -:045527000000000080 -:04552800000000007F -:04552900000000007E -:04552A00000000007D -:04552B00000000007C -:04552C00000000007B -:04552D00000000007A -:04552E000000000079 -:04552F000000000078 -:045530000000000077 -:045531000000000076 -:045532000000000075 -:045533000000000074 -:045534000000000073 -:045535000000000072 -:045536000000000071 -:045537000000000070 -:04553800000000006F -:04553900000000006E -:04553A00000000006D -:04553B00000000006C -:04553C00000000006B -:04553D00000000006A -:04553E000000000069 -:04553F000000000068 -:045540000000000067 -:045541000000000066 -:045542000000000065 -:045543000000000064 -:045544000000000063 -:045545000000000062 -:045546000000000061 -:045547000000000060 -:04554800000000005F -:04554900000000005E -:04554A00000000005D -:04554B00000000005C -:04554C00000000005B -:04554D00000000005A -:04554E000000000059 -:04554F000000000058 -:045550000000000057 -:045551000000000056 -:045552000000000055 -:045553000000000054 -:045554000000000053 -:045555000000000052 -:045556000000000051 -:045557000000000050 -:04555800000000004F -:04555900000000004E -:04555A00000000004D -:04555B00000000004C -:04555C00000000004B -:04555D00000000004A -:04555E000000000049 -:04555F000000000048 -:045560000000000047 -:045561000000000046 -:045562000000000045 -:045563000000000044 -:045564000000000043 -:045565000000000042 -:045566000000000041 -:045567000000000040 -:04556800000000003F -:04556900000000003E -:04556A00000000003D -:04556B00000000003C -:04556C00000000003B -:04556D00000000003A -:04556E000000000039 -:04556F000000000038 -:045570000000000037 -:045571000000000036 -:045572000000000035 -:045573000000000034 -:045574000000000033 -:045575000000000032 -:045576000000000031 -:045577000000000030 -:04557800000000002F -:04557900000000002E -:04557A00000000002D -:04557B00000000002C -:04557C00000000002B -:04557D00000000002A -:04557E000000000029 -:04557F000000000028 -:045580000000000027 -:045581000000000026 -:045582000000000025 -:045583000000000024 -:045584000000000023 -:045585000000000022 -:045586000000000021 -:045587000000000020 -:04558800000000001F -:04558900000000001E -:04558A00000000001D -:04558B00000000001C -:04558C00000000001B -:04558D00000000001A -:04558E000000000019 -:04558F000000000018 -:045590000000000017 -:045591000000000016 -:045592000000000015 -:045593000000000014 -:045594000000000013 -:045595000000000012 -:045596000000000011 -:045597000000000010 -:04559800000000000F -:04559900000000000E -:04559A00000000000D -:04559B00000000000C -:04559C00000000000B -:04559D00000000000A -:04559E000000000009 -:04559F000000000008 -:0455A0000000000007 -:0455A1000000000006 -:0455A2000000000005 -:0455A3000000000004 -:0455A4000000000003 -:0455A5000000000002 -:0455A6000000000001 -:0455A7000000000000 -:0455A80000000000FF -:0455A90000000000FE -:0455AA0000000000FD -:0455AB0000000000FC -:0455AC0000000000FB -:0455AD0000000000FA -:0455AE0000000000F9 -:0455AF0000000000F8 -:0455B00000000000F7 -:0455B10000000000F6 -:0455B20000000000F5 -:0455B30000000000F4 -:0455B40000000000F3 -:0455B50000000000F2 -:0455B60000000000F1 -:0455B70000000000F0 -:0455B80000000000EF -:0455B90000000000EE -:0455BA0000000000ED -:0455BB0000000000EC -:0455BC0000000000EB -:0455BD0000000000EA -:0455BE0000000000E9 -:0455BF0000000000E8 -:0455C00000000000E7 -:0455C10000000000E6 -:0455C20000000000E5 -:0455C30000000000E4 -:0455C40000000000E3 -:0455C50000000000E2 -:0455C60000000000E1 -:0455C70000000000E0 -:0455C80000000000DF -:0455C90000000000DE -:0455CA0000000000DD -:0455CB0000000000DC -:0455CC0000000000DB -:0455CD0000000000DA -:0455CE0000000000D9 -:0455CF0000000000D8 -:0455D00000000000D7 -:0455D10000000000D6 -:0455D20000000000D5 -:0455D30000000000D4 -:0455D40000000000D3 -:0455D50000000000D2 -:0455D60000000000D1 -:0455D70000000000D0 -:0455D80000000000CF -:0455D90000000000CE -:0455DA0000000000CD -:0455DB0000000000CC -:0455DC0000000000CB -:0455DD0000000000CA -:0455DE0000000000C9 -:0455DF0000000000C8 -:0455E00000000000C7 -:0455E10000000000C6 -:0455E20000000000C5 -:0455E30000000000C4 -:0455E40000000000C3 -:0455E50000000000C2 -:0455E60000000000C1 -:0455E70000000000C0 -:0455E80000000000BF -:0455E90000000000BE -:0455EA0000000000BD -:0455EB0000000000BC -:0455EC0000000000BB -:0455ED0000000000BA -:0455EE0000000000B9 -:0455EF0000000000B8 -:0455F00000000000B7 -:0455F10000000000B6 -:0455F20000000000B5 -:0455F30000000000B4 -:0455F40000000000B3 -:0455F50000000000B2 -:0455F60000000000B1 -:0455F70000000000B0 -:0455F80000000000AF -:0455F90000000000AE -:0455FA0000000000AD -:0455FB0000000000AC -:0455FC0000000000AB -:0455FD0000000000AA -:0455FE0000000000A9 -:0455FF0000000000A8 -:0456000000000000A6 -:0456010000000000A5 -:0456020000000000A4 -:0456030000000000A3 -:0456040000000000A2 -:0456050000000000A1 -:0456060000000000A0 -:04560700000000009F -:04560800000000009E -:04560900000000009D -:04560A00000000009C -:04560B00000000009B -:04560C00000000009A -:04560D000000000099 -:04560E000000000098 -:04560F000000000097 -:045610000000000096 -:045611000000000095 -:045612000000000094 -:045613000000000093 -:045614000000000092 -:045615000000000091 -:045616000000000090 -:04561700000000008F -:04561800000000008E -:04561900000000008D -:04561A00000000008C -:04561B00000000008B -:04561C00000000008A -:04561D000000000089 -:04561E000000000088 -:04561F000000000087 -:045620000000000086 -:045621000000000085 -:045622000000000084 -:045623000000000083 -:045624000000000082 -:045625000000000081 -:045626000000000080 -:04562700000000007F -:04562800000000007E -:04562900000000007D -:04562A00000000007C -:04562B00000000007B -:04562C00000000007A -:04562D000000000079 -:04562E000000000078 -:04562F000000000077 -:045630000000000076 -:045631000000000075 -:045632000000000074 -:045633000000000073 -:045634000000000072 -:045635000000000071 -:045636000000000070 -:04563700000000006F -:04563800000000006E -:04563900000000006D -:04563A00000000006C -:04563B00000000006B -:04563C00000000006A -:04563D000000000069 -:04563E000000000068 -:04563F000000000067 -:045640000000000066 -:045641000000000065 -:045642000000000064 -:045643000000000063 -:045644000000000062 -:045645000000000061 -:045646000000000060 -:04564700000000005F -:04564800000000005E -:04564900000000005D -:04564A00000000005C -:04564B00000000005B -:04564C00000000005A -:04564D000000000059 -:04564E000000000058 -:04564F000000000057 -:045650000000000056 -:045651000000000055 -:045652000000000054 -:045653000000000053 -:045654000000000052 -:045655000000000051 -:045656000000000050 -:04565700000000004F -:04565800000000004E -:04565900000000004D -:04565A00000000004C -:04565B00000000004B -:04565C00000000004A -:04565D000000000049 -:04565E000000000048 -:04565F000000000047 -:045660000000000046 -:045661000000000045 -:045662000000000044 -:045663000000000043 -:045664000000000042 -:045665000000000041 -:045666000000000040 -:04566700000000003F -:04566800000000003E -:04566900000000003D -:04566A00000000003C -:04566B00000000003B -:04566C00000000003A -:04566D000000000039 -:04566E000000000038 -:04566F000000000037 -:045670000000000036 -:045671000000000035 -:045672000000000034 -:045673000000000033 -:045674000000000032 -:045675000000000031 -:045676000000000030 -:04567700000000002F -:04567800000000002E -:04567900000000002D -:04567A00000000002C -:04567B00000000002B -:04567C00000000002A -:04567D000000000029 -:04567E000000000028 -:04567F000000000027 -:045680000000000026 -:045681000000000025 -:045682000000000024 -:045683000000000023 -:045684000000000022 -:045685000000000021 -:045686000000000020 -:04568700000000001F -:04568800000000001E -:04568900000000001D -:04568A00000000001C -:04568B00000000001B -:04568C00000000001A -:04568D000000000019 -:04568E000000000018 -:04568F000000000017 -:045690000000000016 -:045691000000000015 -:045692000000000014 -:045693000000000013 -:045694000000000012 -:045695000000000011 -:045696000000000010 -:04569700000000000F -:04569800000000000E -:04569900000000000D -:04569A00000000000C -:04569B00000000000B -:04569C00000000000A -:04569D000000000009 -:04569E000000000008 -:04569F000000000007 -:0456A0000000000006 -:0456A1000000000005 -:0456A2000000000004 -:0456A3000000000003 -:0456A4000000000002 -:0456A5000000000001 -:0456A6000000000000 -:0456A70000000000FF -:0456A80000000000FE -:0456A90000000000FD -:0456AA0000000000FC -:0456AB0000000000FB -:0456AC0000000000FA -:0456AD0000000000F9 -:0456AE0000000000F8 -:0456AF0000000000F7 -:0456B00000000000F6 -:0456B10000000000F5 -:0456B20000000000F4 -:0456B30000000000F3 -:0456B40000000000F2 -:0456B50000000000F1 -:0456B60000000000F0 -:0456B70000000000EF -:0456B80000000000EE -:0456B90000000000ED -:0456BA0000000000EC -:0456BB0000000000EB -:0456BC0000000000EA -:0456BD0000000000E9 -:0456BE0000000000E8 -:0456BF0000000000E7 -:0456C00000000000E6 -:0456C10000000000E5 -:0456C20000000000E4 -:0456C30000000000E3 -:0456C40000000000E2 -:0456C50000000000E1 -:0456C60000000000E0 -:0456C70000000000DF -:0456C80000000000DE -:0456C90000000000DD -:0456CA0000000000DC -:0456CB0000000000DB -:0456CC0000000000DA -:0456CD0000000000D9 -:0456CE0000000000D8 -:0456CF0000000000D7 -:0456D00000000000D6 -:0456D10000000000D5 -:0456D20000000000D4 -:0456D30000000000D3 -:0456D40000000000D2 -:0456D50000000000D1 -:0456D60000000000D0 -:0456D70000000000CF -:0456D80000000000CE -:0456D90000000000CD -:0456DA0000000000CC -:0456DB0000000000CB -:0456DC0000000000CA -:0456DD0000000000C9 -:0456DE0000000000C8 -:0456DF0000000000C7 -:0456E00000000000C6 -:0456E10000000000C5 -:0456E20000000000C4 -:0456E30000000000C3 -:0456E40000000000C2 -:0456E50000000000C1 -:0456E60000000000C0 -:0456E70000000000BF -:0456E80000000000BE -:0456E90000000000BD -:0456EA0000000000BC -:0456EB0000000000BB -:0456EC0000000000BA -:0456ED0000000000B9 -:0456EE0000000000B8 -:0456EF0000000000B7 -:0456F00000000000B6 -:0456F10000000000B5 -:0456F20000000000B4 -:0456F30000000000B3 -:0456F40000000000B2 -:0456F50000000000B1 -:0456F60000000000B0 -:0456F70000000000AF -:0456F80000000000AE -:0456F90000000000AD -:0456FA0000000000AC -:0456FB0000000000AB -:0456FC0000000000AA -:0456FD0000000000A9 -:0456FE0000000000A8 -:0456FF0000000000A7 -:0457000000000000A5 -:0457010000000000A4 -:0457020000000000A3 -:0457030000000000A2 -:0457040000000000A1 -:0457050000000000A0 -:04570600000000009F -:04570700000000009E -:04570800000000009D -:04570900000000009C -:04570A00000000009B -:04570B00000000009A -:04570C000000000099 -:04570D000000000098 -:04570E000000000097 -:04570F000000000096 -:045710000000000095 -:045711000000000094 -:045712000000000093 -:045713000000000092 -:045714000000000091 -:045715000000000090 -:04571600000000008F -:04571700000000008E -:04571800000000008D -:04571900000000008C -:04571A00000000008B -:04571B00000000008A -:04571C000000000089 -:04571D000000000088 -:04571E000000000087 -:04571F000000000086 -:045720000000000085 -:045721000000000084 -:045722000000000083 -:045723000000000082 -:045724000000000081 -:045725000000000080 -:04572600000000007F -:04572700000000007E -:04572800000000007D -:04572900000000007C -:04572A00000000007B -:04572B00000000007A -:04572C000000000079 -:04572D000000000078 -:04572E000000000077 -:04572F000000000076 -:045730000000000075 -:045731000000000074 -:045732000000000073 -:045733000000000072 -:045734000000000071 -:045735000000000070 -:04573600000000006F -:04573700000000006E -:04573800000000006D -:04573900000000006C -:04573A00000000006B -:04573B00000000006A -:04573C000000000069 -:04573D000000000068 -:04573E000000000067 -:04573F000000000066 -:045740000000000065 -:045741000000000064 -:045742000000000063 -:045743000000000062 -:045744000000000061 -:045745000000000060 -:04574600000000005F -:04574700000000005E -:04574800000000005D -:04574900000000005C -:04574A00000000005B -:04574B00000000005A -:04574C000000000059 -:04574D000000000058 -:04574E000000000057 -:04574F000000000056 -:045750000000000055 -:045751000000000054 -:045752000000000053 -:045753000000000052 -:045754000000000051 -:045755000000000050 -:04575600000000004F -:04575700000000004E -:04575800000000004D -:04575900000000004C -:04575A00000000004B -:04575B00000000004A -:04575C000000000049 -:04575D000000000048 -:04575E000000000047 -:04575F000000000046 -:045760000000000045 -:045761000000000044 -:045762000000000043 -:045763000000000042 -:045764000000000041 -:045765000000000040 -:04576600000000003F -:04576700000000003E -:04576800000000003D -:04576900000000003C -:04576A00000000003B -:04576B00000000003A -:04576C000000000039 -:04576D000000000038 -:04576E000000000037 -:04576F000000000036 -:045770000000000035 -:045771000000000034 -:045772000000000033 -:045773000000000032 -:045774000000000031 -:045775000000000030 -:04577600000000002F -:04577700000000002E -:04577800000000002D -:04577900000000002C -:04577A00000000002B -:04577B00000000002A -:04577C000000000029 -:04577D000000000028 -:04577E000000000027 -:04577F000000000026 -:045780000000000025 -:045781000000000024 -:045782000000000023 -:045783000000000022 -:045784000000000021 -:045785000000000020 -:04578600000000001F -:04578700000000001E -:04578800000000001D -:04578900000000001C -:04578A00000000001B -:04578B00000000001A -:04578C000000000019 -:04578D000000000018 -:04578E000000000017 -:04578F000000000016 -:045790000000000015 -:045791000000000014 -:045792000000000013 -:045793000000000012 -:045794000000000011 -:045795000000000010 -:04579600000000000F -:04579700000000000E -:04579800000000000D -:04579900000000000C -:04579A00000000000B -:04579B00000000000A -:04579C000000000009 -:04579D000000000008 -:04579E000000000007 -:04579F000000000006 -:0457A0000000000005 -:0457A1000000000004 -:0457A2000000000003 -:0457A3000000000002 -:0457A4000000000001 -:0457A5000000000000 -:0457A60000000000FF -:0457A70000000000FE -:0457A80000000000FD -:0457A90000000000FC -:0457AA0000000000FB -:0457AB0000000000FA -:0457AC0000000000F9 -:0457AD0000000000F8 -:0457AE0000000000F7 -:0457AF0000000000F6 -:0457B00000000000F5 -:0457B10000000000F4 -:0457B20000000000F3 -:0457B30000000000F2 -:0457B40000000000F1 -:0457B50000000000F0 -:0457B60000000000EF -:0457B70000000000EE -:0457B80000000000ED -:0457B90000000000EC -:0457BA0000000000EB -:0457BB0000000000EA -:0457BC0000000000E9 -:0457BD0000000000E8 -:0457BE0000000000E7 -:0457BF0000000000E6 -:0457C00000000000E5 -:0457C10000000000E4 -:0457C20000000000E3 -:0457C30000000000E2 -:0457C40000000000E1 -:0457C50000000000E0 -:0457C60000000000DF -:0457C70000000000DE -:0457C80000000000DD -:0457C90000000000DC -:0457CA0000000000DB -:0457CB0000000000DA -:0457CC0000000000D9 -:0457CD0000000000D8 -:0457CE0000000000D7 -:0457CF0000000000D6 -:0457D00000000000D5 -:0457D10000000000D4 -:0457D20000000000D3 -:0457D30000000000D2 -:0457D40000000000D1 -:0457D50000000000D0 -:0457D60000000000CF -:0457D70000000000CE -:0457D80000000000CD -:0457D90000000000CC -:0457DA0000000000CB -:0457DB0000000000CA -:0457DC0000000000C9 -:0457DD0000000000C8 -:0457DE0000000000C7 -:0457DF0000000000C6 -:0457E00000000000C5 -:0457E10000000000C4 -:0457E20000000000C3 -:0457E30000000000C2 -:0457E40000000000C1 -:0457E50000000000C0 -:0457E60000000000BF -:0457E70000000000BE -:0457E80000000000BD -:0457E90000000000BC -:0457EA0000000000BB -:0457EB0000000000BA -:0457EC0000000000B9 -:0457ED0000000000B8 -:0457EE0000000000B7 -:0457EF0000000000B6 -:0457F00000000000B5 -:0457F10000000000B4 -:0457F20000000000B3 -:0457F30000000000B2 -:0457F40000000000B1 -:0457F50000000000B0 -:0457F60000000000AF -:0457F70000000000AE -:0457F80000000000AD -:0457F90000000000AC -:0457FA0000000000AB -:0457FB0000000000AA -:0457FC0000000000A9 -:0457FD0000000000A8 -:0457FE0000000000A7 -:0457FF0000000000A6 -:0458000000000000A4 -:0458010000000000A3 -:0458020000000000A2 -:0458030000000000A1 -:0458040000000000A0 -:04580500000000009F -:04580600000000009E -:04580700000000009D -:04580800000000009C -:04580900000000009B -:04580A00000000009A -:04580B000000000099 -:04580C000000000098 -:04580D000000000097 -:04580E000000000096 -:04580F000000000095 -:045810000000000094 -:045811000000000093 -:045812000000000092 -:045813000000000091 -:045814000000000090 -:04581500000000008F -:04581600000000008E -:04581700000000008D -:04581800000000008C -:04581900000000008B -:04581A00000000008A -:04581B000000000089 -:04581C000000000088 -:04581D000000000087 -:04581E000000000086 -:04581F000000000085 -:045820000000000084 -:045821000000000083 -:045822000000000082 -:045823000000000081 -:045824000000000080 -:04582500000000007F -:04582600000000007E -:04582700000000007D -:04582800000000007C -:04582900000000007B -:04582A00000000007A -:04582B000000000079 -:04582C000000000078 -:04582D000000000077 -:04582E000000000076 -:04582F000000000075 -:045830000000000074 -:045831000000000073 -:045832000000000072 -:045833000000000071 -:045834000000000070 -:04583500000000006F -:04583600000000006E -:04583700000000006D -:04583800000000006C -:04583900000000006B -:04583A00000000006A -:04583B000000000069 -:04583C000000000068 -:04583D000000000067 -:04583E000000000066 -:04583F000000000065 -:045840000000000064 -:045841000000000063 -:045842000000000062 -:045843000000000061 -:045844000000000060 -:04584500000000005F -:04584600000000005E -:04584700000000005D -:04584800000000005C -:04584900000000005B -:04584A00000000005A -:04584B000000000059 -:04584C000000000058 -:04584D000000000057 -:04584E000000000056 -:04584F000000000055 -:045850000000000054 -:045851000000000053 -:045852000000000052 -:045853000000000051 -:045854000000000050 -:04585500000000004F -:04585600000000004E -:04585700000000004D -:04585800000000004C -:04585900000000004B -:04585A00000000004A -:04585B000000000049 -:04585C000000000048 -:04585D000000000047 -:04585E000000000046 -:04585F000000000045 -:045860000000000044 -:045861000000000043 -:045862000000000042 -:045863000000000041 -:045864000000000040 -:04586500000000003F -:04586600000000003E -:04586700000000003D -:04586800000000003C -:04586900000000003B -:04586A00000000003A -:04586B000000000039 -:04586C000000000038 -:04586D000000000037 -:04586E000000000036 -:04586F000000000035 -:045870000000000034 -:045871000000000033 -:045872000000000032 -:045873000000000031 -:045874000000000030 -:04587500000000002F -:04587600000000002E -:04587700000000002D -:04587800000000002C -:04587900000000002B -:04587A00000000002A -:04587B000000000029 -:04587C000000000028 -:04587D000000000027 -:04587E000000000026 -:04587F000000000025 -:045880000000000024 -:045881000000000023 -:045882000000000022 -:045883000000000021 -:045884000000000020 -:04588500000000001F -:04588600000000001E -:04588700000000001D -:04588800000000001C -:04588900000000001B -:04588A00000000001A -:04588B000000000019 -:04588C000000000018 -:04588D000000000017 -:04588E000000000016 -:04588F000000000015 -:045890000000000014 -:045891000000000013 -:045892000000000012 -:045893000000000011 -:045894000000000010 -:04589500000000000F -:04589600000000000E -:04589700000000000D -:04589800000000000C -:04589900000000000B -:04589A00000000000A -:04589B000000000009 -:04589C000000000008 -:04589D000000000007 -:04589E000000000006 -:04589F000000000005 -:0458A0000000000004 -:0458A1000000000003 -:0458A2000000000002 -:0458A3000000000001 -:0458A4000000000000 -:0458A50000000000FF -:0458A60000000000FE -:0458A70000000000FD -:0458A80000000000FC -:0458A90000000000FB -:0458AA0000000000FA -:0458AB0000000000F9 -:0458AC0000000000F8 -:0458AD0000000000F7 -:0458AE0000000000F6 -:0458AF0000000000F5 -:0458B00000000000F4 -:0458B10000000000F3 -:0458B20000000000F2 -:0458B30000000000F1 -:0458B40000000000F0 -:0458B50000000000EF -:0458B60000000000EE -:0458B70000000000ED -:0458B80000000000EC -:0458B90000000000EB -:0458BA0000000000EA -:0458BB0000000000E9 -:0458BC0000000000E8 -:0458BD0000000000E7 -:0458BE0000000000E6 -:0458BF0000000000E5 -:0458C00000000000E4 -:0458C10000000000E3 -:0458C20000000000E2 -:0458C30000000000E1 -:0458C40000000000E0 -:0458C50000000000DF -:0458C60000000000DE -:0458C70000000000DD -:0458C80000000000DC -:0458C90000000000DB -:0458CA0000000000DA -:0458CB0000000000D9 -:0458CC0000000000D8 -:0458CD0000000000D7 -:0458CE0000000000D6 -:0458CF0000000000D5 -:0458D00000000000D4 -:0458D10000000000D3 -:0458D20000000000D2 -:0458D30000000000D1 -:0458D40000000000D0 -:0458D50000000000CF -:0458D60000000000CE -:0458D70000000000CD -:0458D80000000000CC -:0458D90000000000CB -:0458DA0000000000CA -:0458DB0000000000C9 -:0458DC0000000000C8 -:0458DD0000000000C7 -:0458DE0000000000C6 -:0458DF0000000000C5 -:0458E00000000000C4 -:0458E10000000000C3 -:0458E20000000000C2 -:0458E30000000000C1 -:0458E40000000000C0 -:0458E50000000000BF -:0458E60000000000BE -:0458E70000000000BD -:0458E80000000000BC -:0458E90000000000BB -:0458EA0000000000BA -:0458EB0000000000B9 -:0458EC0000000000B8 -:0458ED0000000000B7 -:0458EE0000000000B6 -:0458EF0000000000B5 -:0458F00000000000B4 -:0458F10000000000B3 -:0458F20000000000B2 -:0458F30000000000B1 -:0458F40000000000B0 -:0458F50000000000AF -:0458F60000000000AE -:0458F70000000000AD -:0458F80000000000AC -:0458F90000000000AB -:0458FA0000000000AA -:0458FB0000000000A9 -:0458FC0000000000A8 -:0458FD0000000000A7 -:0458FE0000000000A6 -:0458FF0000000000A5 -:0459000000000000A3 -:0459010000000000A2 -:0459020000000000A1 -:0459030000000000A0 -:04590400000000009F -:04590500000000009E -:04590600000000009D -:04590700000000009C -:04590800000000009B -:04590900000000009A -:04590A000000000099 -:04590B000000000098 -:04590C000000000097 -:04590D000000000096 -:04590E000000000095 -:04590F000000000094 -:045910000000000093 -:045911000000000092 -:045912000000000091 -:045913000000000090 -:04591400000000008F -:04591500000000008E -:04591600000000008D -:04591700000000008C -:04591800000000008B -:04591900000000008A -:04591A000000000089 -:04591B000000000088 -:04591C000000000087 -:04591D000000000086 -:04591E000000000085 -:04591F000000000084 -:045920000000000083 -:045921000000000082 -:045922000000000081 -:045923000000000080 -:04592400000000007F -:04592500000000007E -:04592600000000007D -:04592700000000007C -:04592800000000007B -:04592900000000007A -:04592A000000000079 -:04592B000000000078 -:04592C000000000077 -:04592D000000000076 -:04592E000000000075 -:04592F000000000074 -:045930000000000073 -:045931000000000072 -:045932000000000071 -:045933000000000070 -:04593400000000006F -:04593500000000006E -:04593600000000006D -:04593700000000006C -:04593800000000006B -:04593900000000006A -:04593A000000000069 -:04593B000000000068 -:04593C000000000067 -:04593D000000000066 -:04593E000000000065 -:04593F000000000064 -:045940000000000063 -:045941000000000062 -:045942000000000061 -:045943000000000060 -:04594400000000005F -:04594500000000005E -:04594600000000005D -:04594700000000005C -:04594800000000005B -:04594900000000005A -:04594A000000000059 -:04594B000000000058 -:04594C000000000057 -:04594D000000000056 -:04594E000000000055 -:04594F000000000054 -:045950000000000053 -:045951000000000052 -:045952000000000051 -:045953000000000050 -:04595400000000004F -:04595500000000004E -:04595600000000004D -:04595700000000004C -:04595800000000004B -:04595900000000004A -:04595A000000000049 -:04595B000000000048 -:04595C000000000047 -:04595D000000000046 -:04595E000000000045 -:04595F000000000044 -:045960000000000043 -:045961000000000042 -:045962000000000041 -:045963000000000040 -:04596400000000003F -:04596500000000003E -:04596600000000003D -:04596700000000003C -:04596800000000003B -:04596900000000003A -:04596A000000000039 -:04596B000000000038 -:04596C000000000037 -:04596D000000000036 -:04596E000000000035 -:04596F000000000034 -:045970000000000033 -:045971000000000032 -:045972000000000031 -:045973000000000030 -:04597400000000002F -:04597500000000002E -:04597600000000002D -:04597700000000002C -:04597800000000002B -:04597900000000002A -:04597A000000000029 -:04597B000000000028 -:04597C000000000027 -:04597D000000000026 -:04597E000000000025 -:04597F000000000024 -:045980000000000023 -:045981000000000022 -:045982000000000021 -:045983000000000020 -:04598400000000001F -:04598500000000001E -:04598600000000001D -:04598700000000001C -:04598800000000001B -:04598900000000001A -:04598A000000000019 -:04598B000000000018 -:04598C000000000017 -:04598D000000000016 -:04598E000000000015 -:04598F000000000014 -:045990000000000013 -:045991000000000012 -:045992000000000011 -:045993000000000010 -:04599400000000000F -:04599500000000000E -:04599600000000000D -:04599700000000000C -:04599800000000000B -:04599900000000000A -:04599A000000000009 -:04599B000000000008 -:04599C000000000007 -:04599D000000000006 -:04599E000000000005 -:04599F000000000004 -:0459A0000000000003 -:0459A1000000000002 -:0459A2000000000001 -:0459A3000000000000 -:0459A40000000000FF -:0459A50000000000FE -:0459A60000000000FD -:0459A70000000000FC -:0459A80000000000FB -:0459A90000000000FA -:0459AA0000000000F9 -:0459AB0000000000F8 -:0459AC0000000000F7 -:0459AD0000000000F6 -:0459AE0000000000F5 -:0459AF0000000000F4 -:0459B00000000000F3 -:0459B10000000000F2 -:0459B20000000000F1 -:0459B30000000000F0 -:0459B40000000000EF -:0459B50000000000EE -:0459B60000000000ED -:0459B70000000000EC -:0459B80000000000EB -:0459B90000000000EA -:0459BA0000000000E9 -:0459BB0000000000E8 -:0459BC0000000000E7 -:0459BD0000000000E6 -:0459BE0000000000E5 -:0459BF0000000000E4 -:0459C00000000000E3 -:0459C10000000000E2 -:0459C20000000000E1 -:0459C30000000000E0 -:0459C40000000000DF -:0459C50000000000DE -:0459C60000000000DD -:0459C70000000000DC -:0459C80000000000DB -:0459C90000000000DA -:0459CA0000000000D9 -:0459CB0000000000D8 -:0459CC0000000000D7 -:0459CD0000000000D6 -:0459CE0000000000D5 -:0459CF0000000000D4 -:0459D00000000000D3 -:0459D10000000000D2 -:0459D20000000000D1 -:0459D30000000000D0 -:0459D40000000000CF -:0459D50000000000CE -:0459D60000000000CD -:0459D70000000000CC -:0459D80000000000CB -:0459D90000000000CA -:0459DA0000000000C9 -:0459DB0000000000C8 -:0459DC0000000000C7 -:0459DD0000000000C6 -:0459DE0000000000C5 -:0459DF0000000000C4 -:0459E00000000000C3 -:0459E10000000000C2 -:0459E20000000000C1 -:0459E30000000000C0 -:0459E40000000000BF -:0459E50000000000BE -:0459E60000000000BD -:0459E70000000000BC -:0459E80000000000BB -:0459E90000000000BA -:0459EA0000000000B9 -:0459EB0000000000B8 -:0459EC0000000000B7 -:0459ED0000000000B6 -:0459EE0000000000B5 -:0459EF0000000000B4 -:0459F00000000000B3 -:0459F10000000000B2 -:0459F20000000000B1 -:0459F30000000000B0 -:0459F40000000000AF -:0459F50000000000AE -:0459F60000000000AD -:0459F70000000000AC -:0459F80000000000AB -:0459F90000000000AA -:0459FA0000000000A9 -:0459FB0000000000A8 -:0459FC0000000000A7 -:0459FD0000000000A6 -:0459FE0000000000A5 -:0459FF0000000000A4 -:045A000000000000A2 -:045A010000000000A1 -:045A020000000000A0 -:045A0300000000009F -:045A0400000000009E -:045A0500000000009D -:045A0600000000009C -:045A0700000000009B -:045A0800000000009A -:045A09000000000099 -:045A0A000000000098 -:045A0B000000000097 -:045A0C000000000096 -:045A0D000000000095 -:045A0E000000000094 -:045A0F000000000093 -:045A10000000000092 -:045A11000000000091 -:045A12000000000090 -:045A1300000000008F -:045A1400000000008E -:045A1500000000008D -:045A1600000000008C -:045A1700000000008B -:045A1800000000008A -:045A19000000000089 -:045A1A000000000088 -:045A1B000000000087 -:045A1C000000000086 -:045A1D000000000085 -:045A1E000000000084 -:045A1F000000000083 -:045A20000000000082 -:045A21000000000081 -:045A22000000000080 -:045A2300000000007F -:045A2400000000007E -:045A2500000000007D -:045A2600000000007C -:045A2700000000007B -:045A2800000000007A -:045A29000000000079 -:045A2A000000000078 -:045A2B000000000077 -:045A2C000000000076 -:045A2D000000000075 -:045A2E000000000074 -:045A2F000000000073 -:045A30000000000072 -:045A31000000000071 -:045A32000000000070 -:045A3300000000006F -:045A3400000000006E -:045A3500000000006D -:045A3600000000006C -:045A3700000000006B -:045A3800000000006A -:045A39000000000069 -:045A3A000000000068 -:045A3B000000000067 -:045A3C000000000066 -:045A3D000000000065 -:045A3E000000000064 -:045A3F000000000063 -:045A40000000000062 -:045A41000000000061 -:045A42000000000060 -:045A4300000000005F -:045A4400000000005E -:045A4500000000005D -:045A4600000000005C -:045A4700000000005B -:045A4800000000005A -:045A49000000000059 -:045A4A000000000058 -:045A4B000000000057 -:045A4C000000000056 -:045A4D000000000055 -:045A4E000000000054 -:045A4F000000000053 -:045A50000000000052 -:045A51000000000051 -:045A52000000000050 -:045A5300000000004F -:045A5400000000004E -:045A5500000000004D -:045A5600000000004C -:045A5700000000004B -:045A5800000000004A -:045A59000000000049 -:045A5A000000000048 -:045A5B000000000047 -:045A5C000000000046 -:045A5D000000000045 -:045A5E000000000044 -:045A5F000000000043 -:045A60000000000042 -:045A61000000000041 -:045A62000000000040 -:045A6300000000003F -:045A6400000000003E -:045A6500000000003D -:045A6600000000003C -:045A6700000000003B -:045A6800000000003A -:045A69000000000039 -:045A6A000000000038 -:045A6B000000000037 -:045A6C000000000036 -:045A6D000000000035 -:045A6E000000000034 -:045A6F000000000033 -:045A70000000000032 -:045A71000000000031 -:045A72000000000030 -:045A7300000000002F -:045A7400000000002E -:045A7500000000002D -:045A7600000000002C -:045A7700000000002B -:045A7800000000002A -:045A79000000000029 -:045A7A000000000028 -:045A7B000000000027 -:045A7C000000000026 -:045A7D000000000025 -:045A7E000000000024 -:045A7F000000000023 -:045A80000000000022 -:045A81000000000021 -:045A82000000000020 -:045A8300000000001F -:045A8400000000001E -:045A8500000000001D -:045A8600000000001C -:045A8700000000001B -:045A8800000000001A -:045A89000000000019 -:045A8A000000000018 -:045A8B000000000017 -:045A8C000000000016 -:045A8D000000000015 -:045A8E000000000014 -:045A8F000000000013 -:045A90000000000012 -:045A91000000000011 -:045A92000000000010 -:045A9300000000000F -:045A9400000000000E -:045A9500000000000D -:045A9600000000000C -:045A9700000000000B -:045A9800000000000A -:045A99000000000009 -:045A9A000000000008 -:045A9B000000000007 -:045A9C000000000006 -:045A9D000000000005 -:045A9E000000000004 -:045A9F000000000003 -:045AA0000000000002 -:045AA1000000000001 -:045AA2000000000000 -:045AA30000000000FF -:045AA40000000000FE -:045AA50000000000FD -:045AA60000000000FC -:045AA70000000000FB -:045AA80000000000FA -:045AA90000000000F9 -:045AAA0000000000F8 -:045AAB0000000000F7 -:045AAC0000000000F6 -:045AAD0000000000F5 -:045AAE0000000000F4 -:045AAF0000000000F3 -:045AB00000000000F2 -:045AB10000000000F1 -:045AB20000000000F0 -:045AB30000000000EF -:045AB40000000000EE -:045AB50000000000ED -:045AB60000000000EC -:045AB70000000000EB -:045AB80000000000EA -:045AB90000000000E9 -:045ABA0000000000E8 -:045ABB0000000000E7 -:045ABC0000000000E6 -:045ABD0000000000E5 -:045ABE0000000000E4 -:045ABF0000000000E3 -:045AC00000000000E2 -:045AC10000000000E1 -:045AC20000000000E0 -:045AC30000000000DF -:045AC40000000000DE -:045AC50000000000DD -:045AC60000000000DC -:045AC70000000000DB -:045AC80000000000DA -:045AC90000000000D9 -:045ACA0000000000D8 -:045ACB0000000000D7 -:045ACC0000000000D6 -:045ACD0000000000D5 -:045ACE0000000000D4 -:045ACF0000000000D3 -:045AD00000000000D2 -:045AD10000000000D1 -:045AD20000000000D0 -:045AD30000000000CF -:045AD40000000000CE -:045AD50000000000CD -:045AD60000000000CC -:045AD70000000000CB -:045AD80000000000CA -:045AD90000000000C9 -:045ADA0000000000C8 -:045ADB0000000000C7 -:045ADC0000000000C6 -:045ADD0000000000C5 -:045ADE0000000000C4 -:045ADF0000000000C3 -:045AE00000000000C2 -:045AE10000000000C1 -:045AE20000000000C0 -:045AE30000000000BF -:045AE40000000000BE -:045AE50000000000BD -:045AE60000000000BC -:045AE70000000000BB -:045AE80000000000BA -:045AE90000000000B9 -:045AEA0000000000B8 -:045AEB0000000000B7 -:045AEC0000000000B6 -:045AED0000000000B5 -:045AEE0000000000B4 -:045AEF0000000000B3 -:045AF00000000000B2 -:045AF10000000000B1 -:045AF20000000000B0 -:045AF30000000000AF -:045AF40000000000AE -:045AF50000000000AD -:045AF60000000000AC -:045AF70000000000AB -:045AF80000000000AA -:045AF90000000000A9 -:045AFA0000000000A8 -:045AFB0000000000A7 -:045AFC0000000000A6 -:045AFD0000000000A5 -:045AFE0000000000A4 -:045AFF0000000000A3 -:045B000000000000A1 -:045B010000000000A0 -:045B0200000000009F -:045B0300000000009E -:045B0400000000009D -:045B0500000000009C -:045B0600000000009B -:045B0700000000009A -:045B08000000000099 -:045B09000000000098 -:045B0A000000000097 -:045B0B000000000096 -:045B0C000000000095 -:045B0D000000000094 -:045B0E000000000093 -:045B0F000000000092 -:045B10000000000091 -:045B11000000000090 -:045B1200000000008F -:045B1300000000008E -:045B1400000000008D -:045B1500000000008C -:045B1600000000008B -:045B1700000000008A -:045B18000000000089 -:045B19000000000088 -:045B1A000000000087 -:045B1B000000000086 -:045B1C000000000085 -:045B1D000000000084 -:045B1E000000000083 -:045B1F000000000082 -:045B20000000000081 -:045B21000000000080 -:045B2200000000007F -:045B2300000000007E -:045B2400000000007D -:045B2500000000007C -:045B2600000000007B -:045B2700000000007A -:045B28000000000079 -:045B29000000000078 -:045B2A000000000077 -:045B2B000000000076 -:045B2C000000000075 -:045B2D000000000074 -:045B2E000000000073 -:045B2F000000000072 -:045B30000000000071 -:045B31000000000070 -:045B3200000000006F -:045B3300000000006E -:045B3400000000006D -:045B3500000000006C -:045B3600000000006B -:045B3700000000006A -:045B38000000000069 -:045B39000000000068 -:045B3A000000000067 -:045B3B000000000066 -:045B3C000000000065 -:045B3D000000000064 -:045B3E000000000063 -:045B3F000000000062 -:045B40000000000061 -:045B41000000000060 -:045B4200000000005F -:045B4300000000005E -:045B4400000000005D -:045B4500000000005C -:045B4600000000005B -:045B4700000000005A -:045B48000000000059 -:045B49000000000058 -:045B4A000000000057 -:045B4B000000000056 -:045B4C000000000055 -:045B4D000000000054 -:045B4E000000000053 -:045B4F000000000052 -:045B50000000000051 -:045B51000000000050 -:045B5200000000004F -:045B5300000000004E -:045B5400000000004D -:045B5500000000004C -:045B5600000000004B -:045B5700000000004A -:045B58000000000049 -:045B59000000000048 -:045B5A000000000047 -:045B5B000000000046 -:045B5C000000000045 -:045B5D000000000044 -:045B5E000000000043 -:045B5F000000000042 -:045B60000000000041 -:045B61000000000040 -:045B6200000000003F -:045B6300000000003E -:045B6400000000003D -:045B6500000000003C -:045B6600000000003B -:045B6700000000003A -:045B68000000000039 -:045B69000000000038 -:045B6A000000000037 -:045B6B000000000036 -:045B6C000000000035 -:045B6D000000000034 -:045B6E000000000033 -:045B6F000000000032 -:045B70000000000031 -:045B71000000000030 -:045B7200000000002F -:045B7300000000002E -:045B7400000000002D -:045B7500000000002C -:045B7600000000002B -:045B7700000000002A -:045B78000000000029 -:045B79000000000028 -:045B7A000000000027 -:045B7B000000000026 -:045B7C000000000025 -:045B7D000000000024 -:045B7E000000000023 -:045B7F000000000022 -:045B80000000000021 -:045B81000000000020 -:045B8200000000001F -:045B8300000000001E -:045B8400000000001D -:045B8500000000001C -:045B8600000000001B -:045B8700000000001A -:045B88000000000019 -:045B89000000000018 -:045B8A000000000017 -:045B8B000000000016 -:045B8C000000000015 -:045B8D000000000014 -:045B8E000000000013 -:045B8F000000000012 -:045B90000000000011 -:045B91000000000010 -:045B9200000000000F -:045B9300000000000E -:045B9400000000000D -:045B9500000000000C -:045B9600000000000B -:045B9700000000000A -:045B98000000000009 -:045B99000000000008 -:045B9A000000000007 -:045B9B000000000006 -:045B9C000000000005 -:045B9D000000000004 -:045B9E000000000003 -:045B9F000000000002 -:045BA0000000000001 -:045BA1000000000000 -:045BA20000000000FF -:045BA30000000000FE -:045BA40000000000FD -:045BA50000000000FC -:045BA60000000000FB -:045BA70000000000FA -:045BA80000000000F9 -:045BA90000000000F8 -:045BAA0000000000F7 -:045BAB0000000000F6 -:045BAC0000000000F5 -:045BAD0000000000F4 -:045BAE0000000000F3 -:045BAF0000000000F2 -:045BB00000000000F1 -:045BB10000000000F0 -:045BB20000000000EF -:045BB30000000000EE -:045BB40000000000ED -:045BB50000000000EC -:045BB60000000000EB -:045BB70000000000EA -:045BB80000000000E9 -:045BB90000000000E8 -:045BBA0000000000E7 -:045BBB0000000000E6 -:045BBC0000000000E5 -:045BBD0000000000E4 -:045BBE0000000000E3 -:045BBF0000000000E2 -:045BC00000000000E1 -:045BC10000000000E0 -:045BC20000000000DF -:045BC30000000000DE -:045BC40000000000DD -:045BC50000000000DC -:045BC60000000000DB -:045BC70000000000DA -:045BC80000000000D9 -:045BC90000000000D8 -:045BCA0000000000D7 -:045BCB0000000000D6 -:045BCC0000000000D5 -:045BCD0000000000D4 -:045BCE0000000000D3 -:045BCF0000000000D2 -:045BD00000000000D1 -:045BD10000000000D0 -:045BD20000000000CF -:045BD30000000000CE -:045BD40000000000CD -:045BD50000000000CC -:045BD60000000000CB -:045BD70000000000CA -:045BD80000000000C9 -:045BD90000000000C8 -:045BDA0000000000C7 -:045BDB0000000000C6 -:045BDC0000000000C5 -:045BDD0000000000C4 -:045BDE0000000000C3 -:045BDF0000000000C2 -:045BE00000000000C1 -:045BE10000000000C0 -:045BE20000000000BF -:045BE30000000000BE -:045BE40000000000BD -:045BE50000000000BC -:045BE60000000000BB -:045BE70000000000BA -:045BE80000000000B9 -:045BE90000000000B8 -:045BEA0000000000B7 -:045BEB0000000000B6 -:045BEC0000000000B5 -:045BED0000000000B4 -:045BEE0000000000B3 -:045BEF0000000000B2 -:045BF00000000000B1 -:045BF10000000000B0 -:045BF20000000000AF -:045BF30000000000AE -:045BF40000000000AD -:045BF50000000000AC -:045BF60000000000AB -:045BF70000000000AA -:045BF80000000000A9 -:045BF90000000000A8 -:045BFA0000000000A7 -:045BFB0000000000A6 -:045BFC0000000000A5 -:045BFD0000000000A4 -:045BFE0000000000A3 -:045BFF0000000000A2 -:045C000000000000A0 -:045C0100000000009F -:045C0200000000009E -:045C0300000000009D -:045C0400000000009C -:045C0500000000009B -:045C0600000000009A -:045C07000000000099 -:045C08000000000098 -:045C09000000000097 -:045C0A000000000096 -:045C0B000000000095 -:045C0C000000000094 -:045C0D000000000093 -:045C0E000000000092 -:045C0F000000000091 -:045C10000000000090 -:045C1100000000008F -:045C1200000000008E -:045C1300000000008D -:045C1400000000008C -:045C1500000000008B -:045C1600000000008A -:045C17000000000089 -:045C18000000000088 -:045C19000000000087 -:045C1A000000000086 -:045C1B000000000085 -:045C1C000000000084 -:045C1D000000000083 -:045C1E000000000082 -:045C1F000000000081 -:045C20000000000080 -:045C2100000000007F -:045C2200000000007E -:045C2300000000007D -:045C2400000000007C -:045C2500000000007B -:045C2600000000007A -:045C27000000000079 -:045C28000000000078 -:045C29000000000077 -:045C2A000000000076 -:045C2B000000000075 -:045C2C000000000074 -:045C2D000000000073 -:045C2E000000000072 -:045C2F000000000071 -:045C30000000000070 -:045C3100000000006F -:045C3200000000006E -:045C3300000000006D -:045C3400000000006C -:045C3500000000006B -:045C3600000000006A -:045C37000000000069 -:045C38000000000068 -:045C39000000000067 -:045C3A000000000066 -:045C3B000000000065 -:045C3C000000000064 -:045C3D000000000063 -:045C3E000000000062 -:045C3F000000000061 -:045C40000000000060 -:045C4100000000005F -:045C4200000000005E -:045C4300000000005D -:045C4400000000005C -:045C4500000000005B -:045C4600000000005A -:045C47000000000059 -:045C48000000000058 -:045C49000000000057 -:045C4A000000000056 -:045C4B000000000055 -:045C4C000000000054 -:045C4D000000000053 -:045C4E000000000052 -:045C4F000000000051 -:045C50000000000050 -:045C5100000000004F -:045C5200000000004E -:045C5300000000004D -:045C5400000000004C -:045C5500000000004B -:045C5600000000004A -:045C57000000000049 -:045C58000000000048 -:045C59000000000047 -:045C5A000000000046 -:045C5B000000000045 -:045C5C000000000044 -:045C5D000000000043 -:045C5E000000000042 -:045C5F000000000041 -:045C60000000000040 -:045C6100000000003F -:045C6200000000003E -:045C6300000000003D -:045C6400000000003C -:045C6500000000003B -:045C6600000000003A -:045C67000000000039 -:045C68000000000038 -:045C69000000000037 -:045C6A000000000036 -:045C6B000000000035 -:045C6C000000000034 -:045C6D000000000033 -:045C6E000000000032 -:045C6F000000000031 -:045C70000000000030 -:045C7100000000002F -:045C7200000000002E -:045C7300000000002D -:045C7400000000002C -:045C7500000000002B -:045C7600000000002A -:045C77000000000029 -:045C78000000000028 -:045C79000000000027 -:045C7A000000000026 -:045C7B000000000025 -:045C7C000000000024 -:045C7D000000000023 -:045C7E000000000022 -:045C7F000000000021 -:045C80000000000020 -:045C8100000000001F -:045C8200000000001E -:045C8300000000001D -:045C8400000000001C -:045C8500000000001B -:045C8600000000001A -:045C87000000000019 -:045C88000000000018 -:045C89000000000017 -:045C8A000000000016 -:045C8B000000000015 -:045C8C000000000014 -:045C8D000000000013 -:045C8E000000000012 -:045C8F000000000011 -:045C90000000000010 -:045C9100000000000F -:045C9200000000000E -:045C9300000000000D -:045C9400000000000C -:045C9500000000000B -:045C9600000000000A -:045C97000000000009 -:045C98000000000008 -:045C99000000000007 -:045C9A000000000006 -:045C9B000000000005 -:045C9C000000000004 -:045C9D000000000003 -:045C9E000000000002 -:045C9F000000000001 -:045CA0000000000000 -:045CA10000000000FF -:045CA20000000000FE -:045CA30000000000FD -:045CA40000000000FC -:045CA50000000000FB -:045CA60000000000FA -:045CA70000000000F9 -:045CA80000000000F8 -:045CA90000000000F7 -:045CAA0000000000F6 -:045CAB0000000000F5 -:045CAC0000000000F4 -:045CAD0000000000F3 -:045CAE0000000000F2 -:045CAF0000000000F1 -:045CB00000000000F0 -:045CB10000000000EF -:045CB20000000000EE -:045CB30000000000ED -:045CB40000000000EC -:045CB50000000000EB -:045CB60000000000EA -:045CB70000000000E9 -:045CB80000000000E8 -:045CB90000000000E7 -:045CBA0000000000E6 -:045CBB0000000000E5 -:045CBC0000000000E4 -:045CBD0000000000E3 -:045CBE0000000000E2 -:045CBF0000000000E1 -:045CC00000000000E0 -:045CC10000000000DF -:045CC20000000000DE -:045CC30000000000DD -:045CC40000000000DC -:045CC50000000000DB -:045CC60000000000DA -:045CC70000000000D9 -:045CC80000000000D8 -:045CC90000000000D7 -:045CCA0000000000D6 -:045CCB0000000000D5 -:045CCC0000000000D4 -:045CCD0000000000D3 -:045CCE0000000000D2 -:045CCF0000000000D1 -:045CD00000000000D0 -:045CD10000000000CF -:045CD20000000000CE -:045CD30000000000CD -:045CD40000000000CC -:045CD50000000000CB -:045CD60000000000CA -:045CD70000000000C9 -:045CD80000000000C8 -:045CD90000000000C7 -:045CDA0000000000C6 -:045CDB0000000000C5 -:045CDC0000000000C4 -:045CDD0000000000C3 -:045CDE0000000000C2 -:045CDF0000000000C1 -:045CE00000000000C0 -:045CE10000000000BF -:045CE20000000000BE -:045CE30000000000BD -:045CE40000000000BC -:045CE50000000000BB -:045CE60000000000BA -:045CE70000000000B9 -:045CE80000000000B8 -:045CE90000000000B7 -:045CEA0000000000B6 -:045CEB0000000000B5 -:045CEC0000000000B4 -:045CED0000000000B3 -:045CEE0000000000B2 -:045CEF0000000000B1 -:045CF00000000000B0 -:045CF10000000000AF -:045CF20000000000AE -:045CF30000000000AD -:045CF40000000000AC -:045CF50000000000AB -:045CF60000000000AA -:045CF70000000000A9 -:045CF80000000000A8 -:045CF90000000000A7 -:045CFA0000000000A6 -:045CFB0000000000A5 -:045CFC0000000000A4 -:045CFD0000000000A3 -:045CFE0000000000A2 -:045CFF0000000000A1 -:045D0000000000009F -:045D0100000000009E -:045D0200000000009D -:045D0300000000009C -:045D0400000000009B -:045D0500000000009A -:045D06000000000099 -:045D07000000000098 -:045D08000000000097 -:045D09000000000096 -:045D0A000000000095 -:045D0B000000000094 -:045D0C000000000093 -:045D0D000000000092 -:045D0E000000000091 -:045D0F000000000090 -:045D1000000000008F -:045D1100000000008E -:045D1200000000008D -:045D1300000000008C -:045D1400000000008B -:045D1500000000008A -:045D16000000000089 -:045D17000000000088 -:045D18000000000087 -:045D19000000000086 -:045D1A000000000085 -:045D1B000000000084 -:045D1C000000000083 -:045D1D000000000082 -:045D1E000000000081 -:045D1F000000000080 -:045D2000000000007F -:045D2100000000007E -:045D2200000000007D -:045D2300000000007C -:045D2400000000007B -:045D2500000000007A -:045D26000000000079 -:045D27000000000078 -:045D28000000000077 -:045D29000000000076 -:045D2A000000000075 -:045D2B000000000074 -:045D2C000000000073 -:045D2D000000000072 -:045D2E000000000071 -:045D2F000000000070 -:045D3000000000006F -:045D3100000000006E -:045D3200000000006D -:045D3300000000006C -:045D3400000000006B -:045D3500000000006A -:045D36000000000069 -:045D37000000000068 -:045D38000000000067 -:045D39000000000066 -:045D3A000000000065 -:045D3B000000000064 -:045D3C000000000063 -:045D3D000000000062 -:045D3E000000000061 -:045D3F000000000060 -:045D4000000000005F -:045D4100000000005E -:045D4200000000005D -:045D4300000000005C -:045D4400000000005B -:045D4500000000005A -:045D46000000000059 -:045D47000000000058 -:045D48000000000057 -:045D49000000000056 -:045D4A000000000055 -:045D4B000000000054 -:045D4C000000000053 -:045D4D000000000052 -:045D4E000000000051 -:045D4F000000000050 -:045D5000000000004F -:045D5100000000004E -:045D5200000000004D -:045D5300000000004C -:045D5400000000004B -:045D5500000000004A -:045D56000000000049 -:045D57000000000048 -:045D58000000000047 -:045D59000000000046 -:045D5A000000000045 -:045D5B000000000044 -:045D5C000000000043 -:045D5D000000000042 -:045D5E000000000041 -:045D5F000000000040 -:045D6000000000003F -:045D6100000000003E -:045D6200000000003D -:045D6300000000003C -:045D6400000000003B -:045D6500000000003A -:045D66000000000039 -:045D67000000000038 -:045D68000000000037 -:045D69000000000036 -:045D6A000000000035 -:045D6B000000000034 -:045D6C000000000033 -:045D6D000000000032 -:045D6E000000000031 -:045D6F000000000030 -:045D7000000000002F -:045D7100000000002E -:045D7200000000002D -:045D7300000000002C -:045D7400000000002B -:045D7500000000002A -:045D76000000000029 -:045D77000000000028 -:045D78000000000027 -:045D79000000000026 -:045D7A000000000025 -:045D7B000000000024 -:045D7C000000000023 -:045D7D000000000022 -:045D7E000000000021 -:045D7F000000000020 -:045D8000000000001F -:045D8100000000001E -:045D8200000000001D -:045D8300000000001C -:045D8400000000001B -:045D8500000000001A -:045D86000000000019 -:045D87000000000018 -:045D88000000000017 -:045D89000000000016 -:045D8A000000000015 -:045D8B000000000014 -:045D8C000000000013 -:045D8D000000000012 -:045D8E000000000011 -:045D8F000000000010 -:045D9000000000000F -:045D9100000000000E -:045D9200000000000D -:045D9300000000000C -:045D9400000000000B -:045D9500000000000A -:045D96000000000009 -:045D97000000000008 -:045D98000000000007 -:045D99000000000006 -:045D9A000000000005 -:045D9B000000000004 -:045D9C000000000003 -:045D9D000000000002 -:045D9E000000000001 -:045D9F000000000000 -:045DA00000000000FF -:045DA10000000000FE -:045DA20000000000FD -:045DA30000000000FC -:045DA40000000000FB -:045DA50000000000FA -:045DA60000000000F9 -:045DA70000000000F8 -:045DA80000000000F7 -:045DA90000000000F6 -:045DAA0000000000F5 -:045DAB0000000000F4 -:045DAC0000000000F3 -:045DAD0000000000F2 -:045DAE0000000000F1 -:045DAF0000000000F0 -:045DB00000000000EF -:045DB10000000000EE -:045DB20000000000ED -:045DB30000000000EC -:045DB40000000000EB -:045DB50000000000EA -:045DB60000000000E9 -:045DB70000000000E8 -:045DB80000000000E7 -:045DB90000000000E6 -:045DBA0000000000E5 -:045DBB0000000000E4 -:045DBC0000000000E3 -:045DBD0000000000E2 -:045DBE0000000000E1 -:045DBF0000000000E0 -:045DC00000000000DF -:045DC10000000000DE -:045DC20000000000DD -:045DC30000000000DC -:045DC40000000000DB -:045DC50000000000DA -:045DC60000000000D9 -:045DC70000000000D8 -:045DC80000000000D7 -:045DC90000000000D6 -:045DCA0000000000D5 -:045DCB0000000000D4 -:045DCC0000000000D3 -:045DCD0000000000D2 -:045DCE0000000000D1 -:045DCF0000000000D0 -:045DD00000000000CF -:045DD10000000000CE -:045DD20000000000CD -:045DD30000000000CC -:045DD40000000000CB -:045DD50000000000CA -:045DD60000000000C9 -:045DD70000000000C8 -:045DD80000000000C7 -:045DD90000000000C6 -:045DDA0000000000C5 -:045DDB0000000000C4 -:045DDC0000000000C3 -:045DDD0000000000C2 -:045DDE0000000000C1 -:045DDF0000000000C0 -:045DE00000000000BF -:045DE10000000000BE -:045DE20000000000BD -:045DE30000000000BC -:045DE40000000000BB -:045DE50000000000BA -:045DE60000000000B9 -:045DE70000000000B8 -:045DE80000000000B7 -:045DE90000000000B6 -:045DEA0000000000B5 -:045DEB0000000000B4 -:045DEC0000000000B3 -:045DED0000000000B2 -:045DEE0000000000B1 -:045DEF0000000000B0 -:045DF00000000000AF -:045DF10000000000AE -:045DF20000000000AD -:045DF30000000000AC -:045DF40000000000AB -:045DF50000000000AA -:045DF60000000000A9 -:045DF70000000000A8 -:045DF80000000000A7 -:045DF90000000000A6 -:045DFA0000000000A5 -:045DFB0000000000A4 -:045DFC0000000000A3 -:045DFD0000000000A2 -:045DFE0000000000A1 -:045DFF0000000000A0 -:045E0000000000009E -:045E0100000000009D -:045E0200000000009C -:045E0300000000009B -:045E0400000000009A -:045E05000000000099 -:045E06000000000098 -:045E07000000000097 -:045E08000000000096 -:045E09000000000095 -:045E0A000000000094 -:045E0B000000000093 -:045E0C000000000092 -:045E0D000000000091 -:045E0E000000000090 -:045E0F00000000008F -:045E1000000000008E -:045E1100000000008D -:045E1200000000008C -:045E1300000000008B -:045E1400000000008A -:045E15000000000089 -:045E16000000000088 -:045E17000000000087 -:045E18000000000086 -:045E19000000000085 -:045E1A000000000084 -:045E1B000000000083 -:045E1C000000000082 -:045E1D000000000081 -:045E1E000000000080 -:045E1F00000000007F -:045E2000000000007E -:045E2100000000007D -:045E2200000000007C -:045E2300000000007B -:045E2400000000007A -:045E25000000000079 -:045E26000000000078 -:045E27000000000077 -:045E28000000000076 -:045E29000000000075 -:045E2A000000000074 -:045E2B000000000073 -:045E2C000000000072 -:045E2D000000000071 -:045E2E000000000070 -:045E2F00000000006F -:045E3000000000006E -:045E3100000000006D -:045E3200000000006C -:045E3300000000006B -:045E3400000000006A -:045E35000000000069 -:045E36000000000068 -:045E37000000000067 -:045E38000000000066 -:045E39000000000065 -:045E3A000000000064 -:045E3B000000000063 -:045E3C000000000062 -:045E3D000000000061 -:045E3E000000000060 -:045E3F00000000005F -:045E4000000000005E -:045E4100000000005D -:045E4200000000005C -:045E4300000000005B -:045E4400000000005A -:045E45000000000059 -:045E46000000000058 -:045E47000000000057 -:045E48000000000056 -:045E49000000000055 -:045E4A000000000054 -:045E4B000000000053 -:045E4C000000000052 -:045E4D000000000051 -:045E4E000000000050 -:045E4F00000000004F -:045E5000000000004E -:045E5100000000004D -:045E5200000000004C -:045E5300000000004B -:045E5400000000004A -:045E55000000000049 -:045E56000000000048 -:045E57000000000047 -:045E58000000000046 -:045E59000000000045 -:045E5A000000000044 -:045E5B000000000043 -:045E5C000000000042 -:045E5D000000000041 -:045E5E000000000040 -:045E5F00000000003F -:045E6000000000003E -:045E6100000000003D -:045E6200000000003C -:045E6300000000003B -:045E6400000000003A -:045E65000000000039 -:045E66000000000038 -:045E67000000000037 -:045E68000000000036 -:045E69000000000035 -:045E6A000000000034 -:045E6B000000000033 -:045E6C000000000032 -:045E6D000000000031 -:045E6E000000000030 -:045E6F00000000002F -:045E7000000000002E -:045E7100000000002D -:045E7200000000002C -:045E7300000000002B -:045E7400000000002A -:045E75000000000029 -:045E76000000000028 -:045E77000000000027 -:045E78000000000026 -:045E79000000000025 -:045E7A000000000024 -:045E7B000000000023 -:045E7C000000000022 -:045E7D000000000021 -:045E7E000000000020 -:045E7F00000000001F -:045E8000000000001E -:045E8100000000001D -:045E8200000000001C -:045E8300000000001B -:045E8400000000001A -:045E85000000000019 -:045E86000000000018 -:045E87000000000017 -:045E88000000000016 -:045E89000000000015 -:045E8A000000000014 -:045E8B000000000013 -:045E8C000000000012 -:045E8D000000000011 -:045E8E000000000010 -:045E8F00000000000F -:045E9000000000000E -:045E9100000000000D -:045E9200000000000C -:045E9300000000000B -:045E9400000000000A -:045E95000000000009 -:045E96000000000008 -:045E97000000000007 -:045E98000000000006 -:045E99000000000005 -:045E9A000000000004 -:045E9B000000000003 -:045E9C000000000002 -:045E9D000000000001 -:045E9E000000000000 -:045E9F0000000000FF -:045EA00000000000FE -:045EA10000000000FD -:045EA20000000000FC -:045EA30000000000FB -:045EA40000000000FA -:045EA50000000000F9 -:045EA60000000000F8 -:045EA70000000000F7 -:045EA80000000000F6 -:045EA90000000000F5 -:045EAA0000000000F4 -:045EAB0000000000F3 -:045EAC0000000000F2 -:045EAD0000000000F1 -:045EAE0000000000F0 -:045EAF0000000000EF -:045EB00000000000EE -:045EB10000000000ED -:045EB20000000000EC -:045EB30000000000EB -:045EB40000000000EA -:045EB50000000000E9 -:045EB60000000000E8 -:045EB70000000000E7 -:045EB80000000000E6 -:045EB90000000000E5 -:045EBA0000000000E4 -:045EBB0000000000E3 -:045EBC0000000000E2 -:045EBD0000000000E1 -:045EBE0000000000E0 -:045EBF0000000000DF -:045EC00000000000DE -:045EC10000000000DD -:045EC20000000000DC -:045EC30000000000DB -:045EC40000000000DA -:045EC50000000000D9 -:045EC60000000000D8 -:045EC70000000000D7 -:045EC80000000000D6 -:045EC90000000000D5 -:045ECA0000000000D4 -:045ECB0000000000D3 -:045ECC0000000000D2 -:045ECD0000000000D1 -:045ECE0000000000D0 -:045ECF0000000000CF -:045ED00000000000CE -:045ED10000000000CD -:045ED20000000000CC -:045ED30000000000CB -:045ED40000000000CA -:045ED50000000000C9 -:045ED60000000000C8 -:045ED70000000000C7 -:045ED80000000000C6 -:045ED90000000000C5 -:045EDA0000000000C4 -:045EDB0000000000C3 -:045EDC0000000000C2 -:045EDD0000000000C1 -:045EDE0000000000C0 -:045EDF0000000000BF -:045EE00000000000BE -:045EE10000000000BD -:045EE20000000000BC -:045EE30000000000BB -:045EE40000000000BA -:045EE50000000000B9 -:045EE60000000000B8 -:045EE70000000000B7 -:045EE80000000000B6 -:045EE90000000000B5 -:045EEA0000000000B4 -:045EEB0000000000B3 -:045EEC0000000000B2 -:045EED0000000000B1 -:045EEE0000000000B0 -:045EEF0000000000AF -:045EF00000000000AE -:045EF10000000000AD -:045EF20000000000AC -:045EF30000000000AB -:045EF40000000000AA -:045EF50000000000A9 -:045EF60000000000A8 -:045EF70000000000A7 -:045EF80000000000A6 -:045EF90000000000A5 -:045EFA0000000000A4 -:045EFB0000000000A3 -:045EFC0000000000A2 -:045EFD0000000000A1 -:045EFE0000000000A0 -:045EFF00000000009F -:045F0000000000009D -:045F0100000000009C -:045F0200000000009B -:045F0300000000009A -:045F04000000000099 -:045F05000000000098 -:045F06000000000097 -:045F07000000000096 -:045F08000000000095 -:045F09000000000094 -:045F0A000000000093 -:045F0B000000000092 -:045F0C000000000091 -:045F0D000000000090 -:045F0E00000000008F -:045F0F00000000008E -:045F1000000000008D -:045F1100000000008C -:045F1200000000008B -:045F1300000000008A -:045F14000000000089 -:045F15000000000088 -:045F16000000000087 -:045F17000000000086 -:045F18000000000085 -:045F19000000000084 -:045F1A000000000083 -:045F1B000000000082 -:045F1C000000000081 -:045F1D000000000080 -:045F1E00000000007F -:045F1F00000000007E -:045F2000000000007D -:045F2100000000007C -:045F2200000000007B -:045F2300000000007A -:045F24000000000079 -:045F25000000000078 -:045F26000000000077 -:045F27000000000076 -:045F28000000000075 -:045F29000000000074 -:045F2A000000000073 -:045F2B000000000072 -:045F2C000000000071 -:045F2D000000000070 -:045F2E00000000006F -:045F2F00000000006E -:045F3000000000006D -:045F3100000000006C -:045F3200000000006B -:045F3300000000006A -:045F34000000000069 -:045F35000000000068 -:045F36000000000067 -:045F37000000000066 -:045F38000000000065 -:045F39000000000064 -:045F3A000000000063 -:045F3B000000000062 -:045F3C000000000061 -:045F3D000000000060 -:045F3E00000000005F -:045F3F00000000005E -:045F4000000000005D -:045F4100000000005C -:045F4200000000005B -:045F4300000000005A -:045F44000000000059 -:045F45000000000058 -:045F46000000000057 -:045F47000000000056 -:045F48000000000055 -:045F49000000000054 -:045F4A000000000053 -:045F4B000000000052 -:045F4C000000000051 -:045F4D000000000050 -:045F4E00000000004F -:045F4F00000000004E -:045F5000000000004D -:045F5100000000004C -:045F5200000000004B -:045F5300000000004A -:045F54000000000049 -:045F55000000000048 -:045F56000000000047 -:045F57000000000046 -:045F58000000000045 -:045F59000000000044 -:045F5A000000000043 -:045F5B000000000042 -:045F5C000000000041 -:045F5D000000000040 -:045F5E00000000003F -:045F5F00000000003E -:045F6000000000003D -:045F6100000000003C -:045F6200000000003B -:045F6300000000003A -:045F64000000000039 -:045F65000000000038 -:045F66000000000037 -:045F67000000000036 -:045F68000000000035 -:045F69000000000034 -:045F6A000000000033 -:045F6B000000000032 -:045F6C000000000031 -:045F6D000000000030 -:045F6E00000000002F -:045F6F00000000002E -:045F7000000000002D -:045F7100000000002C -:045F7200000000002B -:045F7300000000002A -:045F74000000000029 -:045F75000000000028 -:045F76000000000027 -:045F77000000000026 -:045F78000000000025 -:045F79000000000024 -:045F7A000000000023 -:045F7B000000000022 -:045F7C000000000021 -:045F7D000000000020 -:045F7E00000000001F -:045F7F00000000001E -:045F8000000000001D -:045F8100000000001C -:045F8200000000001B -:045F8300000000001A -:045F84000000000019 -:045F85000000000018 -:045F86000000000017 -:045F87000000000016 -:045F88000000000015 -:045F89000000000014 -:045F8A000000000013 -:045F8B000000000012 -:045F8C000000000011 -:045F8D000000000010 -:045F8E00000000000F -:045F8F00000000000E -:045F9000000000000D -:045F9100000000000C -:045F9200000000000B -:045F9300000000000A -:045F94000000000009 -:045F95000000000008 -:045F96000000000007 -:045F97000000000006 -:045F98000000000005 -:045F99000000000004 -:045F9A000000000003 -:045F9B000000000002 -:045F9C000000000001 -:045F9D000000000000 -:045F9E0000000000FF -:045F9F0000000000FE -:045FA00000000000FD -:045FA10000000000FC -:045FA20000000000FB -:045FA30000000000FA -:045FA40000000000F9 -:045FA50000000000F8 -:045FA60000000000F7 -:045FA70000000000F6 -:045FA80000000000F5 -:045FA90000000000F4 -:045FAA0000000000F3 -:045FAB0000000000F2 -:045FAC0000000000F1 -:045FAD0000000000F0 -:045FAE0000000000EF -:045FAF0000000000EE -:045FB00000000000ED -:045FB10000000000EC -:045FB20000000000EB -:045FB30000000000EA -:045FB40000000000E9 -:045FB50000000000E8 -:045FB60000000000E7 -:045FB70000000000E6 -:045FB80000000000E5 -:045FB90000000000E4 -:045FBA0000000000E3 -:045FBB0000000000E2 -:045FBC0000000000E1 -:045FBD0000000000E0 -:045FBE0000000000DF -:045FBF0000000000DE -:045FC00000000000DD -:045FC10000000000DC -:045FC20000000000DB -:045FC30000000000DA -:045FC40000000000D9 -:045FC50000000000D8 -:045FC60000000000D7 -:045FC70000000000D6 -:045FC80000000000D5 -:045FC90000000000D4 -:045FCA0000000000D3 -:045FCB0000000000D2 -:045FCC0000000000D1 -:045FCD0000000000D0 -:045FCE0000000000CF -:045FCF0000000000CE -:045FD00000000000CD -:045FD10000000000CC -:045FD20000000000CB -:045FD30000000000CA -:045FD40000000000C9 -:045FD50000000000C8 -:045FD60000000000C7 -:045FD70000000000C6 -:045FD80000000000C5 -:045FD90000000000C4 -:045FDA0000000000C3 -:045FDB0000000000C2 -:045FDC0000000000C1 -:045FDD0000000000C0 -:045FDE0000000000BF -:045FDF0000000000BE -:045FE00000000000BD -:045FE10000000000BC -:045FE20000000000BB -:045FE30000000000BA -:045FE40000000000B9 -:045FE50000000000B8 -:045FE60000000000B7 -:045FE70000000000B6 -:045FE80000000000B5 -:045FE90000000000B4 -:045FEA0000000000B3 -:045FEB0000000000B2 -:045FEC0000000000B1 -:045FED0000000000B0 -:045FEE0000000000AF -:045FEF0000000000AE -:045FF00000000000AD -:045FF10000000000AC -:045FF20000000000AB -:045FF30000000000AA -:045FF40000000000A9 -:045FF50000000000A8 -:045FF60000000000A7 -:045FF70000000000A6 -:045FF80000000000A5 -:045FF90000000000A4 -:045FFA0000000000A3 -:045FFB0000000000A2 -:045FFC0000000000A1 -:045FFD0000000000A0 -:045FFE00000000009F -:045FFF00000000009E -:04600000000000009C -:04600100000000009B -:04600200000000009A -:046003000000000099 -:046004000000000098 -:046005000000000097 -:046006000000000096 -:046007000000000095 -:046008000000000094 -:046009000000000093 -:04600A000000000092 -:04600B000000000091 -:04600C000000000090 -:04600D00000000008F -:04600E00000000008E -:04600F00000000008D -:04601000000000008C -:04601100000000008B -:04601200000000008A -:046013000000000089 -:046014000000000088 -:046015000000000087 -:046016000000000086 -:046017000000000085 -:046018000000000084 -:046019000000000083 -:04601A000000000082 -:04601B000000000081 -:04601C000000000080 -:04601D00000000007F -:04601E00000000007E -:04601F00000000007D -:04602000000000007C -:04602100000000007B -:04602200000000007A -:046023000000000079 -:046024000000000078 -:046025000000000077 -:046026000000000076 -:046027000000000075 -:046028000000000074 -:046029000000000073 -:04602A000000000072 -:04602B000000000071 -:04602C000000000070 -:04602D00000000006F -:04602E00000000006E -:04602F00000000006D -:04603000000000006C -:04603100000000006B -:04603200000000006A -:046033000000000069 -:046034000000000068 -:046035000000000067 -:046036000000000066 -:046037000000000065 -:046038000000000064 -:046039000000000063 -:04603A000000000062 -:04603B000000000061 -:04603C000000000060 -:04603D00000000005F -:04603E00000000005E -:04603F00000000005D -:04604000000000005C -:04604100000000005B -:04604200000000005A -:046043000000000059 -:046044000000000058 -:046045000000000057 -:046046000000000056 -:046047000000000055 -:046048000000000054 -:046049000000000053 -:04604A000000000052 -:04604B000000000051 -:04604C000000000050 -:04604D00000000004F -:04604E00000000004E -:04604F00000000004D -:04605000000000004C -:04605100000000004B -:04605200000000004A -:046053000000000049 -:046054000000000048 -:046055000000000047 -:046056000000000046 -:046057000000000045 -:046058000000000044 -:046059000000000043 -:04605A000000000042 -:04605B000000000041 -:04605C000000000040 -:04605D00000000003F -:04605E00000000003E -:04605F00000000003D -:04606000000000003C -:04606100000000003B -:04606200000000003A -:046063000000000039 -:046064000000000038 -:046065000000000037 -:046066000000000036 -:046067000000000035 -:046068000000000034 -:046069000000000033 -:04606A000000000032 -:04606B000000000031 -:04606C000000000030 -:04606D00000000002F -:04606E00000000002E -:04606F00000000002D -:04607000000000002C -:04607100000000002B -:04607200000000002A -:046073000000000029 -:046074000000000028 -:046075000000000027 -:046076000000000026 -:046077000000000025 -:046078000000000024 -:046079000000000023 -:04607A000000000022 -:04607B000000000021 -:04607C000000000020 -:04607D00000000001F -:04607E00000000001E -:04607F00000000001D -:04608000000000001C -:04608100000000001B -:04608200000000001A -:046083000000000019 -:046084000000000018 -:046085000000000017 -:046086000000000016 -:046087000000000015 -:046088000000000014 -:046089000000000013 -:04608A000000000012 -:04608B000000000011 -:04608C000000000010 -:04608D00000000000F -:04608E00000000000E -:04608F00000000000D -:04609000000000000C -:04609100000000000B -:04609200000000000A -:046093000000000009 -:046094000000000008 -:046095000000000007 -:046096000000000006 -:046097000000000005 -:046098000000000004 -:046099000000000003 -:04609A000000000002 -:04609B000000000001 -:04609C000000000000 -:04609D0000000000FF -:04609E0000000000FE -:04609F0000000000FD -:0460A00000000000FC -:0460A10000000000FB -:0460A20000000000FA -:0460A30000000000F9 -:0460A40000000000F8 -:0460A50000000000F7 -:0460A60000000000F6 -:0460A70000000000F5 -:0460A80000000000F4 -:0460A90000000000F3 -:0460AA0000000000F2 -:0460AB0000000000F1 -:0460AC0000000000F0 -:0460AD0000000000EF -:0460AE0000000000EE -:0460AF0000000000ED -:0460B00000000000EC -:0460B10000000000EB -:0460B20000000000EA -:0460B30000000000E9 -:0460B40000000000E8 -:0460B50000000000E7 -:0460B60000000000E6 -:0460B70000000000E5 -:0460B80000000000E4 -:0460B90000000000E3 -:0460BA0000000000E2 -:0460BB0000000000E1 -:0460BC0000000000E0 -:0460BD0000000000DF -:0460BE0000000000DE -:0460BF0000000000DD -:0460C00000000000DC -:0460C10000000000DB -:0460C20000000000DA -:0460C30000000000D9 -:0460C40000000000D8 -:0460C50000000000D7 -:0460C60000000000D6 -:0460C70000000000D5 -:0460C80000000000D4 -:0460C90000000000D3 -:0460CA0000000000D2 -:0460CB0000000000D1 -:0460CC0000000000D0 -:0460CD0000000000CF -:0460CE0000000000CE -:0460CF0000000000CD -:0460D00000000000CC -:0460D10000000000CB -:0460D20000000000CA -:0460D30000000000C9 -:0460D40000000000C8 -:0460D50000000000C7 -:0460D60000000000C6 -:0460D70000000000C5 -:0460D80000000000C4 -:0460D90000000000C3 -:0460DA0000000000C2 -:0460DB0000000000C1 -:0460DC0000000000C0 -:0460DD0000000000BF -:0460DE0000000000BE -:0460DF0000000000BD -:0460E00000000000BC -:0460E10000000000BB -:0460E20000000000BA -:0460E30000000000B9 -:0460E40000000000B8 -:0460E50000000000B7 -:0460E60000000000B6 -:0460E70000000000B5 -:0460E80000000000B4 -:0460E90000000000B3 -:0460EA0000000000B2 -:0460EB0000000000B1 -:0460EC0000000000B0 -:0460ED0000000000AF -:0460EE0000000000AE -:0460EF0000000000AD -:0460F00000000000AC -:0460F10000000000AB -:0460F20000000000AA -:0460F30000000000A9 -:0460F40000000000A8 -:0460F50000000000A7 -:0460F60000000000A6 -:0460F70000000000A5 -:0460F80000000000A4 -:0460F90000000000A3 -:0460FA0000000000A2 -:0460FB0000000000A1 -:0460FC0000000000A0 -:0460FD00000000009F -:0460FE00000000009E -:0460FF00000000009D -:04610000000000009B -:04610100000000009A -:046102000000000099 -:046103000000000098 -:046104000000000097 -:046105000000000096 -:046106000000000095 -:046107000000000094 -:046108000000000093 -:046109000000000092 -:04610A000000000091 -:04610B000000000090 -:04610C00000000008F -:04610D00000000008E -:04610E00000000008D -:04610F00000000008C -:04611000000000008B -:04611100000000008A -:046112000000000089 -:046113000000000088 -:046114000000000087 -:046115000000000086 -:046116000000000085 -:046117000000000084 -:046118000000000083 -:046119000000000082 -:04611A000000000081 -:04611B000000000080 -:04611C00000000007F -:04611D00000000007E -:04611E00000000007D -:04611F00000000007C -:04612000000000007B -:04612100000000007A -:046122000000000079 -:046123000000000078 -:046124000000000077 -:046125000000000076 -:046126000000000075 -:046127000000000074 -:046128000000000073 -:046129000000000072 -:04612A000000000071 -:04612B000000000070 -:04612C00000000006F -:04612D00000000006E -:04612E00000000006D -:04612F00000000006C -:04613000000000006B -:04613100000000006A -:046132000000000069 -:046133000000000068 -:046134000000000067 -:046135000000000066 -:046136000000000065 -:046137000000000064 -:046138000000000063 -:046139000000000062 -:04613A000000000061 -:04613B000000000060 -:04613C00000000005F -:04613D00000000005E -:04613E00000000005D -:04613F00000000005C -:04614000000000005B -:04614100000000005A -:046142000000000059 -:046143000000000058 -:046144000000000057 -:046145000000000056 -:046146000000000055 -:046147000000000054 -:046148000000000053 -:046149000000000052 -:04614A000000000051 -:04614B000000000050 -:04614C00000000004F -:04614D00000000004E -:04614E00000000004D -:04614F00000000004C -:04615000000000004B -:04615100000000004A -:046152000000000049 -:046153000000000048 -:046154000000000047 -:046155000000000046 -:046156000000000045 -:046157000000000044 -:046158000000000043 -:046159000000000042 -:04615A000000000041 -:04615B000000000040 -:04615C00000000003F -:04615D00000000003E -:04615E00000000003D -:04615F00000000003C -:04616000000000003B -:04616100000000003A -:046162000000000039 -:046163000000000038 -:046164000000000037 -:046165000000000036 -:046166000000000035 -:046167000000000034 -:046168000000000033 -:046169000000000032 -:04616A000000000031 -:04616B000000000030 -:04616C00000000002F -:04616D00000000002E -:04616E00000000002D -:04616F00000000002C -:04617000000000002B -:04617100000000002A -:046172000000000029 -:046173000000000028 -:046174000000000027 -:046175000000000026 -:046176000000000025 -:046177000000000024 -:046178000000000023 -:046179000000000022 -:04617A000000000021 -:04617B000000000020 -:04617C00000000001F -:04617D00000000001E -:04617E00000000001D -:04617F00000000001C -:04618000000000001B -:04618100000000001A -:046182000000000019 -:046183000000000018 -:046184000000000017 -:046185000000000016 -:046186000000000015 -:046187000000000014 -:046188000000000013 -:046189000000000012 -:04618A000000000011 -:04618B000000000010 -:04618C00000000000F -:04618D00000000000E -:04618E00000000000D -:04618F00000000000C -:04619000000000000B -:04619100000000000A -:046192000000000009 -:046193000000000008 -:046194000000000007 -:046195000000000006 -:046196000000000005 -:046197000000000004 -:046198000000000003 -:046199000000000002 -:04619A000000000001 -:04619B000000000000 -:04619C0000000000FF -:04619D0000000000FE -:04619E0000000000FD -:04619F0000000000FC -:0461A00000000000FB -:0461A10000000000FA -:0461A20000000000F9 -:0461A30000000000F8 -:0461A40000000000F7 -:0461A50000000000F6 -:0461A60000000000F5 -:0461A70000000000F4 -:0461A80000000000F3 -:0461A90000000000F2 -:0461AA0000000000F1 -:0461AB0000000000F0 -:0461AC0000000000EF -:0461AD0000000000EE -:0461AE0000000000ED -:0461AF0000000000EC -:0461B00000000000EB -:0461B10000000000EA -:0461B20000000000E9 -:0461B30000000000E8 -:0461B40000000000E7 -:0461B50000000000E6 -:0461B60000000000E5 -:0461B70000000000E4 -:0461B80000000000E3 -:0461B90000000000E2 -:0461BA0000000000E1 -:0461BB0000000000E0 -:0461BC0000000000DF -:0461BD0000000000DE -:0461BE0000000000DD -:0461BF0000000000DC -:0461C00000000000DB -:0461C10000000000DA -:0461C20000000000D9 -:0461C30000000000D8 -:0461C40000000000D7 -:0461C50000000000D6 -:0461C60000000000D5 -:0461C70000000000D4 -:0461C80000000000D3 -:0461C90000000000D2 -:0461CA0000000000D1 -:0461CB0000000000D0 -:0461CC0000000000CF -:0461CD0000000000CE -:0461CE0000000000CD -:0461CF0000000000CC -:0461D00000000000CB -:0461D10000000000CA -:0461D20000000000C9 -:0461D30000000000C8 -:0461D40000000000C7 -:0461D50000000000C6 -:0461D60000000000C5 -:0461D70000000000C4 -:0461D80000000000C3 -:0461D90000000000C2 -:0461DA0000000000C1 -:0461DB0000000000C0 -:0461DC0000000000BF -:0461DD0000000000BE -:0461DE0000000000BD -:0461DF0000000000BC -:0461E00000000000BB -:0461E10000000000BA -:0461E20000000000B9 -:0461E30000000000B8 -:0461E40000000000B7 -:0461E50000000000B6 -:0461E60000000000B5 -:0461E70000000000B4 -:0461E80000000000B3 -:0461E90000000000B2 -:0461EA0000000000B1 -:0461EB0000000000B0 -:0461EC0000000000AF -:0461ED0000000000AE -:0461EE0000000000AD -:0461EF0000000000AC -:0461F00000000000AB -:0461F10000000000AA -:0461F20000000000A9 -:0461F30000000000A8 -:0461F40000000000A7 -:0461F50000000000A6 -:0461F60000000000A5 -:0461F70000000000A4 -:0461F80000000000A3 -:0461F90000000000A2 -:0461FA0000000000A1 -:0461FB0000000000A0 -:0461FC00000000009F -:0461FD00000000009E -:0461FE00000000009D -:0461FF00000000009C -:04620000000000009A -:046201000000000099 -:046202000000000098 -:046203000000000097 -:046204000000000096 -:046205000000000095 -:046206000000000094 -:046207000000000093 -:046208000000000092 -:046209000000000091 -:04620A000000000090 -:04620B00000000008F -:04620C00000000008E -:04620D00000000008D -:04620E00000000008C -:04620F00000000008B -:04621000000000008A -:046211000000000089 -:046212000000000088 -:046213000000000087 -:046214000000000086 -:046215000000000085 -:046216000000000084 -:046217000000000083 -:046218000000000082 -:046219000000000081 -:04621A000000000080 -:04621B00000000007F -:04621C00000000007E -:04621D00000000007D -:04621E00000000007C -:04621F00000000007B -:04622000000000007A -:046221000000000079 -:046222000000000078 -:046223000000000077 -:046224000000000076 -:046225000000000075 -:046226000000000074 -:046227000000000073 -:046228000000000072 -:046229000000000071 -:04622A000000000070 -:04622B00000000006F -:04622C00000000006E -:04622D00000000006D -:04622E00000000006C -:04622F00000000006B -:04623000000000006A -:046231000000000069 -:046232000000000068 -:046233000000000067 -:046234000000000066 -:046235000000000065 -:046236000000000064 -:046237000000000063 -:046238000000000062 -:046239000000000061 -:04623A000000000060 -:04623B00000000005F -:04623C00000000005E -:04623D00000000005D -:04623E00000000005C -:04623F00000000005B -:04624000000000005A -:046241000000000059 -:046242000000000058 -:046243000000000057 -:046244000000000056 -:046245000000000055 -:046246000000000054 -:046247000000000053 -:046248000000000052 -:046249000000000051 -:04624A000000000050 -:04624B00000000004F -:04624C00000000004E -:04624D00000000004D -:04624E00000000004C -:04624F00000000004B -:04625000000000004A -:046251000000000049 -:046252000000000048 -:046253000000000047 -:046254000000000046 -:046255000000000045 -:046256000000000044 -:046257000000000043 -:046258000000000042 -:046259000000000041 -:04625A000000000040 -:04625B00000000003F -:04625C00000000003E -:04625D00000000003D -:04625E00000000003C -:04625F00000000003B -:04626000000000003A -:046261000000000039 -:046262000000000038 -:046263000000000037 -:046264000000000036 -:046265000000000035 -:046266000000000034 -:046267000000000033 -:046268000000000032 -:046269000000000031 -:04626A000000000030 -:04626B00000000002F -:04626C00000000002E -:04626D00000000002D -:04626E00000000002C -:04626F00000000002B -:04627000000000002A -:046271000000000029 -:046272000000000028 -:046273000000000027 -:046274000000000026 -:046275000000000025 -:046276000000000024 -:046277000000000023 -:046278000000000022 -:046279000000000021 -:04627A000000000020 -:04627B00000000001F -:04627C00000000001E -:04627D00000000001D -:04627E00000000001C -:04627F00000000001B -:04628000000000001A -:046281000000000019 -:046282000000000018 -:046283000000000017 -:046284000000000016 -:046285000000000015 -:046286000000000014 -:046287000000000013 -:046288000000000012 -:046289000000000011 -:04628A000000000010 -:04628B00000000000F -:04628C00000000000E -:04628D00000000000D -:04628E00000000000C -:04628F00000000000B -:04629000000000000A -:046291000000000009 -:046292000000000008 -:046293000000000007 -:046294000000000006 -:046295000000000005 -:046296000000000004 -:046297000000000003 -:046298000000000002 -:046299000000000001 -:04629A000000000000 -:04629B0000000000FF -:04629C0000000000FE -:04629D0000000000FD -:04629E0000000000FC -:04629F0000000000FB -:0462A00000000000FA -:0462A10000000000F9 -:0462A20000000000F8 -:0462A30000000000F7 -:0462A40000000000F6 -:0462A50000000000F5 -:0462A60000000000F4 -:0462A70000000000F3 -:0462A80000000000F2 -:0462A90000000000F1 -:0462AA0000000000F0 -:0462AB0000000000EF -:0462AC0000000000EE -:0462AD0000000000ED -:0462AE0000000000EC -:0462AF0000000000EB -:0462B00000000000EA -:0462B10000000000E9 -:0462B20000000000E8 -:0462B30000000000E7 -:0462B40000000000E6 -:0462B50000000000E5 -:0462B60000000000E4 -:0462B70000000000E3 -:0462B80000000000E2 -:0462B90000000000E1 -:0462BA0000000000E0 -:0462BB0000000000DF -:0462BC0000000000DE -:0462BD0000000000DD -:0462BE0000000000DC -:0462BF0000000000DB -:0462C00000000000DA -:0462C10000000000D9 -:0462C20000000000D8 -:0462C30000000000D7 -:0462C40000000000D6 -:0462C50000000000D5 -:0462C60000000000D4 -:0462C70000000000D3 -:0462C80000000000D2 -:0462C90000000000D1 -:0462CA0000000000D0 -:0462CB0000000000CF -:0462CC0000000000CE -:0462CD0000000000CD -:0462CE0000000000CC -:0462CF0000000000CB -:0462D00000000000CA -:0462D10000000000C9 -:0462D20000000000C8 -:0462D30000000000C7 -:0462D40000000000C6 -:0462D50000000000C5 -:0462D60000000000C4 -:0462D70000000000C3 -:0462D80000000000C2 -:0462D90000000000C1 -:0462DA0000000000C0 -:0462DB0000000000BF -:0462DC0000000000BE -:0462DD0000000000BD -:0462DE0000000000BC -:0462DF0000000000BB -:0462E00000000000BA -:0462E10000000000B9 -:0462E20000000000B8 -:0462E30000000000B7 -:0462E40000000000B6 -:0462E50000000000B5 -:0462E60000000000B4 -:0462E70000000000B3 -:0462E80000000000B2 -:0462E90000000000B1 -:0462EA0000000000B0 -:0462EB0000000000AF -:0462EC0000000000AE -:0462ED0000000000AD -:0462EE0000000000AC -:0462EF0000000000AB -:0462F00000000000AA -:0462F10000000000A9 -:0462F20000000000A8 -:0462F30000000000A7 -:0462F40000000000A6 -:0462F50000000000A5 -:0462F60000000000A4 -:0462F70000000000A3 -:0462F80000000000A2 -:0462F90000000000A1 -:0462FA0000000000A0 -:0462FB00000000009F -:0462FC00000000009E -:0462FD00000000009D -:0462FE00000000009C -:0462FF00000000009B -:046300000000000099 -:046301000000000098 -:046302000000000097 -:046303000000000096 -:046304000000000095 -:046305000000000094 -:046306000000000093 -:046307000000000092 -:046308000000000091 -:046309000000000090 -:04630A00000000008F -:04630B00000000008E -:04630C00000000008D -:04630D00000000008C -:04630E00000000008B -:04630F00000000008A -:046310000000000089 -:046311000000000088 -:046312000000000087 -:046313000000000086 -:046314000000000085 -:046315000000000084 -:046316000000000083 -:046317000000000082 -:046318000000000081 -:046319000000000080 -:04631A00000000007F -:04631B00000000007E -:04631C00000000007D -:04631D00000000007C -:04631E00000000007B -:04631F00000000007A -:046320000000000079 -:046321000000000078 -:046322000000000077 -:046323000000000076 -:046324000000000075 -:046325000000000074 -:046326000000000073 -:046327000000000072 -:046328000000000071 -:046329000000000070 -:04632A00000000006F -:04632B00000000006E -:04632C00000000006D -:04632D00000000006C -:04632E00000000006B -:04632F00000000006A -:046330000000000069 -:046331000000000068 -:046332000000000067 -:046333000000000066 -:046334000000000065 -:046335000000000064 -:046336000000000063 -:046337000000000062 -:046338000000000061 -:046339000000000060 -:04633A00000000005F -:04633B00000000005E -:04633C00000000005D -:04633D00000000005C -:04633E00000000005B -:04633F00000000005A -:046340000000000059 -:046341000000000058 -:046342000000000057 -:046343000000000056 -:046344000000000055 -:046345000000000054 -:046346000000000053 -:046347000000000052 -:046348000000000051 -:046349000000000050 -:04634A00000000004F -:04634B00000000004E -:04634C00000000004D -:04634D00000000004C -:04634E00000000004B -:04634F00000000004A -:046350000000000049 -:046351000000000048 -:046352000000000047 -:046353000000000046 -:046354000000000045 -:046355000000000044 -:046356000000000043 -:046357000000000042 -:046358000000000041 -:046359000000000040 -:04635A00000000003F -:04635B00000000003E -:04635C00000000003D -:04635D00000000003C -:04635E00000000003B -:04635F00000000003A -:046360000000000039 -:046361000000000038 -:046362000000000037 -:046363000000000036 -:046364000000000035 -:046365000000000034 -:046366000000000033 -:046367000000000032 -:046368000000000031 -:046369000000000030 -:04636A00000000002F -:04636B00000000002E -:04636C00000000002D -:04636D00000000002C -:04636E00000000002B -:04636F00000000002A -:046370000000000029 -:046371000000000028 -:046372000000000027 -:046373000000000026 -:046374000000000025 -:046375000000000024 -:046376000000000023 -:046377000000000022 -:046378000000000021 -:046379000000000020 -:04637A00000000001F -:04637B00000000001E -:04637C00000000001D -:04637D00000000001C -:04637E00000000001B -:04637F00000000001A -:046380000000000019 -:046381000000000018 -:046382000000000017 -:046383000000000016 -:046384000000000015 -:046385000000000014 -:046386000000000013 -:046387000000000012 -:046388000000000011 -:046389000000000010 -:04638A00000000000F -:04638B00000000000E -:04638C00000000000D -:04638D00000000000C -:04638E00000000000B -:04638F00000000000A -:046390000000000009 -:046391000000000008 -:046392000000000007 -:046393000000000006 -:046394000000000005 -:046395000000000004 -:046396000000000003 -:046397000000000002 -:046398000000000001 -:046399000000000000 -:04639A0000000000FF -:04639B0000000000FE -:04639C0000000000FD -:04639D0000000000FC -:04639E0000000000FB -:04639F0000000000FA -:0463A00000000000F9 -:0463A10000000000F8 -:0463A20000000000F7 -:0463A30000000000F6 -:0463A40000000000F5 -:0463A50000000000F4 -:0463A60000000000F3 -:0463A70000000000F2 -:0463A80000000000F1 -:0463A90000000000F0 -:0463AA0000000000EF -:0463AB0000000000EE -:0463AC0000000000ED -:0463AD0000000000EC -:0463AE0000000000EB -:0463AF0000000000EA -:0463B00000000000E9 -:0463B10000000000E8 -:0463B20000000000E7 -:0463B30000000000E6 -:0463B40000000000E5 -:0463B50000000000E4 -:0463B60000000000E3 -:0463B70000000000E2 -:0463B80000000000E1 -:0463B90000000000E0 -:0463BA0000000000DF -:0463BB0000000000DE -:0463BC0000000000DD -:0463BD0000000000DC -:0463BE0000000000DB -:0463BF0000000000DA -:0463C00000000000D9 -:0463C10000000000D8 -:0463C20000000000D7 -:0463C30000000000D6 -:0463C40000000000D5 -:0463C50000000000D4 -:0463C60000000000D3 -:0463C70000000000D2 -:0463C80000000000D1 -:0463C90000000000D0 -:0463CA0000000000CF -:0463CB0000000000CE -:0463CC0000000000CD -:0463CD0000000000CC -:0463CE0000000000CB -:0463CF0000000000CA -:0463D00000000000C9 -:0463D10000000000C8 -:0463D20000000000C7 -:0463D30000000000C6 -:0463D40000000000C5 -:0463D50000000000C4 -:0463D60000000000C3 -:0463D70000000000C2 -:0463D80000000000C1 -:0463D90000000000C0 -:0463DA0000000000BF -:0463DB0000000000BE -:0463DC0000000000BD -:0463DD0000000000BC -:0463DE0000000000BB -:0463DF0000000000BA -:0463E00000000000B9 -:0463E10000000000B8 -:0463E20000000000B7 -:0463E30000000000B6 -:0463E40000000000B5 -:0463E50000000000B4 -:0463E60000000000B3 -:0463E70000000000B2 -:0463E80000000000B1 -:0463E90000000000B0 -:0463EA0000000000AF -:0463EB0000000000AE -:0463EC0000000000AD -:0463ED0000000000AC -:0463EE0000000000AB -:0463EF0000000000AA -:0463F00000000000A9 -:0463F10000000000A8 -:0463F20000000000A7 -:0463F30000000000A6 -:0463F40000000000A5 -:0463F50000000000A4 -:0463F60000000000A3 -:0463F70000000000A2 -:0463F80000000000A1 -:0463F90000000000A0 -:0463FA00000000009F -:0463FB00000000009E -:0463FC00000000009D -:0463FD00000000009C -:0463FE00000000009B -:0463FF00000000009A -:046400000000000098 -:046401000000000097 -:046402000000000096 -:046403000000000095 -:046404000000000094 -:046405000000000093 -:046406000000000092 -:046407000000000091 -:046408000000000090 -:04640900000000008F -:04640A00000000008E -:04640B00000000008D -:04640C00000000008C -:04640D00000000008B -:04640E00000000008A -:04640F000000000089 -:046410000000000088 -:046411000000000087 -:046412000000000086 -:046413000000000085 -:046414000000000084 -:046415000000000083 -:046416000000000082 -:046417000000000081 -:046418000000000080 -:04641900000000007F -:04641A00000000007E -:04641B00000000007D -:04641C00000000007C -:04641D00000000007B -:04641E00000000007A -:04641F000000000079 -:046420000000000078 -:046421000000000077 -:046422000000000076 -:046423000000000075 -:046424000000000074 -:046425000000000073 -:046426000000000072 -:046427000000000071 -:046428000000000070 -:04642900000000006F -:04642A00000000006E -:04642B00000000006D -:04642C00000000006C -:04642D00000000006B -:04642E00000000006A -:04642F000000000069 -:046430000000000068 -:046431000000000067 -:046432000000000066 -:046433000000000065 -:046434000000000064 -:046435000000000063 -:046436000000000062 -:046437000000000061 -:046438000000000060 -:04643900000000005F -:04643A00000000005E -:04643B00000000005D -:04643C00000000005C -:04643D00000000005B -:04643E00000000005A -:04643F000000000059 -:046440000000000058 -:046441000000000057 -:046442000000000056 -:046443000000000055 -:046444000000000054 -:046445000000000053 -:046446000000000052 -:046447000000000051 -:046448000000000050 -:04644900000000004F -:04644A00000000004E -:04644B00000000004D -:04644C00000000004C -:04644D00000000004B -:04644E00000000004A -:04644F000000000049 -:046450000000000048 -:046451000000000047 -:046452000000000046 -:046453000000000045 -:046454000000000044 -:046455000000000043 -:046456000000000042 -:046457000000000041 -:046458000000000040 -:04645900000000003F -:04645A00000000003E -:04645B00000000003D -:04645C00000000003C -:04645D00000000003B -:04645E00000000003A -:04645F000000000039 -:046460000000000038 -:046461000000000037 -:046462000000000036 -:046463000000000035 -:046464000000000034 -:046465000000000033 -:046466000000000032 -:046467000000000031 -:046468000000000030 -:04646900000000002F -:04646A00000000002E -:04646B00000000002D -:04646C00000000002C -:04646D00000000002B -:04646E00000000002A -:04646F000000000029 -:046470000000000028 -:046471000000000027 -:046472000000000026 -:046473000000000025 -:046474000000000024 -:046475000000000023 -:046476000000000022 -:046477000000000021 -:046478000000000020 -:04647900000000001F -:04647A00000000001E -:04647B00000000001D -:04647C00000000001C -:04647D00000000001B -:04647E00000000001A -:04647F000000000019 -:046480000000000018 -:046481000000000017 -:046482000000000016 -:046483000000000015 -:046484000000000014 -:046485000000000013 -:046486000000000012 -:046487000000000011 -:046488000000000010 -:04648900000000000F -:04648A00000000000E -:04648B00000000000D -:04648C00000000000C -:04648D00000000000B -:04648E00000000000A -:04648F000000000009 -:046490000000000008 -:046491000000000007 -:046492000000000006 -:046493000000000005 -:046494000000000004 -:046495000000000003 -:046496000000000002 -:046497000000000001 -:046498000000000000 -:0464990000000000FF -:04649A0000000000FE -:04649B0000000000FD -:04649C0000000000FC -:04649D0000000000FB -:04649E0000000000FA -:04649F0000000000F9 -:0464A00000000000F8 -:0464A10000000000F7 -:0464A20000000000F6 -:0464A30000000000F5 -:0464A40000000000F4 -:0464A50000000000F3 -:0464A60000000000F2 -:0464A70000000000F1 -:0464A80000000000F0 -:0464A90000000000EF -:0464AA0000000000EE -:0464AB0000000000ED -:0464AC0000000000EC -:0464AD0000000000EB -:0464AE0000000000EA -:0464AF0000000000E9 -:0464B00000000000E8 -:0464B10000000000E7 -:0464B20000000000E6 -:0464B30000000000E5 -:0464B40000000000E4 -:0464B50000000000E3 -:0464B60000000000E2 -:0464B70000000000E1 -:0464B80000000000E0 -:0464B90000000000DF -:0464BA0000000000DE -:0464BB0000000000DD -:0464BC0000000000DC -:0464BD0000000000DB -:0464BE0000000000DA -:0464BF0000000000D9 -:0464C00000000000D8 -:0464C10000000000D7 -:0464C20000000000D6 -:0464C30000000000D5 -:0464C40000000000D4 -:0464C50000000000D3 -:0464C60000000000D2 -:0464C70000000000D1 -:0464C80000000000D0 -:0464C90000000000CF -:0464CA0000000000CE -:0464CB0000000000CD -:0464CC0000000000CC -:0464CD0000000000CB -:0464CE0000000000CA -:0464CF0000000000C9 -:0464D00000000000C8 -:0464D10000000000C7 -:0464D20000000000C6 -:0464D30000000000C5 -:0464D40000000000C4 -:0464D50000000000C3 -:0464D60000000000C2 -:0464D70000000000C1 -:0464D80000000000C0 -:0464D90000000000BF -:0464DA0000000000BE -:0464DB0000000000BD -:0464DC0000000000BC -:0464DD0000000000BB -:0464DE0000000000BA -:0464DF0000000000B9 -:0464E00000000000B8 -:0464E10000000000B7 -:0464E20000000000B6 -:0464E30000000000B5 -:0464E40000000000B4 -:0464E50000000000B3 -:0464E60000000000B2 -:0464E70000000000B1 -:0464E80000000000B0 -:0464E90000000000AF -:0464EA0000000000AE -:0464EB0000000000AD -:0464EC0000000000AC -:0464ED0000000000AB -:0464EE0000000000AA -:0464EF0000000000A9 -:0464F00000000000A8 -:0464F10000000000A7 -:0464F20000000000A6 -:0464F30000000000A5 -:0464F40000000000A4 -:0464F50000000000A3 -:0464F60000000000A2 -:0464F70000000000A1 -:0464F80000000000A0 -:0464F900000000009F -:0464FA00000000009E -:0464FB00000000009D -:0464FC00000000009C -:0464FD00000000009B -:0464FE00000000009A -:0464FF000000000099 -:046500000000000097 -:046501000000000096 -:046502000000000095 -:046503000000000094 -:046504000000000093 -:046505000000000092 -:046506000000000091 -:046507000000000090 -:04650800000000008F -:04650900000000008E -:04650A00000000008D -:04650B00000000008C -:04650C00000000008B -:04650D00000000008A -:04650E000000000089 -:04650F000000000088 -:046510000000000087 -:046511000000000086 -:046512000000000085 -:046513000000000084 -:046514000000000083 -:046515000000000082 -:046516000000000081 -:046517000000000080 -:04651800000000007F -:04651900000000007E -:04651A00000000007D -:04651B00000000007C -:04651C00000000007B -:04651D00000000007A -:04651E000000000079 -:04651F000000000078 -:046520000000000077 -:046521000000000076 -:046522000000000075 -:046523000000000074 -:046524000000000073 -:046525000000000072 -:046526000000000071 -:046527000000000070 -:04652800000000006F -:04652900000000006E -:04652A00000000006D -:04652B00000000006C -:04652C00000000006B -:04652D00000000006A -:04652E000000000069 -:04652F000000000068 -:046530000000000067 -:046531000000000066 -:046532000000000065 -:046533000000000064 -:046534000000000063 -:046535000000000062 -:046536000000000061 -:046537000000000060 -:04653800000000005F -:04653900000000005E -:04653A00000000005D -:04653B00000000005C -:04653C00000000005B -:04653D00000000005A -:04653E000000000059 -:04653F000000000058 -:046540000000000057 -:046541000000000056 -:046542000000000055 -:046543000000000054 -:046544000000000053 -:046545000000000052 -:046546000000000051 -:046547000000000050 -:04654800000000004F -:04654900000000004E -:04654A00000000004D -:04654B00000000004C -:04654C00000000004B -:04654D00000000004A -:04654E000000000049 -:04654F000000000048 -:046550000000000047 -:046551000000000046 -:046552000000000045 -:046553000000000044 -:046554000000000043 -:046555000000000042 -:046556000000000041 -:046557000000000040 -:04655800000000003F -:04655900000000003E -:04655A00000000003D -:04655B00000000003C -:04655C00000000003B -:04655D00000000003A -:04655E000000000039 -:04655F000000000038 -:046560000000000037 -:046561000000000036 -:046562000000000035 -:046563000000000034 -:046564000000000033 -:046565000000000032 -:046566000000000031 -:046567000000000030 -:04656800000000002F -:04656900000000002E -:04656A00000000002D -:04656B00000000002C -:04656C00000000002B -:04656D00000000002A -:04656E000000000029 -:04656F000000000028 -:046570000000000027 -:046571000000000026 -:046572000000000025 -:046573000000000024 -:046574000000000023 -:046575000000000022 -:046576000000000021 -:046577000000000020 -:04657800000000001F -:04657900000000001E -:04657A00000000001D -:04657B00000000001C -:04657C00000000001B -:04657D00000000001A -:04657E000000000019 -:04657F000000000018 -:046580000000000017 -:046581000000000016 -:046582000000000015 -:046583000000000014 -:046584000000000013 -:046585000000000012 -:046586000000000011 -:046587000000000010 -:04658800000000000F -:04658900000000000E -:04658A00000000000D -:04658B00000000000C -:04658C00000000000B -:04658D00000000000A -:04658E000000000009 -:04658F000000000008 -:046590000000000007 -:046591000000000006 -:046592000000000005 -:046593000000000004 -:046594000000000003 -:046595000000000002 -:046596000000000001 -:046597000000000000 -:0465980000000000FF -:0465990000000000FE -:04659A0000000000FD -:04659B0000000000FC -:04659C0000000000FB -:04659D0000000000FA -:04659E0000000000F9 -:04659F0000000000F8 -:0465A00000000000F7 -:0465A10000000000F6 -:0465A20000000000F5 -:0465A30000000000F4 -:0465A40000000000F3 -:0465A50000000000F2 -:0465A60000000000F1 -:0465A70000000000F0 -:0465A80000000000EF -:0465A90000000000EE -:0465AA0000000000ED -:0465AB0000000000EC -:0465AC0000000000EB -:0465AD0000000000EA -:0465AE0000000000E9 -:0465AF0000000000E8 -:0465B00000000000E7 -:0465B10000000000E6 -:0465B20000000000E5 -:0465B30000000000E4 -:0465B40000000000E3 -:0465B50000000000E2 -:0465B60000000000E1 -:0465B70000000000E0 -:0465B80000000000DF -:0465B90000000000DE -:0465BA0000000000DD -:0465BB0000000000DC -:0465BC0000000000DB -:0465BD0000000000DA -:0465BE0000000000D9 -:0465BF0000000000D8 -:0465C00000000000D7 -:0465C10000000000D6 -:0465C20000000000D5 -:0465C30000000000D4 -:0465C40000000000D3 -:0465C50000000000D2 -:0465C60000000000D1 -:0465C70000000000D0 -:0465C80000000000CF -:0465C90000000000CE -:0465CA0000000000CD -:0465CB0000000000CC -:0465CC0000000000CB -:0465CD0000000000CA -:0465CE0000000000C9 -:0465CF0000000000C8 -:0465D00000000000C7 -:0465D10000000000C6 -:0465D20000000000C5 -:0465D30000000000C4 -:0465D40000000000C3 -:0465D50000000000C2 -:0465D60000000000C1 -:0465D70000000000C0 -:0465D80000000000BF -:0465D90000000000BE -:0465DA0000000000BD -:0465DB0000000000BC -:0465DC0000000000BB -:0465DD0000000000BA -:0465DE0000000000B9 -:0465DF0000000000B8 -:0465E00000000000B7 -:0465E10000000000B6 -:0465E20000000000B5 -:0465E30000000000B4 -:0465E40000000000B3 -:0465E50000000000B2 -:0465E60000000000B1 -:0465E70000000000B0 -:0465E80000000000AF -:0465E90000000000AE -:0465EA0000000000AD -:0465EB0000000000AC -:0465EC0000000000AB -:0465ED0000000000AA -:0465EE0000000000A9 -:0465EF0000000000A8 -:0465F00000000000A7 -:0465F10000000000A6 -:0465F20000000000A5 -:0465F30000000000A4 -:0465F40000000000A3 -:0465F50000000000A2 -:0465F60000000000A1 -:0465F70000000000A0 -:0465F800000000009F -:0465F900000000009E -:0465FA00000000009D -:0465FB00000000009C -:0465FC00000000009B -:0465FD00000000009A -:0465FE000000000099 -:0465FF000000000098 -:046600000000000096 -:046601000000000095 -:046602000000000094 -:046603000000000093 -:046604000000000092 -:046605000000000091 -:046606000000000090 -:04660700000000008F -:04660800000000008E -:04660900000000008D -:04660A00000000008C -:04660B00000000008B -:04660C00000000008A -:04660D000000000089 -:04660E000000000088 -:04660F000000000087 -:046610000000000086 -:046611000000000085 -:046612000000000084 -:046613000000000083 -:046614000000000082 -:046615000000000081 -:046616000000000080 -:04661700000000007F -:04661800000000007E -:04661900000000007D -:04661A00000000007C -:04661B00000000007B -:04661C00000000007A -:04661D000000000079 -:04661E000000000078 -:04661F000000000077 -:046620000000000076 -:046621000000000075 -:046622000000000074 -:046623000000000073 -:046624000000000072 -:046625000000000071 -:046626000000000070 -:04662700000000006F -:04662800000000006E -:04662900000000006D -:04662A00000000006C -:04662B00000000006B -:04662C00000000006A -:04662D000000000069 -:04662E000000000068 -:04662F000000000067 -:046630000000000066 -:046631000000000065 -:046632000000000064 -:046633000000000063 -:046634000000000062 -:046635000000000061 -:046636000000000060 -:04663700000000005F -:04663800000000005E -:04663900000000005D -:04663A00000000005C -:04663B00000000005B -:04663C00000000005A -:04663D000000000059 -:04663E000000000058 -:04663F000000000057 -:046640000000000056 -:046641000000000055 -:046642000000000054 -:046643000000000053 -:046644000000000052 -:046645000000000051 -:046646000000000050 -:04664700000000004F -:04664800000000004E -:04664900000000004D -:04664A00000000004C -:04664B00000000004B -:04664C00000000004A -:04664D000000000049 -:04664E000000000048 -:04664F000000000047 -:046650000000000046 -:046651000000000045 -:046652000000000044 -:046653000000000043 -:046654000000000042 -:046655000000000041 -:046656000000000040 -:04665700000000003F -:04665800000000003E -:04665900000000003D -:04665A00000000003C -:04665B00000000003B -:04665C00000000003A -:04665D000000000039 -:04665E000000000038 -:04665F000000000037 -:046660000000000036 -:046661000000000035 -:046662000000000034 -:046663000000000033 -:046664000000000032 -:046665000000000031 -:046666000000000030 -:04666700000000002F -:04666800000000002E -:04666900000000002D -:04666A00000000002C -:04666B00000000002B -:04666C00000000002A -:04666D000000000029 -:04666E000000000028 -:04666F000000000027 -:046670000000000026 -:046671000000000025 -:046672000000000024 -:046673000000000023 -:046674000000000022 -:046675000000000021 -:046676000000000020 -:04667700000000001F -:04667800000000001E -:04667900000000001D -:04667A00000000001C -:04667B00000000001B -:04667C00000000001A -:04667D000000000019 -:04667E000000000018 -:04667F000000000017 -:046680000000000016 -:046681000000000015 -:046682000000000014 -:046683000000000013 -:046684000000000012 -:046685000000000011 -:046686000000000010 -:04668700000000000F -:04668800000000000E -:04668900000000000D -:04668A00000000000C -:04668B00000000000B -:04668C00000000000A -:04668D000000000009 -:04668E000000000008 -:04668F000000000007 -:046690000000000006 -:046691000000000005 -:046692000000000004 -:046693000000000003 -:046694000000000002 -:046695000000000001 -:046696000000000000 -:0466970000000000FF -:0466980000000000FE -:0466990000000000FD -:04669A0000000000FC -:04669B0000000000FB -:04669C0000000000FA -:04669D0000000000F9 -:04669E0000000000F8 -:04669F0000000000F7 -:0466A00000000000F6 -:0466A10000000000F5 -:0466A20000000000F4 -:0466A30000000000F3 -:0466A40000000000F2 -:0466A50000000000F1 -:0466A60000000000F0 -:0466A70000000000EF -:0466A80000000000EE -:0466A90000000000ED -:0466AA0000000000EC -:0466AB0000000000EB -:0466AC0000000000EA -:0466AD0000000000E9 -:0466AE0000000000E8 -:0466AF0000000000E7 -:0466B00000000000E6 -:0466B10000000000E5 -:0466B20000000000E4 -:0466B30000000000E3 -:0466B40000000000E2 -:0466B50000000000E1 -:0466B60000000000E0 -:0466B70000000000DF -:0466B80000000000DE -:0466B90000000000DD -:0466BA0000000000DC -:0466BB0000000000DB -:0466BC0000000000DA -:0466BD0000000000D9 -:0466BE0000000000D8 -:0466BF0000000000D7 -:0466C00000000000D6 -:0466C10000000000D5 -:0466C20000000000D4 -:0466C30000000000D3 -:0466C40000000000D2 -:0466C50000000000D1 -:0466C60000000000D0 -:0466C70000000000CF -:0466C80000000000CE -:0466C90000000000CD -:0466CA0000000000CC -:0466CB0000000000CB -:0466CC0000000000CA -:0466CD0000000000C9 -:0466CE0000000000C8 -:0466CF0000000000C7 -:0466D00000000000C6 -:0466D10000000000C5 -:0466D20000000000C4 -:0466D30000000000C3 -:0466D40000000000C2 -:0466D50000000000C1 -:0466D60000000000C0 -:0466D70000000000BF -:0466D80000000000BE -:0466D90000000000BD -:0466DA0000000000BC -:0466DB0000000000BB -:0466DC0000000000BA -:0466DD0000000000B9 -:0466DE0000000000B8 -:0466DF0000000000B7 -:0466E00000000000B6 -:0466E10000000000B5 -:0466E20000000000B4 -:0466E30000000000B3 -:0466E40000000000B2 -:0466E50000000000B1 -:0466E60000000000B0 -:0466E70000000000AF -:0466E80000000000AE -:0466E90000000000AD -:0466EA0000000000AC -:0466EB0000000000AB -:0466EC0000000000AA -:0466ED0000000000A9 -:0466EE0000000000A8 -:0466EF0000000000A7 -:0466F00000000000A6 -:0466F10000000000A5 -:0466F20000000000A4 -:0466F30000000000A3 -:0466F40000000000A2 -:0466F50000000000A1 -:0466F60000000000A0 -:0466F700000000009F -:0466F800000000009E -:0466F900000000009D -:0466FA00000000009C -:0466FB00000000009B -:0466FC00000000009A -:0466FD000000000099 -:0466FE000000000098 -:0466FF000000000097 -:046700000000000095 -:046701000000000094 -:046702000000000093 -:046703000000000092 -:046704000000000091 -:046705000000000090 -:04670600000000008F -:04670700000000008E -:04670800000000008D -:04670900000000008C -:04670A00000000008B -:04670B00000000008A -:04670C000000000089 -:04670D000000000088 -:04670E000000000087 -:04670F000000000086 -:046710000000000085 -:046711000000000084 -:046712000000000083 -:046713000000000082 -:046714000000000081 -:046715000000000080 -:04671600000000007F -:04671700000000007E -:04671800000000007D -:04671900000000007C -:04671A00000000007B -:04671B00000000007A -:04671C000000000079 -:04671D000000000078 -:04671E000000000077 -:04671F000000000076 -:046720000000000075 -:046721000000000074 -:046722000000000073 -:046723000000000072 -:046724000000000071 -:046725000000000070 -:04672600000000006F -:04672700000000006E -:04672800000000006D -:04672900000000006C -:04672A00000000006B -:04672B00000000006A -:04672C000000000069 -:04672D000000000068 -:04672E000000000067 -:04672F000000000066 -:046730000000000065 -:046731000000000064 -:046732000000000063 -:046733000000000062 -:046734000000000061 -:046735000000000060 -:04673600000000005F -:04673700000000005E -:04673800000000005D -:04673900000000005C -:04673A00000000005B -:04673B00000000005A -:04673C000000000059 -:04673D000000000058 -:04673E000000000057 -:04673F000000000056 -:046740000000000055 -:046741000000000054 -:046742000000000053 -:046743000000000052 -:046744000000000051 -:046745000000000050 -:04674600000000004F -:04674700000000004E -:04674800000000004D -:04674900000000004C -:04674A00000000004B -:04674B00000000004A -:04674C000000000049 -:04674D000000000048 -:04674E000000000047 -:04674F000000000046 -:046750000000000045 -:046751000000000044 -:046752000000000043 -:046753000000000042 -:046754000000000041 -:046755000000000040 -:04675600000000003F -:04675700000000003E -:04675800000000003D -:04675900000000003C -:04675A00000000003B -:04675B00000000003A -:04675C000000000039 -:04675D000000000038 -:04675E000000000037 -:04675F000000000036 -:046760000000000035 -:046761000000000034 -:046762000000000033 -:046763000000000032 -:046764000000000031 -:046765000000000030 -:04676600000000002F -:04676700000000002E -:04676800000000002D -:04676900000000002C -:04676A00000000002B -:04676B00000000002A -:04676C000000000029 -:04676D000000000028 -:04676E000000000027 -:04676F000000000026 -:046770000000000025 -:046771000000000024 -:046772000000000023 -:046773000000000022 -:046774000000000021 -:046775000000000020 -:04677600000000001F -:04677700000000001E -:04677800000000001D -:04677900000000001C -:04677A00000000001B -:04677B00000000001A -:04677C000000000019 -:04677D000000000018 -:04677E000000000017 -:04677F000000000016 -:046780000000000015 -:046781000000000014 -:046782000000000013 -:046783000000000012 -:046784000000000011 -:046785000000000010 -:04678600000000000F -:04678700000000000E -:04678800000000000D -:04678900000000000C -:04678A00000000000B -:04678B00000000000A -:04678C000000000009 -:04678D000000000008 -:04678E000000000007 -:04678F000000000006 -:046790000000000005 -:046791000000000004 -:046792000000000003 -:046793000000000002 -:046794000000000001 -:046795000000000000 -:0467960000000000FF -:0467970000000000FE -:0467980000000000FD -:0467990000000000FC -:04679A0000000000FB -:04679B0000000000FA -:04679C0000000000F9 -:04679D0000000000F8 -:04679E0000000000F7 -:04679F0000000000F6 -:0467A00000000000F5 -:0467A10000000000F4 -:0467A20000000000F3 -:0467A30000000000F2 -:0467A40000000000F1 -:0467A50000000000F0 -:0467A60000000000EF -:0467A70000000000EE -:0467A80000000000ED -:0467A90000000000EC -:0467AA0000000000EB -:0467AB0000000000EA -:0467AC0000000000E9 -:0467AD0000000000E8 -:0467AE0000000000E7 -:0467AF0000000000E6 -:0467B00000000000E5 -:0467B10000000000E4 -:0467B20000000000E3 -:0467B30000000000E2 -:0467B40000000000E1 -:0467B50000000000E0 -:0467B60000000000DF -:0467B70000000000DE -:0467B80000000000DD -:0467B90000000000DC -:0467BA0000000000DB -:0467BB0000000000DA -:0467BC0000000000D9 -:0467BD0000000000D8 -:0467BE0000000000D7 -:0467BF0000000000D6 -:0467C00000000000D5 -:0467C10000000000D4 -:0467C20000000000D3 -:0467C30000000000D2 -:0467C40000000000D1 -:0467C50000000000D0 -:0467C60000000000CF -:0467C70000000000CE -:0467C80000000000CD -:0467C90000000000CC -:0467CA0000000000CB -:0467CB0000000000CA -:0467CC0000000000C9 -:0467CD0000000000C8 -:0467CE0000000000C7 -:0467CF0000000000C6 -:0467D00000000000C5 -:0467D10000000000C4 -:0467D20000000000C3 -:0467D30000000000C2 -:0467D40000000000C1 -:0467D50000000000C0 -:0467D60000000000BF -:0467D70000000000BE -:0467D80000000000BD -:0467D90000000000BC -:0467DA0000000000BB -:0467DB0000000000BA -:0467DC0000000000B9 -:0467DD0000000000B8 -:0467DE0000000000B7 -:0467DF0000000000B6 -:0467E00000000000B5 -:0467E10000000000B4 -:0467E20000000000B3 -:0467E30000000000B2 -:0467E40000000000B1 -:0467E50000000000B0 -:0467E60000000000AF -:0467E70000000000AE -:0467E80000000000AD -:0467E90000000000AC -:0467EA0000000000AB -:0467EB0000000000AA -:0467EC0000000000A9 -:0467ED0000000000A8 -:0467EE0000000000A7 -:0467EF0000000000A6 -:0467F00000000000A5 -:0467F10000000000A4 -:0467F20000000000A3 -:0467F30000000000A2 -:0467F40000000000A1 -:0467F50000000000A0 -:0467F600000000009F -:0467F700000000009E -:0467F800000000009D -:0467F900000000009C -:0467FA00000000009B -:0467FB00000000009A -:0467FC000000000099 -:0467FD000000000098 -:0467FE000000000097 -:0467FF000000000096 -:046800000000000094 -:046801000000000093 -:046802000000000092 -:046803000000000091 -:046804000000000090 -:04680500000000008F -:04680600000000008E -:04680700000000008D -:04680800000000008C -:04680900000000008B -:04680A00000000008A -:04680B000000000089 -:04680C000000000088 -:04680D000000000087 -:04680E000000000086 -:04680F000000000085 -:046810000000000084 -:046811000000000083 -:046812000000000082 -:046813000000000081 -:046814000000000080 -:04681500000000007F -:04681600000000007E -:04681700000000007D -:04681800000000007C -:04681900000000007B -:04681A00000000007A -:04681B000000000079 -:04681C000000000078 -:04681D000000000077 -:04681E000000000076 -:04681F000000000075 -:046820000000000074 -:046821000000000073 -:046822000000000072 -:046823000000000071 -:046824000000000070 -:04682500000000006F -:04682600000000006E -:04682700000000006D -:04682800000000006C -:04682900000000006B -:04682A00000000006A -:04682B000000000069 -:04682C000000000068 -:04682D000000000067 -:04682E000000000066 -:04682F000000000065 -:046830000000000064 -:046831000000000063 -:046832000000000062 -:046833000000000061 -:046834000000000060 -:04683500000000005F -:04683600000000005E -:04683700000000005D -:04683800000000005C -:04683900000000005B -:04683A00000000005A -:04683B000000000059 -:04683C000000000058 -:04683D000000000057 -:04683E000000000056 -:04683F000000000055 -:046840000000000054 -:046841000000000053 -:046842000000000052 -:046843000000000051 -:046844000000000050 -:04684500000000004F -:04684600000000004E -:04684700000000004D -:04684800000000004C -:04684900000000004B -:04684A00000000004A -:04684B000000000049 -:04684C000000000048 -:04684D000000000047 -:04684E000000000046 -:04684F000000000045 -:046850000000000044 -:046851000000000043 -:046852000000000042 -:046853000000000041 -:046854000000000040 -:04685500000000003F -:04685600000000003E -:04685700000000003D -:04685800000000003C -:04685900000000003B -:04685A00000000003A -:04685B000000000039 -:04685C000000000038 -:04685D000000000037 -:04685E000000000036 -:04685F000000000035 -:046860000000000034 -:046861000000000033 -:046862000000000032 -:046863000000000031 -:046864000000000030 -:04686500000000002F -:04686600000000002E -:04686700000000002D -:04686800000000002C -:04686900000000002B -:04686A00000000002A -:04686B000000000029 -:04686C000000000028 -:04686D000000000027 -:04686E000000000026 -:04686F000000000025 -:046870000000000024 -:046871000000000023 -:046872000000000022 -:046873000000000021 -:046874000000000020 -:04687500000000001F -:04687600000000001E -:04687700000000001D -:04687800000000001C -:04687900000000001B -:04687A00000000001A -:04687B000000000019 -:04687C000000000018 -:04687D000000000017 -:04687E000000000016 -:04687F000000000015 -:046880000000000014 -:046881000000000013 -:046882000000000012 -:046883000000000011 -:046884000000000010 -:04688500000000000F -:04688600000000000E -:04688700000000000D -:04688800000000000C -:04688900000000000B -:04688A00000000000A -:04688B000000000009 -:04688C000000000008 -:04688D000000000007 -:04688E000000000006 -:04688F000000000005 -:046890000000000004 -:046891000000000003 -:046892000000000002 -:046893000000000001 -:046894000000000000 -:0468950000000000FF -:0468960000000000FE -:0468970000000000FD -:0468980000000000FC -:0468990000000000FB -:04689A0000000000FA -:04689B0000000000F9 -:04689C0000000000F8 -:04689D0000000000F7 -:04689E0000000000F6 -:04689F0000000000F5 -:0468A00000000000F4 -:0468A10000000000F3 -:0468A20000000000F2 -:0468A30000000000F1 -:0468A40000000000F0 -:0468A50000000000EF -:0468A60000000000EE -:0468A70000000000ED -:0468A80000000000EC -:0468A90000000000EB -:0468AA0000000000EA -:0468AB0000000000E9 -:0468AC0000000000E8 -:0468AD0000000000E7 -:0468AE0000000000E6 -:0468AF0000000000E5 -:0468B00000000000E4 -:0468B10000000000E3 -:0468B20000000000E2 -:0468B30000000000E1 -:0468B40000000000E0 -:0468B50000000000DF -:0468B60000000000DE -:0468B70000000000DD -:0468B80000000000DC -:0468B90000000000DB -:0468BA0000000000DA -:0468BB0000000000D9 -:0468BC0000000000D8 -:0468BD0000000000D7 -:0468BE0000000000D6 -:0468BF0000000000D5 -:0468C00000000000D4 -:0468C10000000000D3 -:0468C20000000000D2 -:0468C30000000000D1 -:0468C40000000000D0 -:0468C50000000000CF -:0468C60000000000CE -:0468C70000000000CD -:0468C80000000000CC -:0468C90000000000CB -:0468CA0000000000CA -:0468CB0000000000C9 -:0468CC0000000000C8 -:0468CD0000000000C7 -:0468CE0000000000C6 -:0468CF0000000000C5 -:0468D00000000000C4 -:0468D10000000000C3 -:0468D20000000000C2 -:0468D30000000000C1 -:0468D40000000000C0 -:0468D50000000000BF -:0468D60000000000BE -:0468D70000000000BD -:0468D80000000000BC -:0468D90000000000BB -:0468DA0000000000BA -:0468DB0000000000B9 -:0468DC0000000000B8 -:0468DD0000000000B7 -:0468DE0000000000B6 -:0468DF0000000000B5 -:0468E00000000000B4 -:0468E10000000000B3 -:0468E20000000000B2 -:0468E30000000000B1 -:0468E40000000000B0 -:0468E50000000000AF -:0468E60000000000AE -:0468E70000000000AD -:0468E80000000000AC -:0468E90000000000AB -:0468EA0000000000AA -:0468EB0000000000A9 -:0468EC0000000000A8 -:0468ED0000000000A7 -:0468EE0000000000A6 -:0468EF0000000000A5 -:0468F00000000000A4 -:0468F10000000000A3 -:0468F20000000000A2 -:0468F30000000000A1 -:0468F40000000000A0 -:0468F500000000009F -:0468F600000000009E -:0468F700000000009D -:0468F800000000009C -:0468F900000000009B -:0468FA00000000009A -:0468FB000000000099 -:0468FC000000000098 -:0468FD000000000097 -:0468FE000000000096 -:0468FF000000000095 -:046900000000000093 -:046901000000000092 -:046902000000000091 -:046903000000000090 -:04690400000000008F -:04690500000000008E -:04690600000000008D -:04690700000000008C -:04690800000000008B -:04690900000000008A -:04690A000000000089 -:04690B000000000088 -:04690C000000000087 -:04690D000000000086 -:04690E000000000085 -:04690F000000000084 -:046910000000000083 -:046911000000000082 -:046912000000000081 -:046913000000000080 -:04691400000000007F -:04691500000000007E -:04691600000000007D -:04691700000000007C -:04691800000000007B -:04691900000000007A -:04691A000000000079 -:04691B000000000078 -:04691C000000000077 -:04691D000000000076 -:04691E000000000075 -:04691F000000000074 -:046920000000000073 -:046921000000000072 -:046922000000000071 -:046923000000000070 -:04692400000000006F -:04692500000000006E -:04692600000000006D -:04692700000000006C -:04692800000000006B -:04692900000000006A -:04692A000000000069 -:04692B000000000068 -:04692C000000000067 -:04692D000000000066 -:04692E000000000065 -:04692F000000000064 -:046930000000000063 -:046931000000000062 -:046932000000000061 -:046933000000000060 -:04693400000000005F -:04693500000000005E -:04693600000000005D -:04693700000000005C -:04693800000000005B -:04693900000000005A -:04693A000000000059 -:04693B000000000058 -:04693C000000000057 -:04693D000000000056 -:04693E000000000055 -:04693F000000000054 -:046940000000000053 -:046941000000000052 -:046942000000000051 -:046943000000000050 -:04694400000000004F -:04694500000000004E -:04694600000000004D -:04694700000000004C -:04694800000000004B -:04694900000000004A -:04694A000000000049 -:04694B000000000048 -:04694C000000000047 -:04694D000000000046 -:04694E000000000045 -:04694F000000000044 -:046950000000000043 -:046951000000000042 -:046952000000000041 -:046953000000000040 -:04695400000000003F -:04695500000000003E -:04695600000000003D -:04695700000000003C -:04695800000000003B -:04695900000000003A -:04695A000000000039 -:04695B000000000038 -:04695C000000000037 -:04695D000000000036 -:04695E000000000035 -:04695F000000000034 -:046960000000000033 -:046961000000000032 -:046962000000000031 -:046963000000000030 -:04696400000000002F -:04696500000000002E -:04696600000000002D -:04696700000000002C -:04696800000000002B -:04696900000000002A -:04696A000000000029 -:04696B000000000028 -:04696C000000000027 -:04696D000000000026 -:04696E000000000025 -:04696F000000000024 -:046970000000000023 -:046971000000000022 -:046972000000000021 -:046973000000000020 -:04697400000000001F -:04697500000000001E -:04697600000000001D -:04697700000000001C -:04697800000000001B -:04697900000000001A -:04697A000000000019 -:04697B000000000018 -:04697C000000000017 -:04697D000000000016 -:04697E000000000015 -:04697F000000000014 -:046980000000000013 -:046981000000000012 -:046982000000000011 -:046983000000000010 -:04698400000000000F -:04698500000000000E -:04698600000000000D -:04698700000000000C -:04698800000000000B -:04698900000000000A -:04698A000000000009 -:04698B000000000008 -:04698C000000000007 -:04698D000000000006 -:04698E000000000005 -:04698F000000000004 -:046990000000000003 -:046991000000000002 -:046992000000000001 -:046993000000000000 -:0469940000000000FF -:0469950000000000FE -:0469960000000000FD -:0469970000000000FC -:0469980000000000FB -:0469990000000000FA -:04699A0000000000F9 -:04699B0000000000F8 -:04699C0000000000F7 -:04699D0000000000F6 -:04699E0000000000F5 -:04699F0000000000F4 -:0469A00000000000F3 -:0469A10000000000F2 -:0469A20000000000F1 -:0469A30000000000F0 -:0469A40000000000EF -:0469A50000000000EE -:0469A60000000000ED -:0469A70000000000EC -:0469A80000000000EB -:0469A90000000000EA -:0469AA0000000000E9 -:0469AB0000000000E8 -:0469AC0000000000E7 -:0469AD0000000000E6 -:0469AE0000000000E5 -:0469AF0000000000E4 -:0469B00000000000E3 -:0469B10000000000E2 -:0469B20000000000E1 -:0469B30000000000E0 -:0469B40000000000DF -:0469B50000000000DE -:0469B60000000000DD -:0469B70000000000DC -:0469B80000000000DB -:0469B90000000000DA -:0469BA0000000000D9 -:0469BB0000000000D8 -:0469BC0000000000D7 -:0469BD0000000000D6 -:0469BE0000000000D5 -:0469BF0000000000D4 -:0469C00000000000D3 -:0469C10000000000D2 -:0469C20000000000D1 -:0469C30000000000D0 -:0469C40000000000CF -:0469C50000000000CE -:0469C60000000000CD -:0469C70000000000CC -:0469C80000000000CB -:0469C90000000000CA -:0469CA0000000000C9 -:0469CB0000000000C8 -:0469CC0000000000C7 -:0469CD0000000000C6 -:0469CE0000000000C5 -:0469CF0000000000C4 -:0469D00000000000C3 -:0469D10000000000C2 -:0469D20000000000C1 -:0469D30000000000C0 -:0469D40000000000BF -:0469D50000000000BE -:0469D60000000000BD -:0469D70000000000BC -:0469D80000000000BB -:0469D90000000000BA -:0469DA0000000000B9 -:0469DB0000000000B8 -:0469DC0000000000B7 -:0469DD0000000000B6 -:0469DE0000000000B5 -:0469DF0000000000B4 -:0469E00000000000B3 -:0469E10000000000B2 -:0469E20000000000B1 -:0469E30000000000B0 -:0469E40000000000AF -:0469E50000000000AE -:0469E60000000000AD -:0469E70000000000AC -:0469E80000000000AB -:0469E90000000000AA -:0469EA0000000000A9 -:0469EB0000000000A8 -:0469EC0000000000A7 -:0469ED0000000000A6 -:0469EE0000000000A5 -:0469EF0000000000A4 -:0469F00000000000A3 -:0469F10000000000A2 -:0469F20000000000A1 -:0469F30000000000A0 -:0469F400000000009F -:0469F500000000009E -:0469F600000000009D -:0469F700000000009C -:0469F800000000009B -:0469F900000000009A -:0469FA000000000099 -:0469FB000000000098 -:0469FC000000000097 -:0469FD000000000096 -:0469FE000000000095 -:0469FF000000000094 -:046A00000000000092 -:046A01000000000091 -:046A02000000000090 -:046A0300000000008F -:046A0400000000008E -:046A0500000000008D -:046A0600000000008C -:046A0700000000008B -:046A0800000000008A -:046A09000000000089 -:046A0A000000000088 -:046A0B000000000087 -:046A0C000000000086 -:046A0D000000000085 -:046A0E000000000084 -:046A0F000000000083 -:046A10000000000082 -:046A11000000000081 -:046A12000000000080 -:046A1300000000007F -:046A1400000000007E -:046A1500000000007D -:046A1600000000007C -:046A1700000000007B -:046A1800000000007A -:046A19000000000079 -:046A1A000000000078 -:046A1B000000000077 -:046A1C000000000076 -:046A1D000000000075 -:046A1E000000000074 -:046A1F000000000073 -:046A20000000000072 -:046A21000000000071 -:046A22000000000070 -:046A2300000000006F -:046A2400000000006E -:046A2500000000006D -:046A2600000000006C -:046A2700000000006B -:046A2800000000006A -:046A29000000000069 -:046A2A000000000068 -:046A2B000000000067 -:046A2C000000000066 -:046A2D000000000065 -:046A2E000000000064 -:046A2F000000000063 -:046A30000000000062 -:046A31000000000061 -:046A32000000000060 -:046A3300000000005F -:046A3400000000005E -:046A3500000000005D -:046A3600000000005C -:046A3700000000005B -:046A3800000000005A -:046A39000000000059 -:046A3A000000000058 -:046A3B000000000057 -:046A3C000000000056 -:046A3D000000000055 -:046A3E000000000054 -:046A3F000000000053 -:046A40000000000052 -:046A41000000000051 -:046A42000000000050 -:046A4300000000004F -:046A4400000000004E -:046A4500000000004D -:046A4600000000004C -:046A4700000000004B -:046A4800000000004A -:046A49000000000049 -:046A4A000000000048 -:046A4B000000000047 -:046A4C000000000046 -:046A4D000000000045 -:046A4E000000000044 -:046A4F000000000043 -:046A50000000000042 -:046A51000000000041 -:046A52000000000040 -:046A5300000000003F -:046A5400000000003E -:046A5500000000003D -:046A5600000000003C -:046A5700000000003B -:046A5800000000003A -:046A59000000000039 -:046A5A000000000038 -:046A5B000000000037 -:046A5C000000000036 -:046A5D000000000035 -:046A5E000000000034 -:046A5F000000000033 -:046A60000000000032 -:046A61000000000031 -:046A62000000000030 -:046A6300000000002F -:046A6400000000002E -:046A6500000000002D -:046A6600000000002C -:046A6700000000002B -:046A6800000000002A -:046A69000000000029 -:046A6A000000000028 -:046A6B000000000027 -:046A6C000000000026 -:046A6D000000000025 -:046A6E000000000024 -:046A6F000000000023 -:046A70000000000022 -:046A71000000000021 -:046A72000000000020 -:046A7300000000001F -:046A7400000000001E -:046A7500000000001D -:046A7600000000001C -:046A7700000000001B -:046A7800000000001A -:046A79000000000019 -:046A7A000000000018 -:046A7B000000000017 -:046A7C000000000016 -:046A7D000000000015 -:046A7E000000000014 -:046A7F000000000013 -:046A80000000000012 -:046A81000000000011 -:046A82000000000010 -:046A8300000000000F -:046A8400000000000E -:046A8500000000000D -:046A8600000000000C -:046A8700000000000B -:046A8800000000000A -:046A89000000000009 -:046A8A000000000008 -:046A8B000000000007 -:046A8C000000000006 -:046A8D000000000005 -:046A8E000000000004 -:046A8F000000000003 -:046A90000000000002 -:046A91000000000001 -:046A92000000000000 -:046A930000000000FF -:046A940000000000FE -:046A950000000000FD -:046A960000000000FC -:046A970000000000FB -:046A980000000000FA -:046A990000000000F9 -:046A9A0000000000F8 -:046A9B0000000000F7 -:046A9C0000000000F6 -:046A9D0000000000F5 -:046A9E0000000000F4 -:046A9F0000000000F3 -:046AA00000000000F2 -:046AA10000000000F1 -:046AA20000000000F0 -:046AA30000000000EF -:046AA40000000000EE -:046AA50000000000ED -:046AA60000000000EC -:046AA70000000000EB -:046AA80000000000EA -:046AA90000000000E9 -:046AAA0000000000E8 -:046AAB0000000000E7 -:046AAC0000000000E6 -:046AAD0000000000E5 -:046AAE0000000000E4 -:046AAF0000000000E3 -:046AB00000000000E2 -:046AB10000000000E1 -:046AB20000000000E0 -:046AB30000000000DF -:046AB40000000000DE -:046AB50000000000DD -:046AB60000000000DC -:046AB70000000000DB -:046AB80000000000DA -:046AB90000000000D9 -:046ABA0000000000D8 -:046ABB0000000000D7 -:046ABC0000000000D6 -:046ABD0000000000D5 -:046ABE0000000000D4 -:046ABF0000000000D3 -:046AC00000000000D2 -:046AC10000000000D1 -:046AC20000000000D0 -:046AC30000000000CF -:046AC40000000000CE -:046AC50000000000CD -:046AC60000000000CC -:046AC70000000000CB -:046AC80000000000CA -:046AC90000000000C9 -:046ACA0000000000C8 -:046ACB0000000000C7 -:046ACC0000000000C6 -:046ACD0000000000C5 -:046ACE0000000000C4 -:046ACF0000000000C3 -:046AD00000000000C2 -:046AD10000000000C1 -:046AD20000000000C0 -:046AD30000000000BF -:046AD40000000000BE -:046AD50000000000BD -:046AD60000000000BC -:046AD70000000000BB -:046AD80000000000BA -:046AD90000000000B9 -:046ADA0000000000B8 -:046ADB0000000000B7 -:046ADC0000000000B6 -:046ADD0000000000B5 -:046ADE0000000000B4 -:046ADF0000000000B3 -:046AE00000000000B2 -:046AE10000000000B1 -:046AE20000000000B0 -:046AE30000000000AF -:046AE40000000000AE -:046AE50000000000AD -:046AE60000000000AC -:046AE70000000000AB -:046AE80000000000AA -:046AE90000000000A9 -:046AEA0000000000A8 -:046AEB0000000000A7 -:046AEC0000000000A6 -:046AED0000000000A5 -:046AEE0000000000A4 -:046AEF0000000000A3 -:046AF00000000000A2 -:046AF10000000000A1 -:046AF20000000000A0 -:046AF300000000009F -:046AF400000000009E -:046AF500000000009D -:046AF600000000009C -:046AF700000000009B -:046AF800000000009A -:046AF9000000000099 -:046AFA000000000098 -:046AFB000000000097 -:046AFC000000000096 -:046AFD000000000095 -:046AFE000000000094 -:046AFF000000000093 -:046B00000000000091 -:046B01000000000090 -:046B0200000000008F -:046B0300000000008E -:046B0400000000008D -:046B0500000000008C -:046B0600000000008B -:046B0700000000008A -:046B08000000000089 -:046B09000000000088 -:046B0A000000000087 -:046B0B000000000086 -:046B0C000000000085 -:046B0D000000000084 -:046B0E000000000083 -:046B0F000000000082 -:046B10000000000081 -:046B11000000000080 -:046B1200000000007F -:046B1300000000007E -:046B1400000000007D -:046B1500000000007C -:046B1600000000007B -:046B1700000000007A -:046B18000000000079 -:046B19000000000078 -:046B1A000000000077 -:046B1B000000000076 -:046B1C000000000075 -:046B1D000000000074 -:046B1E000000000073 -:046B1F000000000072 -:046B20000000000071 -:046B21000000000070 -:046B2200000000006F -:046B2300000000006E -:046B2400000000006D -:046B2500000000006C -:046B2600000000006B -:046B2700000000006A -:046B28000000000069 -:046B29000000000068 -:046B2A000000000067 -:046B2B000000000066 -:046B2C000000000065 -:046B2D000000000064 -:046B2E000000000063 -:046B2F000000000062 -:046B30000000000061 -:046B31000000000060 -:046B3200000000005F -:046B3300000000005E -:046B3400000000005D -:046B3500000000005C -:046B3600000000005B -:046B3700000000005A -:046B38000000000059 -:046B39000000000058 -:046B3A000000000057 -:046B3B000000000056 -:046B3C000000000055 -:046B3D000000000054 -:046B3E000000000053 -:046B3F000000000052 -:046B40000000000051 -:046B41000000000050 -:046B4200000000004F -:046B4300000000004E -:046B4400000000004D -:046B4500000000004C -:046B4600000000004B -:046B4700000000004A -:046B48000000000049 -:046B49000000000048 -:046B4A000000000047 -:046B4B000000000046 -:046B4C000000000045 -:046B4D000000000044 -:046B4E000000000043 -:046B4F000000000042 -:046B50000000000041 -:046B51000000000040 -:046B5200000000003F -:046B5300000000003E -:046B5400000000003D -:046B5500000000003C -:046B5600000000003B -:046B5700000000003A -:046B58000000000039 -:046B59000000000038 -:046B5A000000000037 -:046B5B000000000036 -:046B5C000000000035 -:046B5D000000000034 -:046B5E000000000033 -:046B5F000000000032 -:046B60000000000031 -:046B61000000000030 -:046B6200000000002F -:046B6300000000002E -:046B6400000000002D -:046B6500000000002C -:046B6600000000002B -:046B6700000000002A -:046B68000000000029 -:046B69000000000028 -:046B6A000000000027 -:046B6B000000000026 -:046B6C000000000025 -:046B6D000000000024 -:046B6E000000000023 -:046B6F000000000022 -:046B70000000000021 -:046B71000000000020 -:046B7200000000001F -:046B7300000000001E -:046B7400000000001D -:046B7500000000001C -:046B7600000000001B -:046B7700000000001A -:046B78000000000019 -:046B79000000000018 -:046B7A000000000017 -:046B7B000000000016 -:046B7C000000000015 -:046B7D000000000014 -:046B7E000000000013 -:046B7F000000000012 -:046B80000000000011 -:046B81000000000010 -:046B8200000000000F -:046B8300000000000E -:046B8400000000000D -:046B8500000000000C -:046B8600000000000B -:046B8700000000000A -:046B88000000000009 -:046B89000000000008 -:046B8A000000000007 -:046B8B000000000006 -:046B8C000000000005 -:046B8D000000000004 -:046B8E000000000003 -:046B8F000000000002 -:046B90000000000001 -:046B91000000000000 -:046B920000000000FF -:046B930000000000FE -:046B940000000000FD -:046B950000000000FC -:046B960000000000FB -:046B970000000000FA -:046B980000000000F9 -:046B990000000000F8 -:046B9A0000000000F7 -:046B9B0000000000F6 -:046B9C0000000000F5 -:046B9D0000000000F4 -:046B9E0000000000F3 -:046B9F0000000000F2 -:046BA00000000000F1 -:046BA10000000000F0 -:046BA20000000000EF -:046BA30000000000EE -:046BA40000000000ED -:046BA50000000000EC -:046BA60000000000EB -:046BA70000000000EA -:046BA80000000000E9 -:046BA90000000000E8 -:046BAA0000000000E7 -:046BAB0000000000E6 -:046BAC0000000000E5 -:046BAD0000000000E4 -:046BAE0000000000E3 -:046BAF0000000000E2 -:046BB00000000000E1 -:046BB10000000000E0 -:046BB20000000000DF -:046BB30000000000DE -:046BB40000000000DD -:046BB50000000000DC -:046BB60000000000DB -:046BB70000000000DA -:046BB80000000000D9 -:046BB90000000000D8 -:046BBA0000000000D7 -:046BBB0000000000D6 -:046BBC0000000000D5 -:046BBD0000000000D4 -:046BBE0000000000D3 -:046BBF0000000000D2 -:046BC00000000000D1 -:046BC10000000000D0 -:046BC20000000000CF -:046BC30000000000CE -:046BC40000000000CD -:046BC50000000000CC -:046BC60000000000CB -:046BC70000000000CA -:046BC80000000000C9 -:046BC90000000000C8 -:046BCA0000000000C7 -:046BCB0000000000C6 -:046BCC0000000000C5 -:046BCD0000000000C4 -:046BCE0000000000C3 -:046BCF0000000000C2 -:046BD00000000000C1 -:046BD10000000000C0 -:046BD20000000000BF -:046BD30000000000BE -:046BD40000000000BD -:046BD50000000000BC -:046BD60000000000BB -:046BD70000000000BA -:046BD80000000000B9 -:046BD90000000000B8 -:046BDA0000000000B7 -:046BDB0000000000B6 -:046BDC0000000000B5 -:046BDD0000000000B4 -:046BDE0000000000B3 -:046BDF0000000000B2 -:046BE00000000000B1 -:046BE10000000000B0 -:046BE20000000000AF -:046BE30000000000AE -:046BE40000000000AD -:046BE50000000000AC -:046BE60000000000AB -:046BE70000000000AA -:046BE80000000000A9 -:046BE90000000000A8 -:046BEA0000000000A7 -:046BEB0000000000A6 -:046BEC0000000000A5 -:046BED0000000000A4 -:046BEE0000000000A3 -:046BEF0000000000A2 -:046BF00000000000A1 -:046BF10000000000A0 -:046BF200000000009F -:046BF300000000009E -:046BF400000000009D -:046BF500000000009C -:046BF600000000009B -:046BF700000000009A -:046BF8000000000099 -:046BF9000000000098 -:046BFA000000000097 -:046BFB000000000096 -:046BFC000000000095 -:046BFD000000000094 -:046BFE000000000093 -:046BFF000000000092 -:046C00000000000090 -:046C0100000000008F -:046C0200000000008E -:046C0300000000008D -:046C0400000000008C -:046C0500000000008B -:046C0600000000008A -:046C07000000000089 -:046C08000000000088 -:046C09000000000087 -:046C0A000000000086 -:046C0B000000000085 -:046C0C000000000084 -:046C0D000000000083 -:046C0E000000000082 -:046C0F000000000081 -:046C10000000000080 -:046C1100000000007F -:046C1200000000007E -:046C1300000000007D -:046C1400000000007C -:046C1500000000007B -:046C1600000000007A -:046C17000000000079 -:046C18000000000078 -:046C19000000000077 -:046C1A000000000076 -:046C1B000000000075 -:046C1C000000000074 -:046C1D000000000073 -:046C1E000000000072 -:046C1F000000000071 -:046C20000000000070 -:046C2100000000006F -:046C2200000000006E -:046C2300000000006D -:046C2400000000006C -:046C2500000000006B -:046C2600000000006A -:046C27000000000069 -:046C28000000000068 -:046C29000000000067 -:046C2A000000000066 -:046C2B000000000065 -:046C2C000000000064 -:046C2D000000000063 -:046C2E000000000062 -:046C2F000000000061 -:046C30000000000060 -:046C3100000000005F -:046C3200000000005E -:046C3300000000005D -:046C3400000000005C -:046C3500000000005B -:046C3600000000005A -:046C37000000000059 -:046C38000000000058 -:046C39000000000057 -:046C3A000000000056 -:046C3B000000000055 -:046C3C000000000054 -:046C3D000000000053 -:046C3E000000000052 -:046C3F000000000051 -:046C40000000000050 -:046C4100000000004F -:046C4200000000004E -:046C4300000000004D -:046C4400000000004C -:046C4500000000004B -:046C4600000000004A -:046C47000000000049 -:046C48000000000048 -:046C49000000000047 -:046C4A000000000046 -:046C4B000000000045 -:046C4C000000000044 -:046C4D000000000043 -:046C4E000000000042 -:046C4F000000000041 -:046C50000000000040 -:046C5100000000003F -:046C5200000000003E -:046C5300000000003D -:046C5400000000003C -:046C5500000000003B -:046C5600000000003A -:046C57000000000039 -:046C58000000000038 -:046C59000000000037 -:046C5A000000000036 -:046C5B000000000035 -:046C5C000000000034 -:046C5D000000000033 -:046C5E000000000032 -:046C5F000000000031 -:046C60000000000030 -:046C6100000000002F -:046C6200000000002E -:046C6300000000002D -:046C6400000000002C -:046C6500000000002B -:046C6600000000002A -:046C67000000000029 -:046C68000000000028 -:046C69000000000027 -:046C6A000000000026 -:046C6B000000000025 -:046C6C000000000024 -:046C6D000000000023 -:046C6E000000000022 -:046C6F000000000021 -:046C70000000000020 -:046C7100000000001F -:046C7200000000001E -:046C7300000000001D -:046C7400000000001C -:046C7500000000001B -:046C7600000000001A -:046C77000000000019 -:046C78000000000018 -:046C79000000000017 -:046C7A000000000016 -:046C7B000000000015 -:046C7C000000000014 -:046C7D000000000013 -:046C7E000000000012 -:046C7F000000000011 -:046C80000000000010 -:046C8100000000000F -:046C8200000000000E -:046C8300000000000D -:046C8400000000000C -:046C8500000000000B -:046C8600000000000A -:046C87000000000009 -:046C88000000000008 -:046C89000000000007 -:046C8A000000000006 -:046C8B000000000005 -:046C8C000000000004 -:046C8D000000000003 -:046C8E000000000002 -:046C8F000000000001 -:046C90000000000000 -:046C910000000000FF -:046C920000000000FE -:046C930000000000FD -:046C940000000000FC -:046C950000000000FB -:046C960000000000FA -:046C970000000000F9 -:046C980000000000F8 -:046C990000000000F7 -:046C9A0000000000F6 -:046C9B0000000000F5 -:046C9C0000000000F4 -:046C9D0000000000F3 -:046C9E0000000000F2 -:046C9F0000000000F1 -:046CA00000000000F0 -:046CA10000000000EF -:046CA20000000000EE -:046CA30000000000ED -:046CA40000000000EC -:046CA50000000000EB -:046CA60000000000EA -:046CA70000000000E9 -:046CA80000000000E8 -:046CA90000000000E7 -:046CAA0000000000E6 -:046CAB0000000000E5 -:046CAC0000000000E4 -:046CAD0000000000E3 -:046CAE0000000000E2 -:046CAF0000000000E1 -:046CB00000000000E0 -:046CB10000000000DF -:046CB20000000000DE -:046CB30000000000DD -:046CB40000000000DC -:046CB50000000000DB -:046CB60000000000DA -:046CB70000000000D9 -:046CB80000000000D8 -:046CB90000000000D7 -:046CBA0000000000D6 -:046CBB0000000000D5 -:046CBC0000000000D4 -:046CBD0000000000D3 -:046CBE0000000000D2 -:046CBF0000000000D1 -:046CC00000000000D0 -:046CC10000000000CF -:046CC20000000000CE -:046CC30000000000CD -:046CC40000000000CC -:046CC50000000000CB -:046CC60000000000CA -:046CC70000000000C9 -:046CC80000000000C8 -:046CC90000000000C7 -:046CCA0000000000C6 -:046CCB0000000000C5 -:046CCC0000000000C4 -:046CCD0000000000C3 -:046CCE0000000000C2 -:046CCF0000000000C1 -:046CD00000000000C0 -:046CD10000000000BF -:046CD20000000000BE -:046CD30000000000BD -:046CD40000000000BC -:046CD50000000000BB -:046CD60000000000BA -:046CD70000000000B9 -:046CD80000000000B8 -:046CD90000000000B7 -:046CDA0000000000B6 -:046CDB0000000000B5 -:046CDC0000000000B4 -:046CDD0000000000B3 -:046CDE0000000000B2 -:046CDF0000000000B1 -:046CE00000000000B0 -:046CE10000000000AF -:046CE20000000000AE -:046CE30000000000AD -:046CE40000000000AC -:046CE50000000000AB -:046CE60000000000AA -:046CE70000000000A9 -:046CE80000000000A8 -:046CE90000000000A7 -:046CEA0000000000A6 -:046CEB0000000000A5 -:046CEC0000000000A4 -:046CED0000000000A3 -:046CEE0000000000A2 -:046CEF0000000000A1 -:046CF00000000000A0 -:046CF100000000009F -:046CF200000000009E -:046CF300000000009D -:046CF400000000009C -:046CF500000000009B -:046CF600000000009A -:046CF7000000000099 -:046CF8000000000098 -:046CF9000000000097 -:046CFA000000000096 -:046CFB000000000095 -:046CFC000000000094 -:046CFD000000000093 -:046CFE000000000092 -:046CFF000000000091 -:046D0000000000008F -:046D0100000000008E -:046D0200000000008D -:046D0300000000008C -:046D0400000000008B -:046D0500000000008A -:046D06000000000089 -:046D07000000000088 -:046D08000000000087 -:046D09000000000086 -:046D0A000000000085 -:046D0B000000000084 -:046D0C000000000083 -:046D0D000000000082 -:046D0E000000000081 -:046D0F000000000080 -:046D1000000000007F -:046D1100000000007E -:046D1200000000007D -:046D1300000000007C -:046D1400000000007B -:046D1500000000007A -:046D16000000000079 -:046D17000000000078 -:046D18000000000077 -:046D19000000000076 -:046D1A000000000075 -:046D1B000000000074 -:046D1C000000000073 -:046D1D000000000072 -:046D1E000000000071 -:046D1F000000000070 -:046D2000000000006F -:046D2100000000006E -:046D2200000000006D -:046D2300000000006C -:046D2400000000006B -:046D2500000000006A -:046D26000000000069 -:046D27000000000068 -:046D28000000000067 -:046D29000000000066 -:046D2A000000000065 -:046D2B000000000064 -:046D2C000000000063 -:046D2D000000000062 -:046D2E000000000061 -:046D2F000000000060 -:046D3000000000005F -:046D3100000000005E -:046D3200000000005D -:046D3300000000005C -:046D3400000000005B -:046D3500000000005A -:046D36000000000059 -:046D37000000000058 -:046D38000000000057 -:046D39000000000056 -:046D3A000000000055 -:046D3B000000000054 -:046D3C000000000053 -:046D3D000000000052 -:046D3E000000000051 -:046D3F000000000050 -:046D4000000000004F -:046D4100000000004E -:046D4200000000004D -:046D4300000000004C -:046D4400000000004B -:046D4500000000004A -:046D46000000000049 -:046D47000000000048 -:046D48000000000047 -:046D49000000000046 -:046D4A000000000045 -:046D4B000000000044 -:046D4C000000000043 -:046D4D000000000042 -:046D4E000000000041 -:046D4F000000000040 -:046D5000000000003F -:046D5100000000003E -:046D5200000000003D -:046D5300000000003C -:046D5400000000003B -:046D5500000000003A -:046D56000000000039 -:046D57000000000038 -:046D58000000000037 -:046D59000000000036 -:046D5A000000000035 -:046D5B000000000034 -:046D5C000000000033 -:046D5D000000000032 -:046D5E000000000031 -:046D5F000000000030 -:046D6000000000002F -:046D6100000000002E -:046D6200000000002D -:046D6300000000002C -:046D6400000000002B -:046D6500000000002A -:046D66000000000029 -:046D67000000000028 -:046D68000000000027 -:046D69000000000026 -:046D6A000000000025 -:046D6B000000000024 -:046D6C000000000023 -:046D6D000000000022 -:046D6E000000000021 -:046D6F000000000020 -:046D7000000000001F -:046D7100000000001E -:046D7200000000001D -:046D7300000000001C -:046D7400000000001B -:046D7500000000001A -:046D76000000000019 -:046D77000000000018 -:046D78000000000017 -:046D79000000000016 -:046D7A000000000015 -:046D7B000000000014 -:046D7C000000000013 -:046D7D000000000012 -:046D7E000000000011 -:046D7F000000000010 -:046D8000000000000F -:046D8100000000000E -:046D8200000000000D -:046D8300000000000C -:046D8400000000000B -:046D8500000000000A -:046D86000000000009 -:046D87000000000008 -:046D88000000000007 -:046D89000000000006 -:046D8A000000000005 -:046D8B000000000004 -:046D8C000000000003 -:046D8D000000000002 -:046D8E000000000001 -:046D8F000000000000 -:046D900000000000FF -:046D910000000000FE -:046D920000000000FD -:046D930000000000FC -:046D940000000000FB -:046D950000000000FA -:046D960000000000F9 -:046D970000000000F8 -:046D980000000000F7 -:046D990000000000F6 -:046D9A0000000000F5 -:046D9B0000000000F4 -:046D9C0000000000F3 -:046D9D0000000000F2 -:046D9E0000000000F1 -:046D9F0000000000F0 -:046DA00000000000EF -:046DA10000000000EE -:046DA20000000000ED -:046DA30000000000EC -:046DA40000000000EB -:046DA50000000000EA -:046DA60000000000E9 -:046DA70000000000E8 -:046DA80000000000E7 -:046DA90000000000E6 -:046DAA0000000000E5 -:046DAB0000000000E4 -:046DAC0000000000E3 -:046DAD0000000000E2 -:046DAE0000000000E1 -:046DAF0000000000E0 -:046DB00000000000DF -:046DB10000000000DE -:046DB20000000000DD -:046DB30000000000DC -:046DB40000000000DB -:046DB50000000000DA -:046DB60000000000D9 -:046DB70000000000D8 -:046DB80000000000D7 -:046DB90000000000D6 -:046DBA0000000000D5 -:046DBB0000000000D4 -:046DBC0000000000D3 -:046DBD0000000000D2 -:046DBE0000000000D1 -:046DBF0000000000D0 -:046DC00000000000CF -:046DC10000000000CE -:046DC20000000000CD -:046DC30000000000CC -:046DC40000000000CB -:046DC50000000000CA -:046DC60000000000C9 -:046DC70000000000C8 -:046DC80000000000C7 -:046DC90000000000C6 -:046DCA0000000000C5 -:046DCB0000000000C4 -:046DCC0000000000C3 -:046DCD0000000000C2 -:046DCE0000000000C1 -:046DCF0000000000C0 -:046DD00000000000BF -:046DD10000000000BE -:046DD20000000000BD -:046DD30000000000BC -:046DD40000000000BB -:046DD50000000000BA -:046DD60000000000B9 -:046DD70000000000B8 -:046DD80000000000B7 -:046DD90000000000B6 -:046DDA0000000000B5 -:046DDB0000000000B4 -:046DDC0000000000B3 -:046DDD0000000000B2 -:046DDE0000000000B1 -:046DDF0000000000B0 -:046DE00000000000AF -:046DE10000000000AE -:046DE20000000000AD -:046DE30000000000AC -:046DE40000000000AB -:046DE50000000000AA -:046DE60000000000A9 -:046DE70000000000A8 -:046DE80000000000A7 -:046DE90000000000A6 -:046DEA0000000000A5 -:046DEB0000000000A4 -:046DEC0000000000A3 -:046DED0000000000A2 -:046DEE0000000000A1 -:046DEF0000000000A0 -:046DF000000000009F -:046DF100000000009E -:046DF200000000009D -:046DF300000000009C -:046DF400000000009B -:046DF500000000009A -:046DF6000000000099 -:046DF7000000000098 -:046DF8000000000097 -:046DF9000000000096 -:046DFA000000000095 -:046DFB000000000094 -:046DFC000000000093 -:046DFD000000000092 -:046DFE000000000091 -:046DFF000000000090 -:046E0000000000008E -:046E0100000000008D -:046E0200000000008C -:046E0300000000008B -:046E0400000000008A -:046E05000000000089 -:046E06000000000088 -:046E07000000000087 -:046E08000000000086 -:046E09000000000085 -:046E0A000000000084 -:046E0B000000000083 -:046E0C000000000082 -:046E0D000000000081 -:046E0E000000000080 -:046E0F00000000007F -:046E1000000000007E -:046E1100000000007D -:046E1200000000007C -:046E1300000000007B -:046E1400000000007A -:046E15000000000079 -:046E16000000000078 -:046E17000000000077 -:046E18000000000076 -:046E19000000000075 -:046E1A000000000074 -:046E1B000000000073 -:046E1C000000000072 -:046E1D000000000071 -:046E1E000000000070 -:046E1F00000000006F -:046E2000000000006E -:046E2100000000006D -:046E2200000000006C -:046E2300000000006B -:046E2400000000006A -:046E25000000000069 -:046E26000000000068 -:046E27000000000067 -:046E28000000000066 -:046E29000000000065 -:046E2A000000000064 -:046E2B000000000063 -:046E2C000000000062 -:046E2D000000000061 -:046E2E000000000060 -:046E2F00000000005F -:046E3000000000005E -:046E3100000000005D -:046E3200000000005C -:046E3300000000005B -:046E3400000000005A -:046E35000000000059 -:046E36000000000058 -:046E37000000000057 -:046E38000000000056 -:046E39000000000055 -:046E3A000000000054 -:046E3B000000000053 -:046E3C000000000052 -:046E3D000000000051 -:046E3E000000000050 -:046E3F00000000004F -:046E4000000000004E -:046E4100000000004D -:046E4200000000004C -:046E4300000000004B -:046E4400000000004A -:046E45000000000049 -:046E46000000000048 -:046E47000000000047 -:046E48000000000046 -:046E49000000000045 -:046E4A000000000044 -:046E4B000000000043 -:046E4C000000000042 -:046E4D000000000041 -:046E4E000000000040 -:046E4F00000000003F -:046E5000000000003E -:046E5100000000003D -:046E5200000000003C -:046E5300000000003B -:046E5400000000003A -:046E55000000000039 -:046E56000000000038 -:046E57000000000037 -:046E58000000000036 -:046E59000000000035 -:046E5A000000000034 -:046E5B000000000033 -:046E5C000000000032 -:046E5D000000000031 -:046E5E000000000030 -:046E5F00000000002F -:046E6000000000002E -:046E6100000000002D -:046E6200000000002C -:046E6300000000002B -:046E6400000000002A -:046E65000000000029 -:046E66000000000028 -:046E67000000000027 -:046E68000000000026 -:046E69000000000025 -:046E6A000000000024 -:046E6B000000000023 -:046E6C000000000022 -:046E6D000000000021 -:046E6E000000000020 -:046E6F00000000001F -:046E7000000000001E -:046E7100000000001D -:046E7200000000001C -:046E7300000000001B -:046E7400000000001A -:046E75000000000019 -:046E76000000000018 -:046E77000000000017 -:046E78000000000016 -:046E79000000000015 -:046E7A000000000014 -:046E7B000000000013 -:046E7C000000000012 -:046E7D000000000011 -:046E7E000000000010 -:046E7F00000000000F -:046E8000000000000E -:046E8100000000000D -:046E8200000000000C -:046E8300000000000B -:046E8400000000000A -:046E85000000000009 -:046E86000000000008 -:046E87000000000007 -:046E88000000000006 -:046E89000000000005 -:046E8A000000000004 -:046E8B000000000003 -:046E8C000000000002 -:046E8D000000000001 -:046E8E000000000000 -:046E8F0000000000FF -:046E900000000000FE -:046E910000000000FD -:046E920000000000FC -:046E930000000000FB -:046E940000000000FA -:046E950000000000F9 -:046E960000000000F8 -:046E970000000000F7 -:046E980000000000F6 -:046E990000000000F5 -:046E9A0000000000F4 -:046E9B0000000000F3 -:046E9C0000000000F2 -:046E9D0000000000F1 -:046E9E0000000000F0 -:046E9F0000000000EF -:046EA00000000000EE -:046EA10000000000ED -:046EA20000000000EC -:046EA30000000000EB -:046EA40000000000EA -:046EA50000000000E9 -:046EA60000000000E8 -:046EA70000000000E7 -:046EA80000000000E6 -:046EA90000000000E5 -:046EAA0000000000E4 -:046EAB0000000000E3 -:046EAC0000000000E2 -:046EAD0000000000E1 -:046EAE0000000000E0 -:046EAF0000000000DF -:046EB00000000000DE -:046EB10000000000DD -:046EB20000000000DC -:046EB30000000000DB -:046EB40000000000DA -:046EB50000000000D9 -:046EB60000000000D8 -:046EB70000000000D7 -:046EB80000000000D6 -:046EB90000000000D5 -:046EBA0000000000D4 -:046EBB0000000000D3 -:046EBC0000000000D2 -:046EBD0000000000D1 -:046EBE0000000000D0 -:046EBF0000000000CF -:046EC00000000000CE -:046EC10000000000CD -:046EC20000000000CC -:046EC30000000000CB -:046EC40000000000CA -:046EC50000000000C9 -:046EC60000000000C8 -:046EC70000000000C7 -:046EC80000000000C6 -:046EC90000000000C5 -:046ECA0000000000C4 -:046ECB0000000000C3 -:046ECC0000000000C2 -:046ECD0000000000C1 -:046ECE0000000000C0 -:046ECF0000000000BF -:046ED00000000000BE -:046ED10000000000BD -:046ED20000000000BC -:046ED30000000000BB -:046ED40000000000BA -:046ED50000000000B9 -:046ED60000000000B8 -:046ED70000000000B7 -:046ED80000000000B6 -:046ED90000000000B5 -:046EDA0000000000B4 -:046EDB0000000000B3 -:046EDC0000000000B2 -:046EDD0000000000B1 -:046EDE0000000000B0 -:046EDF0000000000AF -:046EE00000000000AE -:046EE10000000000AD -:046EE20000000000AC -:046EE30000000000AB -:046EE40000000000AA -:046EE50000000000A9 -:046EE60000000000A8 -:046EE70000000000A7 -:046EE80000000000A6 -:046EE90000000000A5 -:046EEA0000000000A4 -:046EEB0000000000A3 -:046EEC0000000000A2 -:046EED0000000000A1 -:046EEE0000000000A0 -:046EEF00000000009F -:046EF000000000009E -:046EF100000000009D -:046EF200000000009C -:046EF300000000009B -:046EF400000000009A -:046EF5000000000099 -:046EF6000000000098 -:046EF7000000000097 -:046EF8000000000096 -:046EF9000000000095 -:046EFA000000000094 -:046EFB000000000093 -:046EFC000000000092 -:046EFD000000000091 -:046EFE000000000090 -:046EFF00000000008F -:046F0000000000008D -:046F0100000000008C -:046F0200000000008B -:046F0300000000008A -:046F04000000000089 -:046F05000000000088 -:046F06000000000087 -:046F07000000000086 -:046F08000000000085 -:046F09000000000084 -:046F0A000000000083 -:046F0B000000000082 -:046F0C000000000081 -:046F0D000000000080 -:046F0E00000000007F -:046F0F00000000007E -:046F1000000000007D -:046F1100000000007C -:046F1200000000007B -:046F1300000000007A -:046F14000000000079 -:046F15000000000078 -:046F16000000000077 -:046F17000000000076 -:046F18000000000075 -:046F19000000000074 -:046F1A000000000073 -:046F1B000000000072 -:046F1C000000000071 -:046F1D000000000070 -:046F1E00000000006F -:046F1F00000000006E -:046F2000000000006D -:046F2100000000006C -:046F2200000000006B -:046F2300000000006A -:046F24000000000069 -:046F25000000000068 -:046F26000000000067 -:046F27000000000066 -:046F28000000000065 -:046F29000000000064 -:046F2A000000000063 -:046F2B000000000062 -:046F2C000000000061 -:046F2D000000000060 -:046F2E00000000005F -:046F2F00000000005E -:046F3000000000005D -:046F3100000000005C -:046F3200000000005B -:046F3300000000005A -:046F34000000000059 -:046F35000000000058 -:046F36000000000057 -:046F37000000000056 -:046F38000000000055 -:046F39000000000054 -:046F3A000000000053 -:046F3B000000000052 -:046F3C000000000051 -:046F3D000000000050 -:046F3E00000000004F -:046F3F00000000004E -:046F4000000000004D -:046F4100000000004C -:046F4200000000004B -:046F4300000000004A -:046F44000000000049 -:046F45000000000048 -:046F46000000000047 -:046F47000000000046 -:046F48000000000045 -:046F49000000000044 -:046F4A000000000043 -:046F4B000000000042 -:046F4C000000000041 -:046F4D000000000040 -:046F4E00000000003F -:046F4F00000000003E -:046F5000000000003D -:046F5100000000003C -:046F5200000000003B -:046F5300000000003A -:046F54000000000039 -:046F55000000000038 -:046F56000000000037 -:046F57000000000036 -:046F58000000000035 -:046F59000000000034 -:046F5A000000000033 -:046F5B000000000032 -:046F5C000000000031 -:046F5D000000000030 -:046F5E00000000002F -:046F5F00000000002E -:046F6000000000002D -:046F6100000000002C -:046F6200000000002B -:046F6300000000002A -:046F64000000000029 -:046F65000000000028 -:046F66000000000027 -:046F67000000000026 -:046F68000000000025 -:046F69000000000024 -:046F6A000000000023 -:046F6B000000000022 -:046F6C000000000021 -:046F6D000000000020 -:046F6E00000000001F -:046F6F00000000001E -:046F7000000000001D -:046F7100000000001C -:046F7200000000001B -:046F7300000000001A -:046F74000000000019 -:046F75000000000018 -:046F76000000000017 -:046F77000000000016 -:046F78000000000015 -:046F79000000000014 -:046F7A000000000013 -:046F7B000000000012 -:046F7C000000000011 -:046F7D000000000010 -:046F7E00000000000F -:046F7F00000000000E -:046F8000000000000D -:046F8100000000000C -:046F8200000000000B -:046F8300000000000A -:046F84000000000009 -:046F85000000000008 -:046F86000000000007 -:046F87000000000006 -:046F88000000000005 -:046F89000000000004 -:046F8A000000000003 -:046F8B000000000002 -:046F8C000000000001 -:046F8D000000000000 -:046F8E0000000000FF -:046F8F0000000000FE -:046F900000000000FD -:046F910000000000FC -:046F920000000000FB -:046F930000000000FA -:046F940000000000F9 -:046F950000000000F8 -:046F960000000000F7 -:046F970000000000F6 -:046F980000000000F5 -:046F990000000000F4 -:046F9A0000000000F3 -:046F9B0000000000F2 -:046F9C0000000000F1 -:046F9D0000000000F0 -:046F9E0000000000EF -:046F9F0000000000EE -:046FA00000000000ED -:046FA10000000000EC -:046FA20000000000EB -:046FA30000000000EA -:046FA40000000000E9 -:046FA50000000000E8 -:046FA60000000000E7 -:046FA70000000000E6 -:046FA80000000000E5 -:046FA90000000000E4 -:046FAA0000000000E3 -:046FAB0000000000E2 -:046FAC0000000000E1 -:046FAD0000000000E0 -:046FAE0000000000DF -:046FAF0000000000DE -:046FB00000000000DD -:046FB10000000000DC -:046FB20000000000DB -:046FB30000000000DA -:046FB40000000000D9 -:046FB50000000000D8 -:046FB60000000000D7 -:046FB70000000000D6 -:046FB80000000000D5 -:046FB90000000000D4 -:046FBA0000000000D3 -:046FBB0000000000D2 -:046FBC0000000000D1 -:046FBD0000000000D0 -:046FBE0000000000CF -:046FBF0000000000CE -:046FC00000000000CD -:046FC10000000000CC -:046FC20000000000CB -:046FC30000000000CA -:046FC40000000000C9 -:046FC50000000000C8 -:046FC60000000000C7 -:046FC70000000000C6 -:046FC80000000000C5 -:046FC90000000000C4 -:046FCA0000000000C3 -:046FCB0000000000C2 -:046FCC0000000000C1 -:046FCD0000000000C0 -:046FCE0000000000BF -:046FCF0000000000BE -:046FD00000000000BD -:046FD10000000000BC -:046FD20000000000BB -:046FD30000000000BA -:046FD40000000000B9 -:046FD50000000000B8 -:046FD60000000000B7 -:046FD70000000000B6 -:046FD80000000000B5 -:046FD90000000000B4 -:046FDA0000000000B3 -:046FDB0000000000B2 -:046FDC0000000000B1 -:046FDD0000000000B0 -:046FDE0000000000AF -:046FDF0000000000AE -:046FE00000000000AD -:046FE10000000000AC -:046FE20000000000AB -:046FE30000000000AA -:046FE40000000000A9 -:046FE50000000000A8 -:046FE60000000000A7 -:046FE70000000000A6 -:046FE80000000000A5 -:046FE90000000000A4 -:046FEA0000000000A3 -:046FEB0000000000A2 -:046FEC0000000000A1 -:046FED0000000000A0 -:046FEE00000000009F -:046FEF00000000009E -:046FF000000000009D -:046FF100000000009C -:046FF200000000009B -:046FF300000000009A -:046FF4000000000099 -:046FF5000000000098 -:046FF6000000000097 -:046FF7000000000096 -:046FF8000000000095 -:046FF9000000000094 -:046FFA000000000093 -:046FFB000000000092 -:046FFC000000000091 -:046FFD000000000090 -:046FFE00000000008F -:046FFF00000000008E -:04700000000000008C -:04700100000000008B -:04700200000000008A -:047003000000000089 -:047004000000000088 -:047005000000000087 -:047006000000000086 -:047007000000000085 -:047008000000000084 -:047009000000000083 -:04700A000000000082 -:04700B000000000081 -:04700C000000000080 -:04700D00000000007F -:04700E00000000007E -:04700F00000000007D -:04701000000000007C -:04701100000000007B -:04701200000000007A -:047013000000000079 -:047014000000000078 -:047015000000000077 -:047016000000000076 -:047017000000000075 -:047018000000000074 -:047019000000000073 -:04701A000000000072 -:04701B000000000071 -:04701C000000000070 -:04701D00000000006F -:04701E00000000006E -:04701F00000000006D -:04702000000000006C -:04702100000000006B -:04702200000000006A -:047023000000000069 -:047024000000000068 -:047025000000000067 -:047026000000000066 -:047027000000000065 -:047028000000000064 -:047029000000000063 -:04702A000000000062 -:04702B000000000061 -:04702C000000000060 -:04702D00000000005F -:04702E00000000005E -:04702F00000000005D -:04703000000000005C -:04703100000000005B -:04703200000000005A -:047033000000000059 -:047034000000000058 -:047035000000000057 -:047036000000000056 -:047037000000000055 -:047038000000000054 -:047039000000000053 -:04703A000000000052 -:04703B000000000051 -:04703C000000000050 -:04703D00000000004F -:04703E00000000004E -:04703F00000000004D -:04704000000000004C -:04704100000000004B -:04704200000000004A -:047043000000000049 -:047044000000000048 -:047045000000000047 -:047046000000000046 -:047047000000000045 -:047048000000000044 -:047049000000000043 -:04704A000000000042 -:04704B000000000041 -:04704C000000000040 -:04704D00000000003F -:04704E00000000003E -:04704F00000000003D -:04705000000000003C -:04705100000000003B -:04705200000000003A -:047053000000000039 -:047054000000000038 -:047055000000000037 -:047056000000000036 -:047057000000000035 -:047058000000000034 -:047059000000000033 -:04705A000000000032 -:04705B000000000031 -:04705C000000000030 -:04705D00000000002F -:04705E00000000002E -:04705F00000000002D -:04706000000000002C -:04706100000000002B -:04706200000000002A -:047063000000000029 -:047064000000000028 -:047065000000000027 -:047066000000000026 -:047067000000000025 -:047068000000000024 -:047069000000000023 -:04706A000000000022 -:04706B000000000021 -:04706C000000000020 -:04706D00000000001F -:04706E00000000001E -:04706F00000000001D -:04707000000000001C -:04707100000000001B -:04707200000000001A -:047073000000000019 -:047074000000000018 -:047075000000000017 -:047076000000000016 -:047077000000000015 -:047078000000000014 -:047079000000000013 -:04707A000000000012 -:04707B000000000011 -:04707C000000000010 -:04707D00000000000F -:04707E00000000000E -:04707F00000000000D -:04708000000000000C -:04708100000000000B -:04708200000000000A -:047083000000000009 -:047084000000000008 -:047085000000000007 -:047086000000000006 -:047087000000000005 -:047088000000000004 -:047089000000000003 -:04708A000000000002 -:04708B000000000001 -:04708C000000000000 -:04708D0000000000FF -:04708E0000000000FE -:04708F0000000000FD -:0470900000000000FC -:0470910000000000FB -:0470920000000000FA -:0470930000000000F9 -:0470940000000000F8 -:0470950000000000F7 -:0470960000000000F6 -:0470970000000000F5 -:0470980000000000F4 -:0470990000000000F3 -:04709A0000000000F2 -:04709B0000000000F1 -:04709C0000000000F0 -:04709D0000000000EF -:04709E0000000000EE -:04709F0000000000ED -:0470A00000000000EC -:0470A10000000000EB -:0470A20000000000EA -:0470A30000000000E9 -:0470A40000000000E8 -:0470A50000000000E7 -:0470A60000000000E6 -:0470A70000000000E5 -:0470A80000000000E4 -:0470A90000000000E3 -:0470AA0000000000E2 -:0470AB0000000000E1 -:0470AC0000000000E0 -:0470AD0000000000DF -:0470AE0000000000DE -:0470AF0000000000DD -:0470B00000000000DC -:0470B10000000000DB -:0470B20000000000DA -:0470B30000000000D9 -:0470B40000000000D8 -:0470B50000000000D7 -:0470B60000000000D6 -:0470B70000000000D5 -:0470B80000000000D4 -:0470B90000000000D3 -:0470BA0000000000D2 -:0470BB0000000000D1 -:0470BC0000000000D0 -:0470BD0000000000CF -:0470BE0000000000CE -:0470BF0000000000CD -:0470C00000000000CC -:0470C10000000000CB -:0470C20000000000CA -:0470C30000000000C9 -:0470C40000000000C8 -:0470C50000000000C7 -:0470C60000000000C6 -:0470C70000000000C5 -:0470C80000000000C4 -:0470C90000000000C3 -:0470CA0000000000C2 -:0470CB0000000000C1 -:0470CC0000000000C0 -:0470CD0000000000BF -:0470CE0000000000BE -:0470CF0000000000BD -:0470D00000000000BC -:0470D10000000000BB -:0470D20000000000BA -:0470D30000000000B9 -:0470D40000000000B8 -:0470D50000000000B7 -:0470D60000000000B6 -:0470D70000000000B5 -:0470D80000000000B4 -:0470D90000000000B3 -:0470DA0000000000B2 -:0470DB0000000000B1 -:0470DC0000000000B0 -:0470DD0000000000AF -:0470DE0000000000AE -:0470DF0000000000AD -:0470E00000000000AC -:0470E10000000000AB -:0470E20000000000AA -:0470E30000000000A9 -:0470E40000000000A8 -:0470E50000000000A7 -:0470E60000000000A6 -:0470E70000000000A5 -:0470E80000000000A4 -:0470E90000000000A3 -:0470EA0000000000A2 -:0470EB0000000000A1 -:0470EC0000000000A0 -:0470ED00000000009F -:0470EE00000000009E -:0470EF00000000009D -:0470F000000000009C -:0470F100000000009B -:0470F200000000009A -:0470F3000000000099 -:0470F4000000000098 -:0470F5000000000097 -:0470F6000000000096 -:0470F7000000000095 -:0470F8000000000094 -:0470F9000000000093 -:0470FA000000000092 -:0470FB000000000091 -:0470FC000000000090 -:0470FD00000000008F -:0470FE00000000008E -:0470FF00000000008D -:04710000000000008B -:04710100000000008A -:047102000000000089 -:047103000000000088 -:047104000000000087 -:047105000000000086 -:047106000000000085 -:047107000000000084 -:047108000000000083 -:047109000000000082 -:04710A000000000081 -:04710B000000000080 -:04710C00000000007F -:04710D00000000007E -:04710E00000000007D -:04710F00000000007C -:04711000000000007B -:04711100000000007A -:047112000000000079 -:047113000000000078 -:047114000000000077 -:047115000000000076 -:047116000000000075 -:047117000000000074 -:047118000000000073 -:047119000000000072 -:04711A000000000071 -:04711B000000000070 -:04711C00000000006F -:04711D00000000006E -:04711E00000000006D -:04711F00000000006C -:04712000000000006B -:04712100000000006A -:047122000000000069 -:047123000000000068 -:047124000000000067 -:047125000000000066 -:047126000000000065 -:047127000000000064 -:047128000000000063 -:047129000000000062 -:04712A000000000061 -:04712B000000000060 -:04712C00000000005F -:04712D00000000005E -:04712E00000000005D -:04712F00000000005C -:04713000000000005B -:04713100000000005A -:047132000000000059 -:047133000000000058 -:047134000000000057 -:047135000000000056 -:047136000000000055 -:047137000000000054 -:047138000000000053 -:047139000000000052 -:04713A000000000051 -:04713B000000000050 -:04713C00000000004F -:04713D00000000004E -:04713E00000000004D -:04713F00000000004C -:04714000000000004B -:04714100000000004A -:047142000000000049 -:047143000000000048 -:047144000000000047 -:047145000000000046 -:047146000000000045 -:047147000000000044 -:047148000000000043 -:047149000000000042 -:04714A000000000041 -:04714B000000000040 -:04714C00000000003F -:04714D00000000003E -:04714E00000000003D -:04714F00000000003C -:04715000000000003B -:04715100000000003A -:047152000000000039 -:047153000000000038 -:047154000000000037 -:047155000000000036 -:047156000000000035 -:047157000000000034 -:047158000000000033 -:047159000000000032 -:04715A000000000031 -:04715B000000000030 -:04715C00000000002F -:04715D00000000002E -:04715E00000000002D -:04715F00000000002C -:04716000000000002B -:04716100000000002A -:047162000000000029 -:047163000000000028 -:047164000000000027 -:047165000000000026 -:047166000000000025 -:047167000000000024 -:047168000000000023 -:047169000000000022 -:04716A000000000021 -:04716B000000000020 -:04716C00000000001F -:04716D00000000001E -:04716E00000000001D -:04716F00000000001C -:04717000000000001B -:04717100000000001A -:047172000000000019 -:047173000000000018 -:047174000000000017 -:047175000000000016 -:047176000000000015 -:047177000000000014 -:047178000000000013 -:047179000000000012 -:04717A000000000011 -:04717B000000000010 -:04717C00000000000F -:04717D00000000000E -:04717E00000000000D -:04717F00000000000C -:04718000000000000B -:04718100000000000A -:047182000000000009 -:047183000000000008 -:047184000000000007 -:047185000000000006 -:047186000000000005 -:047187000000000004 -:047188000000000003 -:047189000000000002 -:04718A000000000001 -:04718B000000000000 -:04718C0000000000FF -:04718D0000000000FE -:04718E0000000000FD -:04718F0000000000FC -:0471900000000000FB -:0471910000000000FA -:0471920000000000F9 -:0471930000000000F8 -:0471940000000000F7 -:0471950000000000F6 -:0471960000000000F5 -:0471970000000000F4 -:0471980000000000F3 -:0471990000000000F2 -:04719A0000000000F1 -:04719B0000000000F0 -:04719C0000000000EF -:04719D0000000000EE -:04719E0000000000ED -:04719F0000000000EC -:0471A00000000000EB -:0471A10000000000EA -:0471A20000000000E9 -:0471A30000000000E8 -:0471A40000000000E7 -:0471A50000000000E6 -:0471A60000000000E5 -:0471A70000000000E4 -:0471A80000000000E3 -:0471A90000000000E2 -:0471AA0000000000E1 -:0471AB0000000000E0 -:0471AC0000000000DF -:0471AD0000000000DE -:0471AE0000000000DD -:0471AF0000000000DC -:0471B00000000000DB -:0471B10000000000DA -:0471B20000000000D9 -:0471B30000000000D8 -:0471B40000000000D7 -:0471B50000000000D6 -:0471B60000000000D5 -:0471B70000000000D4 -:0471B80000000000D3 -:0471B90000000000D2 -:0471BA0000000000D1 -:0471BB0000000000D0 -:0471BC0000000000CF -:0471BD0000000000CE -:0471BE0000000000CD -:0471BF0000000000CC -:0471C00000000000CB -:0471C10000000000CA -:0471C20000000000C9 -:0471C30000000000C8 -:0471C40000000000C7 -:0471C50000000000C6 -:0471C60000000000C5 -:0471C70000000000C4 -:0471C80000000000C3 -:0471C90000000000C2 -:0471CA0000000000C1 -:0471CB0000000000C0 -:0471CC0000000000BF -:0471CD0000000000BE -:0471CE0000000000BD -:0471CF0000000000BC -:0471D00000000000BB -:0471D10000000000BA -:0471D20000000000B9 -:0471D30000000000B8 -:0471D40000000000B7 -:0471D50000000000B6 -:0471D60000000000B5 -:0471D70000000000B4 -:0471D80000000000B3 -:0471D90000000000B2 -:0471DA0000000000B1 -:0471DB0000000000B0 -:0471DC0000000000AF -:0471DD0000000000AE -:0471DE0000000000AD -:0471DF0000000000AC -:0471E00000000000AB -:0471E10000000000AA -:0471E20000000000A9 -:0471E30000000000A8 -:0471E40000000000A7 -:0471E50000000000A6 -:0471E60000000000A5 -:0471E70000000000A4 -:0471E80000000000A3 -:0471E90000000000A2 -:0471EA0000000000A1 -:0471EB0000000000A0 -:0471EC00000000009F -:0471ED00000000009E -:0471EE00000000009D -:0471EF00000000009C -:0471F000000000009B -:0471F100000000009A -:0471F2000000000099 -:0471F3000000000098 -:0471F4000000000097 -:0471F5000000000096 -:0471F6000000000095 -:0471F7000000000094 -:0471F8000000000093 -:0471F9000000000092 -:0471FA000000000091 -:0471FB000000000090 -:0471FC00000000008F -:0471FD00000000008E -:0471FE00000000008D -:0471FF00000000008C -:04720000000000008A -:047201000000000089 -:047202000000000088 -:047203000000000087 -:047204000000000086 -:047205000000000085 -:047206000000000084 -:047207000000000083 -:047208000000000082 -:047209000000000081 -:04720A000000000080 -:04720B00000000007F -:04720C00000000007E -:04720D00000000007D -:04720E00000000007C -:04720F00000000007B -:04721000000000007A -:047211000000000079 -:047212000000000078 -:047213000000000077 -:047214000000000076 -:047215000000000075 -:047216000000000074 -:047217000000000073 -:047218000000000072 -:047219000000000071 -:04721A000000000070 -:04721B00000000006F -:04721C00000000006E -:04721D00000000006D -:04721E00000000006C -:04721F00000000006B -:04722000000000006A -:047221000000000069 -:047222000000000068 -:047223000000000067 -:047224000000000066 -:047225000000000065 -:047226000000000064 -:047227000000000063 -:047228000000000062 -:047229000000000061 -:04722A000000000060 -:04722B00000000005F -:04722C00000000005E -:04722D00000000005D -:04722E00000000005C -:04722F00000000005B -:04723000000000005A -:047231000000000059 -:047232000000000058 -:047233000000000057 -:047234000000000056 -:047235000000000055 -:047236000000000054 -:047237000000000053 -:047238000000000052 -:047239000000000051 -:04723A000000000050 -:04723B00000000004F -:04723C00000000004E -:04723D00000000004D -:04723E00000000004C -:04723F00000000004B -:04724000000000004A -:047241000000000049 -:047242000000000048 -:047243000000000047 -:047244000000000046 -:047245000000000045 -:047246000000000044 -:047247000000000043 -:047248000000000042 -:047249000000000041 -:04724A000000000040 -:04724B00000000003F -:04724C00000000003E -:04724D00000000003D -:04724E00000000003C -:04724F00000000003B -:04725000000000003A -:047251000000000039 -:047252000000000038 -:047253000000000037 -:047254000000000036 -:047255000000000035 -:047256000000000034 -:047257000000000033 -:047258000000000032 -:047259000000000031 -:04725A000000000030 -:04725B00000000002F -:04725C00000000002E -:04725D00000000002D -:04725E00000000002C -:04725F00000000002B -:04726000000000002A -:047261000000000029 -:047262000000000028 -:047263000000000027 -:047264000000000026 -:047265000000000025 -:047266000000000024 -:047267000000000023 -:047268000000000022 -:047269000000000021 -:04726A000000000020 -:04726B00000000001F -:04726C00000000001E -:04726D00000000001D -:04726E00000000001C -:04726F00000000001B -:04727000000000001A -:047271000000000019 -:047272000000000018 -:047273000000000017 -:047274000000000016 -:047275000000000015 -:047276000000000014 -:047277000000000013 -:047278000000000012 -:047279000000000011 -:04727A000000000010 -:04727B00000000000F -:04727C00000000000E -:04727D00000000000D -:04727E00000000000C -:04727F00000000000B -:04728000000000000A -:047281000000000009 -:047282000000000008 -:047283000000000007 -:047284000000000006 -:047285000000000005 -:047286000000000004 -:047287000000000003 -:047288000000000002 -:047289000000000001 -:04728A000000000000 -:04728B0000000000FF -:04728C0000000000FE -:04728D0000000000FD -:04728E0000000000FC -:04728F0000000000FB -:0472900000000000FA -:0472910000000000F9 -:0472920000000000F8 -:0472930000000000F7 -:0472940000000000F6 -:0472950000000000F5 -:0472960000000000F4 -:0472970000000000F3 -:0472980000000000F2 -:0472990000000000F1 -:04729A0000000000F0 -:04729B0000000000EF -:04729C0000000000EE -:04729D0000000000ED -:04729E0000000000EC -:04729F0000000000EB -:0472A00000000000EA -:0472A10000000000E9 -:0472A20000000000E8 -:0472A30000000000E7 -:0472A40000000000E6 -:0472A50000000000E5 -:0472A60000000000E4 -:0472A70000000000E3 -:0472A80000000000E2 -:0472A90000000000E1 -:0472AA0000000000E0 -:0472AB0000000000DF -:0472AC0000000000DE -:0472AD0000000000DD -:0472AE0000000000DC -:0472AF0000000000DB -:0472B00000000000DA -:0472B10000000000D9 -:0472B20000000000D8 -:0472B30000000000D7 -:0472B40000000000D6 -:0472B50000000000D5 -:0472B60000000000D4 -:0472B70000000000D3 -:0472B80000000000D2 -:0472B90000000000D1 -:0472BA0000000000D0 -:0472BB0000000000CF -:0472BC0000000000CE -:0472BD0000000000CD -:0472BE0000000000CC -:0472BF0000000000CB -:0472C00000000000CA -:0472C10000000000C9 -:0472C20000000000C8 -:0472C30000000000C7 -:0472C40000000000C6 -:0472C50000000000C5 -:0472C60000000000C4 -:0472C70000000000C3 -:0472C80000000000C2 -:0472C90000000000C1 -:0472CA0000000000C0 -:0472CB0000000000BF -:0472CC0000000000BE -:0472CD0000000000BD -:0472CE0000000000BC -:0472CF0000000000BB -:0472D00000000000BA -:0472D10000000000B9 -:0472D20000000000B8 -:0472D30000000000B7 -:0472D40000000000B6 -:0472D50000000000B5 -:0472D60000000000B4 -:0472D70000000000B3 -:0472D80000000000B2 -:0472D90000000000B1 -:0472DA0000000000B0 -:0472DB0000000000AF -:0472DC0000000000AE -:0472DD0000000000AD -:0472DE0000000000AC -:0472DF0000000000AB -:0472E00000000000AA -:0472E10000000000A9 -:0472E20000000000A8 -:0472E30000000000A7 -:0472E40000000000A6 -:0472E50000000000A5 -:0472E60000000000A4 -:0472E70000000000A3 -:0472E80000000000A2 -:0472E90000000000A1 -:0472EA0000000000A0 -:0472EB00000000009F -:0472EC00000000009E -:0472ED00000000009D -:0472EE00000000009C -:0472EF00000000009B -:0472F000000000009A -:0472F1000000000099 -:0472F2000000000098 -:0472F3000000000097 -:0472F4000000000096 -:0472F5000000000095 -:0472F6000000000094 -:0472F7000000000093 -:0472F8000000000092 -:0472F9000000000091 -:0472FA000000000090 -:0472FB00000000008F -:0472FC00000000008E -:0472FD00000000008D -:0472FE00000000008C -:0472FF00000000008B -:047300000000000089 -:047301000000000088 -:047302000000000087 -:047303000000000086 -:047304000000000085 -:047305000000000084 -:047306000000000083 -:047307000000000082 -:047308000000000081 -:047309000000000080 -:04730A00000000007F -:04730B00000000007E -:04730C00000000007D -:04730D00000000007C -:04730E00000000007B -:04730F00000000007A -:047310000000000079 -:047311000000000078 -:047312000000000077 -:047313000000000076 -:047314000000000075 -:047315000000000074 -:047316000000000073 -:047317000000000072 -:047318000000000071 -:047319000000000070 -:04731A00000000006F -:04731B00000000006E -:04731C00000000006D -:04731D00000000006C -:04731E00000000006B -:04731F00000000006A -:047320000000000069 -:047321000000000068 -:047322000000000067 -:047323000000000066 -:047324000000000065 -:047325000000000064 -:047326000000000063 -:047327000000000062 -:047328000000000061 -:047329000000000060 -:04732A00000000005F -:04732B00000000005E -:04732C00000000005D -:04732D00000000005C -:04732E00000000005B -:04732F00000000005A -:047330000000000059 -:047331000000000058 -:047332000000000057 -:047333000000000056 -:047334000000000055 -:047335000000000054 -:047336000000000053 -:047337000000000052 -:047338000000000051 -:047339000000000050 -:04733A00000000004F -:04733B00000000004E -:04733C00000000004D -:04733D00000000004C -:04733E00000000004B -:04733F00000000004A -:047340000000000049 -:047341000000000048 -:047342000000000047 -:047343000000000046 -:047344000000000045 -:047345000000000044 -:047346000000000043 -:047347000000000042 -:047348000000000041 -:047349000000000040 -:04734A00000000003F -:04734B00000000003E -:04734C00000000003D -:04734D00000000003C -:04734E00000000003B -:04734F00000000003A -:047350000000000039 -:047351000000000038 -:047352000000000037 -:047353000000000036 -:047354000000000035 -:047355000000000034 -:047356000000000033 -:047357000000000032 -:047358000000000031 -:047359000000000030 -:04735A00000000002F -:04735B00000000002E -:04735C00000000002D -:04735D00000000002C -:04735E00000000002B -:04735F00000000002A -:047360000000000029 -:047361000000000028 -:047362000000000027 -:047363000000000026 -:047364000000000025 -:047365000000000024 -:047366000000000023 -:047367000000000022 -:047368000000000021 -:047369000000000020 -:04736A00000000001F -:04736B00000000001E -:04736C00000000001D -:04736D00000000001C -:04736E00000000001B -:04736F00000000001A -:047370000000000019 -:047371000000000018 -:047372000000000017 -:047373000000000016 -:047374000000000015 -:047375000000000014 -:047376000000000013 -:047377000000000012 -:047378000000000011 -:047379000000000010 -:04737A00000000000F -:04737B00000000000E -:04737C00000000000D -:04737D00000000000C -:04737E00000000000B -:04737F00000000000A -:047380000000000009 -:047381000000000008 -:047382000000000007 -:047383000000000006 -:047384000000000005 -:047385000000000004 -:047386000000000003 -:047387000000000002 -:047388000000000001 -:047389000000000000 -:04738A0000000000FF -:04738B0000000000FE -:04738C0000000000FD -:04738D0000000000FC -:04738E0000000000FB -:04738F0000000000FA -:0473900000000000F9 -:0473910000000000F8 -:0473920000000000F7 -:0473930000000000F6 -:0473940000000000F5 -:0473950000000000F4 -:0473960000000000F3 -:0473970000000000F2 -:0473980000000000F1 -:0473990000000000F0 -:04739A0000000000EF -:04739B0000000000EE -:04739C0000000000ED -:04739D0000000000EC -:04739E0000000000EB -:04739F0000000000EA -:0473A00000000000E9 -:0473A10000000000E8 -:0473A20000000000E7 -:0473A30000000000E6 -:0473A40000000000E5 -:0473A50000000000E4 -:0473A60000000000E3 -:0473A70000000000E2 -:0473A80000000000E1 -:0473A90000000000E0 -:0473AA0000000000DF -:0473AB0000000000DE -:0473AC0000000000DD -:0473AD0000000000DC -:0473AE0000000000DB -:0473AF0000000000DA -:0473B00000000000D9 -:0473B10000000000D8 -:0473B20000000000D7 -:0473B30000000000D6 -:0473B40000000000D5 -:0473B50000000000D4 -:0473B60000000000D3 -:0473B70000000000D2 -:0473B80000000000D1 -:0473B90000000000D0 -:0473BA0000000000CF -:0473BB0000000000CE -:0473BC0000000000CD -:0473BD0000000000CC -:0473BE0000000000CB -:0473BF0000000000CA -:0473C00000000000C9 -:0473C10000000000C8 -:0473C20000000000C7 -:0473C30000000000C6 -:0473C40000000000C5 -:0473C50000000000C4 -:0473C60000000000C3 -:0473C70000000000C2 -:0473C80000000000C1 -:0473C90000000000C0 -:0473CA0000000000BF -:0473CB0000000000BE -:0473CC0000000000BD -:0473CD0000000000BC -:0473CE0000000000BB -:0473CF0000000000BA -:0473D00000000000B9 -:0473D10000000000B8 -:0473D20000000000B7 -:0473D30000000000B6 -:0473D40000000000B5 -:0473D50000000000B4 -:0473D60000000000B3 -:0473D70000000000B2 -:0473D80000000000B1 -:0473D90000000000B0 -:0473DA0000000000AF -:0473DB0000000000AE -:0473DC0000000000AD -:0473DD0000000000AC -:0473DE0000000000AB -:0473DF0000000000AA -:0473E00000000000A9 -:0473E10000000000A8 -:0473E20000000000A7 -:0473E30000000000A6 -:0473E40000000000A5 -:0473E50000000000A4 -:0473E60000000000A3 -:0473E70000000000A2 -:0473E80000000000A1 -:0473E90000000000A0 -:0473EA00000000009F -:0473EB00000000009E -:0473EC00000000009D -:0473ED00000000009C -:0473EE00000000009B -:0473EF00000000009A -:0473F0000000000099 -:0473F1000000000098 -:0473F2000000000097 -:0473F3000000000096 -:0473F4000000000095 -:0473F5000000000094 -:0473F6000000000093 -:0473F7000000000092 -:0473F8000000000091 -:0473F9000000000090 -:0473FA00000000008F -:0473FB00000000008E -:0473FC00000000008D -:0473FD00000000008C -:0473FE00000000008B -:0473FF00000000008A -:047400000000000088 -:047401000000000087 -:047402000000000086 -:047403000000000085 -:047404000000000084 -:047405000000000083 -:047406000000000082 -:047407000000000081 -:047408000000000080 -:04740900000000007F -:04740A00000000007E -:04740B00000000007D -:04740C00000000007C -:04740D00000000007B -:04740E00000000007A -:04740F000000000079 -:047410000000000078 -:047411000000000077 -:047412000000000076 -:047413000000000075 -:047414000000000074 -:047415000000000073 -:047416000000000072 -:047417000000000071 -:047418000000000070 -:04741900000000006F -:04741A00000000006E -:04741B00000000006D -:04741C00000000006C -:04741D00000000006B -:04741E00000000006A -:04741F000000000069 -:047420000000000068 -:047421000000000067 -:047422000000000066 -:047423000000000065 -:047424000000000064 -:047425000000000063 -:047426000000000062 -:047427000000000061 -:047428000000000060 -:04742900000000005F -:04742A00000000005E -:04742B00000000005D -:04742C00000000005C -:04742D00000000005B -:04742E00000000005A -:04742F000000000059 -:047430000000000058 -:047431000000000057 -:047432000000000056 -:047433000000000055 -:047434000000000054 -:047435000000000053 -:047436000000000052 -:047437000000000051 -:047438000000000050 -:04743900000000004F -:04743A00000000004E -:04743B00000000004D -:04743C00000000004C -:04743D00000000004B -:04743E00000000004A -:04743F000000000049 -:047440000000000048 -:047441000000000047 -:047442000000000046 -:047443000000000045 -:047444000000000044 -:047445000000000043 -:047446000000000042 -:047447000000000041 -:047448000000000040 -:04744900000000003F -:04744A00000000003E -:04744B00000000003D -:04744C00000000003C -:04744D00000000003B -:04744E00000000003A -:04744F000000000039 -:047450000000000038 -:047451000000000037 -:047452000000000036 -:047453000000000035 -:047454000000000034 -:047455000000000033 -:047456000000000032 -:047457000000000031 -:047458000000000030 -:04745900000000002F -:04745A00000000002E -:04745B00000000002D -:04745C00000000002C -:04745D00000000002B -:04745E00000000002A -:04745F000000000029 -:047460000000000028 -:047461000000000027 -:047462000000000026 -:047463000000000025 -:047464000000000024 -:047465000000000023 -:047466000000000022 -:047467000000000021 -:047468000000000020 -:04746900000000001F -:04746A00000000001E -:04746B00000000001D -:04746C00000000001C -:04746D00000000001B -:04746E00000000001A -:04746F000000000019 -:047470000000000018 -:047471000000000017 -:047472000000000016 -:047473000000000015 -:047474000000000014 -:047475000000000013 -:047476000000000012 -:047477000000000011 -:047478000000000010 -:04747900000000000F -:04747A00000000000E -:04747B00000000000D -:04747C00000000000C -:04747D00000000000B -:04747E00000000000A -:04747F000000000009 -:047480000000000008 -:047481000000000007 -:047482000000000006 -:047483000000000005 -:047484000000000004 -:047485000000000003 -:047486000000000002 -:047487000000000001 -:047488000000000000 -:0474890000000000FF -:04748A0000000000FE -:04748B0000000000FD -:04748C0000000000FC -:04748D0000000000FB -:04748E0000000000FA -:04748F0000000000F9 -:0474900000000000F8 -:0474910000000000F7 -:0474920000000000F6 -:0474930000000000F5 -:0474940000000000F4 -:0474950000000000F3 -:0474960000000000F2 -:0474970000000000F1 -:0474980000000000F0 -:0474990000000000EF -:04749A0000000000EE -:04749B0000000000ED -:04749C0000000000EC -:04749D0000000000EB -:04749E0000000000EA -:04749F0000000000E9 -:0474A00000000000E8 -:0474A10000000000E7 -:0474A20000000000E6 -:0474A30000000000E5 -:0474A40000000000E4 -:0474A50000000000E3 -:0474A60000000000E2 -:0474A70000000000E1 -:0474A80000000000E0 -:0474A90000000000DF -:0474AA0000000000DE -:0474AB0000000000DD -:0474AC0000000000DC -:0474AD0000000000DB -:0474AE0000000000DA -:0474AF0000000000D9 -:0474B00000000000D8 -:0474B10000000000D7 -:0474B20000000000D6 -:0474B30000000000D5 -:0474B40000000000D4 -:0474B50000000000D3 -:0474B60000000000D2 -:0474B70000000000D1 -:0474B80000000000D0 -:0474B90000000000CF -:0474BA0000000000CE -:0474BB0000000000CD -:0474BC0000000000CC -:0474BD0000000000CB -:0474BE0000000000CA -:0474BF0000000000C9 -:0474C00000000000C8 -:0474C10000000000C7 -:0474C20000000000C6 -:0474C30000000000C5 -:0474C40000000000C4 -:0474C50000000000C3 -:0474C60000000000C2 -:0474C70000000000C1 -:0474C80000000000C0 -:0474C90000000000BF -:0474CA0000000000BE -:0474CB0000000000BD -:0474CC0000000000BC -:0474CD0000000000BB -:0474CE0000000000BA -:0474CF0000000000B9 -:0474D00000000000B8 -:0474D10000000000B7 -:0474D20000000000B6 -:0474D30000000000B5 -:0474D40000000000B4 -:0474D50000000000B3 -:0474D60000000000B2 -:0474D70000000000B1 -:0474D80000000000B0 -:0474D90000000000AF -:0474DA0000000000AE -:0474DB0000000000AD -:0474DC0000000000AC -:0474DD0000000000AB -:0474DE0000000000AA -:0474DF0000000000A9 -:0474E00000000000A8 -:0474E10000000000A7 -:0474E20000000000A6 -:0474E30000000000A5 -:0474E40000000000A4 -:0474E50000000000A3 -:0474E60000000000A2 -:0474E70000000000A1 -:0474E80000000000A0 -:0474E900000000009F -:0474EA00000000009E -:0474EB00000000009D -:0474EC00000000009C -:0474ED00000000009B -:0474EE00000000009A -:0474EF000000000099 -:0474F0000000000098 -:0474F1000000000097 -:0474F2000000000096 -:0474F3000000000095 -:0474F4000000000094 -:0474F5000000000093 -:0474F6000000000092 -:0474F7000000000091 -:0474F8000000000090 -:0474F900000000008F -:0474FA00000000008E -:0474FB00000000008D -:0474FC00000000008C -:0474FD00000000008B -:0474FE00000000008A -:0474FF000000000089 -:047500000000000087 -:047501000000000086 -:047502000000000085 -:047503000000000084 -:047504000000000083 -:047505000000000082 -:047506000000000081 -:047507000000000080 -:04750800000000007F -:04750900000000007E -:04750A00000000007D -:04750B00000000007C -:04750C00000000007B -:04750D00000000007A -:04750E000000000079 -:04750F000000000078 -:047510000000000077 -:047511000000000076 -:047512000000000075 -:047513000000000074 -:047514000000000073 -:047515000000000072 -:047516000000000071 -:047517000000000070 -:04751800000000006F -:04751900000000006E -:04751A00000000006D -:04751B00000000006C -:04751C00000000006B -:04751D00000000006A -:04751E000000000069 -:04751F000000000068 -:047520000000000067 -:047521000000000066 -:047522000000000065 -:047523000000000064 -:047524000000000063 -:047525000000000062 -:047526000000000061 -:047527000000000060 -:04752800000000005F -:04752900000000005E -:04752A00000000005D -:04752B00000000005C -:04752C00000000005B -:04752D00000000005A -:04752E000000000059 -:04752F000000000058 -:047530000000000057 -:047531000000000056 -:047532000000000055 -:047533000000000054 -:047534000000000053 -:047535000000000052 -:047536000000000051 -:047537000000000050 -:04753800000000004F -:04753900000000004E -:04753A00000000004D -:04753B00000000004C -:04753C00000000004B -:04753D00000000004A -:04753E000000000049 -:04753F000000000048 -:047540000000000047 -:047541000000000046 -:047542000000000045 -:047543000000000044 -:047544000000000043 -:047545000000000042 -:047546000000000041 -:047547000000000040 -:04754800000000003F -:04754900000000003E -:04754A00000000003D -:04754B00000000003C -:04754C00000000003B -:04754D00000000003A -:04754E000000000039 -:04754F000000000038 -:047550000000000037 -:047551000000000036 -:047552000000000035 -:047553000000000034 -:047554000000000033 -:047555000000000032 -:047556000000000031 -:047557000000000030 -:04755800000000002F -:04755900000000002E -:04755A00000000002D -:04755B00000000002C -:04755C00000000002B -:04755D00000000002A -:04755E000000000029 -:04755F000000000028 -:047560000000000027 -:047561000000000026 -:047562000000000025 -:047563000000000024 -:047564000000000023 -:047565000000000022 -:047566000000000021 -:047567000000000020 -:04756800000000001F -:04756900000000001E -:04756A00000000001D -:04756B00000000001C -:04756C00000000001B -:04756D00000000001A -:04756E000000000019 -:04756F000000000018 -:047570000000000017 -:047571000000000016 -:047572000000000015 -:047573000000000014 -:047574000000000013 -:047575000000000012 -:047576000000000011 -:047577000000000010 -:04757800000000000F -:04757900000000000E -:04757A00000000000D -:04757B00000000000C -:04757C00000000000B -:04757D00000000000A -:04757E000000000009 -:04757F000000000008 -:047580000000000007 -:047581000000000006 -:047582000000000005 -:047583000000000004 -:047584000000000003 -:047585000000000002 -:047586000000000001 -:047587000000000000 -:0475880000000000FF -:0475890000000000FE -:04758A0000000000FD -:04758B0000000000FC -:04758C0000000000FB -:04758D0000000000FA -:04758E0000000000F9 -:04758F0000000000F8 -:0475900000000000F7 -:0475910000000000F6 -:0475920000000000F5 -:0475930000000000F4 -:0475940000000000F3 -:0475950000000000F2 -:0475960000000000F1 -:0475970000000000F0 -:0475980000000000EF -:0475990000000000EE -:04759A0000000000ED -:04759B0000000000EC -:04759C0000000000EB -:04759D0000000000EA -:04759E0000000000E9 -:04759F0000000000E8 -:0475A00000000000E7 -:0475A10000000000E6 -:0475A20000000000E5 -:0475A30000000000E4 -:0475A40000000000E3 -:0475A50000000000E2 -:0475A60000000000E1 -:0475A70000000000E0 -:0475A80000000000DF -:0475A90000000000DE -:0475AA0000000000DD -:0475AB0000000000DC -:0475AC0000000000DB -:0475AD0000000000DA -:0475AE0000000000D9 -:0475AF0000000000D8 -:0475B00000000000D7 -:0475B10000000000D6 -:0475B20000000000D5 -:0475B30000000000D4 -:0475B40000000000D3 -:0475B50000000000D2 -:0475B60000000000D1 -:0475B70000000000D0 -:0475B80000000000CF -:0475B90000000000CE -:0475BA0000000000CD -:0475BB0000000000CC -:0475BC0000000000CB -:0475BD0000000000CA -:0475BE0000000000C9 -:0475BF0000000000C8 -:0475C00000000000C7 -:0475C10000000000C6 -:0475C20000000000C5 -:0475C30000000000C4 -:0475C40000000000C3 -:0475C50000000000C2 -:0475C60000000000C1 -:0475C70000000000C0 -:0475C80000000000BF -:0475C90000000000BE -:0475CA0000000000BD -:0475CB0000000000BC -:0475CC0000000000BB -:0475CD0000000000BA -:0475CE0000000000B9 -:0475CF0000000000B8 -:0475D00000000000B7 -:0475D10000000000B6 -:0475D20000000000B5 -:0475D30000000000B4 -:0475D40000000000B3 -:0475D50000000000B2 -:0475D60000000000B1 -:0475D70000000000B0 -:0475D80000000000AF -:0475D90000000000AE -:0475DA0000000000AD -:0475DB0000000000AC -:0475DC0000000000AB -:0475DD0000000000AA -:0475DE0000000000A9 -:0475DF0000000000A8 -:0475E00000000000A7 -:0475E10000000000A6 -:0475E20000000000A5 -:0475E30000000000A4 -:0475E40000000000A3 -:0475E50000000000A2 -:0475E60000000000A1 -:0475E70000000000A0 -:0475E800000000009F -:0475E900000000009E -:0475EA00000000009D -:0475EB00000000009C -:0475EC00000000009B -:0475ED00000000009A -:0475EE000000000099 -:0475EF000000000098 -:0475F0000000000097 -:0475F1000000000096 -:0475F2000000000095 -:0475F3000000000094 -:0475F4000000000093 -:0475F5000000000092 -:0475F6000000000091 -:0475F7000000000090 -:0475F800000000008F -:0475F900000000008E -:0475FA00000000008D -:0475FB00000000008C -:0475FC00000000008B -:0475FD00000000008A -:0475FE000000000089 -:0475FF000000000088 -:047600000000000086 -:047601000000000085 -:047602000000000084 -:047603000000000083 -:047604000000000082 -:047605000000000081 -:047606000000000080 -:04760700000000007F -:04760800000000007E -:04760900000000007D -:04760A00000000007C -:04760B00000000007B -:04760C00000000007A -:04760D000000000079 -:04760E000000000078 -:04760F000000000077 -:047610000000000076 -:047611000000000075 -:047612000000000074 -:047613000000000073 -:047614000000000072 -:047615000000000071 -:047616000000000070 -:04761700000000006F -:04761800000000006E -:04761900000000006D -:04761A00000000006C -:04761B00000000006B -:04761C00000000006A -:04761D000000000069 -:04761E000000000068 -:04761F000000000067 -:047620000000000066 -:047621000000000065 -:047622000000000064 -:047623000000000063 -:047624000000000062 -:047625000000000061 -:047626000000000060 -:04762700000000005F -:04762800000000005E -:04762900000000005D -:04762A00000000005C -:04762B00000000005B -:04762C00000000005A -:04762D000000000059 -:04762E000000000058 -:04762F000000000057 -:047630000000000056 -:047631000000000055 -:047632000000000054 -:047633000000000053 -:047634000000000052 -:047635000000000051 -:047636000000000050 -:04763700000000004F -:04763800000000004E -:04763900000000004D -:04763A00000000004C -:04763B00000000004B -:04763C00000000004A -:04763D000000000049 -:04763E000000000048 -:04763F000000000047 -:047640000000000046 -:047641000000000045 -:047642000000000044 -:047643000000000043 -:047644000000000042 -:047645000000000041 -:047646000000000040 -:04764700000000003F -:04764800000000003E -:04764900000000003D -:04764A00000000003C -:04764B00000000003B -:04764C00000000003A -:04764D000000000039 -:04764E000000000038 -:04764F000000000037 -:047650000000000036 -:047651000000000035 -:047652000000000034 -:047653000000000033 -:047654000000000032 -:047655000000000031 -:047656000000000030 -:04765700000000002F -:04765800000000002E -:04765900000000002D -:04765A00000000002C -:04765B00000000002B -:04765C00000000002A -:04765D000000000029 -:04765E000000000028 -:04765F000000000027 -:047660000000000026 -:047661000000000025 -:047662000000000024 -:047663000000000023 -:047664000000000022 -:047665000000000021 -:047666000000000020 -:04766700000000001F -:04766800000000001E -:04766900000000001D -:04766A00000000001C -:04766B00000000001B -:04766C00000000001A -:04766D000000000019 -:04766E000000000018 -:04766F000000000017 -:047670000000000016 -:047671000000000015 -:047672000000000014 -:047673000000000013 -:047674000000000012 -:047675000000000011 -:047676000000000010 -:04767700000000000F -:04767800000000000E -:04767900000000000D -:04767A00000000000C -:04767B00000000000B -:04767C00000000000A -:04767D000000000009 -:04767E000000000008 -:04767F000000000007 -:047680000000000006 -:047681000000000005 -:047682000000000004 -:047683000000000003 -:047684000000000002 -:047685000000000001 -:047686000000000000 -:0476870000000000FF -:0476880000000000FE -:0476890000000000FD -:04768A0000000000FC -:04768B0000000000FB -:04768C0000000000FA -:04768D0000000000F9 -:04768E0000000000F8 -:04768F0000000000F7 -:0476900000000000F6 -:0476910000000000F5 -:0476920000000000F4 -:0476930000000000F3 -:0476940000000000F2 -:0476950000000000F1 -:0476960000000000F0 -:0476970000000000EF -:0476980000000000EE -:0476990000000000ED -:04769A0000000000EC -:04769B0000000000EB -:04769C0000000000EA -:04769D0000000000E9 -:04769E0000000000E8 -:04769F0000000000E7 -:0476A00000000000E6 -:0476A10000000000E5 -:0476A20000000000E4 -:0476A30000000000E3 -:0476A40000000000E2 -:0476A50000000000E1 -:0476A60000000000E0 -:0476A70000000000DF -:0476A80000000000DE -:0476A90000000000DD -:0476AA0000000000DC -:0476AB0000000000DB -:0476AC0000000000DA -:0476AD0000000000D9 -:0476AE0000000000D8 -:0476AF0000000000D7 -:0476B00000000000D6 -:0476B10000000000D5 -:0476B20000000000D4 -:0476B30000000000D3 -:0476B40000000000D2 -:0476B50000000000D1 -:0476B60000000000D0 -:0476B70000000000CF -:0476B80000000000CE -:0476B90000000000CD -:0476BA0000000000CC -:0476BB0000000000CB -:0476BC0000000000CA -:0476BD0000000000C9 -:0476BE0000000000C8 -:0476BF0000000000C7 -:0476C00000000000C6 -:0476C10000000000C5 -:0476C20000000000C4 -:0476C30000000000C3 -:0476C40000000000C2 -:0476C50000000000C1 -:0476C60000000000C0 -:0476C70000000000BF -:0476C80000000000BE -:0476C90000000000BD -:0476CA0000000000BC -:0476CB0000000000BB -:0476CC0000000000BA -:0476CD0000000000B9 -:0476CE0000000000B8 -:0476CF0000000000B7 -:0476D00000000000B6 -:0476D10000000000B5 -:0476D20000000000B4 -:0476D30000000000B3 -:0476D40000000000B2 -:0476D50000000000B1 -:0476D60000000000B0 -:0476D70000000000AF -:0476D80000000000AE -:0476D90000000000AD -:0476DA0000000000AC -:0476DB0000000000AB -:0476DC0000000000AA -:0476DD0000000000A9 -:0476DE0000000000A8 -:0476DF0000000000A7 -:0476E00000000000A6 -:0476E10000000000A5 -:0476E20000000000A4 -:0476E30000000000A3 -:0476E40000000000A2 -:0476E50000000000A1 -:0476E60000000000A0 -:0476E700000000009F -:0476E800000000009E -:0476E900000000009D -:0476EA00000000009C -:0476EB00000000009B -:0476EC00000000009A -:0476ED000000000099 -:0476EE000000000098 -:0476EF000000000097 -:0476F0000000000096 -:0476F1000000000095 -:0476F2000000000094 -:0476F3000000000093 -:0476F4000000000092 -:0476F5000000000091 -:0476F6000000000090 -:0476F700000000008F -:0476F800000000008E -:0476F900000000008D -:0476FA00000000008C -:0476FB00000000008B -:0476FC00000000008A -:0476FD000000000089 -:0476FE000000000088 -:0476FF000000000087 -:047700000000000085 -:047701000000000084 -:047702000000000083 -:047703000000000082 -:047704000000000081 -:047705000000000080 -:04770600000000007F -:04770700000000007E -:04770800000000007D -:04770900000000007C -:04770A00000000007B -:04770B00000000007A -:04770C000000000079 -:04770D000000000078 -:04770E000000000077 -:04770F000000000076 -:047710000000000075 -:047711000000000074 -:047712000000000073 -:047713000000000072 -:047714000000000071 -:047715000000000070 -:04771600000000006F -:04771700000000006E -:04771800000000006D -:04771900000000006C -:04771A00000000006B -:04771B00000000006A -:04771C000000000069 -:04771D000000000068 -:04771E000000000067 -:04771F000000000066 -:047720000000000065 -:047721000000000064 -:047722000000000063 -:047723000000000062 -:047724000000000061 -:047725000000000060 -:04772600000000005F -:04772700000000005E -:04772800000000005D -:04772900000000005C -:04772A00000000005B -:04772B00000000005A -:04772C000000000059 -:04772D000000000058 -:04772E000000000057 -:04772F000000000056 -:047730000000000055 -:047731000000000054 -:047732000000000053 -:047733000000000052 -:047734000000000051 -:047735000000000050 -:04773600000000004F -:04773700000000004E -:04773800000000004D -:04773900000000004C -:04773A00000000004B -:04773B00000000004A -:04773C000000000049 -:04773D000000000048 -:04773E000000000047 -:04773F000000000046 -:047740000000000045 -:047741000000000044 -:047742000000000043 -:047743000000000042 -:047744000000000041 -:047745000000000040 -:04774600000000003F -:04774700000000003E -:04774800000000003D -:04774900000000003C -:04774A00000000003B -:04774B00000000003A -:04774C000000000039 -:04774D000000000038 -:04774E000000000037 -:04774F000000000036 -:047750000000000035 -:047751000000000034 -:047752000000000033 -:047753000000000032 -:047754000000000031 -:047755000000000030 -:04775600000000002F -:04775700000000002E -:04775800000000002D -:04775900000000002C -:04775A00000000002B -:04775B00000000002A -:04775C000000000029 -:04775D000000000028 -:04775E000000000027 -:04775F000000000026 -:047760000000000025 -:047761000000000024 -:047762000000000023 -:047763000000000022 -:047764000000000021 -:047765000000000020 -:04776600000000001F -:04776700000000001E -:04776800000000001D -:04776900000000001C -:04776A00000000001B -:04776B00000000001A -:04776C000000000019 -:04776D000000000018 -:04776E000000000017 -:04776F000000000016 -:047770000000000015 -:047771000000000014 -:047772000000000013 -:047773000000000012 -:047774000000000011 -:047775000000000010 -:04777600000000000F -:04777700000000000E -:04777800000000000D -:04777900000000000C -:04777A00000000000B -:04777B00000000000A -:04777C000000000009 -:04777D000000000008 -:04777E000000000007 -:04777F000000000006 -:047780000000000005 -:047781000000000004 -:047782000000000003 -:047783000000000002 -:047784000000000001 -:047785000000000000 -:0477860000000000FF -:0477870000000000FE -:0477880000000000FD -:0477890000000000FC -:04778A0000000000FB -:04778B0000000000FA -:04778C0000000000F9 -:04778D0000000000F8 -:04778E0000000000F7 -:04778F0000000000F6 -:0477900000000000F5 -:0477910000000000F4 -:0477920000000000F3 -:0477930000000000F2 -:0477940000000000F1 -:0477950000000000F0 -:0477960000000000EF -:0477970000000000EE -:0477980000000000ED -:0477990000000000EC -:04779A0000000000EB -:04779B0000000000EA -:04779C0000000000E9 -:04779D0000000000E8 -:04779E0000000000E7 -:04779F0000000000E6 -:0477A00000000000E5 -:0477A10000000000E4 -:0477A20000000000E3 -:0477A30000000000E2 -:0477A40000000000E1 -:0477A50000000000E0 -:0477A60000000000DF -:0477A70000000000DE -:0477A80000000000DD -:0477A90000000000DC -:0477AA0000000000DB -:0477AB0000000000DA -:0477AC0000000000D9 -:0477AD0000000000D8 -:0477AE0000000000D7 -:0477AF0000000000D6 -:0477B00000000000D5 -:0477B10000000000D4 -:0477B20000000000D3 -:0477B30000000000D2 -:0477B40000000000D1 -:0477B50000000000D0 -:0477B60000000000CF -:0477B70000000000CE -:0477B80000000000CD -:0477B90000000000CC -:0477BA0000000000CB -:0477BB0000000000CA -:0477BC0000000000C9 -:0477BD0000000000C8 -:0477BE0000000000C7 -:0477BF0000000000C6 -:0477C00000000000C5 -:0477C10000000000C4 -:0477C20000000000C3 -:0477C30000000000C2 -:0477C40000000000C1 -:0477C50000000000C0 -:0477C60000000000BF -:0477C70000000000BE -:0477C80000000000BD -:0477C90000000000BC -:0477CA0000000000BB -:0477CB0000000000BA -:0477CC0000000000B9 -:0477CD0000000000B8 -:0477CE0000000000B7 -:0477CF0000000000B6 -:0477D00000000000B5 -:0477D10000000000B4 -:0477D20000000000B3 -:0477D30000000000B2 -:0477D40000000000B1 -:0477D50000000000B0 -:0477D60000000000AF -:0477D70000000000AE -:0477D80000000000AD -:0477D90000000000AC -:0477DA0000000000AB -:0477DB0000000000AA -:0477DC0000000000A9 -:0477DD0000000000A8 -:0477DE0000000000A7 -:0477DF0000000000A6 -:0477E00000000000A5 -:0477E10000000000A4 -:0477E20000000000A3 -:0477E30000000000A2 -:0477E40000000000A1 -:0477E50000000000A0 -:0477E600000000009F -:0477E700000000009E -:0477E800000000009D -:0477E900000000009C -:0477EA00000000009B -:0477EB00000000009A -:0477EC000000000099 -:0477ED000000000098 -:0477EE000000000097 -:0477EF000000000096 -:0477F0000000000095 -:0477F1000000000094 -:0477F2000000000093 -:0477F3000000000092 -:0477F4000000000091 -:0477F5000000000090 -:0477F600000000008F -:0477F700000000008E -:0477F800000000008D -:0477F900000000008C -:0477FA00000000008B -:0477FB00000000008A -:0477FC000000000089 -:0477FD000000000088 -:0477FE000000000087 -:0477FF000000000086 -:047800000000000084 -:047801000000000083 -:047802000000000082 -:047803000000000081 -:047804000000000080 -:04780500000000007F -:04780600000000007E -:04780700000000007D -:04780800000000007C -:04780900000000007B -:04780A00000000007A -:04780B000000000079 -:04780C000000000078 -:04780D000000000077 -:04780E000000000076 -:04780F000000000075 -:047810000000000074 -:047811000000000073 -:047812000000000072 -:047813000000000071 -:047814000000000070 -:04781500000000006F -:04781600000000006E -:04781700000000006D -:04781800000000006C -:04781900000000006B -:04781A00000000006A -:04781B000000000069 -:04781C000000000068 -:04781D000000000067 -:04781E000000000066 -:04781F000000000065 -:047820000000000064 -:047821000000000063 -:047822000000000062 -:047823000000000061 -:047824000000000060 -:04782500000000005F -:04782600000000005E -:04782700000000005D -:04782800000000005C -:04782900000000005B -:04782A00000000005A -:04782B000000000059 -:04782C000000000058 -:04782D000000000057 -:04782E000000000056 -:04782F000000000055 -:047830000000000054 -:047831000000000053 -:047832000000000052 -:047833000000000051 -:047834000000000050 -:04783500000000004F -:04783600000000004E -:04783700000000004D -:04783800000000004C -:04783900000000004B -:04783A00000000004A -:04783B000000000049 -:04783C000000000048 -:04783D000000000047 -:04783E000000000046 -:04783F000000000045 -:047840000000000044 -:047841000000000043 -:047842000000000042 -:047843000000000041 -:047844000000000040 -:04784500000000003F -:04784600000000003E -:04784700000000003D -:04784800000000003C -:04784900000000003B -:04784A00000000003A -:04784B000000000039 -:04784C000000000038 -:04784D000000000037 -:04784E000000000036 -:04784F000000000035 -:047850000000000034 -:047851000000000033 -:047852000000000032 -:047853000000000031 -:047854000000000030 -:04785500000000002F -:04785600000000002E -:04785700000000002D -:04785800000000002C -:04785900000000002B -:04785A00000000002A -:04785B000000000029 -:04785C000000000028 -:04785D000000000027 -:04785E000000000026 -:04785F000000000025 -:047860000000000024 -:047861000000000023 -:047862000000000022 -:047863000000000021 -:047864000000000020 -:04786500000000001F -:04786600000000001E -:04786700000000001D -:04786800000000001C -:04786900000000001B -:04786A00000000001A -:04786B000000000019 -:04786C000000000018 -:04786D000000000017 -:04786E000000000016 -:04786F000000000015 -:047870000000000014 -:047871000000000013 -:047872000000000012 -:047873000000000011 -:047874000000000010 -:04787500000000000F -:04787600000000000E -:04787700000000000D -:04787800000000000C -:04787900000000000B -:04787A00000000000A -:04787B000000000009 -:04787C000000000008 -:04787D000000000007 -:04787E000000000006 -:04787F000000000005 -:047880000000000004 -:047881000000000003 -:047882000000000002 -:047883000000000001 -:047884000000000000 -:0478850000000000FF -:0478860000000000FE -:0478870000000000FD -:0478880000000000FC -:0478890000000000FB -:04788A0000000000FA -:04788B0000000000F9 -:04788C0000000000F8 -:04788D0000000000F7 -:04788E0000000000F6 -:04788F0000000000F5 -:0478900000000000F4 -:0478910000000000F3 -:0478920000000000F2 -:0478930000000000F1 -:0478940000000000F0 -:0478950000000000EF -:0478960000000000EE -:0478970000000000ED -:0478980000000000EC -:0478990000000000EB -:04789A0000000000EA -:04789B0000000000E9 -:04789C0000000000E8 -:04789D0000000000E7 -:04789E0000000000E6 -:04789F0000000000E5 -:0478A00000000000E4 -:0478A10000000000E3 -:0478A20000000000E2 -:0478A30000000000E1 -:0478A40000000000E0 -:0478A50000000000DF -:0478A60000000000DE -:0478A70000000000DD -:0478A80000000000DC -:0478A90000000000DB -:0478AA0000000000DA -:0478AB0000000000D9 -:0478AC0000000000D8 -:0478AD0000000000D7 -:0478AE0000000000D6 -:0478AF0000000000D5 -:0478B00000000000D4 -:0478B10000000000D3 -:0478B20000000000D2 -:0478B30000000000D1 -:0478B40000000000D0 -:0478B50000000000CF -:0478B60000000000CE -:0478B70000000000CD -:0478B80000000000CC -:0478B90000000000CB -:0478BA0000000000CA -:0478BB0000000000C9 -:0478BC0000000000C8 -:0478BD0000000000C7 -:0478BE0000000000C6 -:0478BF0000000000C5 -:0478C00000000000C4 -:0478C10000000000C3 -:0478C20000000000C2 -:0478C30000000000C1 -:0478C40000000000C0 -:0478C50000000000BF -:0478C60000000000BE -:0478C70000000000BD -:0478C80000000000BC -:0478C90000000000BB -:0478CA0000000000BA -:0478CB0000000000B9 -:0478CC0000000000B8 -:0478CD0000000000B7 -:0478CE0000000000B6 -:0478CF0000000000B5 -:0478D00000000000B4 -:0478D10000000000B3 -:0478D20000000000B2 -:0478D30000000000B1 -:0478D40000000000B0 -:0478D50000000000AF -:0478D60000000000AE -:0478D70000000000AD -:0478D80000000000AC -:0478D90000000000AB -:0478DA0000000000AA -:0478DB0000000000A9 -:0478DC0000000000A8 -:0478DD0000000000A7 -:0478DE0000000000A6 -:0478DF0000000000A5 -:0478E00000000000A4 -:0478E10000000000A3 -:0478E20000000000A2 -:0478E30000000000A1 -:0478E40000000000A0 -:0478E500000000009F -:0478E600000000009E -:0478E700000000009D -:0478E800000000009C -:0478E900000000009B -:0478EA00000000009A -:0478EB000000000099 -:0478EC000000000098 -:0478ED000000000097 -:0478EE000000000096 -:0478EF000000000095 -:0478F0000000000094 -:0478F1000000000093 -:0478F2000000000092 -:0478F3000000000091 -:0478F4000000000090 -:0478F500000000008F -:0478F600000000008E -:0478F700000000008D -:0478F800000000008C -:0478F900000000008B -:0478FA00000000008A -:0478FB000000000089 -:0478FC000000000088 -:0478FD000000000087 -:0478FE000000000086 -:0478FF000000000085 -:047900000000000083 -:047901000000000082 -:047902000000000081 -:047903000000000080 -:04790400000000007F -:04790500000000007E -:04790600000000007D -:04790700000000007C -:04790800000000007B -:04790900000000007A -:04790A000000000079 -:04790B000000000078 -:04790C000000000077 -:04790D000000000076 -:04790E000000000075 -:04790F000000000074 -:047910000000000073 -:047911000000000072 -:047912000000000071 -:047913000000000070 -:04791400000000006F -:04791500000000006E -:04791600000000006D -:04791700000000006C -:04791800000000006B -:04791900000000006A -:04791A000000000069 -:04791B000000000068 -:04791C000000000067 -:04791D000000000066 -:04791E000000000065 -:04791F000000000064 -:047920000000000063 -:047921000000000062 -:047922000000000061 -:047923000000000060 -:04792400000000005F -:04792500000000005E -:04792600000000005D -:04792700000000005C -:04792800000000005B -:04792900000000005A -:04792A000000000059 -:04792B000000000058 -:04792C000000000057 -:04792D000000000056 -:04792E000000000055 -:04792F000000000054 -:047930000000000053 -:047931000000000052 -:047932000000000051 -:047933000000000050 -:04793400000000004F -:04793500000000004E -:04793600000000004D -:04793700000000004C -:04793800000000004B -:04793900000000004A -:04793A000000000049 -:04793B000000000048 -:04793C000000000047 -:04793D000000000046 -:04793E000000000045 -:04793F000000000044 -:047940000000000043 -:047941000000000042 -:047942000000000041 -:047943000000000040 -:04794400000000003F -:04794500000000003E -:04794600000000003D -:04794700000000003C -:04794800000000003B -:04794900000000003A -:04794A000000000039 -:04794B000000000038 -:04794C000000000037 -:04794D000000000036 -:04794E000000000035 -:04794F000000000034 -:047950000000000033 -:047951000000000032 -:047952000000000031 -:047953000000000030 -:04795400000000002F -:04795500000000002E -:04795600000000002D -:04795700000000002C -:04795800000000002B -:04795900000000002A -:04795A000000000029 -:04795B000000000028 -:04795C000000000027 -:04795D000000000026 -:04795E000000000025 -:04795F000000000024 -:047960000000000023 -:047961000000000022 -:047962000000000021 -:047963000000000020 -:04796400000000001F -:04796500000000001E -:04796600000000001D -:04796700000000001C -:04796800000000001B -:04796900000000001A -:04796A000000000019 -:04796B000000000018 -:04796C000000000017 -:04796D000000000016 -:04796E000000000015 -:04796F000000000014 -:047970000000000013 -:047971000000000012 -:047972000000000011 -:047973000000000010 -:04797400000000000F -:04797500000000000E -:04797600000000000D -:04797700000000000C -:04797800000000000B -:04797900000000000A -:04797A000000000009 -:04797B000000000008 -:04797C000000000007 -:04797D000000000006 -:04797E000000000005 -:04797F000000000004 -:047980000000000003 -:047981000000000002 -:047982000000000001 -:047983000000000000 -:0479840000000000FF -:0479850000000000FE -:0479860000000000FD -:0479870000000000FC -:0479880000000000FB -:0479890000000000FA -:04798A0000000000F9 -:04798B0000000000F8 -:04798C0000000000F7 -:04798D0000000000F6 -:04798E0000000000F5 -:04798F0000000000F4 -:0479900000000000F3 -:0479910000000000F2 -:0479920000000000F1 -:0479930000000000F0 -:0479940000000000EF -:0479950000000000EE -:0479960000000000ED -:0479970000000000EC -:0479980000000000EB -:0479990000000000EA -:04799A0000000000E9 -:04799B0000000000E8 -:04799C0000000000E7 -:04799D0000000000E6 -:04799E0000000000E5 -:04799F0000000000E4 -:0479A00000000000E3 -:0479A10000000000E2 -:0479A20000000000E1 -:0479A30000000000E0 -:0479A40000000000DF -:0479A50000000000DE -:0479A60000000000DD -:0479A70000000000DC -:0479A80000000000DB -:0479A90000000000DA -:0479AA0000000000D9 -:0479AB0000000000D8 -:0479AC0000000000D7 -:0479AD0000000000D6 -:0479AE0000000000D5 -:0479AF0000000000D4 -:0479B00000000000D3 -:0479B10000000000D2 -:0479B20000000000D1 -:0479B30000000000D0 -:0479B40000000000CF -:0479B50000000000CE -:0479B60000000000CD -:0479B70000000000CC -:0479B80000000000CB -:0479B90000000000CA -:0479BA0000000000C9 -:0479BB0000000000C8 -:0479BC0000000000C7 -:0479BD0000000000C6 -:0479BE0000000000C5 -:0479BF0000000000C4 -:0479C00000000000C3 -:0479C10000000000C2 -:0479C20000000000C1 -:0479C30000000000C0 -:0479C40000000000BF -:0479C50000000000BE -:0479C60000000000BD -:0479C70000000000BC -:0479C80000000000BB -:0479C90000000000BA -:0479CA0000000000B9 -:0479CB0000000000B8 -:0479CC0000000000B7 -:0479CD0000000000B6 -:0479CE0000000000B5 -:0479CF0000000000B4 -:0479D00000000000B3 -:0479D10000000000B2 -:0479D20000000000B1 -:0479D30000000000B0 -:0479D40000000000AF -:0479D50000000000AE -:0479D60000000000AD -:0479D70000000000AC -:0479D80000000000AB -:0479D90000000000AA -:0479DA0000000000A9 -:0479DB0000000000A8 -:0479DC0000000000A7 -:0479DD0000000000A6 -:0479DE0000000000A5 -:0479DF0000000000A4 -:0479E00000000000A3 -:0479E10000000000A2 -:0479E20000000000A1 -:0479E30000000000A0 -:0479E400000000009F -:0479E500000000009E -:0479E600000000009D -:0479E700000000009C -:0479E800000000009B -:0479E900000000009A -:0479EA000000000099 -:0479EB000000000098 -:0479EC000000000097 -:0479ED000000000096 -:0479EE000000000095 -:0479EF000000000094 -:0479F0000000000093 -:0479F1000000000092 -:0479F2000000000091 -:0479F3000000000090 -:0479F400000000008F -:0479F500000000008E -:0479F600000000008D -:0479F700000000008C -:0479F800000000008B -:0479F900000000008A -:0479FA000000000089 -:0479FB000000000088 -:0479FC000000000087 -:0479FD000000000086 -:0479FE000000000085 -:0479FF000000000084 -:047A00000000000082 -:047A01000000000081 -:047A02000000000080 -:047A0300000000007F -:047A0400000000007E -:047A0500000000007D -:047A0600000000007C -:047A0700000000007B -:047A0800000000007A -:047A09000000000079 -:047A0A000000000078 -:047A0B000000000077 -:047A0C000000000076 -:047A0D000000000075 -:047A0E000000000074 -:047A0F000000000073 -:047A10000000000072 -:047A11000000000071 -:047A12000000000070 -:047A1300000000006F -:047A1400000000006E -:047A1500000000006D -:047A1600000000006C -:047A1700000000006B -:047A1800000000006A -:047A19000000000069 -:047A1A000000000068 -:047A1B000000000067 -:047A1C000000000066 -:047A1D000000000065 -:047A1E000000000064 -:047A1F000000000063 -:047A20000000000062 -:047A21000000000061 -:047A22000000000060 -:047A2300000000005F -:047A2400000000005E -:047A2500000000005D -:047A2600000000005C -:047A2700000000005B -:047A2800000000005A -:047A29000000000059 -:047A2A000000000058 -:047A2B000000000057 -:047A2C000000000056 -:047A2D000000000055 -:047A2E000000000054 -:047A2F000000000053 -:047A30000000000052 -:047A31000000000051 -:047A32000000000050 -:047A3300000000004F -:047A3400000000004E -:047A3500000000004D -:047A3600000000004C -:047A3700000000004B -:047A3800000000004A -:047A39000000000049 -:047A3A000000000048 -:047A3B000000000047 -:047A3C000000000046 -:047A3D000000000045 -:047A3E000000000044 -:047A3F000000000043 -:047A40000000000042 -:047A41000000000041 -:047A42000000000040 -:047A4300000000003F -:047A4400000000003E -:047A4500000000003D -:047A4600000000003C -:047A4700000000003B -:047A4800000000003A -:047A49000000000039 -:047A4A000000000038 -:047A4B000000000037 -:047A4C000000000036 -:047A4D000000000035 -:047A4E000000000034 -:047A4F000000000033 -:047A50000000000032 -:047A51000000000031 -:047A52000000000030 -:047A5300000000002F -:047A5400000000002E -:047A5500000000002D -:047A5600000000002C -:047A5700000000002B -:047A5800000000002A -:047A59000000000029 -:047A5A000000000028 -:047A5B000000000027 -:047A5C000000000026 -:047A5D000000000025 -:047A5E000000000024 -:047A5F000000000023 -:047A60000000000022 -:047A61000000000021 -:047A62000000000020 -:047A6300000000001F -:047A6400000000001E -:047A6500000000001D -:047A6600000000001C -:047A6700000000001B -:047A6800000000001A -:047A69000000000019 -:047A6A000000000018 -:047A6B000000000017 -:047A6C000000000016 -:047A6D000000000015 -:047A6E000000000014 -:047A6F000000000013 -:047A70000000000012 -:047A71000000000011 -:047A72000000000010 -:047A7300000000000F -:047A7400000000000E -:047A7500000000000D -:047A7600000000000C -:047A7700000000000B -:047A7800000000000A -:047A79000000000009 -:047A7A000000000008 -:047A7B000000000007 -:047A7C000000000006 -:047A7D000000000005 -:047A7E000000000004 -:047A7F000000000003 -:047A80000000000002 -:047A81000000000001 -:047A82000000000000 -:047A830000000000FF -:047A840000000000FE -:047A850000000000FD -:047A860000000000FC -:047A870000000000FB -:047A880000000000FA -:047A890000000000F9 -:047A8A0000000000F8 -:047A8B0000000000F7 -:047A8C0000000000F6 -:047A8D0000000000F5 -:047A8E0000000000F4 -:047A8F0000000000F3 -:047A900000000000F2 -:047A910000000000F1 -:047A920000000000F0 -:047A930000000000EF -:047A940000000000EE -:047A950000000000ED -:047A960000000000EC -:047A970000000000EB -:047A980000000000EA -:047A990000000000E9 -:047A9A0000000000E8 -:047A9B0000000000E7 -:047A9C0000000000E6 -:047A9D0000000000E5 -:047A9E0000000000E4 -:047A9F0000000000E3 -:047AA00000000000E2 -:047AA10000000000E1 -:047AA20000000000E0 -:047AA30000000000DF -:047AA40000000000DE -:047AA50000000000DD -:047AA60000000000DC -:047AA70000000000DB -:047AA80000000000DA -:047AA90000000000D9 -:047AAA0000000000D8 -:047AAB0000000000D7 -:047AAC0000000000D6 -:047AAD0000000000D5 -:047AAE0000000000D4 -:047AAF0000000000D3 -:047AB00000000000D2 -:047AB10000000000D1 -:047AB20000000000D0 -:047AB30000000000CF -:047AB40000000000CE -:047AB50000000000CD -:047AB60000000000CC -:047AB70000000000CB -:047AB80000000000CA -:047AB90000000000C9 -:047ABA0000000000C8 -:047ABB0000000000C7 -:047ABC0000000000C6 -:047ABD0000000000C5 -:047ABE0000000000C4 -:047ABF0000000000C3 -:047AC00000000000C2 -:047AC10000000000C1 -:047AC20000000000C0 -:047AC30000000000BF -:047AC40000000000BE -:047AC50000000000BD -:047AC60000000000BC -:047AC70000000000BB -:047AC80000000000BA -:047AC90000000000B9 -:047ACA0000000000B8 -:047ACB0000000000B7 -:047ACC0000000000B6 -:047ACD0000000000B5 -:047ACE0000000000B4 -:047ACF0000000000B3 -:047AD00000000000B2 -:047AD10000000000B1 -:047AD20000000000B0 -:047AD30000000000AF -:047AD40000000000AE -:047AD50000000000AD -:047AD60000000000AC -:047AD70000000000AB -:047AD80000000000AA -:047AD90000000000A9 -:047ADA0000000000A8 -:047ADB0000000000A7 -:047ADC0000000000A6 -:047ADD0000000000A5 -:047ADE0000000000A4 -:047ADF0000000000A3 -:047AE00000000000A2 -:047AE10000000000A1 -:047AE20000000000A0 -:047AE300000000009F -:047AE400000000009E -:047AE500000000009D -:047AE600000000009C -:047AE700000000009B -:047AE800000000009A -:047AE9000000000099 -:047AEA000000000098 -:047AEB000000000097 -:047AEC000000000096 -:047AED000000000095 -:047AEE000000000094 -:047AEF000000000093 -:047AF0000000000092 -:047AF1000000000091 -:047AF2000000000090 -:047AF300000000008F -:047AF400000000008E -:047AF500000000008D -:047AF600000000008C -:047AF700000000008B -:047AF800000000008A -:047AF9000000000089 -:047AFA000000000088 -:047AFB000000000087 -:047AFC000000000086 -:047AFD000000000085 -:047AFE000000000084 -:047AFF000000000083 -:047B00000000000081 -:047B01000000000080 -:047B0200000000007F -:047B0300000000007E -:047B0400000000007D -:047B0500000000007C -:047B0600000000007B -:047B0700000000007A -:047B08000000000079 -:047B09000000000078 -:047B0A000000000077 -:047B0B000000000076 -:047B0C000000000075 -:047B0D000000000074 -:047B0E000000000073 -:047B0F000000000072 -:047B10000000000071 -:047B11000000000070 -:047B1200000000006F -:047B1300000000006E -:047B1400000000006D -:047B1500000000006C -:047B1600000000006B -:047B1700000000006A -:047B18000000000069 -:047B19000000000068 -:047B1A000000000067 -:047B1B000000000066 -:047B1C000000000065 -:047B1D000000000064 -:047B1E000000000063 -:047B1F000000000062 -:047B20000000000061 -:047B21000000000060 -:047B2200000000005F -:047B2300000000005E -:047B2400000000005D -:047B2500000000005C -:047B2600000000005B -:047B2700000000005A -:047B28000000000059 -:047B29000000000058 -:047B2A000000000057 -:047B2B000000000056 -:047B2C000000000055 -:047B2D000000000054 -:047B2E000000000053 -:047B2F000000000052 -:047B30000000000051 -:047B31000000000050 -:047B3200000000004F -:047B3300000000004E -:047B3400000000004D -:047B3500000000004C -:047B3600000000004B -:047B3700000000004A -:047B38000000000049 -:047B39000000000048 -:047B3A000000000047 -:047B3B000000000046 -:047B3C000000000045 -:047B3D000000000044 -:047B3E000000000043 -:047B3F000000000042 -:047B40000000000041 -:047B41000000000040 -:047B4200000000003F -:047B4300000000003E -:047B4400000000003D -:047B4500000000003C -:047B4600000000003B -:047B4700000000003A -:047B48000000000039 -:047B49000000000038 -:047B4A000000000037 -:047B4B000000000036 -:047B4C000000000035 -:047B4D000000000034 -:047B4E000000000033 -:047B4F000000000032 -:047B50000000000031 -:047B51000000000030 -:047B5200000000002F -:047B5300000000002E -:047B5400000000002D -:047B5500000000002C -:047B5600000000002B -:047B5700000000002A -:047B58000000000029 -:047B59000000000028 -:047B5A000000000027 -:047B5B000000000026 -:047B5C000000000025 -:047B5D000000000024 -:047B5E000000000023 -:047B5F000000000022 -:047B60000000000021 -:047B61000000000020 -:047B6200000000001F -:047B6300000000001E -:047B6400000000001D -:047B6500000000001C -:047B6600000000001B -:047B6700000000001A -:047B68000000000019 -:047B69000000000018 -:047B6A000000000017 -:047B6B000000000016 -:047B6C000000000015 -:047B6D000000000014 -:047B6E000000000013 -:047B6F000000000012 -:047B70000000000011 -:047B71000000000010 -:047B7200000000000F -:047B7300000000000E -:047B7400000000000D -:047B7500000000000C -:047B7600000000000B -:047B7700000000000A -:047B78000000000009 -:047B79000000000008 -:047B7A000000000007 -:047B7B000000000006 -:047B7C000000000005 -:047B7D000000000004 -:047B7E000000000003 -:047B7F000000000002 -:047B80000000000001 -:047B81000000000000 -:047B820000000000FF -:047B830000000000FE -:047B840000000000FD -:047B850000000000FC -:047B860000000000FB -:047B870000000000FA -:047B880000000000F9 -:047B890000000000F8 -:047B8A0000000000F7 -:047B8B0000000000F6 -:047B8C0000000000F5 -:047B8D0000000000F4 -:047B8E0000000000F3 -:047B8F0000000000F2 -:047B900000000000F1 -:047B910000000000F0 -:047B920000000000EF -:047B930000000000EE -:047B940000000000ED -:047B950000000000EC -:047B960000000000EB -:047B970000000000EA -:047B980000000000E9 -:047B990000000000E8 -:047B9A0000000000E7 -:047B9B0000000000E6 -:047B9C0000000000E5 -:047B9D0000000000E4 -:047B9E0000000000E3 -:047B9F0000000000E2 -:047BA00000000000E1 -:047BA10000000000E0 -:047BA20000000000DF -:047BA30000000000DE -:047BA40000000000DD -:047BA50000000000DC -:047BA60000000000DB -:047BA70000000000DA -:047BA80000000000D9 -:047BA90000000000D8 -:047BAA0000000000D7 -:047BAB0000000000D6 -:047BAC0000000000D5 -:047BAD0000000000D4 -:047BAE0000000000D3 -:047BAF0000000000D2 -:047BB00000000000D1 -:047BB10000000000D0 -:047BB20000000000CF -:047BB30000000000CE -:047BB40000000000CD -:047BB50000000000CC -:047BB60000000000CB -:047BB70000000000CA -:047BB80000000000C9 -:047BB90000000000C8 -:047BBA0000000000C7 -:047BBB0000000000C6 -:047BBC0000000000C5 -:047BBD0000000000C4 -:047BBE0000000000C3 -:047BBF0000000000C2 -:047BC00000000000C1 -:047BC10000000000C0 -:047BC20000000000BF -:047BC30000000000BE -:047BC40000000000BD -:047BC50000000000BC -:047BC60000000000BB -:047BC70000000000BA -:047BC80000000000B9 -:047BC90000000000B8 -:047BCA0000000000B7 -:047BCB0000000000B6 -:047BCC0000000000B5 -:047BCD0000000000B4 -:047BCE0000000000B3 -:047BCF0000000000B2 -:047BD00000000000B1 -:047BD10000000000B0 -:047BD20000000000AF -:047BD30000000000AE -:047BD40000000000AD -:047BD50000000000AC -:047BD60000000000AB -:047BD70000000000AA -:047BD80000000000A9 -:047BD90000000000A8 -:047BDA0000000000A7 -:047BDB0000000000A6 -:047BDC0000000000A5 -:047BDD0000000000A4 -:047BDE0000000000A3 -:047BDF0000000000A2 -:047BE00000000000A1 -:047BE10000000000A0 -:047BE200000000009F -:047BE300000000009E -:047BE400000000009D -:047BE500000000009C -:047BE600000000009B -:047BE700000000009A -:047BE8000000000099 -:047BE9000000000098 -:047BEA000000000097 -:047BEB000000000096 -:047BEC000000000095 -:047BED000000000094 -:047BEE000000000093 -:047BEF000000000092 -:047BF0000000000091 -:047BF1000000000090 -:047BF200000000008F -:047BF300000000008E -:047BF400000000008D -:047BF500000000008C -:047BF600000000008B -:047BF700000000008A -:047BF8000000000089 -:047BF9000000000088 -:047BFA000000000087 -:047BFB000000000086 -:047BFC000000000085 -:047BFD000000000084 -:047BFE000000000083 -:047BFF000000000082 -:047C00000000000080 -:047C0100000000007F -:047C0200000000007E -:047C0300000000007D -:047C0400000000007C -:047C0500000000007B -:047C0600000000007A -:047C07000000000079 -:047C08000000000078 -:047C09000000000077 -:047C0A000000000076 -:047C0B000000000075 -:047C0C000000000074 -:047C0D000000000073 -:047C0E000000000072 -:047C0F000000000071 -:047C10000000000070 -:047C1100000000006F -:047C1200000000006E -:047C1300000000006D -:047C1400000000006C -:047C1500000000006B -:047C1600000000006A -:047C17000000000069 -:047C18000000000068 -:047C19000000000067 -:047C1A000000000066 -:047C1B000000000065 -:047C1C000000000064 -:047C1D000000000063 -:047C1E000000000062 -:047C1F000000000061 -:047C20000000000060 -:047C2100000000005F -:047C2200000000005E -:047C2300000000005D -:047C2400000000005C -:047C2500000000005B -:047C2600000000005A -:047C27000000000059 -:047C28000000000058 -:047C29000000000057 -:047C2A000000000056 -:047C2B000000000055 -:047C2C000000000054 -:047C2D000000000053 -:047C2E000000000052 -:047C2F000000000051 -:047C30000000000050 -:047C3100000000004F -:047C3200000000004E -:047C3300000000004D -:047C3400000000004C -:047C3500000000004B -:047C3600000000004A -:047C37000000000049 -:047C38000000000048 -:047C39000000000047 -:047C3A000000000046 -:047C3B000000000045 -:047C3C000000000044 -:047C3D000000000043 -:047C3E000000000042 -:047C3F000000000041 -:047C40000000000040 -:047C4100000000003F -:047C4200000000003E -:047C4300000000003D -:047C4400000000003C -:047C4500000000003B -:047C4600000000003A -:047C47000000000039 -:047C48000000000038 -:047C49000000000037 -:047C4A000000000036 -:047C4B000000000035 -:047C4C000000000034 -:047C4D000000000033 -:047C4E000000000032 -:047C4F000000000031 -:047C50000000000030 -:047C5100000000002F -:047C5200000000002E -:047C5300000000002D -:047C5400000000002C -:047C5500000000002B -:047C5600000000002A -:047C57000000000029 -:047C58000000000028 -:047C59000000000027 -:047C5A000000000026 -:047C5B000000000025 -:047C5C000000000024 -:047C5D000000000023 -:047C5E000000000022 -:047C5F000000000021 -:047C60000000000020 -:047C6100000000001F -:047C6200000000001E -:047C6300000000001D -:047C6400000000001C -:047C6500000000001B -:047C6600000000001A -:047C67000000000019 -:047C68000000000018 -:047C69000000000017 -:047C6A000000000016 -:047C6B000000000015 -:047C6C000000000014 -:047C6D000000000013 -:047C6E000000000012 -:047C6F000000000011 -:047C70000000000010 -:047C7100000000000F -:047C7200000000000E -:047C7300000000000D -:047C7400000000000C -:047C7500000000000B -:047C7600000000000A -:047C77000000000009 -:047C78000000000008 -:047C79000000000007 -:047C7A000000000006 -:047C7B000000000005 -:047C7C000000000004 -:047C7D000000000003 -:047C7E000000000002 -:047C7F000000000001 -:047C80000000000000 -:047C810000000000FF -:047C820000000000FE -:047C830000000000FD -:047C840000000000FC -:047C850000000000FB -:047C860000000000FA -:047C870000000000F9 -:047C880000000000F8 -:047C890000000000F7 -:047C8A0000000000F6 -:047C8B0000000000F5 -:047C8C0000000000F4 -:047C8D0000000000F3 -:047C8E0000000000F2 -:047C8F0000000000F1 -:047C900000000000F0 -:047C910000000000EF -:047C920000000000EE -:047C930000000000ED -:047C940000000000EC -:047C950000000000EB -:047C960000000000EA -:047C970000000000E9 -:047C980000000000E8 -:047C990000000000E7 -:047C9A0000000000E6 -:047C9B0000000000E5 -:047C9C0000000000E4 -:047C9D0000000000E3 -:047C9E0000000000E2 -:047C9F0000000000E1 -:047CA00000000000E0 -:047CA10000000000DF -:047CA20000000000DE -:047CA30000000000DD -:047CA40000000000DC -:047CA50000000000DB -:047CA60000000000DA -:047CA70000000000D9 -:047CA80000000000D8 -:047CA90000000000D7 -:047CAA0000000000D6 -:047CAB0000000000D5 -:047CAC0000000000D4 -:047CAD0000000000D3 -:047CAE0000000000D2 -:047CAF0000000000D1 -:047CB00000000000D0 -:047CB10000000000CF -:047CB20000000000CE -:047CB30000000000CD -:047CB40000000000CC -:047CB50000000000CB -:047CB60000000000CA -:047CB70000000000C9 -:047CB80000000000C8 -:047CB90000000000C7 -:047CBA0000000000C6 -:047CBB0000000000C5 -:047CBC0000000000C4 -:047CBD0000000000C3 -:047CBE0000000000C2 -:047CBF0000000000C1 -:047CC00000000000C0 -:047CC10000000000BF -:047CC20000000000BE -:047CC30000000000BD -:047CC40000000000BC -:047CC50000000000BB -:047CC60000000000BA -:047CC70000000000B9 -:047CC80000000000B8 -:047CC90000000000B7 -:047CCA0000000000B6 -:047CCB0000000000B5 -:047CCC0000000000B4 -:047CCD0000000000B3 -:047CCE0000000000B2 -:047CCF0000000000B1 -:047CD00000000000B0 -:047CD10000000000AF -:047CD20000000000AE -:047CD30000000000AD -:047CD40000000000AC -:047CD50000000000AB -:047CD60000000000AA -:047CD70000000000A9 -:047CD80000000000A8 -:047CD90000000000A7 -:047CDA0000000000A6 -:047CDB0000000000A5 -:047CDC0000000000A4 -:047CDD0000000000A3 -:047CDE0000000000A2 -:047CDF0000000000A1 -:047CE00000000000A0 -:047CE100000000009F -:047CE200000000009E -:047CE300000000009D -:047CE400000000009C -:047CE500000000009B -:047CE600000000009A -:047CE7000000000099 -:047CE8000000000098 -:047CE9000000000097 -:047CEA000000000096 -:047CEB000000000095 -:047CEC000000000094 -:047CED000000000093 -:047CEE000000000092 -:047CEF000000000091 -:047CF0000000000090 -:047CF100000000008F -:047CF200000000008E -:047CF300000000008D -:047CF400000000008C -:047CF500000000008B -:047CF600000000008A -:047CF7000000000089 -:047CF8000000000088 -:047CF9000000000087 -:047CFA000000000086 -:047CFB000000000085 -:047CFC000000000084 -:047CFD000000000083 -:047CFE000000000082 -:047CFF000000000081 -:047D0000000000007F -:047D0100000000007E -:047D0200000000007D -:047D0300000000007C -:047D0400000000007B -:047D0500000000007A -:047D06000000000079 -:047D07000000000078 -:047D08000000000077 -:047D09000000000076 -:047D0A000000000075 -:047D0B000000000074 -:047D0C000000000073 -:047D0D000000000072 -:047D0E000000000071 -:047D0F000000000070 -:047D1000000000006F -:047D1100000000006E -:047D1200000000006D -:047D1300000000006C -:047D1400000000006B -:047D1500000000006A -:047D16000000000069 -:047D17000000000068 -:047D18000000000067 -:047D19000000000066 -:047D1A000000000065 -:047D1B000000000064 -:047D1C000000000063 -:047D1D000000000062 -:047D1E000000000061 -:047D1F000000000060 -:047D2000000000005F -:047D2100000000005E -:047D2200000000005D -:047D2300000000005C -:047D2400000000005B -:047D2500000000005A -:047D26000000000059 -:047D27000000000058 -:047D28000000000057 -:047D29000000000056 -:047D2A000000000055 -:047D2B000000000054 -:047D2C000000000053 -:047D2D000000000052 -:047D2E000000000051 -:047D2F000000000050 -:047D3000000000004F -:047D3100000000004E -:047D3200000000004D -:047D3300000000004C -:047D3400000000004B -:047D3500000000004A -:047D36000000000049 -:047D37000000000048 -:047D38000000000047 -:047D39000000000046 -:047D3A000000000045 -:047D3B000000000044 -:047D3C000000000043 -:047D3D000000000042 -:047D3E000000000041 -:047D3F000000000040 -:047D4000000000003F -:047D4100000000003E -:047D4200000000003D -:047D4300000000003C -:047D4400000000003B -:047D4500000000003A -:047D46000000000039 -:047D47000000000038 -:047D48000000000037 -:047D49000000000036 -:047D4A000000000035 -:047D4B000000000034 -:047D4C000000000033 -:047D4D000000000032 -:047D4E000000000031 -:047D4F000000000030 -:047D5000000000002F -:047D5100000000002E -:047D5200000000002D -:047D5300000000002C -:047D5400000000002B -:047D5500000000002A -:047D56000000000029 -:047D57000000000028 -:047D58000000000027 -:047D59000000000026 -:047D5A000000000025 -:047D5B000000000024 -:047D5C000000000023 -:047D5D000000000022 -:047D5E000000000021 -:047D5F000000000020 -:047D6000000000001F -:047D6100000000001E -:047D6200000000001D -:047D6300000000001C -:047D6400000000001B -:047D6500000000001A -:047D66000000000019 -:047D67000000000018 -:047D68000000000017 -:047D69000000000016 -:047D6A000000000015 -:047D6B000000000014 -:047D6C000000000013 -:047D6D000000000012 -:047D6E000000000011 -:047D6F000000000010 -:047D7000000000000F -:047D7100000000000E -:047D7200000000000D -:047D7300000000000C -:047D7400000000000B -:047D7500000000000A -:047D76000000000009 -:047D77000000000008 -:047D78000000000007 -:047D79000000000006 -:047D7A000000000005 -:047D7B000000000004 -:047D7C000000000003 -:047D7D000000000002 -:047D7E000000000001 -:047D7F000000000000 -:047D800000000000FF -:047D810000000000FE -:047D820000000000FD -:047D830000000000FC -:047D840000000000FB -:047D850000000000FA -:047D860000000000F9 -:047D870000000000F8 -:047D880000000000F7 -:047D890000000000F6 -:047D8A0000000000F5 -:047D8B0000000000F4 -:047D8C0000000000F3 -:047D8D0000000000F2 -:047D8E0000000000F1 -:047D8F0000000000F0 -:047D900000000000EF -:047D910000000000EE -:047D920000000000ED -:047D930000000000EC -:047D940000000000EB -:047D950000000000EA -:047D960000000000E9 -:047D970000000000E8 -:047D980000000000E7 -:047D990000000000E6 -:047D9A0000000000E5 -:047D9B0000000000E4 -:047D9C0000000000E3 -:047D9D0000000000E2 -:047D9E0000000000E1 -:047D9F0000000000E0 -:047DA00000000000DF -:047DA10000000000DE -:047DA20000000000DD -:047DA30000000000DC -:047DA40000000000DB -:047DA50000000000DA -:047DA60000000000D9 -:047DA70000000000D8 -:047DA80000000000D7 -:047DA90000000000D6 -:047DAA0000000000D5 -:047DAB0000000000D4 -:047DAC0000000000D3 -:047DAD0000000000D2 -:047DAE0000000000D1 -:047DAF0000000000D0 -:047DB00000000000CF -:047DB10000000000CE -:047DB20000000000CD -:047DB30000000000CC -:047DB40000000000CB -:047DB50000000000CA -:047DB60000000000C9 -:047DB70000000000C8 -:047DB80000000000C7 -:047DB90000000000C6 -:047DBA0000000000C5 -:047DBB0000000000C4 -:047DBC0000000000C3 -:047DBD0000000000C2 -:047DBE0000000000C1 -:047DBF0000000000C0 -:047DC00000000000BF -:047DC10000000000BE -:047DC20000000000BD -:047DC30000000000BC -:047DC40000000000BB -:047DC50000000000BA -:047DC60000000000B9 -:047DC70000000000B8 -:047DC80000000000B7 -:047DC90000000000B6 -:047DCA0000000000B5 -:047DCB0000000000B4 -:047DCC0000000000B3 -:047DCD0000000000B2 -:047DCE0000000000B1 -:047DCF0000000000B0 -:047DD00000000000AF -:047DD10000000000AE -:047DD20000000000AD -:047DD30000000000AC -:047DD40000000000AB -:047DD50000000000AA -:047DD60000000000A9 -:047DD70000000000A8 -:047DD80000000000A7 -:047DD90000000000A6 -:047DDA0000000000A5 -:047DDB0000000000A4 -:047DDC0000000000A3 -:047DDD0000000000A2 -:047DDE0000000000A1 -:047DDF0000000000A0 -:047DE000000000009F -:047DE100000000009E -:047DE200000000009D -:047DE300000000009C -:047DE400000000009B -:047DE500000000009A -:047DE6000000000099 -:047DE7000000000098 -:047DE8000000000097 -:047DE9000000000096 -:047DEA000000000095 -:047DEB000000000094 -:047DEC000000000093 -:047DED000000000092 -:047DEE000000000091 -:047DEF000000000090 -:047DF000000000008F -:047DF100000000008E -:047DF200000000008D -:047DF300000000008C -:047DF400000000008B -:047DF500000000008A -:047DF6000000000089 -:047DF7000000000088 -:047DF8000000000087 -:047DF9000000000086 -:047DFA000000000085 -:047DFB000000000084 -:047DFC000000000083 -:047DFD000000000082 -:047DFE000000000081 -:047DFF000000000080 -:047E0000000000007E -:047E0100000000007D -:047E0200000000007C -:047E0300000000007B -:047E0400000000007A -:047E05000000000079 -:047E06000000000078 -:047E07000000000077 -:047E08000000000076 -:047E09000000000075 -:047E0A000000000074 -:047E0B000000000073 -:047E0C000000000072 -:047E0D000000000071 -:047E0E000000000070 -:047E0F00000000006F -:047E1000000000006E -:047E1100000000006D -:047E1200000000006C -:047E1300000000006B -:047E1400000000006A -:047E15000000000069 -:047E16000000000068 -:047E17000000000067 -:047E18000000000066 -:047E19000000000065 -:047E1A000000000064 -:047E1B000000000063 -:047E1C000000000062 -:047E1D000000000061 -:047E1E000000000060 -:047E1F00000000005F -:047E2000000000005E -:047E2100000000005D -:047E2200000000005C -:047E2300000000005B -:047E2400000000005A -:047E25000000000059 -:047E26000000000058 -:047E27000000000057 -:047E28000000000056 -:047E29000000000055 -:047E2A000000000054 -:047E2B000000000053 -:047E2C000000000052 -:047E2D000000000051 -:047E2E000000000050 -:047E2F00000000004F -:047E3000000000004E -:047E3100000000004D -:047E3200000000004C -:047E3300000000004B -:047E3400000000004A -:047E35000000000049 -:047E36000000000048 -:047E37000000000047 -:047E38000000000046 -:047E39000000000045 -:047E3A000000000044 -:047E3B000000000043 -:047E3C000000000042 -:047E3D000000000041 -:047E3E000000000040 -:047E3F00000000003F -:047E4000000000003E -:047E4100000000003D -:047E4200000000003C -:047E4300000000003B -:047E4400000000003A -:047E45000000000039 -:047E46000000000038 -:047E47000000000037 -:047E48000000000036 -:047E49000000000035 -:047E4A000000000034 -:047E4B000000000033 -:047E4C000000000032 -:047E4D000000000031 -:047E4E000000000030 -:047E4F00000000002F -:047E5000000000002E -:047E5100000000002D -:047E5200000000002C -:047E5300000000002B -:047E5400000000002A -:047E55000000000029 -:047E56000000000028 -:047E57000000000027 -:047E58000000000026 -:047E59000000000025 -:047E5A000000000024 -:047E5B000000000023 -:047E5C000000000022 -:047E5D000000000021 -:047E5E000000000020 -:047E5F00000000001F -:047E6000000000001E -:047E6100000000001D -:047E6200000000001C -:047E6300000000001B -:047E6400000000001A -:047E65000000000019 -:047E66000000000018 -:047E67000000000017 -:047E68000000000016 -:047E69000000000015 -:047E6A000000000014 -:047E6B000000000013 -:047E6C000000000012 -:047E6D000000000011 -:047E6E000000000010 -:047E6F00000000000F -:047E7000000000000E -:047E7100000000000D -:047E7200000000000C -:047E7300000000000B -:047E7400000000000A -:047E75000000000009 -:047E76000000000008 -:047E77000000000007 -:047E78000000000006 -:047E79000000000005 -:047E7A000000000004 -:047E7B000000000003 -:047E7C000000000002 -:047E7D000000000001 -:047E7E000000000000 -:047E7F0000000000FF -:047E800000000000FE -:047E810000000000FD -:047E820000000000FC -:047E830000000000FB -:047E840000000000FA -:047E850000000000F9 -:047E860000000000F8 -:047E870000000000F7 -:047E880000000000F6 -:047E890000000000F5 -:047E8A0000000000F4 -:047E8B0000000000F3 -:047E8C0000000000F2 -:047E8D0000000000F1 -:047E8E0000000000F0 -:047E8F0000000000EF -:047E900000000000EE -:047E910000000000ED -:047E920000000000EC -:047E930000000000EB -:047E940000000000EA -:047E950000000000E9 -:047E960000000000E8 -:047E970000000000E7 -:047E980000000000E6 -:047E990000000000E5 -:047E9A0000000000E4 -:047E9B0000000000E3 -:047E9C0000000000E2 -:047E9D0000000000E1 -:047E9E0000000000E0 -:047E9F0000000000DF -:047EA00000000000DE -:047EA10000000000DD -:047EA20000000000DC -:047EA30000000000DB -:047EA40000000000DA -:047EA50000000000D9 -:047EA60000000000D8 -:047EA70000000000D7 -:047EA80000000000D6 -:047EA90000000000D5 -:047EAA0000000000D4 -:047EAB0000000000D3 -:047EAC0000000000D2 -:047EAD0000000000D1 -:047EAE0000000000D0 -:047EAF0000000000CF -:047EB00000000000CE -:047EB10000000000CD -:047EB20000000000CC -:047EB30000000000CB -:047EB40000000000CA -:047EB50000000000C9 -:047EB60000000000C8 -:047EB70000000000C7 -:047EB80000000000C6 -:047EB90000000000C5 -:047EBA0000000000C4 -:047EBB0000000000C3 -:047EBC0000000000C2 -:047EBD0000000000C1 -:047EBE0000000000C0 -:047EBF0000000000BF -:047EC00000000000BE -:047EC10000000000BD -:047EC20000000000BC -:047EC30000000000BB -:047EC40000000000BA -:047EC50000000000B9 -:047EC60000000000B8 -:047EC70000000000B7 -:047EC80000000000B6 -:047EC90000000000B5 -:047ECA0000000000B4 -:047ECB0000000000B3 -:047ECC0000000000B2 -:047ECD0000000000B1 -:047ECE0000000000B0 -:047ECF0000000000AF -:047ED00000000000AE -:047ED10000000000AD -:047ED20000000000AC -:047ED30000000000AB -:047ED40000000000AA -:047ED50000000000A9 -:047ED60000000000A8 -:047ED70000000000A7 -:047ED80000000000A6 -:047ED90000000000A5 -:047EDA0000000000A4 -:047EDB0000000000A3 -:047EDC0000000000A2 -:047EDD0000000000A1 -:047EDE0000000000A0 -:047EDF00000000009F -:047EE000000000009E -:047EE100000000009D -:047EE200000000009C -:047EE300000000009B -:047EE400000000009A -:047EE5000000000099 -:047EE6000000000098 -:047EE7000000000097 -:047EE8000000000096 -:047EE9000000000095 -:047EEA000000000094 -:047EEB000000000093 -:047EEC000000000092 -:047EED000000000091 -:047EEE000000000090 -:047EEF00000000008F -:047EF000000000008E -:047EF100000000008D -:047EF200000000008C -:047EF300000000008B -:047EF400000000008A -:047EF5000000000089 -:047EF6000000000088 -:047EF7000000000087 -:047EF8000000000086 -:047EF9000000000085 -:047EFA000000000084 -:047EFB000000000083 -:047EFC000000000082 -:047EFD000000000081 -:047EFE000000000080 -:047EFF00000000007F -:047F0000000000007D -:047F0100000000007C -:047F0200000000007B -:047F0300000000007A -:047F04000000000079 -:047F05000000000078 -:047F06000000000077 -:047F07000000000076 -:047F08000000000075 -:047F09000000000074 -:047F0A000000000073 -:047F0B000000000072 -:047F0C000000000071 -:047F0D000000000070 -:047F0E00000000006F -:047F0F00000000006E -:047F1000000000006D -:047F1100000000006C -:047F1200000000006B -:047F1300000000006A -:047F14000000000069 -:047F15000000000068 -:047F16000000000067 -:047F17000000000066 -:047F18000000000065 -:047F19000000000064 -:047F1A000000000063 -:047F1B000000000062 -:047F1C000000000061 -:047F1D000000000060 -:047F1E00000000005F -:047F1F00000000005E -:047F2000000000005D -:047F2100000000005C -:047F2200000000005B -:047F2300000000005A -:047F24000000000059 -:047F25000000000058 -:047F26000000000057 -:047F27000000000056 -:047F28000000000055 -:047F29000000000054 -:047F2A000000000053 -:047F2B000000000052 -:047F2C000000000051 -:047F2D000000000050 -:047F2E00000000004F -:047F2F00000000004E -:047F3000000000004D -:047F3100000000004C -:047F3200000000004B -:047F3300000000004A -:047F34000000000049 -:047F35000000000048 -:047F36000000000047 -:047F37000000000046 -:047F38000000000045 -:047F39000000000044 -:047F3A000000000043 -:047F3B000000000042 -:047F3C000000000041 -:047F3D000000000040 -:047F3E00000000003F -:047F3F00000000003E -:047F4000000000003D -:047F4100000000003C -:047F4200000000003B -:047F4300000000003A -:047F44000000000039 -:047F45000000000038 -:047F46000000000037 -:047F47000000000036 -:047F48000000000035 -:047F49000000000034 -:047F4A000000000033 -:047F4B000000000032 -:047F4C000000000031 -:047F4D000000000030 -:047F4E00000000002F -:047F4F00000000002E -:047F5000000000002D -:047F5100000000002C -:047F5200000000002B -:047F5300000000002A -:047F54000000000029 -:047F55000000000028 -:047F56000000000027 -:047F57000000000026 -:047F58000000000025 -:047F59000000000024 -:047F5A000000000023 -:047F5B000000000022 -:047F5C000000000021 -:047F5D000000000020 -:047F5E00000000001F -:047F5F00000000001E -:047F6000000000001D -:047F6100000000001C -:047F6200000000001B -:047F6300000000001A -:047F64000000000019 -:047F65000000000018 -:047F66000000000017 -:047F67000000000016 -:047F68000000000015 -:047F69000000000014 -:047F6A000000000013 -:047F6B000000000012 -:047F6C000000000011 -:047F6D000000000010 -:047F6E00000000000F -:047F6F00000000000E -:047F7000000000000D -:047F7100000000000C -:047F7200000000000B -:047F7300000000000A -:047F74000000000009 -:047F75000000000008 -:047F76000000000007 -:047F77000000000006 -:047F78000000000005 -:047F79000000000004 -:047F7A000000000003 -:047F7B000000000002 -:047F7C000000000001 -:047F7D000000000000 -:047F7E0000000000FF -:047F7F0000000000FE -:047F800000000000FD -:047F810000000000FC -:047F820000000000FB -:047F830000000000FA -:047F840000000000F9 -:047F850000000000F8 -:047F860000000000F7 -:047F870000000000F6 -:047F880000000000F5 -:047F890000000000F4 -:047F8A0000000000F3 -:047F8B0000000000F2 -:047F8C0000000000F1 -:047F8D0000000000F0 -:047F8E0000000000EF -:047F8F0000000000EE -:047F900000000000ED -:047F910000000000EC -:047F920000000000EB -:047F930000000000EA -:047F940000000000E9 -:047F950000000000E8 -:047F960000000000E7 -:047F970000000000E6 -:047F980000000000E5 -:047F990000000000E4 -:047F9A0000000000E3 -:047F9B0000000000E2 -:047F9C0000000000E1 -:047F9D0000000000E0 -:047F9E0000000000DF -:047F9F0000000000DE -:047FA00000000000DD -:047FA10000000000DC -:047FA20000000000DB -:047FA30000000000DA -:047FA40000000000D9 -:047FA50000000000D8 -:047FA60000000000D7 -:047FA70000000000D6 -:047FA80000000000D5 -:047FA90000000000D4 -:047FAA0000000000D3 -:047FAB0000000000D2 -:047FAC0000000000D1 -:047FAD0000000000D0 -:047FAE0000000000CF -:047FAF0000000000CE -:047FB00000000000CD -:047FB10000000000CC -:047FB20000000000CB -:047FB30000000000CA -:047FB40000000000C9 -:047FB50000000000C8 -:047FB60000000000C7 -:047FB70000000000C6 -:047FB80000000000C5 -:047FB90000000000C4 -:047FBA0000000000C3 -:047FBB0000000000C2 -:047FBC0000000000C1 -:047FBD0000000000C0 -:047FBE0000000000BF -:047FBF0000000000BE -:047FC00000000000BD -:047FC10000000000BC -:047FC20000000000BB -:047FC30000000000BA -:047FC40000000000B9 -:047FC50000000000B8 -:047FC60000000000B7 -:047FC70000000000B6 -:047FC80000000000B5 -:047FC90000000000B4 -:047FCA0000000000B3 -:047FCB0000000000B2 -:047FCC0000000000B1 -:047FCD0000000000B0 -:047FCE0000000000AF -:047FCF0000000000AE -:047FD00000000000AD -:047FD10000000000AC -:047FD20000000000AB -:047FD30000000000AA -:047FD40000000000A9 -:047FD50000000000A8 -:047FD60000000000A7 -:047FD70000000000A6 -:047FD80000000000A5 -:047FD90000000000A4 -:047FDA0000000000A3 -:047FDB0000000000A2 -:047FDC0000000000A1 -:047FDD0000000000A0 -:047FDE00000000009F -:047FDF00000000009E -:047FE000000000009D -:047FE100000000009C -:047FE200000000009B -:047FE300000000009A -:047FE4000000000099 -:047FE5000000000098 -:047FE6000000000097 -:047FE7000000000096 -:047FE8000000000095 -:047FE9000000000094 -:047FEA000000000093 -:047FEB000000000092 -:047FEC000000000091 -:047FED000000000090 -:047FEE00000000008F -:047FEF00000000008E -:047FF000000000008D -:047FF100000000008C -:047FF200000000008B -:047FF300000000008A -:047FF4000000000089 -:047FF5000000000088 -:047FF6000000000087 -:047FF7000000000086 -:047FF8000000000085 -:047FF9000000000084 -:047FFA000000000083 -:047FFB000000000082 -:047FFC000000000081 -:047FFD000000000080 -:047FFE00000000007F -:047FFF00000000007E :00000001ff diff --git a/Top/niosII/synthesis/submodules/niosII_mem.v b/Top/niosII/synthesis/submodules/niosII_mem.v index 2a6a760..ebfc648 100644 --- a/Top/niosII/synthesis/submodules/niosII_mem.v +++ b/Top/niosII/synthesis/submodules/niosII_mem.v @@ -48,8 +48,8 @@ module niosII_mem ( output [ 31: 0] readdata; output [ 31: 0] readdata2; - input [ 14: 0] address; - input [ 14: 0] address2; + input [ 12: 0] address; + input [ 12: 0] address2; input [ 3: 0] byteenable; input [ 3: 0] byteenable2; input chipselect; @@ -102,9 +102,9 @@ wire wren2; the_altsyncram.indata_reg_b = "CLOCK0", the_altsyncram.init_file = INIT_FILE, the_altsyncram.lpm_type = "altsyncram", - the_altsyncram.maximum_depth = 32768, - the_altsyncram.numwords_a = 32768, - the_altsyncram.numwords_b = 32768, + the_altsyncram.maximum_depth = 8192, + the_altsyncram.numwords_a = 8192, + the_altsyncram.numwords_b = 8192, the_altsyncram.operation_mode = "BIDIR_DUAL_PORT", the_altsyncram.outdata_reg_a = "UNREGISTERED", the_altsyncram.outdata_reg_b = "UNREGISTERED", @@ -114,8 +114,8 @@ wire wren2; the_altsyncram.width_b = 32, the_altsyncram.width_byteena_a = 4, the_altsyncram.width_byteena_b = 4, - the_altsyncram.widthad_a = 15, - the_altsyncram.widthad_b = 15, + the_altsyncram.widthad_a = 13, + the_altsyncram.widthad_b = 13, the_altsyncram.wrcontrol_wraddress_reg_b = "CLOCK0"; //s1, which is an e_avalon_slave diff --git a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0.v b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0.v index 12ddf70..672e435 100644 --- a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0.v +++ b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0.v @@ -9,7 +9,7 @@ module niosII_mm_interconnect_0 ( input wire clk_clk_clk, // clk_clk.clk input wire cpu_reset_reset_bridge_in_reset_reset, // cpu_reset_reset_bridge_in_reset.reset - input wire [17:0] cpu_data_master_address, // cpu_data_master.address + input wire [15:0] cpu_data_master_address, // cpu_data_master.address output wire cpu_data_master_waitrequest, // .waitrequest input wire [3:0] cpu_data_master_byteenable, // .byteenable input wire cpu_data_master_read, // .read @@ -17,7 +17,7 @@ module niosII_mm_interconnect_0 ( input wire cpu_data_master_write, // .write input wire [31:0] cpu_data_master_writedata, // .writedata input wire cpu_data_master_debugaccess, // .debugaccess - input wire [17:0] cpu_instruction_master_address, // cpu_instruction_master.address + input wire [15:0] cpu_instruction_master_address, // cpu_instruction_master.address output wire cpu_instruction_master_waitrequest, // .waitrequest input wire cpu_instruction_master_read, // .read output wire [31:0] cpu_instruction_master_readdata, // .readdata @@ -36,14 +36,14 @@ module niosII_mm_interconnect_0 ( output wire [31:0] jtag_uart_avalon_jtag_slave_writedata, // .writedata input wire jtag_uart_avalon_jtag_slave_waitrequest, // .waitrequest output wire jtag_uart_avalon_jtag_slave_chipselect, // .chipselect - output wire [14:0] mem_s1_address, // mem_s1.address + output wire [12:0] mem_s1_address, // mem_s1.address output wire mem_s1_write, // .write input wire [31:0] mem_s1_readdata, // .readdata output wire [31:0] mem_s1_writedata, // .writedata output wire [3:0] mem_s1_byteenable, // .byteenable output wire mem_s1_chipselect, // .chipselect output wire mem_s1_clken, // .clken - output wire [14:0] mem_s2_address, // mem_s2.address + output wire [12:0] mem_s2_address, // mem_s2.address output wire mem_s2_write, // .write input wire [31:0] mem_s2_readdata, // .readdata output wire [31:0] mem_s2_writedata, // .writedata @@ -62,7 +62,7 @@ module niosII_mm_interconnect_0 ( wire cpu_data_master_translator_avalon_universal_master_0_waitrequest; // cpu_data_master_agent:av_waitrequest -> cpu_data_master_translator:uav_waitrequest wire [31:0] cpu_data_master_translator_avalon_universal_master_0_readdata; // cpu_data_master_agent:av_readdata -> cpu_data_master_translator:uav_readdata wire cpu_data_master_translator_avalon_universal_master_0_debugaccess; // cpu_data_master_translator:uav_debugaccess -> cpu_data_master_agent:av_debugaccess - wire [17:0] cpu_data_master_translator_avalon_universal_master_0_address; // cpu_data_master_translator:uav_address -> cpu_data_master_agent:av_address + wire [15:0] cpu_data_master_translator_avalon_universal_master_0_address; // cpu_data_master_translator:uav_address -> cpu_data_master_agent:av_address wire cpu_data_master_translator_avalon_universal_master_0_read; // cpu_data_master_translator:uav_read -> cpu_data_master_agent:av_read wire [3:0] cpu_data_master_translator_avalon_universal_master_0_byteenable; // cpu_data_master_translator:uav_byteenable -> cpu_data_master_agent:av_byteenable wire cpu_data_master_translator_avalon_universal_master_0_readdatavalid; // cpu_data_master_agent:av_readdatavalid -> cpu_data_master_translator:uav_readdatavalid @@ -71,7 +71,7 @@ module niosII_mm_interconnect_0 ( wire [31:0] cpu_data_master_translator_avalon_universal_master_0_writedata; // cpu_data_master_translator:uav_writedata -> cpu_data_master_agent:av_writedata wire [2:0] cpu_data_master_translator_avalon_universal_master_0_burstcount; // cpu_data_master_translator:uav_burstcount -> cpu_data_master_agent:av_burstcount wire rsp_mux_src_valid; // rsp_mux:src_valid -> cpu_data_master_agent:rp_valid - wire [93:0] rsp_mux_src_data; // rsp_mux:src_data -> cpu_data_master_agent:rp_data + wire [91:0] rsp_mux_src_data; // rsp_mux:src_data -> cpu_data_master_agent:rp_data wire rsp_mux_src_ready; // cpu_data_master_agent:rp_ready -> rsp_mux:src_ready wire [5:0] rsp_mux_src_channel; // rsp_mux:src_channel -> cpu_data_master_agent:rp_channel wire rsp_mux_src_startofpacket; // rsp_mux:src_startofpacket -> cpu_data_master_agent:rp_startofpacket @@ -79,7 +79,7 @@ module niosII_mm_interconnect_0 ( wire cpu_instruction_master_translator_avalon_universal_master_0_waitrequest; // cpu_instruction_master_agent:av_waitrequest -> cpu_instruction_master_translator:uav_waitrequest wire [31:0] cpu_instruction_master_translator_avalon_universal_master_0_readdata; // cpu_instruction_master_agent:av_readdata -> cpu_instruction_master_translator:uav_readdata wire cpu_instruction_master_translator_avalon_universal_master_0_debugaccess; // cpu_instruction_master_translator:uav_debugaccess -> cpu_instruction_master_agent:av_debugaccess - wire [17:0] cpu_instruction_master_translator_avalon_universal_master_0_address; // cpu_instruction_master_translator:uav_address -> cpu_instruction_master_agent:av_address + wire [15:0] cpu_instruction_master_translator_avalon_universal_master_0_address; // cpu_instruction_master_translator:uav_address -> cpu_instruction_master_agent:av_address wire cpu_instruction_master_translator_avalon_universal_master_0_read; // cpu_instruction_master_translator:uav_read -> cpu_instruction_master_agent:av_read wire [3:0] cpu_instruction_master_translator_avalon_universal_master_0_byteenable; // cpu_instruction_master_translator:uav_byteenable -> cpu_instruction_master_agent:av_byteenable wire cpu_instruction_master_translator_avalon_universal_master_0_readdatavalid; // cpu_instruction_master_agent:av_readdatavalid -> cpu_instruction_master_translator:uav_readdatavalid @@ -88,7 +88,7 @@ module niosII_mm_interconnect_0 ( wire [31:0] cpu_instruction_master_translator_avalon_universal_master_0_writedata; // cpu_instruction_master_translator:uav_writedata -> cpu_instruction_master_agent:av_writedata wire [2:0] cpu_instruction_master_translator_avalon_universal_master_0_burstcount; // cpu_instruction_master_translator:uav_burstcount -> cpu_instruction_master_agent:av_burstcount wire rsp_mux_001_src_valid; // rsp_mux_001:src_valid -> cpu_instruction_master_agent:rp_valid - wire [93:0] rsp_mux_001_src_data; // rsp_mux_001:src_data -> cpu_instruction_master_agent:rp_data + wire [91:0] rsp_mux_001_src_data; // rsp_mux_001:src_data -> cpu_instruction_master_agent:rp_data wire rsp_mux_001_src_ready; // cpu_instruction_master_agent:rp_ready -> rsp_mux_001:src_ready wire [5:0] rsp_mux_001_src_channel; // rsp_mux_001:src_channel -> cpu_instruction_master_agent:rp_channel wire rsp_mux_001_src_startofpacket; // rsp_mux_001:src_startofpacket -> cpu_instruction_master_agent:rp_startofpacket @@ -96,7 +96,7 @@ module niosII_mm_interconnect_0 ( wire [31:0] jtag_uart_avalon_jtag_slave_agent_m0_readdata; // jtag_uart_avalon_jtag_slave_translator:uav_readdata -> jtag_uart_avalon_jtag_slave_agent:m0_readdata wire jtag_uart_avalon_jtag_slave_agent_m0_waitrequest; // jtag_uart_avalon_jtag_slave_translator:uav_waitrequest -> jtag_uart_avalon_jtag_slave_agent:m0_waitrequest wire jtag_uart_avalon_jtag_slave_agent_m0_debugaccess; // jtag_uart_avalon_jtag_slave_agent:m0_debugaccess -> jtag_uart_avalon_jtag_slave_translator:uav_debugaccess - wire [17:0] jtag_uart_avalon_jtag_slave_agent_m0_address; // jtag_uart_avalon_jtag_slave_agent:m0_address -> jtag_uart_avalon_jtag_slave_translator:uav_address + wire [15:0] jtag_uart_avalon_jtag_slave_agent_m0_address; // jtag_uart_avalon_jtag_slave_agent:m0_address -> jtag_uart_avalon_jtag_slave_translator:uav_address wire [3:0] jtag_uart_avalon_jtag_slave_agent_m0_byteenable; // jtag_uart_avalon_jtag_slave_agent:m0_byteenable -> jtag_uart_avalon_jtag_slave_translator:uav_byteenable wire jtag_uart_avalon_jtag_slave_agent_m0_read; // jtag_uart_avalon_jtag_slave_agent:m0_read -> jtag_uart_avalon_jtag_slave_translator:uav_read wire jtag_uart_avalon_jtag_slave_agent_m0_readdatavalid; // jtag_uart_avalon_jtag_slave_translator:uav_readdatavalid -> jtag_uart_avalon_jtag_slave_agent:m0_readdatavalid @@ -105,17 +105,17 @@ module niosII_mm_interconnect_0 ( wire jtag_uart_avalon_jtag_slave_agent_m0_write; // jtag_uart_avalon_jtag_slave_agent:m0_write -> jtag_uart_avalon_jtag_slave_translator:uav_write wire [2:0] jtag_uart_avalon_jtag_slave_agent_m0_burstcount; // jtag_uart_avalon_jtag_slave_agent:m0_burstcount -> jtag_uart_avalon_jtag_slave_translator:uav_burstcount wire jtag_uart_avalon_jtag_slave_agent_rf_source_valid; // jtag_uart_avalon_jtag_slave_agent:rf_source_valid -> jtag_uart_avalon_jtag_slave_agent_rsp_fifo:in_valid - wire [94:0] jtag_uart_avalon_jtag_slave_agent_rf_source_data; // jtag_uart_avalon_jtag_slave_agent:rf_source_data -> jtag_uart_avalon_jtag_slave_agent_rsp_fifo:in_data + wire [92:0] jtag_uart_avalon_jtag_slave_agent_rf_source_data; // jtag_uart_avalon_jtag_slave_agent:rf_source_data -> jtag_uart_avalon_jtag_slave_agent_rsp_fifo:in_data wire jtag_uart_avalon_jtag_slave_agent_rf_source_ready; // jtag_uart_avalon_jtag_slave_agent_rsp_fifo:in_ready -> jtag_uart_avalon_jtag_slave_agent:rf_source_ready wire jtag_uart_avalon_jtag_slave_agent_rf_source_startofpacket; // jtag_uart_avalon_jtag_slave_agent:rf_source_startofpacket -> jtag_uart_avalon_jtag_slave_agent_rsp_fifo:in_startofpacket wire jtag_uart_avalon_jtag_slave_agent_rf_source_endofpacket; // jtag_uart_avalon_jtag_slave_agent:rf_source_endofpacket -> jtag_uart_avalon_jtag_slave_agent_rsp_fifo:in_endofpacket wire jtag_uart_avalon_jtag_slave_agent_rsp_fifo_out_valid; // jtag_uart_avalon_jtag_slave_agent_rsp_fifo:out_valid -> jtag_uart_avalon_jtag_slave_agent:rf_sink_valid - wire [94:0] jtag_uart_avalon_jtag_slave_agent_rsp_fifo_out_data; // jtag_uart_avalon_jtag_slave_agent_rsp_fifo:out_data -> jtag_uart_avalon_jtag_slave_agent:rf_sink_data + wire [92:0] jtag_uart_avalon_jtag_slave_agent_rsp_fifo_out_data; // jtag_uart_avalon_jtag_slave_agent_rsp_fifo:out_data -> jtag_uart_avalon_jtag_slave_agent:rf_sink_data wire jtag_uart_avalon_jtag_slave_agent_rsp_fifo_out_ready; // jtag_uart_avalon_jtag_slave_agent:rf_sink_ready -> jtag_uart_avalon_jtag_slave_agent_rsp_fifo:out_ready wire jtag_uart_avalon_jtag_slave_agent_rsp_fifo_out_startofpacket; // jtag_uart_avalon_jtag_slave_agent_rsp_fifo:out_startofpacket -> jtag_uart_avalon_jtag_slave_agent:rf_sink_startofpacket wire jtag_uart_avalon_jtag_slave_agent_rsp_fifo_out_endofpacket; // jtag_uart_avalon_jtag_slave_agent_rsp_fifo:out_endofpacket -> jtag_uart_avalon_jtag_slave_agent:rf_sink_endofpacket wire cmd_mux_src_valid; // cmd_mux:src_valid -> jtag_uart_avalon_jtag_slave_agent:cp_valid - wire [93:0] cmd_mux_src_data; // cmd_mux:src_data -> jtag_uart_avalon_jtag_slave_agent:cp_data + wire [91:0] cmd_mux_src_data; // cmd_mux:src_data -> jtag_uart_avalon_jtag_slave_agent:cp_data wire cmd_mux_src_ready; // jtag_uart_avalon_jtag_slave_agent:cp_ready -> cmd_mux:src_ready wire [5:0] cmd_mux_src_channel; // cmd_mux:src_channel -> jtag_uart_avalon_jtag_slave_agent:cp_channel wire cmd_mux_src_startofpacket; // cmd_mux:src_startofpacket -> jtag_uart_avalon_jtag_slave_agent:cp_startofpacket @@ -123,7 +123,7 @@ module niosII_mm_interconnect_0 ( wire [31:0] sigdel_0_avalon_slave_agent_m0_readdata; // sigdel_0_avalon_slave_translator:uav_readdata -> sigdel_0_avalon_slave_agent:m0_readdata wire sigdel_0_avalon_slave_agent_m0_waitrequest; // sigdel_0_avalon_slave_translator:uav_waitrequest -> sigdel_0_avalon_slave_agent:m0_waitrequest wire sigdel_0_avalon_slave_agent_m0_debugaccess; // sigdel_0_avalon_slave_agent:m0_debugaccess -> sigdel_0_avalon_slave_translator:uav_debugaccess - wire [17:0] sigdel_0_avalon_slave_agent_m0_address; // sigdel_0_avalon_slave_agent:m0_address -> sigdel_0_avalon_slave_translator:uav_address + wire [15:0] sigdel_0_avalon_slave_agent_m0_address; // sigdel_0_avalon_slave_agent:m0_address -> sigdel_0_avalon_slave_translator:uav_address wire [3:0] sigdel_0_avalon_slave_agent_m0_byteenable; // sigdel_0_avalon_slave_agent:m0_byteenable -> sigdel_0_avalon_slave_translator:uav_byteenable wire sigdel_0_avalon_slave_agent_m0_read; // sigdel_0_avalon_slave_agent:m0_read -> sigdel_0_avalon_slave_translator:uav_read wire sigdel_0_avalon_slave_agent_m0_readdatavalid; // sigdel_0_avalon_slave_translator:uav_readdatavalid -> sigdel_0_avalon_slave_agent:m0_readdatavalid @@ -132,17 +132,17 @@ module niosII_mm_interconnect_0 ( wire sigdel_0_avalon_slave_agent_m0_write; // sigdel_0_avalon_slave_agent:m0_write -> sigdel_0_avalon_slave_translator:uav_write wire [2:0] sigdel_0_avalon_slave_agent_m0_burstcount; // sigdel_0_avalon_slave_agent:m0_burstcount -> sigdel_0_avalon_slave_translator:uav_burstcount wire sigdel_0_avalon_slave_agent_rf_source_valid; // sigdel_0_avalon_slave_agent:rf_source_valid -> sigdel_0_avalon_slave_agent_rsp_fifo:in_valid - wire [94:0] sigdel_0_avalon_slave_agent_rf_source_data; // sigdel_0_avalon_slave_agent:rf_source_data -> sigdel_0_avalon_slave_agent_rsp_fifo:in_data + wire [92:0] sigdel_0_avalon_slave_agent_rf_source_data; // sigdel_0_avalon_slave_agent:rf_source_data -> sigdel_0_avalon_slave_agent_rsp_fifo:in_data wire sigdel_0_avalon_slave_agent_rf_source_ready; // sigdel_0_avalon_slave_agent_rsp_fifo:in_ready -> sigdel_0_avalon_slave_agent:rf_source_ready wire sigdel_0_avalon_slave_agent_rf_source_startofpacket; // sigdel_0_avalon_slave_agent:rf_source_startofpacket -> sigdel_0_avalon_slave_agent_rsp_fifo:in_startofpacket wire sigdel_0_avalon_slave_agent_rf_source_endofpacket; // sigdel_0_avalon_slave_agent:rf_source_endofpacket -> sigdel_0_avalon_slave_agent_rsp_fifo:in_endofpacket wire sigdel_0_avalon_slave_agent_rsp_fifo_out_valid; // sigdel_0_avalon_slave_agent_rsp_fifo:out_valid -> sigdel_0_avalon_slave_agent:rf_sink_valid - wire [94:0] sigdel_0_avalon_slave_agent_rsp_fifo_out_data; // sigdel_0_avalon_slave_agent_rsp_fifo:out_data -> sigdel_0_avalon_slave_agent:rf_sink_data + wire [92:0] sigdel_0_avalon_slave_agent_rsp_fifo_out_data; // sigdel_0_avalon_slave_agent_rsp_fifo:out_data -> sigdel_0_avalon_slave_agent:rf_sink_data wire sigdel_0_avalon_slave_agent_rsp_fifo_out_ready; // sigdel_0_avalon_slave_agent:rf_sink_ready -> sigdel_0_avalon_slave_agent_rsp_fifo:out_ready wire sigdel_0_avalon_slave_agent_rsp_fifo_out_startofpacket; // sigdel_0_avalon_slave_agent_rsp_fifo:out_startofpacket -> sigdel_0_avalon_slave_agent:rf_sink_startofpacket wire sigdel_0_avalon_slave_agent_rsp_fifo_out_endofpacket; // sigdel_0_avalon_slave_agent_rsp_fifo:out_endofpacket -> sigdel_0_avalon_slave_agent:rf_sink_endofpacket wire cmd_mux_001_src_valid; // cmd_mux_001:src_valid -> sigdel_0_avalon_slave_agent:cp_valid - wire [93:0] cmd_mux_001_src_data; // cmd_mux_001:src_data -> sigdel_0_avalon_slave_agent:cp_data + wire [91:0] cmd_mux_001_src_data; // cmd_mux_001:src_data -> sigdel_0_avalon_slave_agent:cp_data wire cmd_mux_001_src_ready; // sigdel_0_avalon_slave_agent:cp_ready -> cmd_mux_001:src_ready wire [5:0] cmd_mux_001_src_channel; // cmd_mux_001:src_channel -> sigdel_0_avalon_slave_agent:cp_channel wire cmd_mux_001_src_startofpacket; // cmd_mux_001:src_startofpacket -> sigdel_0_avalon_slave_agent:cp_startofpacket @@ -150,7 +150,7 @@ module niosII_mm_interconnect_0 ( wire [31:0] cpu_debug_mem_slave_agent_m0_readdata; // cpu_debug_mem_slave_translator:uav_readdata -> cpu_debug_mem_slave_agent:m0_readdata wire cpu_debug_mem_slave_agent_m0_waitrequest; // cpu_debug_mem_slave_translator:uav_waitrequest -> cpu_debug_mem_slave_agent:m0_waitrequest wire cpu_debug_mem_slave_agent_m0_debugaccess; // cpu_debug_mem_slave_agent:m0_debugaccess -> cpu_debug_mem_slave_translator:uav_debugaccess - wire [17:0] cpu_debug_mem_slave_agent_m0_address; // cpu_debug_mem_slave_agent:m0_address -> cpu_debug_mem_slave_translator:uav_address + wire [15:0] cpu_debug_mem_slave_agent_m0_address; // cpu_debug_mem_slave_agent:m0_address -> cpu_debug_mem_slave_translator:uav_address wire [3:0] cpu_debug_mem_slave_agent_m0_byteenable; // cpu_debug_mem_slave_agent:m0_byteenable -> cpu_debug_mem_slave_translator:uav_byteenable wire cpu_debug_mem_slave_agent_m0_read; // cpu_debug_mem_slave_agent:m0_read -> cpu_debug_mem_slave_translator:uav_read wire cpu_debug_mem_slave_agent_m0_readdatavalid; // cpu_debug_mem_slave_translator:uav_readdatavalid -> cpu_debug_mem_slave_agent:m0_readdatavalid @@ -159,17 +159,17 @@ module niosII_mm_interconnect_0 ( wire cpu_debug_mem_slave_agent_m0_write; // cpu_debug_mem_slave_agent:m0_write -> cpu_debug_mem_slave_translator:uav_write wire [2:0] cpu_debug_mem_slave_agent_m0_burstcount; // cpu_debug_mem_slave_agent:m0_burstcount -> cpu_debug_mem_slave_translator:uav_burstcount wire cpu_debug_mem_slave_agent_rf_source_valid; // cpu_debug_mem_slave_agent:rf_source_valid -> cpu_debug_mem_slave_agent_rsp_fifo:in_valid - wire [94:0] cpu_debug_mem_slave_agent_rf_source_data; // cpu_debug_mem_slave_agent:rf_source_data -> cpu_debug_mem_slave_agent_rsp_fifo:in_data + wire [92:0] cpu_debug_mem_slave_agent_rf_source_data; // cpu_debug_mem_slave_agent:rf_source_data -> cpu_debug_mem_slave_agent_rsp_fifo:in_data wire cpu_debug_mem_slave_agent_rf_source_ready; // cpu_debug_mem_slave_agent_rsp_fifo:in_ready -> cpu_debug_mem_slave_agent:rf_source_ready wire cpu_debug_mem_slave_agent_rf_source_startofpacket; // cpu_debug_mem_slave_agent:rf_source_startofpacket -> cpu_debug_mem_slave_agent_rsp_fifo:in_startofpacket wire cpu_debug_mem_slave_agent_rf_source_endofpacket; // cpu_debug_mem_slave_agent:rf_source_endofpacket -> cpu_debug_mem_slave_agent_rsp_fifo:in_endofpacket wire cpu_debug_mem_slave_agent_rsp_fifo_out_valid; // cpu_debug_mem_slave_agent_rsp_fifo:out_valid -> cpu_debug_mem_slave_agent:rf_sink_valid - wire [94:0] cpu_debug_mem_slave_agent_rsp_fifo_out_data; // cpu_debug_mem_slave_agent_rsp_fifo:out_data -> cpu_debug_mem_slave_agent:rf_sink_data + wire [92:0] cpu_debug_mem_slave_agent_rsp_fifo_out_data; // cpu_debug_mem_slave_agent_rsp_fifo:out_data -> cpu_debug_mem_slave_agent:rf_sink_data wire cpu_debug_mem_slave_agent_rsp_fifo_out_ready; // cpu_debug_mem_slave_agent:rf_sink_ready -> cpu_debug_mem_slave_agent_rsp_fifo:out_ready wire cpu_debug_mem_slave_agent_rsp_fifo_out_startofpacket; // cpu_debug_mem_slave_agent_rsp_fifo:out_startofpacket -> cpu_debug_mem_slave_agent:rf_sink_startofpacket wire cpu_debug_mem_slave_agent_rsp_fifo_out_endofpacket; // cpu_debug_mem_slave_agent_rsp_fifo:out_endofpacket -> cpu_debug_mem_slave_agent:rf_sink_endofpacket wire cmd_mux_002_src_valid; // cmd_mux_002:src_valid -> cpu_debug_mem_slave_agent:cp_valid - wire [93:0] cmd_mux_002_src_data; // cmd_mux_002:src_data -> cpu_debug_mem_slave_agent:cp_data + wire [91:0] cmd_mux_002_src_data; // cmd_mux_002:src_data -> cpu_debug_mem_slave_agent:cp_data wire cmd_mux_002_src_ready; // cpu_debug_mem_slave_agent:cp_ready -> cmd_mux_002:src_ready wire [5:0] cmd_mux_002_src_channel; // cmd_mux_002:src_channel -> cpu_debug_mem_slave_agent:cp_channel wire cmd_mux_002_src_startofpacket; // cmd_mux_002:src_startofpacket -> cpu_debug_mem_slave_agent:cp_startofpacket @@ -177,7 +177,7 @@ module niosII_mm_interconnect_0 ( wire [31:0] sys_clk_timer_s1_agent_m0_readdata; // sys_clk_timer_s1_translator:uav_readdata -> sys_clk_timer_s1_agent:m0_readdata wire sys_clk_timer_s1_agent_m0_waitrequest; // sys_clk_timer_s1_translator:uav_waitrequest -> sys_clk_timer_s1_agent:m0_waitrequest wire sys_clk_timer_s1_agent_m0_debugaccess; // sys_clk_timer_s1_agent:m0_debugaccess -> sys_clk_timer_s1_translator:uav_debugaccess - wire [17:0] sys_clk_timer_s1_agent_m0_address; // sys_clk_timer_s1_agent:m0_address -> sys_clk_timer_s1_translator:uav_address + wire [15:0] sys_clk_timer_s1_agent_m0_address; // sys_clk_timer_s1_agent:m0_address -> sys_clk_timer_s1_translator:uav_address wire [3:0] sys_clk_timer_s1_agent_m0_byteenable; // sys_clk_timer_s1_agent:m0_byteenable -> sys_clk_timer_s1_translator:uav_byteenable wire sys_clk_timer_s1_agent_m0_read; // sys_clk_timer_s1_agent:m0_read -> sys_clk_timer_s1_translator:uav_read wire sys_clk_timer_s1_agent_m0_readdatavalid; // sys_clk_timer_s1_translator:uav_readdatavalid -> sys_clk_timer_s1_agent:m0_readdatavalid @@ -186,17 +186,17 @@ module niosII_mm_interconnect_0 ( wire sys_clk_timer_s1_agent_m0_write; // sys_clk_timer_s1_agent:m0_write -> sys_clk_timer_s1_translator:uav_write wire [2:0] sys_clk_timer_s1_agent_m0_burstcount; // sys_clk_timer_s1_agent:m0_burstcount -> sys_clk_timer_s1_translator:uav_burstcount wire sys_clk_timer_s1_agent_rf_source_valid; // sys_clk_timer_s1_agent:rf_source_valid -> sys_clk_timer_s1_agent_rsp_fifo:in_valid - wire [94:0] sys_clk_timer_s1_agent_rf_source_data; // sys_clk_timer_s1_agent:rf_source_data -> sys_clk_timer_s1_agent_rsp_fifo:in_data + wire [92:0] sys_clk_timer_s1_agent_rf_source_data; // sys_clk_timer_s1_agent:rf_source_data -> sys_clk_timer_s1_agent_rsp_fifo:in_data wire sys_clk_timer_s1_agent_rf_source_ready; // sys_clk_timer_s1_agent_rsp_fifo:in_ready -> sys_clk_timer_s1_agent:rf_source_ready wire sys_clk_timer_s1_agent_rf_source_startofpacket; // sys_clk_timer_s1_agent:rf_source_startofpacket -> sys_clk_timer_s1_agent_rsp_fifo:in_startofpacket wire sys_clk_timer_s1_agent_rf_source_endofpacket; // sys_clk_timer_s1_agent:rf_source_endofpacket -> sys_clk_timer_s1_agent_rsp_fifo:in_endofpacket wire sys_clk_timer_s1_agent_rsp_fifo_out_valid; // sys_clk_timer_s1_agent_rsp_fifo:out_valid -> sys_clk_timer_s1_agent:rf_sink_valid - wire [94:0] sys_clk_timer_s1_agent_rsp_fifo_out_data; // sys_clk_timer_s1_agent_rsp_fifo:out_data -> sys_clk_timer_s1_agent:rf_sink_data + wire [92:0] sys_clk_timer_s1_agent_rsp_fifo_out_data; // sys_clk_timer_s1_agent_rsp_fifo:out_data -> sys_clk_timer_s1_agent:rf_sink_data wire sys_clk_timer_s1_agent_rsp_fifo_out_ready; // sys_clk_timer_s1_agent:rf_sink_ready -> sys_clk_timer_s1_agent_rsp_fifo:out_ready wire sys_clk_timer_s1_agent_rsp_fifo_out_startofpacket; // sys_clk_timer_s1_agent_rsp_fifo:out_startofpacket -> sys_clk_timer_s1_agent:rf_sink_startofpacket wire sys_clk_timer_s1_agent_rsp_fifo_out_endofpacket; // sys_clk_timer_s1_agent_rsp_fifo:out_endofpacket -> sys_clk_timer_s1_agent:rf_sink_endofpacket wire cmd_mux_003_src_valid; // cmd_mux_003:src_valid -> sys_clk_timer_s1_agent:cp_valid - wire [93:0] cmd_mux_003_src_data; // cmd_mux_003:src_data -> sys_clk_timer_s1_agent:cp_data + wire [91:0] cmd_mux_003_src_data; // cmd_mux_003:src_data -> sys_clk_timer_s1_agent:cp_data wire cmd_mux_003_src_ready; // sys_clk_timer_s1_agent:cp_ready -> cmd_mux_003:src_ready wire [5:0] cmd_mux_003_src_channel; // cmd_mux_003:src_channel -> sys_clk_timer_s1_agent:cp_channel wire cmd_mux_003_src_startofpacket; // cmd_mux_003:src_startofpacket -> sys_clk_timer_s1_agent:cp_startofpacket @@ -204,7 +204,7 @@ module niosII_mm_interconnect_0 ( wire [31:0] mem_s2_agent_m0_readdata; // mem_s2_translator:uav_readdata -> mem_s2_agent:m0_readdata wire mem_s2_agent_m0_waitrequest; // mem_s2_translator:uav_waitrequest -> mem_s2_agent:m0_waitrequest wire mem_s2_agent_m0_debugaccess; // mem_s2_agent:m0_debugaccess -> mem_s2_translator:uav_debugaccess - wire [17:0] mem_s2_agent_m0_address; // mem_s2_agent:m0_address -> mem_s2_translator:uav_address + wire [15:0] mem_s2_agent_m0_address; // mem_s2_agent:m0_address -> mem_s2_translator:uav_address wire [3:0] mem_s2_agent_m0_byteenable; // mem_s2_agent:m0_byteenable -> mem_s2_translator:uav_byteenable wire mem_s2_agent_m0_read; // mem_s2_agent:m0_read -> mem_s2_translator:uav_read wire mem_s2_agent_m0_readdatavalid; // mem_s2_translator:uav_readdatavalid -> mem_s2_agent:m0_readdatavalid @@ -213,17 +213,17 @@ module niosII_mm_interconnect_0 ( wire mem_s2_agent_m0_write; // mem_s2_agent:m0_write -> mem_s2_translator:uav_write wire [2:0] mem_s2_agent_m0_burstcount; // mem_s2_agent:m0_burstcount -> mem_s2_translator:uav_burstcount wire mem_s2_agent_rf_source_valid; // mem_s2_agent:rf_source_valid -> mem_s2_agent_rsp_fifo:in_valid - wire [94:0] mem_s2_agent_rf_source_data; // mem_s2_agent:rf_source_data -> mem_s2_agent_rsp_fifo:in_data + wire [92:0] mem_s2_agent_rf_source_data; // mem_s2_agent:rf_source_data -> mem_s2_agent_rsp_fifo:in_data wire mem_s2_agent_rf_source_ready; // mem_s2_agent_rsp_fifo:in_ready -> mem_s2_agent:rf_source_ready wire mem_s2_agent_rf_source_startofpacket; // mem_s2_agent:rf_source_startofpacket -> mem_s2_agent_rsp_fifo:in_startofpacket wire mem_s2_agent_rf_source_endofpacket; // mem_s2_agent:rf_source_endofpacket -> mem_s2_agent_rsp_fifo:in_endofpacket wire mem_s2_agent_rsp_fifo_out_valid; // mem_s2_agent_rsp_fifo:out_valid -> mem_s2_agent:rf_sink_valid - wire [94:0] mem_s2_agent_rsp_fifo_out_data; // mem_s2_agent_rsp_fifo:out_data -> mem_s2_agent:rf_sink_data + wire [92:0] mem_s2_agent_rsp_fifo_out_data; // mem_s2_agent_rsp_fifo:out_data -> mem_s2_agent:rf_sink_data wire mem_s2_agent_rsp_fifo_out_ready; // mem_s2_agent:rf_sink_ready -> mem_s2_agent_rsp_fifo:out_ready wire mem_s2_agent_rsp_fifo_out_startofpacket; // mem_s2_agent_rsp_fifo:out_startofpacket -> mem_s2_agent:rf_sink_startofpacket wire mem_s2_agent_rsp_fifo_out_endofpacket; // mem_s2_agent_rsp_fifo:out_endofpacket -> mem_s2_agent:rf_sink_endofpacket wire cmd_mux_004_src_valid; // cmd_mux_004:src_valid -> mem_s2_agent:cp_valid - wire [93:0] cmd_mux_004_src_data; // cmd_mux_004:src_data -> mem_s2_agent:cp_data + wire [91:0] cmd_mux_004_src_data; // cmd_mux_004:src_data -> mem_s2_agent:cp_data wire cmd_mux_004_src_ready; // mem_s2_agent:cp_ready -> cmd_mux_004:src_ready wire [5:0] cmd_mux_004_src_channel; // cmd_mux_004:src_channel -> mem_s2_agent:cp_channel wire cmd_mux_004_src_startofpacket; // cmd_mux_004:src_startofpacket -> mem_s2_agent:cp_startofpacket @@ -231,7 +231,7 @@ module niosII_mm_interconnect_0 ( wire [31:0] mem_s1_agent_m0_readdata; // mem_s1_translator:uav_readdata -> mem_s1_agent:m0_readdata wire mem_s1_agent_m0_waitrequest; // mem_s1_translator:uav_waitrequest -> mem_s1_agent:m0_waitrequest wire mem_s1_agent_m0_debugaccess; // mem_s1_agent:m0_debugaccess -> mem_s1_translator:uav_debugaccess - wire [17:0] mem_s1_agent_m0_address; // mem_s1_agent:m0_address -> mem_s1_translator:uav_address + wire [15:0] mem_s1_agent_m0_address; // mem_s1_agent:m0_address -> mem_s1_translator:uav_address wire [3:0] mem_s1_agent_m0_byteenable; // mem_s1_agent:m0_byteenable -> mem_s1_translator:uav_byteenable wire mem_s1_agent_m0_read; // mem_s1_agent:m0_read -> mem_s1_translator:uav_read wire mem_s1_agent_m0_readdatavalid; // mem_s1_translator:uav_readdatavalid -> mem_s1_agent:m0_readdatavalid @@ -240,189 +240,189 @@ module niosII_mm_interconnect_0 ( wire mem_s1_agent_m0_write; // mem_s1_agent:m0_write -> mem_s1_translator:uav_write wire [2:0] mem_s1_agent_m0_burstcount; // mem_s1_agent:m0_burstcount -> mem_s1_translator:uav_burstcount wire mem_s1_agent_rf_source_valid; // mem_s1_agent:rf_source_valid -> mem_s1_agent_rsp_fifo:in_valid - wire [94:0] mem_s1_agent_rf_source_data; // mem_s1_agent:rf_source_data -> mem_s1_agent_rsp_fifo:in_data + wire [92:0] mem_s1_agent_rf_source_data; // mem_s1_agent:rf_source_data -> mem_s1_agent_rsp_fifo:in_data wire mem_s1_agent_rf_source_ready; // mem_s1_agent_rsp_fifo:in_ready -> mem_s1_agent:rf_source_ready wire mem_s1_agent_rf_source_startofpacket; // mem_s1_agent:rf_source_startofpacket -> mem_s1_agent_rsp_fifo:in_startofpacket wire mem_s1_agent_rf_source_endofpacket; // mem_s1_agent:rf_source_endofpacket -> mem_s1_agent_rsp_fifo:in_endofpacket wire mem_s1_agent_rsp_fifo_out_valid; // mem_s1_agent_rsp_fifo:out_valid -> mem_s1_agent:rf_sink_valid - wire [94:0] mem_s1_agent_rsp_fifo_out_data; // mem_s1_agent_rsp_fifo:out_data -> mem_s1_agent:rf_sink_data + wire [92:0] mem_s1_agent_rsp_fifo_out_data; // mem_s1_agent_rsp_fifo:out_data -> mem_s1_agent:rf_sink_data wire mem_s1_agent_rsp_fifo_out_ready; // mem_s1_agent:rf_sink_ready -> mem_s1_agent_rsp_fifo:out_ready wire mem_s1_agent_rsp_fifo_out_startofpacket; // mem_s1_agent_rsp_fifo:out_startofpacket -> mem_s1_agent:rf_sink_startofpacket wire mem_s1_agent_rsp_fifo_out_endofpacket; // mem_s1_agent_rsp_fifo:out_endofpacket -> mem_s1_agent:rf_sink_endofpacket wire cmd_mux_005_src_valid; // cmd_mux_005:src_valid -> mem_s1_agent:cp_valid - wire [93:0] cmd_mux_005_src_data; // cmd_mux_005:src_data -> mem_s1_agent:cp_data + wire [91:0] cmd_mux_005_src_data; // cmd_mux_005:src_data -> mem_s1_agent:cp_data wire cmd_mux_005_src_ready; // mem_s1_agent:cp_ready -> cmd_mux_005:src_ready wire [5:0] cmd_mux_005_src_channel; // cmd_mux_005:src_channel -> mem_s1_agent:cp_channel wire cmd_mux_005_src_startofpacket; // cmd_mux_005:src_startofpacket -> mem_s1_agent:cp_startofpacket wire cmd_mux_005_src_endofpacket; // cmd_mux_005:src_endofpacket -> mem_s1_agent:cp_endofpacket wire cpu_data_master_agent_cp_valid; // cpu_data_master_agent:cp_valid -> router:sink_valid - wire [93:0] cpu_data_master_agent_cp_data; // cpu_data_master_agent:cp_data -> router:sink_data + wire [91:0] cpu_data_master_agent_cp_data; // cpu_data_master_agent:cp_data -> router:sink_data wire cpu_data_master_agent_cp_ready; // router:sink_ready -> cpu_data_master_agent:cp_ready wire cpu_data_master_agent_cp_startofpacket; // cpu_data_master_agent:cp_startofpacket -> router:sink_startofpacket wire cpu_data_master_agent_cp_endofpacket; // cpu_data_master_agent:cp_endofpacket -> router:sink_endofpacket wire router_src_valid; // router:src_valid -> cmd_demux:sink_valid - wire [93:0] router_src_data; // router:src_data -> cmd_demux:sink_data + wire [91:0] router_src_data; // router:src_data -> cmd_demux:sink_data wire router_src_ready; // cmd_demux:sink_ready -> router:src_ready wire [5:0] router_src_channel; // router:src_channel -> cmd_demux:sink_channel wire router_src_startofpacket; // router:src_startofpacket -> cmd_demux:sink_startofpacket wire router_src_endofpacket; // router:src_endofpacket -> cmd_demux:sink_endofpacket wire cpu_instruction_master_agent_cp_valid; // cpu_instruction_master_agent:cp_valid -> router_001:sink_valid - wire [93:0] cpu_instruction_master_agent_cp_data; // cpu_instruction_master_agent:cp_data -> router_001:sink_data + wire [91:0] cpu_instruction_master_agent_cp_data; // cpu_instruction_master_agent:cp_data -> router_001:sink_data wire cpu_instruction_master_agent_cp_ready; // router_001:sink_ready -> cpu_instruction_master_agent:cp_ready wire cpu_instruction_master_agent_cp_startofpacket; // cpu_instruction_master_agent:cp_startofpacket -> router_001:sink_startofpacket wire cpu_instruction_master_agent_cp_endofpacket; // cpu_instruction_master_agent:cp_endofpacket -> router_001:sink_endofpacket wire router_001_src_valid; // router_001:src_valid -> cmd_demux_001:sink_valid - wire [93:0] router_001_src_data; // router_001:src_data -> cmd_demux_001:sink_data + wire [91:0] router_001_src_data; // router_001:src_data -> cmd_demux_001:sink_data wire router_001_src_ready; // cmd_demux_001:sink_ready -> router_001:src_ready wire [5:0] router_001_src_channel; // router_001:src_channel -> cmd_demux_001:sink_channel wire router_001_src_startofpacket; // router_001:src_startofpacket -> cmd_demux_001:sink_startofpacket wire router_001_src_endofpacket; // router_001:src_endofpacket -> cmd_demux_001:sink_endofpacket wire jtag_uart_avalon_jtag_slave_agent_rp_valid; // jtag_uart_avalon_jtag_slave_agent:rp_valid -> router_002:sink_valid - wire [93:0] jtag_uart_avalon_jtag_slave_agent_rp_data; // jtag_uart_avalon_jtag_slave_agent:rp_data -> router_002:sink_data + wire [91:0] jtag_uart_avalon_jtag_slave_agent_rp_data; // jtag_uart_avalon_jtag_slave_agent:rp_data -> router_002:sink_data wire jtag_uart_avalon_jtag_slave_agent_rp_ready; // router_002:sink_ready -> jtag_uart_avalon_jtag_slave_agent:rp_ready wire jtag_uart_avalon_jtag_slave_agent_rp_startofpacket; // jtag_uart_avalon_jtag_slave_agent:rp_startofpacket -> router_002:sink_startofpacket wire jtag_uart_avalon_jtag_slave_agent_rp_endofpacket; // jtag_uart_avalon_jtag_slave_agent:rp_endofpacket -> router_002:sink_endofpacket wire router_002_src_valid; // router_002:src_valid -> rsp_demux:sink_valid - wire [93:0] router_002_src_data; // router_002:src_data -> rsp_demux:sink_data + wire [91:0] router_002_src_data; // router_002:src_data -> rsp_demux:sink_data wire router_002_src_ready; // rsp_demux:sink_ready -> router_002:src_ready wire [5:0] router_002_src_channel; // router_002:src_channel -> rsp_demux:sink_channel wire router_002_src_startofpacket; // router_002:src_startofpacket -> rsp_demux:sink_startofpacket wire router_002_src_endofpacket; // router_002:src_endofpacket -> rsp_demux:sink_endofpacket wire sigdel_0_avalon_slave_agent_rp_valid; // sigdel_0_avalon_slave_agent:rp_valid -> router_003:sink_valid - wire [93:0] sigdel_0_avalon_slave_agent_rp_data; // sigdel_0_avalon_slave_agent:rp_data -> router_003:sink_data + wire [91:0] sigdel_0_avalon_slave_agent_rp_data; // sigdel_0_avalon_slave_agent:rp_data -> router_003:sink_data wire sigdel_0_avalon_slave_agent_rp_ready; // router_003:sink_ready -> sigdel_0_avalon_slave_agent:rp_ready wire sigdel_0_avalon_slave_agent_rp_startofpacket; // sigdel_0_avalon_slave_agent:rp_startofpacket -> router_003:sink_startofpacket wire sigdel_0_avalon_slave_agent_rp_endofpacket; // sigdel_0_avalon_slave_agent:rp_endofpacket -> router_003:sink_endofpacket wire router_003_src_valid; // router_003:src_valid -> rsp_demux_001:sink_valid - wire [93:0] router_003_src_data; // router_003:src_data -> rsp_demux_001:sink_data + wire [91:0] router_003_src_data; // router_003:src_data -> rsp_demux_001:sink_data wire router_003_src_ready; // rsp_demux_001:sink_ready -> router_003:src_ready wire [5:0] router_003_src_channel; // router_003:src_channel -> rsp_demux_001:sink_channel wire router_003_src_startofpacket; // router_003:src_startofpacket -> rsp_demux_001:sink_startofpacket wire router_003_src_endofpacket; // router_003:src_endofpacket -> rsp_demux_001:sink_endofpacket wire cpu_debug_mem_slave_agent_rp_valid; // cpu_debug_mem_slave_agent:rp_valid -> router_004:sink_valid - wire [93:0] cpu_debug_mem_slave_agent_rp_data; // cpu_debug_mem_slave_agent:rp_data -> router_004:sink_data + wire [91:0] cpu_debug_mem_slave_agent_rp_data; // cpu_debug_mem_slave_agent:rp_data -> router_004:sink_data wire cpu_debug_mem_slave_agent_rp_ready; // router_004:sink_ready -> cpu_debug_mem_slave_agent:rp_ready wire cpu_debug_mem_slave_agent_rp_startofpacket; // cpu_debug_mem_slave_agent:rp_startofpacket -> router_004:sink_startofpacket wire cpu_debug_mem_slave_agent_rp_endofpacket; // cpu_debug_mem_slave_agent:rp_endofpacket -> router_004:sink_endofpacket wire router_004_src_valid; // router_004:src_valid -> rsp_demux_002:sink_valid - wire [93:0] router_004_src_data; // router_004:src_data -> rsp_demux_002:sink_data + wire [91:0] router_004_src_data; // router_004:src_data -> rsp_demux_002:sink_data wire router_004_src_ready; // rsp_demux_002:sink_ready -> router_004:src_ready wire [5:0] router_004_src_channel; // router_004:src_channel -> rsp_demux_002:sink_channel wire router_004_src_startofpacket; // router_004:src_startofpacket -> rsp_demux_002:sink_startofpacket wire router_004_src_endofpacket; // router_004:src_endofpacket -> rsp_demux_002:sink_endofpacket wire sys_clk_timer_s1_agent_rp_valid; // sys_clk_timer_s1_agent:rp_valid -> router_005:sink_valid - wire [93:0] sys_clk_timer_s1_agent_rp_data; // sys_clk_timer_s1_agent:rp_data -> router_005:sink_data + wire [91:0] sys_clk_timer_s1_agent_rp_data; // sys_clk_timer_s1_agent:rp_data -> router_005:sink_data wire sys_clk_timer_s1_agent_rp_ready; // router_005:sink_ready -> sys_clk_timer_s1_agent:rp_ready wire sys_clk_timer_s1_agent_rp_startofpacket; // sys_clk_timer_s1_agent:rp_startofpacket -> router_005:sink_startofpacket wire sys_clk_timer_s1_agent_rp_endofpacket; // sys_clk_timer_s1_agent:rp_endofpacket -> router_005:sink_endofpacket wire router_005_src_valid; // router_005:src_valid -> rsp_demux_003:sink_valid - wire [93:0] router_005_src_data; // router_005:src_data -> rsp_demux_003:sink_data + wire [91:0] router_005_src_data; // router_005:src_data -> rsp_demux_003:sink_data wire router_005_src_ready; // rsp_demux_003:sink_ready -> router_005:src_ready wire [5:0] router_005_src_channel; // router_005:src_channel -> rsp_demux_003:sink_channel wire router_005_src_startofpacket; // router_005:src_startofpacket -> rsp_demux_003:sink_startofpacket wire router_005_src_endofpacket; // router_005:src_endofpacket -> rsp_demux_003:sink_endofpacket wire mem_s2_agent_rp_valid; // mem_s2_agent:rp_valid -> router_006:sink_valid - wire [93:0] mem_s2_agent_rp_data; // mem_s2_agent:rp_data -> router_006:sink_data + wire [91:0] mem_s2_agent_rp_data; // mem_s2_agent:rp_data -> router_006:sink_data wire mem_s2_agent_rp_ready; // router_006:sink_ready -> mem_s2_agent:rp_ready wire mem_s2_agent_rp_startofpacket; // mem_s2_agent:rp_startofpacket -> router_006:sink_startofpacket wire mem_s2_agent_rp_endofpacket; // mem_s2_agent:rp_endofpacket -> router_006:sink_endofpacket wire router_006_src_valid; // router_006:src_valid -> rsp_demux_004:sink_valid - wire [93:0] router_006_src_data; // router_006:src_data -> rsp_demux_004:sink_data + wire [91:0] router_006_src_data; // router_006:src_data -> rsp_demux_004:sink_data wire router_006_src_ready; // rsp_demux_004:sink_ready -> router_006:src_ready wire [5:0] router_006_src_channel; // router_006:src_channel -> rsp_demux_004:sink_channel wire router_006_src_startofpacket; // router_006:src_startofpacket -> rsp_demux_004:sink_startofpacket wire router_006_src_endofpacket; // router_006:src_endofpacket -> rsp_demux_004:sink_endofpacket wire mem_s1_agent_rp_valid; // mem_s1_agent:rp_valid -> router_007:sink_valid - wire [93:0] mem_s1_agent_rp_data; // mem_s1_agent:rp_data -> router_007:sink_data + wire [91:0] mem_s1_agent_rp_data; // mem_s1_agent:rp_data -> router_007:sink_data wire mem_s1_agent_rp_ready; // router_007:sink_ready -> mem_s1_agent:rp_ready wire mem_s1_agent_rp_startofpacket; // mem_s1_agent:rp_startofpacket -> router_007:sink_startofpacket wire mem_s1_agent_rp_endofpacket; // mem_s1_agent:rp_endofpacket -> router_007:sink_endofpacket wire router_007_src_valid; // router_007:src_valid -> rsp_demux_005:sink_valid - wire [93:0] router_007_src_data; // router_007:src_data -> rsp_demux_005:sink_data + wire [91:0] router_007_src_data; // router_007:src_data -> rsp_demux_005:sink_data wire router_007_src_ready; // rsp_demux_005:sink_ready -> router_007:src_ready wire [5:0] router_007_src_channel; // router_007:src_channel -> rsp_demux_005:sink_channel wire router_007_src_startofpacket; // router_007:src_startofpacket -> rsp_demux_005:sink_startofpacket wire router_007_src_endofpacket; // router_007:src_endofpacket -> rsp_demux_005:sink_endofpacket wire cmd_demux_src0_valid; // cmd_demux:src0_valid -> cmd_mux:sink0_valid - wire [93:0] cmd_demux_src0_data; // cmd_demux:src0_data -> cmd_mux:sink0_data + wire [91:0] cmd_demux_src0_data; // cmd_demux:src0_data -> cmd_mux:sink0_data wire cmd_demux_src0_ready; // cmd_mux:sink0_ready -> cmd_demux:src0_ready wire [5:0] cmd_demux_src0_channel; // cmd_demux:src0_channel -> cmd_mux:sink0_channel wire cmd_demux_src0_startofpacket; // cmd_demux:src0_startofpacket -> cmd_mux:sink0_startofpacket wire cmd_demux_src0_endofpacket; // cmd_demux:src0_endofpacket -> cmd_mux:sink0_endofpacket wire cmd_demux_src1_valid; // cmd_demux:src1_valid -> cmd_mux_001:sink0_valid - wire [93:0] cmd_demux_src1_data; // cmd_demux:src1_data -> cmd_mux_001:sink0_data + wire [91:0] cmd_demux_src1_data; // cmd_demux:src1_data -> cmd_mux_001:sink0_data wire cmd_demux_src1_ready; // cmd_mux_001:sink0_ready -> cmd_demux:src1_ready wire [5:0] cmd_demux_src1_channel; // cmd_demux:src1_channel -> cmd_mux_001:sink0_channel wire cmd_demux_src1_startofpacket; // cmd_demux:src1_startofpacket -> cmd_mux_001:sink0_startofpacket wire cmd_demux_src1_endofpacket; // cmd_demux:src1_endofpacket -> cmd_mux_001:sink0_endofpacket wire cmd_demux_src2_valid; // cmd_demux:src2_valid -> cmd_mux_002:sink0_valid - wire [93:0] cmd_demux_src2_data; // cmd_demux:src2_data -> cmd_mux_002:sink0_data + wire [91:0] cmd_demux_src2_data; // cmd_demux:src2_data -> cmd_mux_002:sink0_data wire cmd_demux_src2_ready; // cmd_mux_002:sink0_ready -> cmd_demux:src2_ready wire [5:0] cmd_demux_src2_channel; // cmd_demux:src2_channel -> cmd_mux_002:sink0_channel wire cmd_demux_src2_startofpacket; // cmd_demux:src2_startofpacket -> cmd_mux_002:sink0_startofpacket wire cmd_demux_src2_endofpacket; // cmd_demux:src2_endofpacket -> cmd_mux_002:sink0_endofpacket wire cmd_demux_src3_valid; // cmd_demux:src3_valid -> cmd_mux_003:sink0_valid - wire [93:0] cmd_demux_src3_data; // cmd_demux:src3_data -> cmd_mux_003:sink0_data + wire [91:0] cmd_demux_src3_data; // cmd_demux:src3_data -> cmd_mux_003:sink0_data wire cmd_demux_src3_ready; // cmd_mux_003:sink0_ready -> cmd_demux:src3_ready wire [5:0] cmd_demux_src3_channel; // cmd_demux:src3_channel -> cmd_mux_003:sink0_channel wire cmd_demux_src3_startofpacket; // cmd_demux:src3_startofpacket -> cmd_mux_003:sink0_startofpacket wire cmd_demux_src3_endofpacket; // cmd_demux:src3_endofpacket -> cmd_mux_003:sink0_endofpacket wire cmd_demux_src4_valid; // cmd_demux:src4_valid -> cmd_mux_004:sink0_valid - wire [93:0] cmd_demux_src4_data; // cmd_demux:src4_data -> cmd_mux_004:sink0_data + wire [91:0] cmd_demux_src4_data; // cmd_demux:src4_data -> cmd_mux_004:sink0_data wire cmd_demux_src4_ready; // cmd_mux_004:sink0_ready -> cmd_demux:src4_ready wire [5:0] cmd_demux_src4_channel; // cmd_demux:src4_channel -> cmd_mux_004:sink0_channel wire cmd_demux_src4_startofpacket; // cmd_demux:src4_startofpacket -> cmd_mux_004:sink0_startofpacket wire cmd_demux_src4_endofpacket; // cmd_demux:src4_endofpacket -> cmd_mux_004:sink0_endofpacket wire cmd_demux_001_src0_valid; // cmd_demux_001:src0_valid -> cmd_mux_002:sink1_valid - wire [93:0] cmd_demux_001_src0_data; // cmd_demux_001:src0_data -> cmd_mux_002:sink1_data + wire [91:0] cmd_demux_001_src0_data; // cmd_demux_001:src0_data -> cmd_mux_002:sink1_data wire cmd_demux_001_src0_ready; // cmd_mux_002:sink1_ready -> cmd_demux_001:src0_ready wire [5:0] cmd_demux_001_src0_channel; // cmd_demux_001:src0_channel -> cmd_mux_002:sink1_channel wire cmd_demux_001_src0_startofpacket; // cmd_demux_001:src0_startofpacket -> cmd_mux_002:sink1_startofpacket wire cmd_demux_001_src0_endofpacket; // cmd_demux_001:src0_endofpacket -> cmd_mux_002:sink1_endofpacket wire cmd_demux_001_src1_valid; // cmd_demux_001:src1_valid -> cmd_mux_005:sink0_valid - wire [93:0] cmd_demux_001_src1_data; // cmd_demux_001:src1_data -> cmd_mux_005:sink0_data + wire [91:0] cmd_demux_001_src1_data; // cmd_demux_001:src1_data -> cmd_mux_005:sink0_data wire cmd_demux_001_src1_ready; // cmd_mux_005:sink0_ready -> cmd_demux_001:src1_ready wire [5:0] cmd_demux_001_src1_channel; // cmd_demux_001:src1_channel -> cmd_mux_005:sink0_channel wire cmd_demux_001_src1_startofpacket; // cmd_demux_001:src1_startofpacket -> cmd_mux_005:sink0_startofpacket wire cmd_demux_001_src1_endofpacket; // cmd_demux_001:src1_endofpacket -> cmd_mux_005:sink0_endofpacket wire rsp_demux_src0_valid; // rsp_demux:src0_valid -> rsp_mux:sink0_valid - wire [93:0] rsp_demux_src0_data; // rsp_demux:src0_data -> rsp_mux:sink0_data + wire [91:0] rsp_demux_src0_data; // rsp_demux:src0_data -> rsp_mux:sink0_data wire rsp_demux_src0_ready; // rsp_mux:sink0_ready -> rsp_demux:src0_ready wire [5:0] rsp_demux_src0_channel; // rsp_demux:src0_channel -> rsp_mux:sink0_channel wire rsp_demux_src0_startofpacket; // rsp_demux:src0_startofpacket -> rsp_mux:sink0_startofpacket wire rsp_demux_src0_endofpacket; // rsp_demux:src0_endofpacket -> rsp_mux:sink0_endofpacket wire rsp_demux_001_src0_valid; // rsp_demux_001:src0_valid -> rsp_mux:sink1_valid - wire [93:0] rsp_demux_001_src0_data; // rsp_demux_001:src0_data -> rsp_mux:sink1_data + wire [91:0] rsp_demux_001_src0_data; // rsp_demux_001:src0_data -> rsp_mux:sink1_data wire rsp_demux_001_src0_ready; // rsp_mux:sink1_ready -> rsp_demux_001:src0_ready wire [5:0] rsp_demux_001_src0_channel; // rsp_demux_001:src0_channel -> rsp_mux:sink1_channel wire rsp_demux_001_src0_startofpacket; // rsp_demux_001:src0_startofpacket -> rsp_mux:sink1_startofpacket wire rsp_demux_001_src0_endofpacket; // rsp_demux_001:src0_endofpacket -> rsp_mux:sink1_endofpacket wire rsp_demux_002_src0_valid; // rsp_demux_002:src0_valid -> rsp_mux:sink2_valid - wire [93:0] rsp_demux_002_src0_data; // rsp_demux_002:src0_data -> rsp_mux:sink2_data + wire [91:0] rsp_demux_002_src0_data; // rsp_demux_002:src0_data -> rsp_mux:sink2_data wire rsp_demux_002_src0_ready; // rsp_mux:sink2_ready -> rsp_demux_002:src0_ready wire [5:0] rsp_demux_002_src0_channel; // rsp_demux_002:src0_channel -> rsp_mux:sink2_channel wire rsp_demux_002_src0_startofpacket; // rsp_demux_002:src0_startofpacket -> rsp_mux:sink2_startofpacket wire rsp_demux_002_src0_endofpacket; // rsp_demux_002:src0_endofpacket -> rsp_mux:sink2_endofpacket wire rsp_demux_002_src1_valid; // rsp_demux_002:src1_valid -> rsp_mux_001:sink0_valid - wire [93:0] rsp_demux_002_src1_data; // rsp_demux_002:src1_data -> rsp_mux_001:sink0_data + wire [91:0] rsp_demux_002_src1_data; // rsp_demux_002:src1_data -> rsp_mux_001:sink0_data wire rsp_demux_002_src1_ready; // rsp_mux_001:sink0_ready -> rsp_demux_002:src1_ready wire [5:0] rsp_demux_002_src1_channel; // rsp_demux_002:src1_channel -> rsp_mux_001:sink0_channel wire rsp_demux_002_src1_startofpacket; // rsp_demux_002:src1_startofpacket -> rsp_mux_001:sink0_startofpacket wire rsp_demux_002_src1_endofpacket; // rsp_demux_002:src1_endofpacket -> rsp_mux_001:sink0_endofpacket wire rsp_demux_003_src0_valid; // rsp_demux_003:src0_valid -> rsp_mux:sink3_valid - wire [93:0] rsp_demux_003_src0_data; // rsp_demux_003:src0_data -> rsp_mux:sink3_data + wire [91:0] rsp_demux_003_src0_data; // rsp_demux_003:src0_data -> rsp_mux:sink3_data wire rsp_demux_003_src0_ready; // rsp_mux:sink3_ready -> rsp_demux_003:src0_ready wire [5:0] rsp_demux_003_src0_channel; // rsp_demux_003:src0_channel -> rsp_mux:sink3_channel wire rsp_demux_003_src0_startofpacket; // rsp_demux_003:src0_startofpacket -> rsp_mux:sink3_startofpacket wire rsp_demux_003_src0_endofpacket; // rsp_demux_003:src0_endofpacket -> rsp_mux:sink3_endofpacket wire rsp_demux_004_src0_valid; // rsp_demux_004:src0_valid -> rsp_mux:sink4_valid - wire [93:0] rsp_demux_004_src0_data; // rsp_demux_004:src0_data -> rsp_mux:sink4_data + wire [91:0] rsp_demux_004_src0_data; // rsp_demux_004:src0_data -> rsp_mux:sink4_data wire rsp_demux_004_src0_ready; // rsp_mux:sink4_ready -> rsp_demux_004:src0_ready wire [5:0] rsp_demux_004_src0_channel; // rsp_demux_004:src0_channel -> rsp_mux:sink4_channel wire rsp_demux_004_src0_startofpacket; // rsp_demux_004:src0_startofpacket -> rsp_mux:sink4_startofpacket wire rsp_demux_004_src0_endofpacket; // rsp_demux_004:src0_endofpacket -> rsp_mux:sink4_endofpacket wire rsp_demux_005_src0_valid; // rsp_demux_005:src0_valid -> rsp_mux_001:sink1_valid - wire [93:0] rsp_demux_005_src0_data; // rsp_demux_005:src0_data -> rsp_mux_001:sink1_data + wire [91:0] rsp_demux_005_src0_data; // rsp_demux_005:src0_data -> rsp_mux_001:sink1_data wire rsp_demux_005_src0_ready; // rsp_mux_001:sink1_ready -> rsp_demux_005:src0_ready wire [5:0] rsp_demux_005_src0_channel; // rsp_demux_005:src0_channel -> rsp_mux_001:sink1_channel wire rsp_demux_005_src0_startofpacket; // rsp_demux_005:src0_startofpacket -> rsp_mux_001:sink1_startofpacket @@ -471,11 +471,11 @@ module niosII_mm_interconnect_0 ( wire [0:0] avalon_st_adapter_005_out_0_error; // avalon_st_adapter_005:out_0_error -> mem_s1_agent:rdata_fifo_sink_error altera_merlin_master_translator #( - .AV_ADDRESS_W (18), + .AV_ADDRESS_W (16), .AV_DATA_W (32), .AV_BURSTCOUNT_W (1), .AV_BYTEENABLE_W (4), - .UAV_ADDRESS_W (18), + .UAV_ADDRESS_W (16), .UAV_BURSTCOUNT_W (3), .USE_READ (1), .USE_WRITE (1), @@ -531,11 +531,11 @@ module niosII_mm_interconnect_0 ( ); altera_merlin_master_translator #( - .AV_ADDRESS_W (18), + .AV_ADDRESS_W (16), .AV_DATA_W (32), .AV_BURSTCOUNT_W (1), .AV_BYTEENABLE_W (4), - .UAV_ADDRESS_W (18), + .UAV_ADDRESS_W (16), .UAV_BURSTCOUNT_W (3), .USE_READ (1), .USE_WRITE (0), @@ -597,7 +597,7 @@ module niosII_mm_interconnect_0 ( .AV_BURSTCOUNT_W (1), .AV_BYTEENABLE_W (1), .UAV_BYTEENABLE_W (4), - .UAV_ADDRESS_W (18), + .UAV_ADDRESS_W (16), .UAV_BURSTCOUNT_W (3), .AV_READLATENCY (0), .USE_READDATAVALID (0), @@ -661,7 +661,7 @@ module niosII_mm_interconnect_0 ( .AV_BURSTCOUNT_W (1), .AV_BYTEENABLE_W (4), .UAV_BYTEENABLE_W (4), - .UAV_ADDRESS_W (18), + .UAV_ADDRESS_W (16), .UAV_BURSTCOUNT_W (3), .AV_READLATENCY (0), .USE_READDATAVALID (0), @@ -725,7 +725,7 @@ module niosII_mm_interconnect_0 ( .AV_BURSTCOUNT_W (1), .AV_BYTEENABLE_W (4), .UAV_BYTEENABLE_W (4), - .UAV_ADDRESS_W (18), + .UAV_ADDRESS_W (16), .UAV_BURSTCOUNT_W (3), .AV_READLATENCY (0), .USE_READDATAVALID (0), @@ -789,7 +789,7 @@ module niosII_mm_interconnect_0 ( .AV_BURSTCOUNT_W (1), .AV_BYTEENABLE_W (1), .UAV_BYTEENABLE_W (4), - .UAV_ADDRESS_W (18), + .UAV_ADDRESS_W (16), .UAV_BURSTCOUNT_W (3), .AV_READLATENCY (0), .USE_READDATAVALID (0), @@ -847,13 +847,13 @@ module niosII_mm_interconnect_0 ( ); altera_merlin_slave_translator #( - .AV_ADDRESS_W (15), + .AV_ADDRESS_W (13), .AV_DATA_W (32), .UAV_DATA_W (32), .AV_BURSTCOUNT_W (1), .AV_BYTEENABLE_W (4), .UAV_BYTEENABLE_W (4), - .UAV_ADDRESS_W (18), + .UAV_ADDRESS_W (16), .UAV_BURSTCOUNT_W (3), .AV_READLATENCY (1), .USE_READDATAVALID (0), @@ -911,13 +911,13 @@ module niosII_mm_interconnect_0 ( ); altera_merlin_slave_translator #( - .AV_ADDRESS_W (15), + .AV_ADDRESS_W (13), .AV_DATA_W (32), .UAV_DATA_W (32), .AV_BURSTCOUNT_W (1), .AV_BYTEENABLE_W (4), .UAV_BYTEENABLE_W (4), - .UAV_ADDRESS_W (18), + .UAV_ADDRESS_W (16), .UAV_BURSTCOUNT_W (3), .AV_READLATENCY (1), .USE_READDATAVALID (0), @@ -975,48 +975,48 @@ module niosII_mm_interconnect_0 ( ); altera_merlin_master_agent #( - .PKT_ORI_BURST_SIZE_H (93), - .PKT_ORI_BURST_SIZE_L (91), - .PKT_RESPONSE_STATUS_H (90), - .PKT_RESPONSE_STATUS_L (89), - .PKT_QOS_H (74), - .PKT_QOS_L (74), - .PKT_DATA_SIDEBAND_H (72), - .PKT_DATA_SIDEBAND_L (72), - .PKT_ADDR_SIDEBAND_H (71), - .PKT_ADDR_SIDEBAND_L (71), - .PKT_BURST_TYPE_H (70), - .PKT_BURST_TYPE_L (69), - .PKT_CACHE_H (88), - .PKT_CACHE_L (85), - .PKT_THREAD_ID_H (81), - .PKT_THREAD_ID_L (81), - .PKT_BURST_SIZE_H (68), - .PKT_BURST_SIZE_L (66), - .PKT_TRANS_EXCLUSIVE (59), - .PKT_TRANS_LOCK (58), - .PKT_BEGIN_BURST (73), - .PKT_PROTECTION_H (84), - .PKT_PROTECTION_L (82), - .PKT_BURSTWRAP_H (65), - .PKT_BURSTWRAP_L (63), - .PKT_BYTE_CNT_H (62), - .PKT_BYTE_CNT_L (60), - .PKT_ADDR_H (53), + .PKT_ORI_BURST_SIZE_H (91), + .PKT_ORI_BURST_SIZE_L (89), + .PKT_RESPONSE_STATUS_H (88), + .PKT_RESPONSE_STATUS_L (87), + .PKT_QOS_H (72), + .PKT_QOS_L (72), + .PKT_DATA_SIDEBAND_H (70), + .PKT_DATA_SIDEBAND_L (70), + .PKT_ADDR_SIDEBAND_H (69), + .PKT_ADDR_SIDEBAND_L (69), + .PKT_BURST_TYPE_H (68), + .PKT_BURST_TYPE_L (67), + .PKT_CACHE_H (86), + .PKT_CACHE_L (83), + .PKT_THREAD_ID_H (79), + .PKT_THREAD_ID_L (79), + .PKT_BURST_SIZE_H (66), + .PKT_BURST_SIZE_L (64), + .PKT_TRANS_EXCLUSIVE (57), + .PKT_TRANS_LOCK (56), + .PKT_BEGIN_BURST (71), + .PKT_PROTECTION_H (82), + .PKT_PROTECTION_L (80), + .PKT_BURSTWRAP_H (63), + .PKT_BURSTWRAP_L (61), + .PKT_BYTE_CNT_H (60), + .PKT_BYTE_CNT_L (58), + .PKT_ADDR_H (51), .PKT_ADDR_L (36), - .PKT_TRANS_COMPRESSED_READ (54), - .PKT_TRANS_POSTED (55), - .PKT_TRANS_WRITE (56), - .PKT_TRANS_READ (57), + .PKT_TRANS_COMPRESSED_READ (52), + .PKT_TRANS_POSTED (53), + .PKT_TRANS_WRITE (54), + .PKT_TRANS_READ (55), .PKT_DATA_H (31), .PKT_DATA_L (0), .PKT_BYTEEN_H (35), .PKT_BYTEEN_L (32), - .PKT_SRC_ID_H (77), - .PKT_SRC_ID_L (75), - .PKT_DEST_ID_H (80), - .PKT_DEST_ID_L (78), - .ST_DATA_W (94), + .PKT_SRC_ID_H (75), + .PKT_SRC_ID_L (73), + .PKT_DEST_ID_H (78), + .PKT_DEST_ID_L (76), + .ST_DATA_W (92), .ST_CHANNEL_W (6), .AV_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_RSP (0), @@ -1056,48 +1056,48 @@ module niosII_mm_interconnect_0 ( ); altera_merlin_master_agent #( - .PKT_ORI_BURST_SIZE_H (93), - .PKT_ORI_BURST_SIZE_L (91), - .PKT_RESPONSE_STATUS_H (90), - .PKT_RESPONSE_STATUS_L (89), - .PKT_QOS_H (74), - .PKT_QOS_L (74), - .PKT_DATA_SIDEBAND_H (72), - .PKT_DATA_SIDEBAND_L (72), - .PKT_ADDR_SIDEBAND_H (71), - .PKT_ADDR_SIDEBAND_L (71), - .PKT_BURST_TYPE_H (70), - .PKT_BURST_TYPE_L (69), - .PKT_CACHE_H (88), - .PKT_CACHE_L (85), - .PKT_THREAD_ID_H (81), - .PKT_THREAD_ID_L (81), - .PKT_BURST_SIZE_H (68), - .PKT_BURST_SIZE_L (66), - .PKT_TRANS_EXCLUSIVE (59), - .PKT_TRANS_LOCK (58), - .PKT_BEGIN_BURST (73), - .PKT_PROTECTION_H (84), - .PKT_PROTECTION_L (82), - .PKT_BURSTWRAP_H (65), - .PKT_BURSTWRAP_L (63), - .PKT_BYTE_CNT_H (62), - .PKT_BYTE_CNT_L (60), - .PKT_ADDR_H (53), + .PKT_ORI_BURST_SIZE_H (91), + .PKT_ORI_BURST_SIZE_L (89), + .PKT_RESPONSE_STATUS_H (88), + .PKT_RESPONSE_STATUS_L (87), + .PKT_QOS_H (72), + .PKT_QOS_L (72), + .PKT_DATA_SIDEBAND_H (70), + .PKT_DATA_SIDEBAND_L (70), + .PKT_ADDR_SIDEBAND_H (69), + .PKT_ADDR_SIDEBAND_L (69), + .PKT_BURST_TYPE_H (68), + .PKT_BURST_TYPE_L (67), + .PKT_CACHE_H (86), + .PKT_CACHE_L (83), + .PKT_THREAD_ID_H (79), + .PKT_THREAD_ID_L (79), + .PKT_BURST_SIZE_H (66), + .PKT_BURST_SIZE_L (64), + .PKT_TRANS_EXCLUSIVE (57), + .PKT_TRANS_LOCK (56), + .PKT_BEGIN_BURST (71), + .PKT_PROTECTION_H (82), + .PKT_PROTECTION_L (80), + .PKT_BURSTWRAP_H (63), + .PKT_BURSTWRAP_L (61), + .PKT_BYTE_CNT_H (60), + .PKT_BYTE_CNT_L (58), + .PKT_ADDR_H (51), .PKT_ADDR_L (36), - .PKT_TRANS_COMPRESSED_READ (54), - .PKT_TRANS_POSTED (55), - .PKT_TRANS_WRITE (56), - .PKT_TRANS_READ (57), + .PKT_TRANS_COMPRESSED_READ (52), + .PKT_TRANS_POSTED (53), + .PKT_TRANS_WRITE (54), + .PKT_TRANS_READ (55), .PKT_DATA_H (31), .PKT_DATA_L (0), .PKT_BYTEEN_H (35), .PKT_BYTEEN_L (32), - .PKT_SRC_ID_H (77), - .PKT_SRC_ID_L (75), - .PKT_DEST_ID_H (80), - .PKT_DEST_ID_L (78), - .ST_DATA_W (94), + .PKT_SRC_ID_H (75), + .PKT_SRC_ID_L (73), + .PKT_DEST_ID_H (78), + .PKT_DEST_ID_L (76), + .ST_DATA_W (92), .ST_CHANNEL_W (6), .AV_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_RSP (0), @@ -1137,37 +1137,37 @@ module niosII_mm_interconnect_0 ( ); altera_merlin_slave_agent #( - .PKT_ORI_BURST_SIZE_H (93), - .PKT_ORI_BURST_SIZE_L (91), - .PKT_RESPONSE_STATUS_H (90), - .PKT_RESPONSE_STATUS_L (89), - .PKT_BURST_SIZE_H (68), - .PKT_BURST_SIZE_L (66), - .PKT_TRANS_LOCK (58), - .PKT_BEGIN_BURST (73), - .PKT_PROTECTION_H (84), - .PKT_PROTECTION_L (82), - .PKT_BURSTWRAP_H (65), - .PKT_BURSTWRAP_L (63), - .PKT_BYTE_CNT_H (62), - .PKT_BYTE_CNT_L (60), - .PKT_ADDR_H (53), + .PKT_ORI_BURST_SIZE_H (91), + .PKT_ORI_BURST_SIZE_L (89), + .PKT_RESPONSE_STATUS_H (88), + .PKT_RESPONSE_STATUS_L (87), + .PKT_BURST_SIZE_H (66), + .PKT_BURST_SIZE_L (64), + .PKT_TRANS_LOCK (56), + .PKT_BEGIN_BURST (71), + .PKT_PROTECTION_H (82), + .PKT_PROTECTION_L (80), + .PKT_BURSTWRAP_H (63), + .PKT_BURSTWRAP_L (61), + .PKT_BYTE_CNT_H (60), + .PKT_BYTE_CNT_L (58), + .PKT_ADDR_H (51), .PKT_ADDR_L (36), - .PKT_TRANS_COMPRESSED_READ (54), - .PKT_TRANS_POSTED (55), - .PKT_TRANS_WRITE (56), - .PKT_TRANS_READ (57), + .PKT_TRANS_COMPRESSED_READ (52), + .PKT_TRANS_POSTED (53), + .PKT_TRANS_WRITE (54), + .PKT_TRANS_READ (55), .PKT_DATA_H (31), .PKT_DATA_L (0), .PKT_BYTEEN_H (35), .PKT_BYTEEN_L (32), - .PKT_SRC_ID_H (77), - .PKT_SRC_ID_L (75), - .PKT_DEST_ID_H (80), - .PKT_DEST_ID_L (78), + .PKT_SRC_ID_H (75), + .PKT_SRC_ID_L (73), + .PKT_DEST_ID_H (78), + .PKT_DEST_ID_L (76), .PKT_SYMBOL_W (8), .ST_CHANNEL_W (6), - .ST_DATA_W (94), + .ST_DATA_W (92), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), .PREVENT_FIFO_OVERFLOW (1), @@ -1222,7 +1222,7 @@ module niosII_mm_interconnect_0 ( altera_avalon_sc_fifo #( .SYMBOLS_PER_BEAT (1), - .BITS_PER_SYMBOL (95), + .BITS_PER_SYMBOL (93), .FIFO_DEPTH (2), .CHANNEL_WIDTH (0), .ERROR_WIDTH (0), @@ -1262,37 +1262,37 @@ module niosII_mm_interconnect_0 ( ); altera_merlin_slave_agent #( - .PKT_ORI_BURST_SIZE_H (93), - .PKT_ORI_BURST_SIZE_L (91), - .PKT_RESPONSE_STATUS_H (90), - .PKT_RESPONSE_STATUS_L (89), - .PKT_BURST_SIZE_H (68), - .PKT_BURST_SIZE_L (66), - .PKT_TRANS_LOCK (58), - .PKT_BEGIN_BURST (73), - .PKT_PROTECTION_H (84), - .PKT_PROTECTION_L (82), - .PKT_BURSTWRAP_H (65), - .PKT_BURSTWRAP_L (63), - .PKT_BYTE_CNT_H (62), - .PKT_BYTE_CNT_L (60), - .PKT_ADDR_H (53), + .PKT_ORI_BURST_SIZE_H (91), + .PKT_ORI_BURST_SIZE_L (89), + .PKT_RESPONSE_STATUS_H (88), + .PKT_RESPONSE_STATUS_L (87), + .PKT_BURST_SIZE_H (66), + .PKT_BURST_SIZE_L (64), + .PKT_TRANS_LOCK (56), + .PKT_BEGIN_BURST (71), + .PKT_PROTECTION_H (82), + .PKT_PROTECTION_L (80), + .PKT_BURSTWRAP_H (63), + .PKT_BURSTWRAP_L (61), + .PKT_BYTE_CNT_H (60), + .PKT_BYTE_CNT_L (58), + .PKT_ADDR_H (51), .PKT_ADDR_L (36), - .PKT_TRANS_COMPRESSED_READ (54), - .PKT_TRANS_POSTED (55), - .PKT_TRANS_WRITE (56), - .PKT_TRANS_READ (57), + .PKT_TRANS_COMPRESSED_READ (52), + .PKT_TRANS_POSTED (53), + .PKT_TRANS_WRITE (54), + .PKT_TRANS_READ (55), .PKT_DATA_H (31), .PKT_DATA_L (0), .PKT_BYTEEN_H (35), .PKT_BYTEEN_L (32), - .PKT_SRC_ID_H (77), - .PKT_SRC_ID_L (75), - .PKT_DEST_ID_H (80), - .PKT_DEST_ID_L (78), + .PKT_SRC_ID_H (75), + .PKT_SRC_ID_L (73), + .PKT_DEST_ID_H (78), + .PKT_DEST_ID_L (76), .PKT_SYMBOL_W (8), .ST_CHANNEL_W (6), - .ST_DATA_W (94), + .ST_DATA_W (92), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), .PREVENT_FIFO_OVERFLOW (1), @@ -1347,7 +1347,7 @@ module niosII_mm_interconnect_0 ( altera_avalon_sc_fifo #( .SYMBOLS_PER_BEAT (1), - .BITS_PER_SYMBOL (95), + .BITS_PER_SYMBOL (93), .FIFO_DEPTH (2), .CHANNEL_WIDTH (0), .ERROR_WIDTH (0), @@ -1387,37 +1387,37 @@ module niosII_mm_interconnect_0 ( ); altera_merlin_slave_agent #( - .PKT_ORI_BURST_SIZE_H (93), - .PKT_ORI_BURST_SIZE_L (91), - .PKT_RESPONSE_STATUS_H (90), - .PKT_RESPONSE_STATUS_L (89), - .PKT_BURST_SIZE_H (68), - .PKT_BURST_SIZE_L (66), - .PKT_TRANS_LOCK (58), - .PKT_BEGIN_BURST (73), - .PKT_PROTECTION_H (84), - .PKT_PROTECTION_L (82), - .PKT_BURSTWRAP_H (65), - .PKT_BURSTWRAP_L (63), - .PKT_BYTE_CNT_H (62), - .PKT_BYTE_CNT_L (60), - .PKT_ADDR_H (53), + .PKT_ORI_BURST_SIZE_H (91), + .PKT_ORI_BURST_SIZE_L (89), + .PKT_RESPONSE_STATUS_H (88), + .PKT_RESPONSE_STATUS_L (87), + .PKT_BURST_SIZE_H (66), + .PKT_BURST_SIZE_L (64), + .PKT_TRANS_LOCK (56), + .PKT_BEGIN_BURST (71), + .PKT_PROTECTION_H (82), + .PKT_PROTECTION_L (80), + .PKT_BURSTWRAP_H (63), + .PKT_BURSTWRAP_L (61), + .PKT_BYTE_CNT_H (60), + .PKT_BYTE_CNT_L (58), + .PKT_ADDR_H (51), .PKT_ADDR_L (36), - .PKT_TRANS_COMPRESSED_READ (54), - .PKT_TRANS_POSTED (55), - .PKT_TRANS_WRITE (56), - .PKT_TRANS_READ (57), + .PKT_TRANS_COMPRESSED_READ (52), + .PKT_TRANS_POSTED (53), + .PKT_TRANS_WRITE (54), + .PKT_TRANS_READ (55), .PKT_DATA_H (31), .PKT_DATA_L (0), .PKT_BYTEEN_H (35), .PKT_BYTEEN_L (32), - .PKT_SRC_ID_H (77), - .PKT_SRC_ID_L (75), - .PKT_DEST_ID_H (80), - .PKT_DEST_ID_L (78), + .PKT_SRC_ID_H (75), + .PKT_SRC_ID_L (73), + .PKT_DEST_ID_H (78), + .PKT_DEST_ID_L (76), .PKT_SYMBOL_W (8), .ST_CHANNEL_W (6), - .ST_DATA_W (94), + .ST_DATA_W (92), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), .PREVENT_FIFO_OVERFLOW (1), @@ -1472,7 +1472,7 @@ module niosII_mm_interconnect_0 ( altera_avalon_sc_fifo #( .SYMBOLS_PER_BEAT (1), - .BITS_PER_SYMBOL (95), + .BITS_PER_SYMBOL (93), .FIFO_DEPTH (2), .CHANNEL_WIDTH (0), .ERROR_WIDTH (0), @@ -1512,37 +1512,37 @@ module niosII_mm_interconnect_0 ( ); altera_merlin_slave_agent #( - .PKT_ORI_BURST_SIZE_H (93), - .PKT_ORI_BURST_SIZE_L (91), - .PKT_RESPONSE_STATUS_H (90), - .PKT_RESPONSE_STATUS_L (89), - .PKT_BURST_SIZE_H (68), - .PKT_BURST_SIZE_L (66), - .PKT_TRANS_LOCK (58), - .PKT_BEGIN_BURST (73), - .PKT_PROTECTION_H (84), - .PKT_PROTECTION_L (82), - .PKT_BURSTWRAP_H (65), - .PKT_BURSTWRAP_L (63), - .PKT_BYTE_CNT_H (62), - .PKT_BYTE_CNT_L (60), - .PKT_ADDR_H (53), + .PKT_ORI_BURST_SIZE_H (91), + .PKT_ORI_BURST_SIZE_L (89), + .PKT_RESPONSE_STATUS_H (88), + .PKT_RESPONSE_STATUS_L (87), + .PKT_BURST_SIZE_H (66), + .PKT_BURST_SIZE_L (64), + .PKT_TRANS_LOCK (56), + .PKT_BEGIN_BURST (71), + .PKT_PROTECTION_H (82), + .PKT_PROTECTION_L (80), + .PKT_BURSTWRAP_H (63), + .PKT_BURSTWRAP_L (61), + .PKT_BYTE_CNT_H (60), + .PKT_BYTE_CNT_L (58), + .PKT_ADDR_H (51), .PKT_ADDR_L (36), - .PKT_TRANS_COMPRESSED_READ (54), - .PKT_TRANS_POSTED (55), - .PKT_TRANS_WRITE (56), - .PKT_TRANS_READ (57), + .PKT_TRANS_COMPRESSED_READ (52), + .PKT_TRANS_POSTED (53), + .PKT_TRANS_WRITE (54), + .PKT_TRANS_READ (55), .PKT_DATA_H (31), .PKT_DATA_L (0), .PKT_BYTEEN_H (35), .PKT_BYTEEN_L (32), - .PKT_SRC_ID_H (77), - .PKT_SRC_ID_L (75), - .PKT_DEST_ID_H (80), - .PKT_DEST_ID_L (78), + .PKT_SRC_ID_H (75), + .PKT_SRC_ID_L (73), + .PKT_DEST_ID_H (78), + .PKT_DEST_ID_L (76), .PKT_SYMBOL_W (8), .ST_CHANNEL_W (6), - .ST_DATA_W (94), + .ST_DATA_W (92), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), .PREVENT_FIFO_OVERFLOW (1), @@ -1597,7 +1597,7 @@ module niosII_mm_interconnect_0 ( altera_avalon_sc_fifo #( .SYMBOLS_PER_BEAT (1), - .BITS_PER_SYMBOL (95), + .BITS_PER_SYMBOL (93), .FIFO_DEPTH (2), .CHANNEL_WIDTH (0), .ERROR_WIDTH (0), @@ -1637,37 +1637,37 @@ module niosII_mm_interconnect_0 ( ); altera_merlin_slave_agent #( - .PKT_ORI_BURST_SIZE_H (93), - .PKT_ORI_BURST_SIZE_L (91), - .PKT_RESPONSE_STATUS_H (90), - .PKT_RESPONSE_STATUS_L (89), - .PKT_BURST_SIZE_H (68), - .PKT_BURST_SIZE_L (66), - .PKT_TRANS_LOCK (58), - .PKT_BEGIN_BURST (73), - .PKT_PROTECTION_H (84), - .PKT_PROTECTION_L (82), - .PKT_BURSTWRAP_H (65), - .PKT_BURSTWRAP_L (63), - .PKT_BYTE_CNT_H (62), - .PKT_BYTE_CNT_L (60), - .PKT_ADDR_H (53), + .PKT_ORI_BURST_SIZE_H (91), + .PKT_ORI_BURST_SIZE_L (89), + .PKT_RESPONSE_STATUS_H (88), + .PKT_RESPONSE_STATUS_L (87), + .PKT_BURST_SIZE_H (66), + .PKT_BURST_SIZE_L (64), + .PKT_TRANS_LOCK (56), + .PKT_BEGIN_BURST (71), + .PKT_PROTECTION_H (82), + .PKT_PROTECTION_L (80), + .PKT_BURSTWRAP_H (63), + .PKT_BURSTWRAP_L (61), + .PKT_BYTE_CNT_H (60), + .PKT_BYTE_CNT_L (58), + .PKT_ADDR_H (51), .PKT_ADDR_L (36), - .PKT_TRANS_COMPRESSED_READ (54), - .PKT_TRANS_POSTED (55), - .PKT_TRANS_WRITE (56), - .PKT_TRANS_READ (57), + .PKT_TRANS_COMPRESSED_READ (52), + .PKT_TRANS_POSTED (53), + .PKT_TRANS_WRITE (54), + .PKT_TRANS_READ (55), .PKT_DATA_H (31), .PKT_DATA_L (0), .PKT_BYTEEN_H (35), .PKT_BYTEEN_L (32), - .PKT_SRC_ID_H (77), - .PKT_SRC_ID_L (75), - .PKT_DEST_ID_H (80), - .PKT_DEST_ID_L (78), + .PKT_SRC_ID_H (75), + .PKT_SRC_ID_L (73), + .PKT_DEST_ID_H (78), + .PKT_DEST_ID_L (76), .PKT_SYMBOL_W (8), .ST_CHANNEL_W (6), - .ST_DATA_W (94), + .ST_DATA_W (92), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), .PREVENT_FIFO_OVERFLOW (1), @@ -1722,7 +1722,7 @@ module niosII_mm_interconnect_0 ( altera_avalon_sc_fifo #( .SYMBOLS_PER_BEAT (1), - .BITS_PER_SYMBOL (95), + .BITS_PER_SYMBOL (93), .FIFO_DEPTH (2), .CHANNEL_WIDTH (0), .ERROR_WIDTH (0), @@ -1762,37 +1762,37 @@ module niosII_mm_interconnect_0 ( ); altera_merlin_slave_agent #( - .PKT_ORI_BURST_SIZE_H (93), - .PKT_ORI_BURST_SIZE_L (91), - .PKT_RESPONSE_STATUS_H (90), - .PKT_RESPONSE_STATUS_L (89), - .PKT_BURST_SIZE_H (68), - .PKT_BURST_SIZE_L (66), - .PKT_TRANS_LOCK (58), - .PKT_BEGIN_BURST (73), - .PKT_PROTECTION_H (84), - .PKT_PROTECTION_L (82), - .PKT_BURSTWRAP_H (65), - .PKT_BURSTWRAP_L (63), - .PKT_BYTE_CNT_H (62), - .PKT_BYTE_CNT_L (60), - .PKT_ADDR_H (53), + .PKT_ORI_BURST_SIZE_H (91), + .PKT_ORI_BURST_SIZE_L (89), + .PKT_RESPONSE_STATUS_H (88), + .PKT_RESPONSE_STATUS_L (87), + .PKT_BURST_SIZE_H (66), + .PKT_BURST_SIZE_L (64), + .PKT_TRANS_LOCK (56), + .PKT_BEGIN_BURST (71), + .PKT_PROTECTION_H (82), + .PKT_PROTECTION_L (80), + .PKT_BURSTWRAP_H (63), + .PKT_BURSTWRAP_L (61), + .PKT_BYTE_CNT_H (60), + .PKT_BYTE_CNT_L (58), + .PKT_ADDR_H (51), .PKT_ADDR_L (36), - .PKT_TRANS_COMPRESSED_READ (54), - .PKT_TRANS_POSTED (55), - .PKT_TRANS_WRITE (56), - .PKT_TRANS_READ (57), + .PKT_TRANS_COMPRESSED_READ (52), + .PKT_TRANS_POSTED (53), + .PKT_TRANS_WRITE (54), + .PKT_TRANS_READ (55), .PKT_DATA_H (31), .PKT_DATA_L (0), .PKT_BYTEEN_H (35), .PKT_BYTEEN_L (32), - .PKT_SRC_ID_H (77), - .PKT_SRC_ID_L (75), - .PKT_DEST_ID_H (80), - .PKT_DEST_ID_L (78), + .PKT_SRC_ID_H (75), + .PKT_SRC_ID_L (73), + .PKT_DEST_ID_H (78), + .PKT_DEST_ID_L (76), .PKT_SYMBOL_W (8), .ST_CHANNEL_W (6), - .ST_DATA_W (94), + .ST_DATA_W (92), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), .PREVENT_FIFO_OVERFLOW (1), @@ -1847,7 +1847,7 @@ module niosII_mm_interconnect_0 ( altera_avalon_sc_fifo #( .SYMBOLS_PER_BEAT (1), - .BITS_PER_SYMBOL (95), + .BITS_PER_SYMBOL (93), .FIFO_DEPTH (2), .CHANNEL_WIDTH (0), .ERROR_WIDTH (0), diff --git a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_cmd_demux.sv b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_cmd_demux.sv index 799ef44..f776f81 100644 --- a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_cmd_demux.sv +++ b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_cmd_demux.sv @@ -28,7 +28,7 @@ // ------------------------------------------ // Generation parameters: // output_name: niosII_mm_interconnect_0_cmd_demux -// ST_DATA_W: 94 +// ST_DATA_W: 92 // ST_CHANNEL_W: 6 // NUM_OUTPUTS: 5 // VALID_WIDTH: 1 @@ -46,7 +46,7 @@ module niosII_mm_interconnect_0_cmd_demux // Sink // ------------------- input [1-1 : 0] sink_valid, - input [94-1 : 0] sink_data, // ST_DATA_W=94 + input [92-1 : 0] sink_data, // ST_DATA_W=92 input [6-1 : 0] sink_channel, // ST_CHANNEL_W=6 input sink_startofpacket, input sink_endofpacket, @@ -56,35 +56,35 @@ module niosII_mm_interconnect_0_cmd_demux // Sources // ------------------- output reg src0_valid, - output reg [94-1 : 0] src0_data, // ST_DATA_W=94 + output reg [92-1 : 0] src0_data, // ST_DATA_W=92 output reg [6-1 : 0] src0_channel, // ST_CHANNEL_W=6 output reg src0_startofpacket, output reg src0_endofpacket, input src0_ready, output reg src1_valid, - output reg [94-1 : 0] src1_data, // ST_DATA_W=94 + output reg [92-1 : 0] src1_data, // ST_DATA_W=92 output reg [6-1 : 0] src1_channel, // ST_CHANNEL_W=6 output reg src1_startofpacket, output reg src1_endofpacket, input src1_ready, output reg src2_valid, - output reg [94-1 : 0] src2_data, // ST_DATA_W=94 + output reg [92-1 : 0] src2_data, // ST_DATA_W=92 output reg [6-1 : 0] src2_channel, // ST_CHANNEL_W=6 output reg src2_startofpacket, output reg src2_endofpacket, input src2_ready, output reg src3_valid, - output reg [94-1 : 0] src3_data, // ST_DATA_W=94 + output reg [92-1 : 0] src3_data, // ST_DATA_W=92 output reg [6-1 : 0] src3_channel, // ST_CHANNEL_W=6 output reg src3_startofpacket, output reg src3_endofpacket, input src3_ready, output reg src4_valid, - output reg [94-1 : 0] src4_data, // ST_DATA_W=94 + output reg [92-1 : 0] src4_data, // ST_DATA_W=92 output reg [6-1 : 0] src4_channel, // ST_CHANNEL_W=6 output reg src4_startofpacket, output reg src4_endofpacket, diff --git a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_cmd_demux_001.sv b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_cmd_demux_001.sv index 7f91317..084d9d6 100644 --- a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_cmd_demux_001.sv +++ b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_cmd_demux_001.sv @@ -28,7 +28,7 @@ // ------------------------------------------ // Generation parameters: // output_name: niosII_mm_interconnect_0_cmd_demux_001 -// ST_DATA_W: 94 +// ST_DATA_W: 92 // ST_CHANNEL_W: 6 // NUM_OUTPUTS: 2 // VALID_WIDTH: 1 @@ -46,7 +46,7 @@ module niosII_mm_interconnect_0_cmd_demux_001 // Sink // ------------------- input [1-1 : 0] sink_valid, - input [94-1 : 0] sink_data, // ST_DATA_W=94 + input [92-1 : 0] sink_data, // ST_DATA_W=92 input [6-1 : 0] sink_channel, // ST_CHANNEL_W=6 input sink_startofpacket, input sink_endofpacket, @@ -56,14 +56,14 @@ module niosII_mm_interconnect_0_cmd_demux_001 // Sources // ------------------- output reg src0_valid, - output reg [94-1 : 0] src0_data, // ST_DATA_W=94 + output reg [92-1 : 0] src0_data, // ST_DATA_W=92 output reg [6-1 : 0] src0_channel, // ST_CHANNEL_W=6 output reg src0_startofpacket, output reg src0_endofpacket, input src0_ready, output reg src1_valid, - output reg [94-1 : 0] src1_data, // ST_DATA_W=94 + output reg [92-1 : 0] src1_data, // ST_DATA_W=92 output reg [6-1 : 0] src1_channel, // ST_CHANNEL_W=6 output reg src1_startofpacket, output reg src1_endofpacket, diff --git a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_cmd_mux.sv b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_cmd_mux.sv index bcf7695..ae02bff 100644 --- a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_cmd_mux.sv +++ b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_cmd_mux.sv @@ -43,8 +43,8 @@ // ARBITRATION_SHARES: 1 // ARBITRATION_SCHEME "round-robin" // PIPELINE_ARB: 1 -// PKT_TRANS_LOCK: 58 (arbitration locking enabled) -// ST_DATA_W: 94 +// PKT_TRANS_LOCK: 56 (arbitration locking enabled) +// ST_DATA_W: 92 // ST_CHANNEL_W: 6 // ------------------------------------------ @@ -54,7 +54,7 @@ module niosII_mm_interconnect_0_cmd_mux // Sinks // ---------------------- input sink0_valid, - input [94-1 : 0] sink0_data, + input [92-1 : 0] sink0_data, input [6-1: 0] sink0_channel, input sink0_startofpacket, input sink0_endofpacket, @@ -65,7 +65,7 @@ module niosII_mm_interconnect_0_cmd_mux // Source // ---------------------- output src_valid, - output [94-1 : 0] src_data, + output [92-1 : 0] src_data, output [6-1 : 0] src_channel, output src_startofpacket, output src_endofpacket, @@ -77,13 +77,13 @@ module niosII_mm_interconnect_0_cmd_mux input clk, input reset ); - localparam PAYLOAD_W = 94 + 6 + 2; + localparam PAYLOAD_W = 92 + 6 + 2; localparam NUM_INPUTS = 1; localparam SHARE_COUNTER_W = 1; localparam PIPELINE_ARB = 1; - localparam ST_DATA_W = 94; + localparam ST_DATA_W = 92; localparam ST_CHANNEL_W = 6; - localparam PKT_TRANS_LOCK = 58; + localparam PKT_TRANS_LOCK = 56; assign src_valid = sink0_valid; assign src_data = sink0_data; diff --git a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_cmd_mux_002.sv b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_cmd_mux_002.sv index 97666d8..de66ae4 100644 --- a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_cmd_mux_002.sv +++ b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_cmd_mux_002.sv @@ -43,8 +43,8 @@ // ARBITRATION_SHARES: 1 1 // ARBITRATION_SCHEME "round-robin" // PIPELINE_ARB: 1 -// PKT_TRANS_LOCK: 58 (arbitration locking enabled) -// ST_DATA_W: 94 +// PKT_TRANS_LOCK: 56 (arbitration locking enabled) +// ST_DATA_W: 92 // ST_CHANNEL_W: 6 // ------------------------------------------ @@ -54,14 +54,14 @@ module niosII_mm_interconnect_0_cmd_mux_002 // Sinks // ---------------------- input sink0_valid, - input [94-1 : 0] sink0_data, + input [92-1 : 0] sink0_data, input [6-1: 0] sink0_channel, input sink0_startofpacket, input sink0_endofpacket, output sink0_ready, input sink1_valid, - input [94-1 : 0] sink1_data, + input [92-1 : 0] sink1_data, input [6-1: 0] sink1_channel, input sink1_startofpacket, input sink1_endofpacket, @@ -72,7 +72,7 @@ module niosII_mm_interconnect_0_cmd_mux_002 // Source // ---------------------- output src_valid, - output [94-1 : 0] src_data, + output [92-1 : 0] src_data, output [6-1 : 0] src_channel, output src_startofpacket, output src_endofpacket, @@ -84,13 +84,13 @@ module niosII_mm_interconnect_0_cmd_mux_002 input clk, input reset ); - localparam PAYLOAD_W = 94 + 6 + 2; + localparam PAYLOAD_W = 92 + 6 + 2; localparam NUM_INPUTS = 2; localparam SHARE_COUNTER_W = 1; localparam PIPELINE_ARB = 1; - localparam ST_DATA_W = 94; + localparam ST_DATA_W = 92; localparam ST_CHANNEL_W = 6; - localparam PKT_TRANS_LOCK = 58; + localparam PKT_TRANS_LOCK = 56; // ------------------------------------------ // Signals @@ -122,8 +122,8 @@ module niosII_mm_interconnect_0_cmd_mux_002 // ------------------------------------------ reg [NUM_INPUTS - 1 : 0] lock; always @* begin - lock[0] = sink0_data[58]; - lock[1] = sink1_data[58]; + lock[0] = sink0_data[56]; + lock[1] = sink1_data[56]; end reg [NUM_INPUTS - 1 : 0] locked = '0; always @(posedge clk or posedge reset) begin diff --git a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_router.sv b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_router.sv index d220ee5..ab87ccb 100644 --- a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_router.sv +++ b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_router.sv @@ -49,14 +49,14 @@ module niosII_mm_interconnect_0_router_default_decode DEFAULT_RD_CHANNEL = -1, DEFAULT_DESTID = 3 ) - (output [80 - 78 : 0] default_destination_id, + (output [78 - 76 : 0] default_destination_id, output [6-1 : 0] default_wr_channel, output [6-1 : 0] default_rd_channel, output [6-1 : 0] default_src_channel ); assign default_destination_id = - DEFAULT_DESTID[80 - 78 : 0]; + DEFAULT_DESTID[78 - 76 : 0]; generate if (DEFAULT_CHANNEL == -1) begin : no_default_channel_assignment @@ -93,7 +93,7 @@ module niosII_mm_interconnect_0_router // Command Sink (Input) // ------------------- input sink_valid, - input [94-1 : 0] sink_data, + input [92-1 : 0] sink_data, input sink_startofpacket, input sink_endofpacket, output sink_ready, @@ -102,7 +102,7 @@ module niosII_mm_interconnect_0_router // Command Source (Output) // ------------------- output src_valid, - output reg [94-1 : 0] src_data, + output reg [92-1 : 0] src_data, output reg [6-1 : 0] src_channel, output src_startofpacket, output src_endofpacket, @@ -112,18 +112,18 @@ module niosII_mm_interconnect_0_router // ------------------------------------------------------- // Local parameters and variables // ------------------------------------------------------- - localparam PKT_ADDR_H = 53; + localparam PKT_ADDR_H = 51; localparam PKT_ADDR_L = 36; - localparam PKT_DEST_ID_H = 80; - localparam PKT_DEST_ID_L = 78; - localparam PKT_PROTECTION_H = 84; - localparam PKT_PROTECTION_L = 82; - localparam ST_DATA_W = 94; + localparam PKT_DEST_ID_H = 78; + localparam PKT_DEST_ID_L = 76; + localparam PKT_PROTECTION_H = 82; + localparam PKT_PROTECTION_L = 80; + localparam ST_DATA_W = 92; localparam ST_CHANNEL_W = 6; localparam DECODER_TYPE = 0; - localparam PKT_TRANS_WRITE = 56; - localparam PKT_TRANS_READ = 57; + localparam PKT_TRANS_WRITE = 54; + localparam PKT_TRANS_READ = 55; localparam PKT_ADDR_W = PKT_ADDR_H-PKT_ADDR_L + 1; localparam PKT_DEST_ID_W = PKT_DEST_ID_H-PKT_DEST_ID_L + 1; @@ -134,17 +134,17 @@ module niosII_mm_interconnect_0_router // Figure out the number of bits to mask off for each slave span // during address decoding // ------------------------------------------------------- - localparam PAD0 = log2ceil(64'h20000 - 64'h0); - localparam PAD1 = log2ceil(64'h21000 - 64'h20800); - localparam PAD2 = log2ceil(64'h21020 - 64'h21000); - localparam PAD3 = log2ceil(64'h21028 - 64'h21020); - localparam PAD4 = log2ceil(64'h2102c - 64'h21028); + localparam PAD0 = log2ceil(64'h8000 - 64'h0); + localparam PAD1 = log2ceil(64'h9000 - 64'h8800); + localparam PAD2 = log2ceil(64'h9020 - 64'h9000); + localparam PAD3 = log2ceil(64'h9028 - 64'h9020); + localparam PAD4 = log2ceil(64'h902c - 64'h9028); // ------------------------------------------------------- // Work out which address bits are significant based on the // address range of the slaves. If the required width is too // large or too small, we use the address field width instead. // ------------------------------------------------------- - localparam ADDR_RANGE = 64'h2102c; + localparam ADDR_RANGE = 64'h902c; localparam RANGE_ADDR_WIDTH = log2ceil(ADDR_RANGE); localparam OPTIMIZED_ADDR_H = (RANGE_ADDR_WIDTH > PKT_ADDR_W) || (RANGE_ADDR_WIDTH == 0) ? @@ -197,32 +197,32 @@ module niosII_mm_interconnect_0_router // Sets the channel and destination ID based on the address // -------------------------------------------------- - // ( 0x0 .. 0x20000 ) - if ( {address[RG:PAD0],{PAD0{1'b0}}} == 18'h0 ) begin + // ( 0x0 .. 0x8000 ) + if ( {address[RG:PAD0],{PAD0{1'b0}}} == 16'h0 ) begin src_channel = 6'b10000; src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 3; end - // ( 0x20800 .. 0x21000 ) - if ( {address[RG:PAD1],{PAD1{1'b0}}} == 18'h20800 ) begin + // ( 0x8800 .. 0x9000 ) + if ( {address[RG:PAD1],{PAD1{1'b0}}} == 16'h8800 ) begin src_channel = 6'b00100; src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 0; end - // ( 0x21000 .. 0x21020 ) - if ( {address[RG:PAD2],{PAD2{1'b0}}} == 18'h21000 ) begin + // ( 0x9000 .. 0x9020 ) + if ( {address[RG:PAD2],{PAD2{1'b0}}} == 16'h9000 ) begin src_channel = 6'b01000; src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 5; end - // ( 0x21020 .. 0x21028 ) - if ( {address[RG:PAD3],{PAD3{1'b0}}} == 18'h21020 ) begin + // ( 0x9020 .. 0x9028 ) + if ( {address[RG:PAD3],{PAD3{1'b0}}} == 16'h9020 ) begin src_channel = 6'b00001; src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 1; end - // ( 0x21028 .. 0x2102c ) - if ( {address[RG:PAD4],{PAD4{1'b0}}} == 18'h21028 && write_transaction ) begin + // ( 0x9028 .. 0x902c ) + if ( {address[RG:PAD4],{PAD4{1'b0}}} == 16'h9028 && write_transaction ) begin src_channel = 6'b00010; src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 4; end diff --git a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_router_001.sv b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_router_001.sv index b7a44c4..19b9a08 100644 --- a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_router_001.sv +++ b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_router_001.sv @@ -49,14 +49,14 @@ module niosII_mm_interconnect_0_router_001_default_decode DEFAULT_RD_CHANNEL = -1, DEFAULT_DESTID = 2 ) - (output [80 - 78 : 0] default_destination_id, + (output [78 - 76 : 0] default_destination_id, output [6-1 : 0] default_wr_channel, output [6-1 : 0] default_rd_channel, output [6-1 : 0] default_src_channel ); assign default_destination_id = - DEFAULT_DESTID[80 - 78 : 0]; + DEFAULT_DESTID[78 - 76 : 0]; generate if (DEFAULT_CHANNEL == -1) begin : no_default_channel_assignment @@ -93,7 +93,7 @@ module niosII_mm_interconnect_0_router_001 // Command Sink (Input) // ------------------- input sink_valid, - input [94-1 : 0] sink_data, + input [92-1 : 0] sink_data, input sink_startofpacket, input sink_endofpacket, output sink_ready, @@ -102,7 +102,7 @@ module niosII_mm_interconnect_0_router_001 // Command Source (Output) // ------------------- output src_valid, - output reg [94-1 : 0] src_data, + output reg [92-1 : 0] src_data, output reg [6-1 : 0] src_channel, output src_startofpacket, output src_endofpacket, @@ -112,18 +112,18 @@ module niosII_mm_interconnect_0_router_001 // ------------------------------------------------------- // Local parameters and variables // ------------------------------------------------------- - localparam PKT_ADDR_H = 53; + localparam PKT_ADDR_H = 51; localparam PKT_ADDR_L = 36; - localparam PKT_DEST_ID_H = 80; - localparam PKT_DEST_ID_L = 78; - localparam PKT_PROTECTION_H = 84; - localparam PKT_PROTECTION_L = 82; - localparam ST_DATA_W = 94; + localparam PKT_DEST_ID_H = 78; + localparam PKT_DEST_ID_L = 76; + localparam PKT_PROTECTION_H = 82; + localparam PKT_PROTECTION_L = 80; + localparam ST_DATA_W = 92; localparam ST_CHANNEL_W = 6; localparam DECODER_TYPE = 0; - localparam PKT_TRANS_WRITE = 56; - localparam PKT_TRANS_READ = 57; + localparam PKT_TRANS_WRITE = 54; + localparam PKT_TRANS_READ = 55; localparam PKT_ADDR_W = PKT_ADDR_H-PKT_ADDR_L + 1; localparam PKT_DEST_ID_W = PKT_DEST_ID_H-PKT_DEST_ID_L + 1; @@ -134,14 +134,14 @@ module niosII_mm_interconnect_0_router_001 // Figure out the number of bits to mask off for each slave span // during address decoding // ------------------------------------------------------- - localparam PAD0 = log2ceil(64'h20000 - 64'h0); - localparam PAD1 = log2ceil(64'h21000 - 64'h20800); + localparam PAD0 = log2ceil(64'h8000 - 64'h0); + localparam PAD1 = log2ceil(64'h9000 - 64'h8800); // ------------------------------------------------------- // Work out which address bits are significant based on the // address range of the slaves. If the required width is too // large or too small, we use the address field width instead. // ------------------------------------------------------- - localparam ADDR_RANGE = 64'h21000; + localparam ADDR_RANGE = 64'h9000; localparam RANGE_ADDR_WIDTH = log2ceil(ADDR_RANGE); localparam OPTIMIZED_ADDR_H = (RANGE_ADDR_WIDTH > PKT_ADDR_W) || (RANGE_ADDR_WIDTH == 0) ? @@ -189,14 +189,14 @@ module niosII_mm_interconnect_0_router_001 // Sets the channel and destination ID based on the address // -------------------------------------------------- - // ( 0x0 .. 0x20000 ) - if ( {address[RG:PAD0],{PAD0{1'b0}}} == 18'h0 ) begin + // ( 0x0 .. 0x8000 ) + if ( {address[RG:PAD0],{PAD0{1'b0}}} == 16'h0 ) begin src_channel = 6'b10; src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 2; end - // ( 0x20800 .. 0x21000 ) - if ( {address[RG:PAD1],{PAD1{1'b0}}} == 18'h20800 ) begin + // ( 0x8800 .. 0x9000 ) + if ( {address[RG:PAD1],{PAD1{1'b0}}} == 16'h8800 ) begin src_channel = 6'b01; src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 0; end diff --git a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_router_002.sv b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_router_002.sv index 5516f0f..e51bf64 100644 --- a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_router_002.sv +++ b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_router_002.sv @@ -49,14 +49,14 @@ module niosII_mm_interconnect_0_router_002_default_decode DEFAULT_RD_CHANNEL = -1, DEFAULT_DESTID = 0 ) - (output [80 - 78 : 0] default_destination_id, + (output [78 - 76 : 0] default_destination_id, output [6-1 : 0] default_wr_channel, output [6-1 : 0] default_rd_channel, output [6-1 : 0] default_src_channel ); assign default_destination_id = - DEFAULT_DESTID[80 - 78 : 0]; + DEFAULT_DESTID[78 - 76 : 0]; generate if (DEFAULT_CHANNEL == -1) begin : no_default_channel_assignment @@ -93,7 +93,7 @@ module niosII_mm_interconnect_0_router_002 // Command Sink (Input) // ------------------- input sink_valid, - input [94-1 : 0] sink_data, + input [92-1 : 0] sink_data, input sink_startofpacket, input sink_endofpacket, output sink_ready, @@ -102,7 +102,7 @@ module niosII_mm_interconnect_0_router_002 // Command Source (Output) // ------------------- output src_valid, - output reg [94-1 : 0] src_data, + output reg [92-1 : 0] src_data, output reg [6-1 : 0] src_channel, output src_startofpacket, output src_endofpacket, @@ -112,18 +112,18 @@ module niosII_mm_interconnect_0_router_002 // ------------------------------------------------------- // Local parameters and variables // ------------------------------------------------------- - localparam PKT_ADDR_H = 53; + localparam PKT_ADDR_H = 51; localparam PKT_ADDR_L = 36; - localparam PKT_DEST_ID_H = 80; - localparam PKT_DEST_ID_L = 78; - localparam PKT_PROTECTION_H = 84; - localparam PKT_PROTECTION_L = 82; - localparam ST_DATA_W = 94; + localparam PKT_DEST_ID_H = 78; + localparam PKT_DEST_ID_L = 76; + localparam PKT_PROTECTION_H = 82; + localparam PKT_PROTECTION_L = 80; + localparam ST_DATA_W = 92; localparam ST_CHANNEL_W = 6; localparam DECODER_TYPE = 1; - localparam PKT_TRANS_WRITE = 56; - localparam PKT_TRANS_READ = 57; + localparam PKT_TRANS_WRITE = 54; + localparam PKT_TRANS_READ = 55; localparam PKT_ADDR_W = PKT_ADDR_H-PKT_ADDR_L + 1; localparam PKT_DEST_ID_W = PKT_DEST_ID_H-PKT_DEST_ID_L + 1; diff --git a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_router_004.sv b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_router_004.sv index 456d3e1..3f625d1 100644 --- a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_router_004.sv +++ b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_router_004.sv @@ -49,14 +49,14 @@ module niosII_mm_interconnect_0_router_004_default_decode DEFAULT_RD_CHANNEL = -1, DEFAULT_DESTID = 0 ) - (output [80 - 78 : 0] default_destination_id, + (output [78 - 76 : 0] default_destination_id, output [6-1 : 0] default_wr_channel, output [6-1 : 0] default_rd_channel, output [6-1 : 0] default_src_channel ); assign default_destination_id = - DEFAULT_DESTID[80 - 78 : 0]; + DEFAULT_DESTID[78 - 76 : 0]; generate if (DEFAULT_CHANNEL == -1) begin : no_default_channel_assignment @@ -93,7 +93,7 @@ module niosII_mm_interconnect_0_router_004 // Command Sink (Input) // ------------------- input sink_valid, - input [94-1 : 0] sink_data, + input [92-1 : 0] sink_data, input sink_startofpacket, input sink_endofpacket, output sink_ready, @@ -102,7 +102,7 @@ module niosII_mm_interconnect_0_router_004 // Command Source (Output) // ------------------- output src_valid, - output reg [94-1 : 0] src_data, + output reg [92-1 : 0] src_data, output reg [6-1 : 0] src_channel, output src_startofpacket, output src_endofpacket, @@ -112,18 +112,18 @@ module niosII_mm_interconnect_0_router_004 // ------------------------------------------------------- // Local parameters and variables // ------------------------------------------------------- - localparam PKT_ADDR_H = 53; + localparam PKT_ADDR_H = 51; localparam PKT_ADDR_L = 36; - localparam PKT_DEST_ID_H = 80; - localparam PKT_DEST_ID_L = 78; - localparam PKT_PROTECTION_H = 84; - localparam PKT_PROTECTION_L = 82; - localparam ST_DATA_W = 94; + localparam PKT_DEST_ID_H = 78; + localparam PKT_DEST_ID_L = 76; + localparam PKT_PROTECTION_H = 82; + localparam PKT_PROTECTION_L = 80; + localparam ST_DATA_W = 92; localparam ST_CHANNEL_W = 6; localparam DECODER_TYPE = 1; - localparam PKT_TRANS_WRITE = 56; - localparam PKT_TRANS_READ = 57; + localparam PKT_TRANS_WRITE = 54; + localparam PKT_TRANS_READ = 55; localparam PKT_ADDR_W = PKT_ADDR_H-PKT_ADDR_L + 1; localparam PKT_DEST_ID_W = PKT_DEST_ID_H-PKT_DEST_ID_L + 1; diff --git a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_rsp_demux.sv b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_rsp_demux.sv index 3fa6c4a..f8b5500 100644 --- a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_rsp_demux.sv +++ b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_rsp_demux.sv @@ -28,7 +28,7 @@ // ------------------------------------------ // Generation parameters: // output_name: niosII_mm_interconnect_0_rsp_demux -// ST_DATA_W: 94 +// ST_DATA_W: 92 // ST_CHANNEL_W: 6 // NUM_OUTPUTS: 1 // VALID_WIDTH: 1 @@ -46,7 +46,7 @@ module niosII_mm_interconnect_0_rsp_demux // Sink // ------------------- input [1-1 : 0] sink_valid, - input [94-1 : 0] sink_data, // ST_DATA_W=94 + input [92-1 : 0] sink_data, // ST_DATA_W=92 input [6-1 : 0] sink_channel, // ST_CHANNEL_W=6 input sink_startofpacket, input sink_endofpacket, @@ -56,7 +56,7 @@ module niosII_mm_interconnect_0_rsp_demux // Sources // ------------------- output reg src0_valid, - output reg [94-1 : 0] src0_data, // ST_DATA_W=94 + output reg [92-1 : 0] src0_data, // ST_DATA_W=92 output reg [6-1 : 0] src0_channel, // ST_CHANNEL_W=6 output reg src0_startofpacket, output reg src0_endofpacket, diff --git a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_rsp_mux.sv b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_rsp_mux.sv index b808733..7bbf617 100644 --- a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_rsp_mux.sv +++ b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_rsp_mux.sv @@ -43,8 +43,8 @@ // ARBITRATION_SHARES: 1 1 1 1 1 // ARBITRATION_SCHEME "no-arb" // PIPELINE_ARB: 0 -// PKT_TRANS_LOCK: 58 (arbitration locking enabled) -// ST_DATA_W: 94 +// PKT_TRANS_LOCK: 56 (arbitration locking enabled) +// ST_DATA_W: 92 // ST_CHANNEL_W: 6 // ------------------------------------------ @@ -54,35 +54,35 @@ module niosII_mm_interconnect_0_rsp_mux // Sinks // ---------------------- input sink0_valid, - input [94-1 : 0] sink0_data, + input [92-1 : 0] sink0_data, input [6-1: 0] sink0_channel, input sink0_startofpacket, input sink0_endofpacket, output sink0_ready, input sink1_valid, - input [94-1 : 0] sink1_data, + input [92-1 : 0] sink1_data, input [6-1: 0] sink1_channel, input sink1_startofpacket, input sink1_endofpacket, output sink1_ready, input sink2_valid, - input [94-1 : 0] sink2_data, + input [92-1 : 0] sink2_data, input [6-1: 0] sink2_channel, input sink2_startofpacket, input sink2_endofpacket, output sink2_ready, input sink3_valid, - input [94-1 : 0] sink3_data, + input [92-1 : 0] sink3_data, input [6-1: 0] sink3_channel, input sink3_startofpacket, input sink3_endofpacket, output sink3_ready, input sink4_valid, - input [94-1 : 0] sink4_data, + input [92-1 : 0] sink4_data, input [6-1: 0] sink4_channel, input sink4_startofpacket, input sink4_endofpacket, @@ -93,7 +93,7 @@ module niosII_mm_interconnect_0_rsp_mux // Source // ---------------------- output src_valid, - output [94-1 : 0] src_data, + output [92-1 : 0] src_data, output [6-1 : 0] src_channel, output src_startofpacket, output src_endofpacket, @@ -105,13 +105,13 @@ module niosII_mm_interconnect_0_rsp_mux input clk, input reset ); - localparam PAYLOAD_W = 94 + 6 + 2; + localparam PAYLOAD_W = 92 + 6 + 2; localparam NUM_INPUTS = 5; localparam SHARE_COUNTER_W = 1; localparam PIPELINE_ARB = 0; - localparam ST_DATA_W = 94; + localparam ST_DATA_W = 92; localparam ST_CHANNEL_W = 6; - localparam PKT_TRANS_LOCK = 58; + localparam PKT_TRANS_LOCK = 56; // ------------------------------------------ // Signals @@ -146,11 +146,11 @@ module niosII_mm_interconnect_0_rsp_mux // ------------------------------------------ reg [NUM_INPUTS - 1 : 0] lock; always @* begin - lock[0] = sink0_data[58]; - lock[1] = sink1_data[58]; - lock[2] = sink2_data[58]; - lock[3] = sink3_data[58]; - lock[4] = sink4_data[58]; + lock[0] = sink0_data[56]; + lock[1] = sink1_data[56]; + lock[2] = sink2_data[56]; + lock[3] = sink3_data[56]; + lock[4] = sink4_data[56]; end assign last_cycle = src_valid & src_ready & src_endofpacket & ~(|(lock & grant)); diff --git a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_rsp_mux_001.sv b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_rsp_mux_001.sv index 065d10d..94b79c4 100644 --- a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_rsp_mux_001.sv +++ b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_rsp_mux_001.sv @@ -43,8 +43,8 @@ // ARBITRATION_SHARES: 1 1 // ARBITRATION_SCHEME "no-arb" // PIPELINE_ARB: 0 -// PKT_TRANS_LOCK: 58 (arbitration locking enabled) -// ST_DATA_W: 94 +// PKT_TRANS_LOCK: 56 (arbitration locking enabled) +// ST_DATA_W: 92 // ST_CHANNEL_W: 6 // ------------------------------------------ @@ -54,14 +54,14 @@ module niosII_mm_interconnect_0_rsp_mux_001 // Sinks // ---------------------- input sink0_valid, - input [94-1 : 0] sink0_data, + input [92-1 : 0] sink0_data, input [6-1: 0] sink0_channel, input sink0_startofpacket, input sink0_endofpacket, output sink0_ready, input sink1_valid, - input [94-1 : 0] sink1_data, + input [92-1 : 0] sink1_data, input [6-1: 0] sink1_channel, input sink1_startofpacket, input sink1_endofpacket, @@ -72,7 +72,7 @@ module niosII_mm_interconnect_0_rsp_mux_001 // Source // ---------------------- output src_valid, - output [94-1 : 0] src_data, + output [92-1 : 0] src_data, output [6-1 : 0] src_channel, output src_startofpacket, output src_endofpacket, @@ -84,13 +84,13 @@ module niosII_mm_interconnect_0_rsp_mux_001 input clk, input reset ); - localparam PAYLOAD_W = 94 + 6 + 2; + localparam PAYLOAD_W = 92 + 6 + 2; localparam NUM_INPUTS = 2; localparam SHARE_COUNTER_W = 1; localparam PIPELINE_ARB = 0; - localparam ST_DATA_W = 94; + localparam ST_DATA_W = 92; localparam ST_CHANNEL_W = 6; - localparam PKT_TRANS_LOCK = 58; + localparam PKT_TRANS_LOCK = 56; // ------------------------------------------ // Signals @@ -119,8 +119,8 @@ module niosII_mm_interconnect_0_rsp_mux_001 // ------------------------------------------ reg [NUM_INPUTS - 1 : 0] lock; always @* begin - lock[0] = sink0_data[58]; - lock[1] = sink1_data[58]; + lock[0] = sink0_data[56]; + lock[1] = sink1_data[56]; end assign last_cycle = src_valid & src_ready & src_endofpacket & ~(|(lock & grant)); diff --git a/Top/semafor.qsf b/Top/semafor.qsf index 66ee958..0b605b0 100644 --- a/Top/semafor.qsf +++ b/Top/semafor.qsf @@ -37,7 +37,7 @@ set_global_assignment -name FAMILY "Cyclone IV E" -set_global_assignment -name DEVICE EP4CE115F29C7 +set_global_assignment -name DEVICE EP4CE15F23C8 set_global_assignment -name TOP_LEVEL_ENTITY top set_global_assignment -name ORIGINAL_QUARTUS_VERSION 18.1.0 set_global_assignment -name PROJECT_CREATION_TIME_DATE "16:34:55 OCTOBER 18, 2022" @@ -46,17 +46,11 @@ set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 -set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (SystemVerilog)" set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "SYSTEMVERILOG HDL" -section_id eda_simulation set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" -set_location_assignment PIN_Y2 -to clk -set_location_assignment PIN_M23 -to train -set_location_assignment PIN_G19 -to yellow -set_location_assignment PIN_F19 -to red -set_location_assignment PIN_G21 -to green set_global_assignment -name SYSTEMVERILOG_FILE top.sv set_global_assignment -name QIP_FILE niosII/synthesis/niosII.qip @@ -64,4 +58,7 @@ set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to clk +set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS TEST_BENCH_MODE -section_id eda_simulation +set_location_assignment PIN_T2 -to CLOCK_50 +set_location_assignment PIN_E3 -to LEDG[0] set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/Top/semafor.qws b/Top/semafor.qws index 2c86b76..9b2fc2e 100644 Binary files a/Top/semafor.qws and b/Top/semafor.qws differ diff --git a/Top/software/deltasigma/.cproject b/Top/software/deltasigma/.cproject new file mode 100644 index 0000000..813cc51 --- /dev/null +++ b/Top/software/deltasigma/.cproject @@ -0,0 +1,90 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + make + + mem_init_install + true + false + false + + + make + + mem_init_generate + true + false + false + + + make + + help + true + false + false + + + + diff --git a/Top/software/deltasigma/.project b/Top/software/deltasigma/.project new file mode 100644 index 0000000..f214282 --- /dev/null +++ b/Top/software/deltasigma/.project @@ -0,0 +1,40 @@ + + + deltasigma + + + + + + com.altera.sbtgui.project.makefileBuilder + + + + + com.altera.sbtgui.project.makefileBuilder + + + + + org.eclipse.cdt.managedbuilder.core.genmakebuilder + clean,full,incremental, + + + + + org.eclipse.cdt.managedbuilder.core.ScannerConfigBuilder + full,incremental, + + + + + + org.eclipse.cdt.core.cnature + org.eclipse.cdt.managedbuilder.core.managedBuildNature + org.eclipse.cdt.managedbuilder.core.ScannerConfigNature + org.eclipse.cdt.core.ccnature + com.altera.sbtgui.project.SBTGUINature + com.altera.sbtgui.project.SBTGUIAppNature + com.altera.sbtgui.project.SBTGUIManagedNature + + diff --git a/Top/software/deltasigma/Makefile b/Top/software/deltasigma/Makefile new file mode 100644 index 0000000..2b89f2a --- /dev/null +++ b/Top/software/deltasigma/Makefile @@ -0,0 +1,1081 @@ +#------------------------------------------------------------------------------ +# VARIABLES APPENDED TO BY INCLUDED MAKEFILE FRAGMENTS +#------------------------------------------------------------------------------ + +# List of include directories for -I compiler option (-I added when used). +# Includes the BSP. +ALT_INCLUDE_DIRS := + +# List of library directories for -L linker option (-L added when used). +# Includes the BSP. +ALT_LIBRARY_DIRS := + +# List of library names for -l linker option (-l added when used). +# Includes the BSP. +ALT_LIBRARY_NAMES := + +# List of library names for -msys-lib linker option (-msys-lib added when used). +# These are libraries that might be located in the BSP and depend on the BSP +# library, or vice versa +ALT_BSP_DEP_LIBRARY_NAMES := + +# List of dependencies for the linker. This is usually the full pathname +# of each library (*.a) file. +# Includes the BSP. +ALT_LDDEPS := + +# List of root library directories that support running make to build them. +# Includes the BSP and any ALT libraries. +MAKEABLE_LIBRARY_ROOT_DIRS := + +# Generic flags passed to the compiler for different types of input files. +ALT_CFLAGS := +ALT_CXXFLAGS := +ALT_CPPFLAGS := +ALT_ASFLAGS := +ALT_LDFLAGS := + + +#------------------------------------------------------------------------------ +# The adjust-path macro +# +# If COMSPEC/ComSpec is defined, Make is launched from Windows through +# Cygwin. The adjust-path macro converts absolute windows paths into +# unix style paths (Example: c:/dir -> /c/dir). This will ensture +# paths are readable by GNU Make. +# +# If COMSPEC/ComSpec is not defined, Make is launched from linux, and no +# adjustment is necessary +# +#------------------------------------------------------------------------------ + +ifndef COMSPEC +ifdef ComSpec +COMSPEC = $(ComSpec) +endif # ComSpec +endif # COMSPEC + +ifdef COMSPEC # if Windows OS + +ifeq ($(MAKE_VERSION),3.81) +# +# adjust-path/adjust-path-mixed for Mingw Gnu Make on Windows +# +# Example Usage: +# $(call adjust-path,c:/aaa/bbb) => /c/aaa/bbb +# $(call adjust-path-mixed,/c/aaa/bbb) => c:/aaa/bbb +# $(call adjust-path-mixed,/cygdrive/c/aaa/bbb) => c:/aaa/bbb +# + +# +# adjust-path +# - converts back slash characters into forward slashes +# - if input arg ($1) is an empty string then return the empty string +# - if input arg ($1) does not contain the string ":/", then return input arg +# - using sed, convert mixed path [c:/...] into mingw path [/c/...] +define adjust-path +$(strip \ +$(if $1,\ +$(if $(findstring :/,$(subst \,/,$1)),\ +$(shell echo $(subst \,/,$1) | sed -e 's,^\([a-zA-Z]\):/,/\1/,'),\ +$(subst \,/,$1)))) +endef + +# +# adjust-path-mixed +# - converts back slash characters into forward slashes +# - if input arg ($1) is an empty string then return the empty string +# - if input arg ($1) does not begin with a forward slash '/' char, then +# return input arg +# - using sed, convert mingw path [/c/...] or cygwin path [/c/cygdrive/...] +# into a mixed path [c:/...] +define adjust-path-mixed +$(strip \ +$(if $1,\ +$(if $(findstring $(subst \,/,$1),$(patsubst /%,%,$(subst \,/,$1))),\ +$(subst \,/,$1),\ +$(shell echo $(subst \,/,$1) | sed -e 's,^/cygdrive/\([a-zA-Z]\)/,\1:/,' -e 's,^/\([a-zA-Z]\)/,\1:/,')))) +endef + +else # MAKE_VERSION != 3.81 (MAKE_VERSION == 3.80 or MAKE_VERSION == 3.79) +# +# adjust-path for Cygwin Gnu Make +# $(call adjust-path,c:/aaa/bbb) = /cygdrive/c/aaa/bbb +# $(call adjust-path-mixed,/cygdrive/c/aaa/bbb) = c:/aaa/bbb +# +adjust-path = $(if $1,$(shell cygpath -u "$1"),) +adjust-path-mixed = $(if $1,$(shell cygpath -m "$1"),) +endif + +else # !COMSPEC + +adjust-path = $1 +adjust-path-mixed = $1 + +endif # COMSPEC + + +#vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv +# GENERATED SETTINGS START v +#vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv + +#START GENERATED +ACTIVE_BUILD_CONFIG := default +BUILD_CONFIGS := default + +# The following TYPE comment allows tools to identify the 'type' of target this +# makefile is associated with. +# TYPE: APP_MAKEFILE + +# This following VERSION comment indicates the version of the tool used to +# generate this makefile. A makefile variable is provided for VERSION as well. +# ACDS_VERSION: 18.1 +ACDS_VERSION := 18.1 + +# This following BUILD_NUMBER comment indicates the build number of the tool +# used to generate this makefile. +# BUILD_NUMBER: 625 + +# Define path to the application ELF. +# It may be used by the makefile fragments so is defined before including them. +# +ELF := deltasigma.elf + +# Paths to C, C++, and assembly source files. +C_SRCS := main.c +CXX_SRCS := +ASM_SRCS := + + +# Path to root of object file tree. +OBJ_ROOT_DIR := obj + +# Options to control objdump. +CREATE_OBJDUMP := 1 +OBJDUMP_INCLUDE_SOURCE := 0 +OBJDUMP_FULL_CONTENTS := 0 + +# Options to enable/disable optional files. +CREATE_ELF_DERIVED_FILES := 0 +CREATE_LINKER_MAP := 1 + +# Common arguments for ALT_CFLAGSs +APP_CFLAGS_DEFINED_SYMBOLS := +APP_CFLAGS_UNDEFINED_SYMBOLS := +APP_CFLAGS_OPTIMIZATION := -O0 +APP_CFLAGS_DEBUG_LEVEL := -g +APP_CFLAGS_WARNINGS := -Wall +APP_CFLAGS_USER_FLAGS := + +APP_ASFLAGS_USER := +APP_LDFLAGS_USER := + +# Linker options that have default values assigned later if not +# assigned here. +LINKER_SCRIPT := +CRT0 := +SYS_LIB := + +# Define path to the root of the BSP. +BSP_ROOT_DIR := ../semafor_bsp/ + +# List of application specific include directories, library directories and library names +APP_INCLUDE_DIRS := +APP_LIBRARY_DIRS := +APP_LIBRARY_NAMES := + +# Pre- and post- processor settings. +BUILD_PRE_PROCESS := +BUILD_POST_PROCESS := + + + +#END GENERATED + +#^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ +# GENERATED SETTINGS END ^ +#^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ + + +#------------------------------------------------------------------------------ +# DEFAULT TARGET +#------------------------------------------------------------------------------ + +# Define the variable used to echo output if not already defined. +ifeq ($(ECHO),) +ECHO := echo +endif + +# Put "all" rule before included makefile fragments because they may +# define rules and we don't want one of those to become the default rule. +.PHONY : all + +all: + @$(ECHO) [$(APP_NAME) build complete] + +all : build_pre_process libs app build_post_process + + +#------------------------------------------------------------------------------ +# VARIABLES DEPENDENT ON GENERATED CONTENT +#------------------------------------------------------------------------------ + +# Define object file directory per build configuration +CONFIG_OBJ_DIR := $(OBJ_ROOT_DIR)/$(ACTIVE_BUILD_CONFIG) + +ifeq ($(BSP_ROOT_DIR),) +$(error Edit Makefile and provide a value for BSP_ROOT_DIR) +endif + +ifeq ($(wildcard $(BSP_ROOT_DIR)),) +$(error BSP directory does not exist: $(BSP_ROOT_DIR)) +endif + +# Define absolute path to the root of the BSP. +ABS_BSP_ROOT_DIR := $(call adjust-path-mixed,$(shell cd "$(BSP_ROOT_DIR)"; pwd)) + +# Include makefile fragments. Define variable ALT_LIBRARY_ROOT_DIR before +# including each makefile fragment so that it knows the path to itself. +BSP_INCLUDE_FILE := $(BSP_ROOT_DIR)/public.mk +ALT_LIBRARY_ROOT_DIR := $(BSP_ROOT_DIR) +include $(BSP_INCLUDE_FILE) +# C2H will need this to touch the BSP public.mk and avoid the sopc file +# out-of-date error during a BSP make +ABS_BSP_INCLUDE_FILE := $(ABS_BSP_ROOT_DIR)/public.mk + + +ifneq ($(WARNING.SMALL_STACK_SIZE),) +# This WARNING is here to protect you from unknowingly using a very small stack +# If the warning is set, increase your stack size or enable the BSP small stack +# setting to eliminate the warning +$(warning WARNING: $(WARNING.SMALL_STACK_SIZE)) +endif + +# If the BSP public.mk indicates that ALT_SIM_OPTIMIZE is set, rename the ELF +# by prefixing it with RUN_ON_HDL_SIMULATOR_ONLY_. +ifneq ($(filter -DALT_SIM_OPTIMIZE,$(ALT_CPPFLAGS)),) +ELF := RUN_ON_HDL_SIMULATOR_ONLY_$(ELF) +endif + +# If the BSP public.mk indicates that ALT_PROVIDE_GMON is set, add option to +# download_elf target +ifneq ($(filter -DALT_PROVIDE_GMON,$(ALT_CPPFLAGS)),) +GMON_OUT_FILENAME := gmon.out +WRITE_GMON_OPTION := --write-gmon $(GMON_OUT_FILENAME) +endif + +# Name of ELF application. +APP_NAME := $(basename $(ELF)) + +# Set to defaults if variables not already defined in settings. +ifeq ($(LINKER_SCRIPT),) +LINKER_SCRIPT := $(BSP_LINKER_SCRIPT) +endif +ifeq ($(CRT0),) +CRT0 := $(BSP_CRT0) +endif +ifeq ($(SYS_LIB),) +SYS_LIB := $(BSP_SYS_LIB) +endif + +OBJDUMP_NAME := $(APP_NAME).objdump +OBJDUMP_FLAGS := --disassemble --syms --all-header +ifeq ($(OBJDUMP_INCLUDE_SOURCE),1) +OBJDUMP_FLAGS += --source +endif +ifeq ($(OBJDUMP_FULL_CONTENTS),1) +OBJDUMP_FLAGS += --full-contents +endif + +# Create list of linker dependencies (*.a files). +APP_LDDEPS := $(ALT_LDDEPS) $(LDDEPS) + +# Take lists and add required prefixes. +APP_INC_DIRS := $(addprefix -I, $(ALT_INCLUDE_DIRS) $(APP_INCLUDE_DIRS) $(INC_DIRS)) +ASM_INC_PREFIX := -Wa,-I +APP_ASM_INC_DIRS := $(addprefix $(ASM_INC_PREFIX), $(ALT_INCLUDE_DIRS) $(APP_INCLUDE_DIRS) $(INC_DIRS)) +APP_LIB_DIRS := $(addprefix -L, $(ALT_LIBRARY_DIRS) $(APP_LIBRARY_DIRS) $(LIB_DIRS)) +APP_LIBS := $(addprefix -l, $(ALT_LIBRARY_NAMES) $(APP_LIBRARY_NAMES) $(LIBS)) + +ifneq ($(AVOID_NIOS2_GCC3_OPTIONS),) + +# +# Avoid Nios II GCC 3.X options. +# + +# Detect if small newlib C library is requested. +# If yes, remove the -msmallc option because it is +# now handled by other means. +ifneq ($(filter -msmallc,$(ALT_LDFLAGS)),) + ALT_LDFLAGS := $(filter-out -msmallc,$(ALT_LDFLAGS)) + ALT_C_LIBRARY := smallc +else + ALT_C_LIBRARY := c +endif + +# Put each BSP dependent library in a group to avoid circular dependencies. +APP_BSP_DEP_LIBS := $(foreach l,$(ALT_BSP_DEP_LIBRARY_NAMES),-Wl,--start-group -l$(ALT_C_LIBRARY) -lgcc -lm -l$(l) -Wl,--end-group) + +else # !AVOID_NIOS2_GCC3_OPTIONS + +# +# Use Nios II GCC 3.X options. +# +ALT_BSP_DEP_LIBRARY_NAMES += $(ALT_BSP_DEP_LIBRARY_NAMES) m +APP_BSP_DEP_LIBS := $(addprefix -msys-lib=, $(ALT_BSP_DEP_LIBRARY_NAMES)) + +endif # !AVOID_NIOS2_GCC3_OPTIONS + +# Arguments for the C preprocessor, C/C++ compiler, assembler, and linker. +APP_CFLAGS := $(APP_CFLAGS_DEFINED_SYMBOLS) \ + $(APP_CFLAGS_UNDEFINED_SYMBOLS) \ + $(APP_CFLAGS_OPTIMIZATION) \ + $(APP_CFLAGS_DEBUG_LEVEL) \ + $(APP_CFLAGS_WARNINGS) \ + $(APP_CFLAGS_USER_FLAGS) \ + $(ALT_CFLAGS) \ + $(CFLAGS) + +# Arguments only for the C++ compiler. +APP_CXXFLAGS := $(ALT_CXXFLAGS) $(CXXFLAGS) + +# Arguments only for the C preprocessor. +# Prefix each include directory with -I. +APP_CPPFLAGS := $(APP_INC_DIRS) \ + $(ALT_CPPFLAGS) \ + $(CPPFLAGS) + +# Arguments only for the assembler. +APP_ASFLAGS := $(APP_ASM_INC_DIRS) \ + $(ALT_ASFLAGS) \ + $(APP_ASFLAGS_USER) \ + $(ASFLAGS) + +# Arguments only for the linker. +APP_LDFLAGS := $(APP_LDFLAGS_USER) + +ifneq ($(LINKER_SCRIPT),) +APP_LDFLAGS += -T'$(LINKER_SCRIPT)' +endif + +ifneq ($(AVOID_NIOS2_GCC3_OPTIONS),) + +# Avoid Nios II GCC 3.x options. +ifneq ($(CRT0),) +APP_LDFLAGS += $(CRT0) +endif + +# The equivalent of the -msys-lib option is provided +# by the GROUP() command in the linker script. +# Note this means the SYS_LIB variable is now ignored. + +else # !AVOID_NIOS2_GCC3_OPTIONS + +# Use Nios II GCC 3.x options. +ifneq ($(CRT0),) +APP_LDFLAGS += -msys-crt0='$(CRT0)' +endif +ifneq ($(SYS_LIB),) +APP_LDFLAGS += -msys-lib=$(SYS_LIB) +endif + +endif # !AVOID_NIOS2_GCC3_OPTIONS + +APP_LDFLAGS += \ + $(APP_LIB_DIRS) \ + $(ALT_LDFLAGS) \ + $(LDFLAGS) + +LINKER_MAP_NAME := $(APP_NAME).map +ifeq ($(CREATE_LINKER_MAP), 1) +APP_LDFLAGS += -Wl,-Map=$(LINKER_MAP_NAME) +endif + +# QUARTUS_PROJECT_DIR and SOPC_NAME need to be defined if you want the +# mem_init_install target of the mem_init.mk (located in the associated BSP) +# to know how to copy memory initialization files (e.g. .dat, .hex) into +# directories required for Quartus compilation or RTL simulation. + +# Defining QUARTUS_PROJECT_DIR causes mem_init_install to copy memory +# initialization files into your Quartus project directory. This is required +# to provide the initial memory contents of FPGA memories that can be +# initialized by the programming file (.sof) or Hardcopy ROMs. It is also used +# for VHDL simulation of on-chip memories. + +# Defining SOPC_NAME causes the mem_init_install target to copy memory +# initialization files into your RTL simulation directory. This is required +# to provide the initial memory contents of all memories that can be +# initialized by RTL simulation. This variable should be set to the same name +# as your SOPC Builder system name. For example, if you have a system called +# "foo.sopc", this variable should be set to "foo". + +# If SOPC_NAME is not set and QUARTUS_PROJECT_DIR is set, then derive SOPC_NAME. +ifeq ($(SOPC_NAME),) +ifneq ($(QUARTUS_PROJECT_DIR),) +SOPC_NAME := $(basename $(notdir $(wildcard $(QUARTUS_PROJECT_DIR)/*.sopcinfo))) +endif +endif + +# Defining JDI_FILE is required to specify the JTAG Debug Information File +# path. This file is generated by Quartus, and is needed along with the +# .sopcinfo file to resolve processor instance ID's from names in a multi-CPU +# systems. For multi-CPU systems, the processor instance ID is used to select +# from multiple CPU's during ELF download. + +# Both JDI_FILE and SOPCINFO_FILE are provided by the BSP if they found during +# BSP creation. If JDI_FILE is not set and QUARTUS_PROJECT_DIR is set, then +# derive JDI_FILE. We do not attempt to derive SOPCINFO_FILE since there may be +# multiple .sopcinfo files in a Quartus project. +ifeq ($(JDI_FILE),) +ifneq ($(QUARTUS_PROJECT_DIR),) +JDI_FILE := $(firstword $(wildcard $(QUARTUS_PROJECT_DIR)/output_files/*.jdi) $(wildcard $(QUARTUS_PROJECT_DIR)/*.jdi)) +endif +endif + +# Path to root runtime directory used for hdl simulation +RUNTIME_ROOT_DIR := $(CONFIG_OBJ_DIR)/runtime + + + +#------------------------------------------------------------------------------ +# MAKEFILE INCLUDES DEPENDENT ON GENERATED CONTENT +#------------------------------------------------------------------------------ +# mem_init.mk is a generated makefile fragment. This file defines all targets +# used to generate HDL initialization simulation files and pre-initialized +# onchip memory files. +MEM_INIT_FILE := $(BSP_ROOT_DIR)/mem_init.mk +include $(MEM_INIT_FILE) + +# Create list of object files to be built using the list of source files. +# The source file hierarchy is preserved in the object tree. +# The supported file extensions are: +# +# .c - for C files +# .cxx .cc .cpp - for C++ files +# .S .s - for assembler files +# +# Handle source files specified by --src-dir & --src-rdir differently, to +# save some processing time in calling the adjust-path macro. + +OBJ_LIST_C := $(patsubst %.c,%.o,$(filter %.c,$(C_SRCS))) +OBJ_LIST_CPP := $(patsubst %.cpp,%.o,$(filter %.cpp,$(CXX_SRCS))) +OBJ_LIST_CXX := $(patsubst %.cxx,%.o,$(filter %.cxx,$(CXX_SRCS))) +OBJ_LIST_CC := $(patsubst %.cc,%.o,$(filter %.cc,$(CXX_SRCS))) +OBJ_LIST_S := $(patsubst %.S,%.o,$(filter %.S,$(ASM_SRCS))) +OBJ_LIST_SS := $(patsubst %.s,%.o,$(filter %.s,$(ASM_SRCS))) + +OBJ_LIST := $(sort $(OBJ_LIST_C) $(OBJ_LIST_CPP) $(OBJ_LIST_CXX) \ + $(OBJ_LIST_CC) $(OBJ_LIST_S) $(OBJ_LIST_SS)) + +SDIR_OBJ_LIST_C := $(patsubst %.c,%.o,$(filter %.c,$(SDIR_C_SRCS))) +SDIR_OBJ_LIST_CPP := $(patsubst %.cpp,%.o,$(filter %.cpp,$(SDIR_CXX_SRCS))) +SDIR_OBJ_LIST_CXX := $(patsubst %.cxx,%.o,$(filter %.cxx,$(SDIR_CXX_SRCS))) +SDIR_OBJ_LIST_CC := $(patsubst %.cc,%.o,$(filter %.cc,$(SDIR_CXX_SRCS))) +SDIR_OBJ_LIST_S := $(patsubst %.S,%.o,$(filter %.S,$(SDIR_ASM_SRCS))) +SDIR_OBJ_LIST_SS := $(patsubst %.s,%.o,$(filter %.s,$(SDIR_ASM_SRCS))) + +SDIR_OBJ_LIST := $(sort $(SDIR_OBJ_LIST_C) $(SDIR_OBJ_LIST_CPP) \ + $(SDIR_OBJ_LIST_CXX) $(SDIR_OBJ_LIST_CC) $(SDIR_OBJ_LIST_S) \ + $(SDIR_OBJ_LIST_SS)) + +# Relative-pathed objects that being with "../" are handled differently. +# +# Regular objects are created as +# $(CONFIG_OBJ_DIR)//.o +# where the path structure is maintained under the obj directory. This +# applies for both absolute and relative paths; in the absolute path +# case this means the entire source path will be recreated under the obj +# directory. This is done to allow two source files with the same name +# to be included as part of the project. +# +# Note: On Cygwin, the path recreated under the obj directory will be +# the cygpath -u output path. +# +# Relative-path objects that begin with "../" cause problems under this +# scheme, as $(CONFIG_OBJ_DIR)/..// can potentially put the object +# files anywhere in the system, creating clutter and polluting the source tree. +# As such, their paths are flattened - the object file created will be +# $(CONFIG_OBJ_DIR)/.o. Due to this, two files specified with +# "../" in the beginning cannot have the same name in the project. VPATH +# will be set for these sources to allow make to relocate the source file +# via %.o rules. +# +# The following lines separate the object list into the flatten and regular +# lists, and then handles them as appropriate. + +FLATTEN_OBJ_LIST := $(filter ../%,$(OBJ_LIST)) +FLATTEN_APP_OBJS := $(addprefix $(CONFIG_OBJ_DIR)/,$(notdir $(FLATTEN_OBJ_LIST))) + +REGULAR_OBJ_LIST := $(filter-out $(FLATTEN_OBJ_LIST),$(OBJ_LIST)) +REGULAR_OBJ_LIST_C := $(filter $(OBJ_LIST_C),$(REGULAR_OBJ_LIST)) +REGULAR_OBJ_LIST_CPP := $(filter $(OBJ_LIST_CPP),$(REGULAR_OBJ_LIST)) +REGULAR_OBJ_LIST_CXX := $(filter $(OBJ_LIST_CXX),$(REGULAR_OBJ_LIST)) +REGULAR_OBJ_LIST_CC := $(filter $(OBJ_LIST_CC),$(REGULAR_OBJ_LIST)) +REGULAR_OBJ_LIST_S := $(filter $(OBJ_LIST_S),$(REGULAR_OBJ_LIST)) +REGULAR_OBJ_LIST_SS := $(filter $(OBJ_LIST_SS),$(REGULAR_OBJ_LIST)) + +FLATTEN_SDIR_OBJ_LIST := $(filter ../%,$(SDIR_OBJ_LIST)) +FLATTEN_SDIR_APP_OBJS := $(addprefix $(CONFIG_OBJ_DIR)/,$(notdir $(FLATTEN_SDIR_OBJ_LIST))) + +REGULAR_SDIR_OBJ_LIST := $(filter-out $(FLATTEN_SDIR_OBJ_LIST),$(SDIR_OBJ_LIST)) +REGULAR_SDIR_OBJ_LIST_C := $(filter $(SDIR_OBJ_LIST_C),$(REGULAR_SDIR_OBJ_LIST)) +REGULAR_SDIR_OBJ_LIST_CPP := $(filter $(SDIR_OBJ_LIST_CPP),$(REGULAR_SDIR_OBJ_LIST)) +REGULAR_SDIR_OBJ_LIST_CXX := $(filter $(SDIR_OBJ_LIST_CXX),$(REGULAR_SDIR_OBJ_LIST)) +REGULAR_SDIR_OBJ_LIST_CC := $(filter $(SDIR_OBJ_LIST_CC),$(REGULAR_SDIR_OBJ_LIST)) +REGULAR_SDIR_OBJ_LIST_S := $(filter $(SDIR_OBJ_LIST_S),$(REGULAR_SDIR_OBJ_LIST)) +REGULAR_SDIR_OBJ_LIST_SS := $(filter $(SDIR_OBJ_LIST_SS),$(REGULAR_SDIR_OBJ_LIST)) + +VPATH := $(sort $(dir $(FLATTEN_OBJ_LIST)) $(dir $(FLATTEN_SDIR_OBJ_LIST))) + +APP_OBJS_C := $(addprefix $(CONFIG_OBJ_DIR)/,\ + $(REGULAR_SDIR_OBJ_LIST_C) \ + $(foreach s,$(REGULAR_OBJ_LIST_C),$(call adjust-path,$s))) + +APP_OBJS_CPP := $(addprefix $(CONFIG_OBJ_DIR)/,\ + $(REGULAR_SDIR_OBJ_LIST_CPP) \ + $(foreach s,$(REGULAR_OBJ_LIST_CPP),$(call adjust-path,$s))) + +APP_OBJS_CXX := $(addprefix $(CONFIG_OBJ_DIR)/,\ + $(REGULAR_SDIR_OBJ_LIST_CXX) \ + $(foreach s,$(REGULAR_OBJ_LIST_CXX),$(call adjust-path,$s))) + +APP_OBJS_CC := $(addprefix $(CONFIG_OBJ_DIR)/,\ + $(REGULAR_SDIR_OBJ_LIST_CC) \ + $(foreach s,$(REGULAR_OBJ_LIST_CC),$(call adjust-path,$s))) + +APP_OBJS_S := $(addprefix $(CONFIG_OBJ_DIR)/,\ + $(REGULAR_SDIR_OBJ_LIST_S) \ + $(foreach s,$(REGULAR_OBJ_LIST_S),$(call adjust-path,$s))) + +APP_OBJS_SS := $(addprefix $(CONFIG_OBJ_DIR)/,\ + $(REGULAR_SDIR_OBJ_LIST_SS) \ + $(foreach s,$(REGULAR_OBJ_LIST_SS),$(call adjust-path,$s))) + +APP_OBJS := $(APP_OBJS_C) $(APP_OBJS_CPP) $(APP_OBJS_CXX) $(APP_OBJS_CC) \ + $(APP_OBJS_S) $(APP_OBJS_SS) \ + $(FLATTEN_APP_OBJS) $(FLATTEN_SDIR_APP_OBJS) + +# Add any extra user-provided object files. +APP_OBJS += $(OBJS) + +# Create list of dependancy files for each object file. +APP_DEPS := $(APP_OBJS:.o=.d) + +# Patch the Elf file with system specific information + +# Patch the Elf with the name of the sopc system +ifneq ($(SOPC_NAME),) +ELF_PATCH_FLAG += --sopc_system_name $(SOPC_NAME) +endif + +# Patch the Elf with the absolute path to the Quartus Project Directory +ifneq ($(QUARTUS_PROJECT_DIR),) +ABS_QUARTUS_PROJECT_DIR := $(call adjust-path-mixed,$(shell cd "$(QUARTUS_PROJECT_DIR)"; pwd)) +ELF_PATCH_FLAG += --quartus_project_dir "$(ABS_QUARTUS_PROJECT_DIR)" +endif + +# Patch the Elf and download args with the JDI_FILE if specified +ifneq ($(wildcard $(JDI_FILE)),) +ELF_PATCH_FLAG += --jdi $(JDI_FILE) +DOWNLOAD_JDI_FLAG := --jdi $(JDI_FILE) +endif + +# Patch the Elf with the SOPCINFO_FILE if specified +ifneq ($(wildcard $(SOPCINFO_FILE)),) +ELF_PATCH_FLAG += --sopcinfo $(SOPCINFO_FILE) +endif + +# Use the DOWNLOAD_CABLE variable to specify which JTAG cable to use. +# This is not needed if you only have one cable. +ifneq ($(DOWNLOAD_CABLE),) +DOWNLOAD_CABLE_FLAG := --cable '$(DOWNLOAD_CABLE)' +endif + + +#------------------------------------------------------------------------------ +# BUILD PRE/POST PROCESS +#------------------------------------------------------------------------------ +build_pre_process : + $(BUILD_PRE_PROCESS) + +build_post_process : + $(BUILD_POST_PROCESS) + +.PHONY: build_pre_process build_post_process + + +#------------------------------------------------------------------------------ +# TOOLS +#------------------------------------------------------------------------------ + +# +# Set tool default variables if not already defined. +# If these are defined, they would typically be defined in an +# included makefile fragment. +# +ifeq ($(DEFAULT_CROSS_COMPILE),) +DEFAULT_CROSS_COMPILE := nios2-elf- +endif + +ifeq ($(DEFAULT_STACKREPORT),) +DEFAULT_STACKREPORT := nios2-stackreport +endif + +ifeq ($(DEFAULT_DOWNLOAD),) +DEFAULT_DOWNLOAD := nios2-download +endif + +ifeq ($(DEFAULT_FLASHPROG),) +DEFAULT_FLASHPROG := nios2-flash-programmer +endif + +ifeq ($(DEFAULT_ELFPATCH),) +DEFAULT_ELFPATCH := nios2-elf-insert +endif + +ifeq ($(DEFAULT_RM),) +DEFAULT_RM := rm -f +endif + +ifeq ($(DEFAULT_CP),) +DEFAULT_CP := cp -f +endif + +ifeq ($(DEFAULT_MKDIR),) +DEFAULT_MKDIR := mkdir -p +endif + +# +# Set tool variables to defaults if not already defined. +# If these are defined, they would typically be defined by a +# setting in the generated portion of this makefile. +# +ifeq ($(CROSS_COMPILE),) +CROSS_COMPILE := $(DEFAULT_CROSS_COMPILE) +endif + +ifeq ($(origin CC),default) +CC := $(CROSS_COMPILE)gcc -xc +endif + +ifeq ($(origin CXX),default) +CXX := $(CROSS_COMPILE)gcc -xc++ +endif + +ifeq ($(origin AS),default) +AS := $(CROSS_COMPILE)gcc +endif + +ifeq ($(origin AR),default) +AR := $(CROSS_COMPILE)ar +endif + +ifeq ($(origin LD),default) +LD := $(CROSS_COMPILE)g++ +endif + +ifeq ($(origin RM),default) +RM := $(DEFAULT_RM) +endif + +ifeq ($(NM),) +NM := $(CROSS_COMPILE)nm +endif + +ifeq ($(CP),) +CP := $(DEFAULT_CP) +endif + +ifeq ($(OBJDUMP),) +OBJDUMP := $(CROSS_COMPILE)objdump +endif + +ifeq ($(OBJCOPY),) +OBJCOPY := $(CROSS_COMPILE)objcopy +endif + +ifeq ($(STACKREPORT),) +STACKREPORT := $(DEFAULT_STACKREPORT) --prefix $(CROSS_COMPILE) +else +DISABLE_STACKREPORT := 1 +endif + +ifeq ($(DOWNLOAD),) +DOWNLOAD := $(DEFAULT_DOWNLOAD) +endif + +ifeq ($(FLASHPROG),) +FLASHPROG := $(DEFAULT_FLASHPROG) +endif + +ifeq ($(ELFPATCH),) +ELFPATCH := $(DEFAULT_ELFPATCH) +endif + +ifeq ($(MKDIR),) +MKDIR := $(DEFAULT_MKDIR) +endif + +#------------------------------------------------------------------------------ +# PATTERN RULES TO BUILD OBJECTS +#------------------------------------------------------------------------------ + +define compile.c +@$(ECHO) Info: Compiling $< to $@ +@$(MKDIR) $(@D) +$(CC) -MP -MMD -c $(APP_CPPFLAGS) $(APP_CFLAGS) -o $@ $< +$(CC_POST_PROCESS) +endef + +define compile.cpp +@$(ECHO) Info: Compiling $< to $@ +@$(MKDIR) $(@D) +$(CXX) -MP -MMD -c $(APP_CPPFLAGS) $(APP_CXXFLAGS) $(APP_CFLAGS) -o $@ $< +$(CXX_POST_PROCESS) +endef + +# If assembling with the compiler, ensure "-Wa," is prepended to all APP_ASFLAGS +ifeq ($(AS),$(patsubst %as,%,$(AS))) +COMMA := , +APP_ASFLAGS := $(filter-out $(APP_CFLAGS),$(addprefix -Wa$(COMMA),$(patsubst -Wa$(COMMA)%,%,$(APP_ASFLAGS)))) +endif + +define compile.s +@$(ECHO) Info: Assembling $< to $@ +@$(MKDIR) $(@D) +$(AS) -MP -MMD -c $(APP_CPPFLAGS) $(APP_CFLAGS) $(APP_ASFLAGS) -o $@ $< +$(AS_POST_PROCESS) +endef + +ifeq ($(MAKE_VERSION),3.81) +.SECONDEXPANSION: + +$(APP_OBJS_C): $(CONFIG_OBJ_DIR)/%.o: $$(call adjust-path-mixed,%.c) + $(compile.c) + +$(APP_OBJS_CPP): $(CONFIG_OBJ_DIR)/%.o: $$(call adjust-path-mixed,%.cpp) + $(compile.cpp) + +$(APP_OBJS_CC): $(CONFIG_OBJ_DIR)/%.o: $$(call adjust-path-mixed,%.cc) + $(compile.cpp) + +$(APP_OBJS_CXX): $(CONFIG_OBJ_DIR)/%.o: $$(call adjust-path-mixed,%.cxx) + $(compile.cpp) + +$(APP_OBJS_S): $(CONFIG_OBJ_DIR)/%.o: $$(call adjust-path-mixed,%.S) + $(compile.s) + +$(APP_OBJS_SS): $(CONFIG_OBJ_DIR)/%.o: $$(call adjust-path-mixed,%.s) + $(compile.s) + +endif # MAKE_VERSION != 3.81 + +$(CONFIG_OBJ_DIR)/%.o: %.c + $(compile.c) + +$(CONFIG_OBJ_DIR)/%.o: %.cpp + $(compile.cpp) + +$(CONFIG_OBJ_DIR)/%.o: %.cc + $(compile.cpp) + +$(CONFIG_OBJ_DIR)/%.o: %.cxx + $(compile.cpp) + +$(CONFIG_OBJ_DIR)/%.o: %.S + $(compile.s) + +$(CONFIG_OBJ_DIR)/%.o: %.s + $(compile.s) + + +#------------------------------------------------------------------------------ +# PATTERN RULES TO INTERMEDIATE FILES +#------------------------------------------------------------------------------ + +$(CONFIG_OBJ_DIR)/%.s: %.c + @$(ECHO) Info: Compiling $< to $@ + @$(MKDIR) $(@D) + $(CC) -S $(APP_CPPFLAGS) $(APP_CFLAGS) -o $@ $< + +$(CONFIG_OBJ_DIR)/%.s: %.cpp + @$(ECHO) Info: Compiling $< to $@ + @$(MKDIR) $(@D) + $(CXX) -S $(APP_CPPFLAGS) $(APP_CXXFLAGS) $(APP_CFLAGS) -o $@ $< + +$(CONFIG_OBJ_DIR)/%.s: %.cc + @$(ECHO) Info: Compiling $< to $@ + @$(MKDIR) $(@D) + $(CXX) -S $(APP_CPPFLAGS) $(APP_CXXFLAGS) $(APP_CFLAGS) -o $@ $< + +$(CONFIG_OBJ_DIR)/%.s: %.cxx + @$(ECHO) Info: Compiling $< to $@ + @$(MKDIR) $(@D) + $(CXX) -S $(APP_CPPFLAGS) $(APP_CXXFLAGS) $(APP_CFLAGS) -o $@ $< + +$(CONFIG_OBJ_DIR)/%.i: %.c + @$(ECHO) Info: Compiling $< to $@ + @$(MKDIR) $(@D) + $(CC) -E $(APP_CPPFLAGS) $(APP_CFLAGS) -o $@ $< + +$(CONFIG_OBJ_DIR)/%.i: %.cpp + @$(ECHO) Info: Compiling $< to $@ + @$(MKDIR) $(@D) + $(CXX) -E $(APP_CPPFLAGS) $(APP_CXXFLAGS) $(APP_CFLAGS) -o $@ $< + +$(CONFIG_OBJ_DIR)/%.i: %.cc + @$(ECHO) Info: Compiling $< to $@ + @$(MKDIR) $(@D) + $(CXX) -E $(APP_CPPFLAGS) $(APP_CXXFLAGS) $(APP_CFLAGS) -o $@ $< + +$(CONFIG_OBJ_DIR)/%.i: %.cxx + @$(ECHO) Info: Compiling $< to $@ + @$(MKDIR) $(@D) + $(CXX) -E $(APP_CPPFLAGS) $(APP_CXXFLAGS) $(APP_CFLAGS) -o $@ $< + + +#------------------------------------------------------------------------------ +# TARGET RULES +#------------------------------------------------------------------------------ + +.PHONY : help +help : + @$(ECHO) "Summary of Makefile targets" + @$(ECHO) " Build targets:" + @$(ECHO) " all (default) - Application and all libraries (including BSP)" + @$(ECHO) " bsp - Just the BSP" + @$(ECHO) " libs - All libraries (including BSP)" + @$(ECHO) " flash - All flash files" + @$(ECHO) " mem_init_generate - All memory initialization files" + @$(ECHO) + @$(ECHO) " Clean targets:" + @$(ECHO) " clean_all - Application and all libraries (including BSP)" + @$(ECHO) " clean - Just the application" + @$(ECHO) " clean_bsp - Just the BSP" + @$(ECHO) " clean_libs - All libraries (including BSP)" + @$(ECHO) + @$(ECHO) " Run targets:" + @$(ECHO) " download-elf - Download and run your elf executable" + @$(ECHO) " program-flash - Program flash contents to the board" + +# Handy rule to skip making libraries and just make application. +.PHONY : app +app : $(ELF) + +ifeq ($(CREATE_OBJDUMP), 1) +app : $(OBJDUMP_NAME) +endif + +ifeq ($(CREATE_ELF_DERIVED_FILES),1) +app : elf_derived_files +endif + +.PHONY: elf_derived_files +elf_derived_files: default_mem_init + +# Handy rule for making just the BSP. +.PHONY : bsp +bsp : + @$(ECHO) Info: Building $(BSP_ROOT_DIR) + @$(MAKE) --no-print-directory -C $(BSP_ROOT_DIR) + + +# Make sure all makeable libraries (including the BSP) are up-to-date. +LIB_TARGETS := $(patsubst %,%-recurs-make-lib,$(MAKEABLE_LIBRARY_ROOT_DIRS)) + +.PHONY : libs +libs : $(LIB_TARGETS) + +ifneq ($(strip $(LIB_TARGETS)),) +$(LIB_TARGETS): %-recurs-make-lib: + @$(ECHO) Info: Building $* + $(MAKE) --no-print-directory -C $* +endif + +ifneq ($(strip $(APP_LDDEPS)),) +$(APP_LDDEPS): libs + @true +endif + +# Rules to force your project to rebuild or relink +# .force_relink file will cause any application that depends on this project to relink +# .force_rebuild file will cause this project to rebuild object files +# .force_rebuild_all file will cause this project and any project that depends on this project to rebuild object files + +FORCE_RELINK_DEP := .force_relink +FORCE_REBUILD_DEP := .force_rebuild +FORCE_REBUILD_ALL_DEP := .force_rebuild_all +FORCE_REBUILD_DEP_LIST := $(CONFIG_OBJ_DIR)/$(FORCE_RELINK_DEP) $(CONFIG_OBJ_DIR)/$(FORCE_REBUILD_DEP) $(FORCE_REBUILD_ALL_DEP) + +$(FORCE_REBUILD_DEP_LIST): + +$(APP_OBJS): $(wildcard $(CONFIG_OBJ_DIR)/$(FORCE_REBUILD_DEP)) $(wildcard $(addsuffix /$(FORCE_REBUILD_ALL_DEP), . $(ALT_LIBRARY_DIRS))) + +$(ELF): $(wildcard $(addsuffix /$(FORCE_RELINK_DEP), $(CONFIG_OBJ_DIR) $(ALT_LIBRARY_DIRS))) + + +# Clean just the application. +.PHONY : clean +ifeq ($(CREATE_ELF_DERIVED_FILES),1) +clean : clean_elf_derived_files +endif + +clean : + @$(RM) -r $(ELF) $(OBJDUMP_NAME) $(LINKER_MAP_NAME) $(OBJ_ROOT_DIR) $(RUNTIME_ROOT_DIR) $(FORCE_REBUILD_DEP_LIST) + @$(ECHO) [$(APP_NAME) clean complete] + +# Clean just the BSP. +.PHONY : clean_bsp +clean_bsp : + @$(ECHO) Info: Cleaning $(BSP_ROOT_DIR) + @$(MAKE) --no-print-directory -C $(BSP_ROOT_DIR) clean + +# Clean all makeable libraries including the BSP. +LIB_CLEAN_TARGETS := $(patsubst %,%-recurs-make-clean-lib,$(MAKEABLE_LIBRARY_ROOT_DIRS)) + +.PHONY : clean_libs +clean_libs : $(LIB_CLEAN_TARGETS) + +ifneq ($(strip $(LIB_CLEAN_TARGETS)),) +$(LIB_CLEAN_TARGETS): %-recurs-make-clean-lib: + @$(ECHO) Info: Cleaning $* + $(MAKE) --no-print-directory -C $* clean +endif + +.PHONY: clean_elf_derived_files +clean_elf_derived_files: mem_init_clean + +# Clean application and all makeable libraries including the BSP. +.PHONY : clean_all +clean_all : clean mem_init_clean clean_libs + +# Include the dependency files unless the make goal is performing a clean +# of the application. +ifneq ($(firstword $(MAKECMDGOALS)),clean) +ifneq ($(firstword $(MAKECMDGOALS)),clean_all) +-include $(APP_DEPS) +endif +endif + +.PHONY : download-elf +download-elf : $(ELF) + @if [ "$(DOWNLOAD)" = "none" ]; \ + then \ + $(ECHO) Downloading $(ELF) not supported; \ + else \ + $(ECHO) Info: Downloading $(ELF); \ + $(DOWNLOAD) --go --cpu_name=$(CPU_NAME) $(DOWNLOAD_CABLE_FLAG) $(SOPC_SYSID_FLAG) $(DOWNLOAD_JDI_FLAG) $(WRITE_GMON_OPTION) $(ELF); \ + fi + +# Delete the target of a rule if it has changed and its commands exit +# with a nonzero exit status. +.DELETE_ON_ERROR: + +# Rules for flash programming commands +PROGRAM_FLASH_SUFFIX := -program +PROGRAM_FLASH_TARGET := $(addsuffix $(PROGRAM_FLASH_SUFFIX), $(FLASH_FILES)) + +.PHONY : program-flash +program-flash : $(PROGRAM_FLASH_TARGET) + +.PHONY : $(PROGRAM_FLASH_TARGET) +$(PROGRAM_FLASH_TARGET) : flash + @if [ "$(FLASHPROG)" = "none" ]; \ + then \ + $(ECHO) Programming flash not supported; \ + else \ + $(ECHO) Info: Programming $(basename $@).flash; \ + if [ -z "$($(basename $@)_EPCS_FLAGS)" ]; \ + then \ + $(ECHO) $(FLASHPROG) $(SOPC_SYSID_FLAG) --base=$($(basename $@)_START) $(basename $@).flash; \ + $(FLASHPROG) $(DOWNLOAD_CABLE_FLAG) $(SOPC_SYSID_FLAG) --base=$($(basename $@)_START) $(basename $@).flash; \ + else \ + $(ECHO) $(FLASHPROG) $(SOPC_SYSID_FLAG) --epcs --base=$($(basename $@)_START) $(basename $@).flash; \ + $(FLASHPROG) $(DOWNLOAD_CABLE_FLAG) $(SOPC_SYSID_FLAG) --epcs --base=$($(basename $@)_START) $(basename $@).flash; \ + fi \ + fi + + +# Rules for simulating with an HDL Simulator [QSYS only] +ifeq ($(QSYS),1) +#Create a top level modelsim script load_sim.tcl to source generate msim_setup.tcl and copy mem initialization files +CREATE_TOP_SIM_SCRIPT := alt-create-top-sim-script + +ifeq ($(VSIM),) +VSIM_EXE := "$(if $(VSIM_DIR),$(VSIM_DIR)/,)vsim" +ifeq ($(ENABLE_VSIM_GUI),1) +VSIM := $(VSIM_EXE) -gui +else +VSIM := $(VSIM_EXE) -c +endif # ENABLE_VSIM_GUI == 1 +endif # VSIM not set + +ifeq ($(SPD),) +ifneq ($(ABS_QUARTUS_PROJECT_DIR),) +ifneq ($(SOPC_NAME),) +SPD_LOCATION = $(ABS_QUARTUS_PROJECT_DIR)/$(SOPC_NAME)_tb/$(SOPC_NAME)_tb/$(SOPC_NAME)_tb.spd +LEGACY_SPD_LOCATION = $(ABS_QUARTUS_PROJECT_DIR)/$(SOPC_NAME)_tb.spd +SPD = $(if $(wildcard $(SPD_LOCATION)),$(SPD_LOCATION),$(LEGACY_SPD_LOCATION)) +endif # SOPC_NAME set +endif # ABS_QUARTUS_PROJECT_DIR set +endif # SPD == empty string + + +ifeq ($(LOAD_SIM_SCRIPT),) +SIM_SCRIPT_DIR := $(RUNTIME_ROOT_DIR)/sim +LOAD_SIM_SCRIPT := $(SIM_SCRIPT_DIR)/mentor/load_sim.tcl +endif # LOAD_SIM_SCRIPT == empty string + +ifeq ($(MAKE_VERSION),3.81) +ABS_MEM_INIT_DESCRIPTOR_FILE := $(abspath $(MEM_INIT_DESCRIPTOR_FILE)) +else +ABS_MEM_INIT_DESCRIPTOR_FILE := $(call adjust-path-mixed,$(shell pwd))/$(MEM_INIT_DESCRIPTOR_FILE) +endif + +$(LOAD_SIM_SCRIPT): $(SPD) $(MEM_INIT_DESCRIPTOR_FILE) +ifeq ($(SPD),) + $(error No SPD file specified. Ensure QUARTUS_PROJECT_DIR variable is set) +endif + @$(MKDIR) $(SIM_SCRIPT_DIR) + $(CREATE_TOP_SIM_SCRIPT) --spd=$(SPD) --mem-init-spd=$(abspath $(MEM_INIT_DESCRIPTOR_FILE)) --output-directory=$(SIM_SCRIPT_DIR) + +VSIM_COMMAND = \ + cd $(dir $(LOAD_SIM_SCRIPT)) && \ + $(VSIM) -do "do $(notdir $(LOAD_SIM_SCRIPT)); ld; $(if $(VSIM_RUN_TIME),run ${VSIM_RUN_TIME};quit;)" + +.PHONY: sim +sim: $(LOAD_SIM_SCRIPT) mem_init_generate +ifeq ($(LOAD_SIM_SCRIPT),) + $(error LOAD_SIM_SCRIPT not set) +endif + $(VSIM_COMMAND) + +endif # QSYS == 1 + + + + +#------------------------------------------------------------------------------ +# ELF TARGET RULE +#------------------------------------------------------------------------------ +# Rule for constructing the executable elf file. +$(ELF) : $(APP_OBJS) $(LINKER_SCRIPT) $(APP_LDDEPS) + @$(ECHO) Info: Linking $@ + $(LD) $(APP_LDFLAGS) $(APP_CFLAGS) -o $@ $(filter-out $(CRT0),$(APP_OBJS)) $(APP_LIBS) $(APP_BSP_DEP_LIBS) +ifneq ($(DISABLE_ELFPATCH),1) + $(ELFPATCH) $@ $(ELF_PATCH_FLAG) +endif +ifneq ($(DISABLE_STACKREPORT),1) + @bash -c "$(STACKREPORT) $@" +endif + +$(OBJDUMP_NAME) : $(ELF) + @$(ECHO) Info: Creating $@ + $(OBJDUMP) $(OBJDUMP_FLAGS) $< >$@ + +# Rule for printing the name of the elf file +.PHONY: print-elf-name +print-elf-name: + @$(ECHO) $(ELF) + + diff --git a/Top/software/deltasigma/deltasigma.elf b/Top/software/deltasigma/deltasigma.elf new file mode 100644 index 0000000..0581bb8 Binary files /dev/null and b/Top/software/deltasigma/deltasigma.elf differ diff --git a/Top/software/deltasigma/deltasigma.map b/Top/software/deltasigma/deltasigma.map new file mode 100644 index 0000000..063a465 --- /dev/null +++ b/Top/software/deltasigma/deltasigma.map @@ -0,0 +1,1631 @@ +Archive member included to satisfy reference by file (symbol) + +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) + obj/default/main.o (puts) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-strlen.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) (strlen) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) (__sinit) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) (__sfvwrite_r) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fwalk.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) (_fwalk) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-impure.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) (_global_impure_ptr) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) (_malloc_r) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memchr.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) (memchr) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcpy.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) (memcpy) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memmove.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) (memmove) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memset.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) (memset) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-reallocr.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) (_realloc_r) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-sbrkr.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) (_sbrk_r) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) (__sread) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-writer.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) (_write_r) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-wsetup.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) (__swsetup_r) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(closer.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) (_close_r) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fclose.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) (_fclose_r) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fflush.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fclose.o) (__sflush_r) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-freer.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) (_free_r) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-int_errno.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-sbrkr.o) (errno) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-lseekr.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) (_lseek_r) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-makebuf.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-wsetup.o) (__smakebuf_r) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-readr.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) (_read_r) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fstatr.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-makebuf.o) (_fstat_r) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-isattyr.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-makebuf.o) (_isatty_r) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-divmod.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) (__divsi3) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-mul.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) (__mulsi3) +../semafor_bsp//libhal_bsp.a(alt_close.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(closer.o) (close) +../semafor_bsp//libhal_bsp.a(alt_dev.o) + ../semafor_bsp//libhal_bsp.a(alt_close.o) (alt_fd_list) +../semafor_bsp//libhal_bsp.a(alt_errno.o) + ../semafor_bsp//libhal_bsp.a(alt_close.o) (alt_errno) +../semafor_bsp//libhal_bsp.a(alt_fstat.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fstatr.o) (fstat) +../semafor_bsp//libhal_bsp.a(alt_isatty.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-isattyr.o) (isatty) +../semafor_bsp//libhal_bsp.a(alt_lseek.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-lseekr.o) (lseek) +../semafor_bsp//libhal_bsp.a(alt_main.o) + ../semafor_bsp//obj/HAL/src/crt0.o (alt_main) +../semafor_bsp//libhal_bsp.a(alt_malloc_lock.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) (__malloc_lock) +../semafor_bsp//libhal_bsp.a(alt_read.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-readr.o) (read) +../semafor_bsp//libhal_bsp.a(alt_release_fd.o) + ../semafor_bsp//libhal_bsp.a(alt_close.o) (alt_release_fd) +../semafor_bsp//libhal_bsp.a(alt_sbrk.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-sbrkr.o) (sbrk) +../semafor_bsp//libhal_bsp.a(alt_write.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-writer.o) (write) +../semafor_bsp//libhal_bsp.a(alt_sys_init.o) + ../semafor_bsp//libhal_bsp.a(alt_main.o) (alt_irq_init) +../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + ../semafor_bsp//libhal_bsp.a(alt_sys_init.o) (altera_avalon_jtag_uart_read_fd) +../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) + ../semafor_bsp//libhal_bsp.a(alt_sys_init.o) (altera_avalon_jtag_uart_init) +../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) (altera_avalon_jtag_uart_ioctl) +../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) + ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) (altera_avalon_jtag_uart_read) +../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) + ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) (altera_avalon_jtag_uart_write) +../semafor_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) + ../semafor_bsp//libhal_bsp.a(alt_sys_init.o) (alt_avalon_timer_sc_init) +../semafor_bsp//libhal_bsp.a(alt_alarm_start.o) + ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) (alt_alarm_start) +../semafor_bsp//libhal_bsp.a(alt_dev_llist_insert.o) + ../semafor_bsp//libhal_bsp.a(alt_sys_init.o) (alt_dev_llist_insert) +../semafor_bsp//libhal_bsp.a(alt_do_ctors.o) + ../semafor_bsp//libhal_bsp.a(alt_main.o) (_do_ctors) +../semafor_bsp//libhal_bsp.a(alt_do_dtors.o) + ../semafor_bsp//libhal_bsp.a(alt_main.o) (_do_dtors) +../semafor_bsp//libhal_bsp.a(alt_iic.o) + ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) (alt_ic_isr_register) +../semafor_bsp//libhal_bsp.a(alt_iic_isr_register.o) + ../semafor_bsp//libhal_bsp.a(alt_iic.o) (alt_iic_isr_register) +../semafor_bsp//libhal_bsp.a(alt_io_redirect.o) + ../semafor_bsp//libhal_bsp.a(alt_main.o) (alt_io_redirect) +../semafor_bsp//libhal_bsp.a(alt_irq_entry.o) + ../semafor_bsp//libhal_bsp.a(alt_iic_isr_register.o) (alt_irq_entry) +../semafor_bsp//libhal_bsp.a(alt_irq_handler.o) + ../semafor_bsp//libhal_bsp.a(alt_iic_isr_register.o) (alt_irq) +../semafor_bsp//libhal_bsp.a(alt_irq_vars.o) + ../semafor_bsp//libhal_bsp.a(alt_iic.o) (alt_irq_active) +../semafor_bsp//libhal_bsp.a(alt_open.o) + ../semafor_bsp//libhal_bsp.a(alt_io_redirect.o) (open) +../semafor_bsp//libhal_bsp.a(alt_tick.o) + ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) (_alt_tick_rate) +../semafor_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) + ../semafor_bsp//libhal_bsp.a(alt_sys_init.o) (altera_nios2_gen2_irq_init) +../semafor_bsp//libhal_bsp.a(alt_exception_entry.o) + ../semafor_bsp//libhal_bsp.a(alt_irq_entry.o) (alt_exception) +../semafor_bsp//libhal_bsp.a(alt_find_dev.o) + ../semafor_bsp//libhal_bsp.a(alt_open.o) (alt_find_dev) +../semafor_bsp//libhal_bsp.a(alt_find_file.o) + ../semafor_bsp//libhal_bsp.a(alt_open.o) (alt_find_file) +../semafor_bsp//libhal_bsp.a(alt_get_fd.o) + ../semafor_bsp//libhal_bsp.a(alt_open.o) (alt_get_fd) +../semafor_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + ../semafor_bsp//libhal_bsp.a(alt_exception_entry.o) (alt_instruction_exception_entry) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-atexit.o) + ../semafor_bsp//libhal_bsp.a(alt_main.o) (atexit) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-exit.o) + ../semafor_bsp//libhal_bsp.a(alt_main.o) (exit) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcmp.o) + ../semafor_bsp//libhal_bsp.a(alt_find_dev.o) (memcmp) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__atexit.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-atexit.o) (__register_exitproc) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__call_atexit.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-exit.o) (__call_exitprocs) +../semafor_bsp//libhal_bsp.a(alt_exit.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-exit.o) (_exit) + +Allocating common symbols +Common symbol size file + +alt_irq 0x100 ../semafor_bsp//libhal_bsp.a(alt_irq_handler.o) +errno 0x4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-int_errno.o) + +Memory Configuration + +Name Origin Length Attributes +reset 0x0000000000000000 0x0000000000000020 +mem 0x0000000000000020 0x0000000000007fe0 +*default* 0x0000000000000000 0xffffffffffffffff + +Linker script and memory map + +LOAD ../semafor_bsp//obj/HAL/src/crt0.o +LOAD obj/default/main.o +LOAD /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libstdc++.a +LOAD /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libm.a +LOAD /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a +START GROUP +LOAD /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a +LOAD /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a +LOAD ../semafor_bsp//libhal_bsp.a +LOAD /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libm.a +END GROUP +LOAD /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a + 0x0000000000000000 __alt_mem_mem = 0x0 + +.entry 0x0000000000000000 0x0 + *(.entry) + .entry 0x0000000000000000 0x0 ../semafor_bsp//obj/HAL/src/crt0.o + 0x0000000000000000 __reset + +.exceptions 0x0000000000000020 0x210 + [!provide] PROVIDE (__ram_exceptions_start, ABSOLUTE (.)) + 0x0000000000000020 . = ALIGN (0x20) + *(.irq) + *(.exceptions.entry.label) + .exceptions.entry.label + 0x0000000000000020 0x0 ../semafor_bsp//libhal_bsp.a(alt_irq_entry.o) + 0x0000000000000020 alt_irq_entry + .exceptions.entry.label + 0x0000000000000020 0x0 ../semafor_bsp//libhal_bsp.a(alt_exception_entry.o) + 0x0000000000000020 alt_exception + *(.exceptions.entry.user) + *(.exceptions.entry.ecc_fatal) + *(.exceptions.entry) + .exceptions.entry + 0x0000000000000020 0x54 ../semafor_bsp//libhal_bsp.a(alt_exception_entry.o) + *(.exceptions.irqtest.user) + *(.exceptions.irqtest) + .exceptions.irqtest + 0x0000000000000074 0x10 ../semafor_bsp//libhal_bsp.a(alt_irq_entry.o) + *(.exceptions.irqhandler.user) + *(.exceptions.irqhandler) + .exceptions.irqhandler + 0x0000000000000084 0x4 ../semafor_bsp//libhal_bsp.a(alt_irq_entry.o) + *(.exceptions.irqreturn.user) + *(.exceptions.irqreturn) + .exceptions.irqreturn + 0x0000000000000088 0x4 ../semafor_bsp//libhal_bsp.a(alt_irq_entry.o) + *(.exceptions.notirq.label) + .exceptions.notirq.label + 0x000000000000008c 0x0 ../semafor_bsp//libhal_bsp.a(alt_irq_entry.o) + *(.exceptions.notirq.user) + *(.exceptions.notirq) + .exceptions.notirq + 0x000000000000008c 0x8 ../semafor_bsp//libhal_bsp.a(alt_exception_entry.o) + *(.exceptions.soft.user) + *(.exceptions.soft) + *(.exceptions.unknown.user) + *(.exceptions.unknown) + .exceptions.unknown + 0x0000000000000094 0x14 ../semafor_bsp//libhal_bsp.a(alt_exception_entry.o) + *(.exceptions.exit.label) + .exceptions.exit.label + 0x00000000000000a8 0x0 ../semafor_bsp//libhal_bsp.a(alt_irq_entry.o) + .exceptions.exit.label + 0x00000000000000a8 0x0 ../semafor_bsp//libhal_bsp.a(alt_exception_entry.o) + *(.exceptions.exit.user) + *(.exceptions.exit) + .exceptions.exit + 0x00000000000000a8 0x54 ../semafor_bsp//libhal_bsp.a(alt_exception_entry.o) + *(.exceptions) + .exceptions 0x00000000000000fc 0xd4 ../semafor_bsp//libhal_bsp.a(alt_irq_handler.o) + 0x00000000000000fc alt_irq_handler + .exceptions 0x00000000000001d0 0x60 ../semafor_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + 0x00000000000001d0 alt_instruction_exception_entry + [!provide] PROVIDE (__ram_exceptions_end, ABSOLUTE (.)) + [!provide] PROVIDE (__flash_exceptions_start, LOADADDR (.exceptions)) + +.text 0x0000000000000230 0x54e0 + [!provide] PROVIDE (stext, ABSOLUTE (.)) + *(.interp) + *(.hash) + *(.dynsym) + *(.dynstr) + *(.gnu.version) + *(.gnu.version_d) + *(.gnu.version_r) + *(.rel.init) + *(.rela.init) + *(.rel.text .rel.text.* .rel.gnu.linkonce.t.*) + *(.rela.text .rela.text.* .rela.gnu.linkonce.t.*) + *(.rel.fini) + *(.rela.fini) + *(.rel.rodata .rel.rodata.* .rel.gnu.linkonce.r.*) + *(.rela.rodata .rela.rodata.* .rela.gnu.linkonce.r.*) + *(.rel.data .rel.data.* .rel.gnu.linkonce.d.*) + *(.rela.data .rela.data.* .rela.gnu.linkonce.d.*) + *(.rel.tdata .rel.tdata.* .rel.gnu.linkonce.td.*) + *(.rela.tdata .rela.tdata.* .rela.gnu.linkonce.td.*) + *(.rel.tbss .rel.tbss.* .rel.gnu.linkonce.tb.*) + *(.rela.tbss .rela.tbss.* .rela.gnu.linkonce.tb.*) + *(.rel.ctors) + *(.rela.ctors) + *(.rel.dtors) + *(.rela.dtors) + *(.rel.got) + *(.rela.got) + *(.rel.sdata .rel.sdata.* .rel.gnu.linkonce.s.*) + *(.rela.sdata .rela.sdata.* .rela.gnu.linkonce.s.*) + *(.rel.sbss .rel.sbss.* .rel.gnu.linkonce.sb.*) + *(.rela.sbss .rela.sbss.* .rela.gnu.linkonce.sb.*) + *(.rel.sdata2 .rel.sdata2.* .rel.gnu.linkonce.s2.*) + *(.rela.sdata2 .rela.sdata2.* .rela.gnu.linkonce.s2.*) + *(.rel.sbss2 .rel.sbss2.* .rel.gnu.linkonce.sb2.*) + *(.rela.sbss2 .rela.sbss2.* .rela.gnu.linkonce.sb2.*) + *(.rel.bss .rel.bss.* .rel.gnu.linkonce.b.*) + *(.rela.bss .rela.bss.* .rela.gnu.linkonce.b.*) + *(.rel.plt) + *(.rela.plt) + *(.rel.dyn) + *(.init) + *(.plt) + *(.text .stub .text.* .gnu.linkonce.t.*) + .text 0x0000000000000230 0x38 ../semafor_bsp//obj/HAL/src/crt0.o + 0x0000000000000230 _start + .text 0x0000000000000268 0x2c obj/default/main.o + 0x0000000000000268 main + .text 0x0000000000000294 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) + .text._puts_r 0x0000000000000294 0xc0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) + 0x0000000000000294 _puts_r + .text.puts 0x0000000000000354 0x14 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) + 0x0000000000000354 puts + .text 0x0000000000000368 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-strlen.o) + .text.strlen 0x0000000000000368 0x98 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-strlen.o) + 0x0000000000000368 strlen + .text 0x0000000000000400 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + .text.__fp_unlock + 0x0000000000000400 0x8 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + .text._cleanup_r + 0x0000000000000408 0xc /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + 0x0000000000000408 _cleanup_r + .text.__sinit.part.1 + 0x0000000000000414 0x19c /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + .text.__fp_lock + 0x00000000000005b0 0x8 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + .text.__sfmoreglue + 0x00000000000005b8 0x78 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + 0x00000000000005b8 __sfmoreglue + .text.__sfp 0x0000000000000630 0x118 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + 0x0000000000000630 __sfp + .text._cleanup + 0x0000000000000748 0x18 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + 0x0000000000000748 _cleanup + .text.__sinit 0x0000000000000760 0x10 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + 0x0000000000000760 __sinit + .text.__sfp_lock_acquire + 0x0000000000000770 0x4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + 0x0000000000000770 __sfp_lock_acquire + .text.__sfp_lock_release + 0x0000000000000774 0x4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + 0x0000000000000774 __sfp_lock_release + .text.__sinit_lock_acquire + 0x0000000000000778 0x4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + 0x0000000000000778 __sinit_lock_acquire + .text.__sinit_lock_release + 0x000000000000077c 0x4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + 0x000000000000077c __sinit_lock_release + .text.__fp_lock_all + 0x0000000000000780 0x18 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + 0x0000000000000780 __fp_lock_all + .text.__fp_unlock_all + 0x0000000000000798 0x18 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + 0x0000000000000798 __fp_unlock_all + .text 0x00000000000007b0 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) + .text.__sfvwrite_r + 0x00000000000007b0 0x4c8 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) + 0x00000000000007b0 __sfvwrite_r + .text 0x0000000000000c78 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fwalk.o) + .text._fwalk 0x0000000000000c78 0xc4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fwalk.o) + 0x0000000000000c78 _fwalk + .text._fwalk_reent + 0x0000000000000d3c 0xc4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fwalk.o) + 0x0000000000000d3c _fwalk_reent + .text 0x0000000000000e00 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-impure.o) + .text 0x0000000000000e00 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + .text._malloc_r + 0x0000000000000e00 0x80c /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + 0x0000000000000e00 _malloc_r + .text 0x000000000000160c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memchr.o) + .text.memchr 0x000000000000160c 0xe4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memchr.o) + 0x000000000000160c memchr + .text 0x00000000000016f0 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcpy.o) + .text.memcpy 0x00000000000016f0 0x148 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcpy.o) + 0x00000000000016f0 memcpy + .text 0x0000000000001838 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memmove.o) + .text.memmove 0x0000000000001838 0x15c /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memmove.o) + 0x0000000000001838 memmove + .text 0x0000000000001994 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memset.o) + .text.memset 0x0000000000001994 0x128 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memset.o) + 0x0000000000001994 memset + .text 0x0000000000001abc 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-reallocr.o) + .text._realloc_r + 0x0000000000001abc 0x564 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-reallocr.o) + 0x0000000000001abc _realloc_r + .text 0x0000000000002020 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-sbrkr.o) + .text._sbrk_r 0x0000000000002020 0x54 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-sbrkr.o) + 0x0000000000002020 _sbrk_r + .text 0x0000000000002074 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) + .text.__sread 0x0000000000002074 0x54 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) + 0x0000000000002074 __sread + .text.__seofread + 0x00000000000020c8 0x8 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) + 0x00000000000020c8 __seofread + .text.__swrite + 0x00000000000020d0 0x7c /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) + 0x00000000000020d0 __swrite + .text.__sseek 0x000000000000214c 0x5c /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) + 0x000000000000214c __sseek + .text.__sclose + 0x00000000000021a8 0x8 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) + 0x00000000000021a8 __sclose + .text 0x00000000000021b0 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-writer.o) + .text._write_r + 0x00000000000021b0 0x60 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-writer.o) + 0x00000000000021b0 _write_r + .text 0x0000000000002210 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-wsetup.o) + .text.__swsetup_r + 0x0000000000002210 0x154 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-wsetup.o) + 0x0000000000002210 __swsetup_r + .text 0x0000000000002364 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(closer.o) + .text._close_r + 0x0000000000002364 0x54 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(closer.o) + 0x0000000000002364 _close_r + .text 0x00000000000023b8 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fclose.o) + .text._fclose_r + 0x00000000000023b8 0xf0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fclose.o) + 0x00000000000023b8 _fclose_r + .text.fclose 0x00000000000024a8 0x14 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fclose.o) + 0x00000000000024a8 fclose + .text 0x00000000000024bc 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fflush.o) + .text.__sflush_r + 0x00000000000024bc 0x21c /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fflush.o) + 0x00000000000024bc __sflush_r + .text._fflush_r + 0x00000000000026d8 0x5c /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fflush.o) + 0x00000000000026d8 _fflush_r + .text.fflush 0x0000000000002734 0x30 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fflush.o) + 0x0000000000002734 fflush + .text 0x0000000000002764 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-freer.o) + .text._malloc_trim_r + 0x0000000000002764 0x124 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-freer.o) + 0x0000000000002764 _malloc_trim_r + .text._free_r 0x0000000000002888 0x310 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-freer.o) + 0x0000000000002888 _free_r + .text 0x0000000000002b98 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-int_errno.o) + .text 0x0000000000002b98 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-lseekr.o) + .text._lseek_r + 0x0000000000002b98 0x60 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-lseekr.o) + 0x0000000000002b98 _lseek_r + .text 0x0000000000002bf8 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-makebuf.o) + .text.__smakebuf_r + 0x0000000000002bf8 0x1bc /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-makebuf.o) + 0x0000000000002bf8 __smakebuf_r + .text 0x0000000000002db4 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-readr.o) + .text._read_r 0x0000000000002db4 0x60 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-readr.o) + 0x0000000000002db4 _read_r + .text 0x0000000000002e14 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fstatr.o) + .text._fstat_r + 0x0000000000002e14 0x5c /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fstatr.o) + 0x0000000000002e14 _fstat_r + .text 0x0000000000002e70 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-isattyr.o) + .text._isatty_r + 0x0000000000002e70 0x54 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-isattyr.o) + 0x0000000000002e70 _isatty_r + .text 0x0000000000002ec4 0x1b4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-divmod.o) + 0x0000000000002ec4 __divsi3 + 0x0000000000002f48 __modsi3 + 0x0000000000002fbc __udivsi3 + 0x0000000000003020 __umodsi3 + .text 0x0000000000003078 0x28 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-mul.o) + 0x0000000000003078 __mulsi3 + .text 0x00000000000030a0 0x114 ../semafor_bsp//libhal_bsp.a(alt_close.o) + 0x00000000000030dc close + .text 0x00000000000031b4 0x2c ../semafor_bsp//libhal_bsp.a(alt_dev.o) + .text 0x00000000000031e0 0x0 ../semafor_bsp//libhal_bsp.a(alt_errno.o) + .text 0x00000000000031e0 0xf4 ../semafor_bsp//libhal_bsp.a(alt_fstat.o) + 0x000000000000321c fstat + .text 0x00000000000032d4 0xe8 ../semafor_bsp//libhal_bsp.a(alt_isatty.o) + 0x0000000000003310 isatty + .text 0x00000000000033bc 0x118 ../semafor_bsp//libhal_bsp.a(alt_lseek.o) + 0x00000000000033f8 lseek + .text 0x00000000000034d4 0x7c ../semafor_bsp//libhal_bsp.a(alt_main.o) + 0x00000000000034d4 alt_main + .text 0x0000000000003550 0x48 ../semafor_bsp//libhal_bsp.a(alt_malloc_lock.o) + 0x0000000000003550 __malloc_lock + 0x0000000000003574 __malloc_unlock + .text 0x0000000000003598 0x140 ../semafor_bsp//libhal_bsp.a(alt_read.o) + 0x00000000000035d4 read + .text 0x00000000000036d8 0x84 ../semafor_bsp//libhal_bsp.a(alt_release_fd.o) + 0x00000000000036d8 alt_release_fd + .text 0x000000000000375c 0xb0 ../semafor_bsp//libhal_bsp.a(alt_sbrk.o) + 0x000000000000375c sbrk + .text 0x000000000000380c 0x13c ../semafor_bsp//libhal_bsp.a(alt_write.o) + 0x0000000000003848 write + .text 0x0000000000003948 0xc8 ../semafor_bsp//libhal_bsp.a(alt_sys_init.o) + 0x000000000000397c alt_irq_init + 0x00000000000039b4 alt_sys_init + .text 0x0000000000003a10 0x164 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + 0x0000000000003a10 altera_avalon_jtag_uart_read_fd + 0x0000000000003a70 altera_avalon_jtag_uart_write_fd + 0x0000000000003ad0 altera_avalon_jtag_uart_close_fd + 0x0000000000003b20 altera_avalon_jtag_uart_ioctl_fd + .text 0x0000000000003b74 0x3d4 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) + 0x0000000000003b74 altera_avalon_jtag_uart_init + 0x0000000000003ee0 altera_avalon_jtag_uart_close + .text 0x0000000000003f48 0xf0 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + 0x0000000000003f48 altera_avalon_jtag_uart_ioctl + .text 0x0000000000004038 0x21c ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) + 0x0000000000004038 altera_avalon_jtag_uart_read + .text 0x0000000000004254 0x224 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) + 0x0000000000004254 altera_avalon_jtag_uart_write + .text 0x0000000000004478 0xf4 ../semafor_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) + 0x00000000000044f0 alt_avalon_timer_sc_init + .text 0x000000000000456c 0x12c ../semafor_bsp//libhal_bsp.a(alt_alarm_start.o) + 0x000000000000456c alt_alarm_start + .text 0x0000000000004698 0xe0 ../semafor_bsp//libhal_bsp.a(alt_dev_llist_insert.o) + 0x00000000000046d4 alt_dev_llist_insert + .text 0x0000000000004778 0x60 ../semafor_bsp//libhal_bsp.a(alt_do_ctors.o) + 0x0000000000004778 _do_ctors + .text 0x00000000000047d8 0x60 ../semafor_bsp//libhal_bsp.a(alt_do_dtors.o) + 0x00000000000047d8 _do_dtors + .text 0x0000000000004838 0x1b0 ../semafor_bsp//libhal_bsp.a(alt_iic.o) + 0x0000000000004838 alt_ic_isr_register + 0x0000000000004888 alt_ic_irq_enable + 0x0000000000004910 alt_ic_irq_disable + 0x000000000000499c alt_ic_irq_enabled + .text 0x00000000000049e8 0xf0 ../semafor_bsp//libhal_bsp.a(alt_iic_isr_register.o) + 0x00000000000049e8 alt_iic_isr_register + .text 0x0000000000004ad8 0x160 ../semafor_bsp//libhal_bsp.a(alt_io_redirect.o) + 0x0000000000004bbc alt_io_redirect + .text 0x0000000000004c38 0x0 ../semafor_bsp//libhal_bsp.a(alt_irq_entry.o) + .text 0x0000000000004c38 0x0 ../semafor_bsp//libhal_bsp.a(alt_irq_handler.o) + .text 0x0000000000004c38 0x0 ../semafor_bsp//libhal_bsp.a(alt_irq_vars.o) + .text 0x0000000000004c38 0x284 ../semafor_bsp//libhal_bsp.a(alt_open.o) + 0x0000000000004d60 open + .text 0x0000000000004ebc 0x1a4 ../semafor_bsp//libhal_bsp.a(alt_tick.o) + 0x0000000000004ebc alt_alarm_stop + 0x0000000000004f58 alt_tick + .text 0x0000000000005060 0x24 ../semafor_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) + 0x0000000000005060 altera_nios2_gen2_irq_init + .text 0x0000000000005084 0x0 ../semafor_bsp//libhal_bsp.a(alt_exception_entry.o) + .text 0x0000000000005084 0x90 ../semafor_bsp//libhal_bsp.a(alt_find_dev.o) + 0x0000000000005084 alt_find_dev + .text 0x0000000000005114 0x108 ../semafor_bsp//libhal_bsp.a(alt_find_file.o) + 0x0000000000005114 alt_find_file + .text 0x000000000000521c 0xc4 ../semafor_bsp//libhal_bsp.a(alt_get_fd.o) + 0x000000000000521c alt_get_fd + .text 0x00000000000052e0 0x9c ../semafor_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + 0x00000000000052e0 alt_exception_cause_generated_bad_addr + .text 0x000000000000537c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-atexit.o) + .text.atexit 0x000000000000537c 0x14 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-atexit.o) + 0x000000000000537c atexit + .text 0x0000000000005390 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-exit.o) + .text.exit 0x0000000000005390 0x38 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-exit.o) + 0x0000000000005390 exit + .text 0x00000000000053c8 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcmp.o) + .text.memcmp 0x00000000000053c8 0x7c /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcmp.o) + 0x00000000000053c8 memcmp + .text 0x0000000000005444 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__atexit.o) + .text.__register_exitproc + 0x0000000000005444 0x118 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__atexit.o) + 0x0000000000005444 __register_exitproc + .text 0x000000000000555c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__call_atexit.o) + .text.__call_exitprocs + 0x000000000000555c 0x180 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__call_atexit.o) + 0x000000000000555c __call_exitprocs + .text 0x00000000000056dc 0x34 ../semafor_bsp//libhal_bsp.a(alt_exit.o) + 0x00000000000056dc _exit + *(.gnu.warning.*) + *(.fini) + [!provide] PROVIDE (__etext, ABSOLUTE (.)) + [!provide] PROVIDE (_etext, ABSOLUTE (.)) + [!provide] PROVIDE (etext, ABSOLUTE (.)) + *(.eh_frame_hdr) + 0x0000000000005710 . = ALIGN (0x4) + [!provide] PROVIDE (__preinit_array_start, ABSOLUTE (.)) + *(.preinit_array) + [!provide] PROVIDE (__preinit_array_end, ABSOLUTE (.)) + [!provide] PROVIDE (__init_array_start, ABSOLUTE (.)) + *(.init_array) + [!provide] PROVIDE (__init_array_end, ABSOLUTE (.)) + [!provide] PROVIDE (__fini_array_start, ABSOLUTE (.)) + *(.fini_array) + [!provide] PROVIDE (__fini_array_end, ABSOLUTE (.)) + *(.eh_frame) + *(.gcc_except_table .gcc_except_table.*) + *(.dynamic) + 0x0000000000005710 PROVIDE (__CTOR_LIST__, ABSOLUTE (.)) + *(.ctors) + *(SORT(.ctors.*)) + 0x0000000000005710 PROVIDE (__CTOR_END__, ABSOLUTE (.)) + 0x0000000000005710 PROVIDE (__DTOR_LIST__, ABSOLUTE (.)) + *(.dtors) + *(SORT(.dtors.*)) + 0x0000000000005710 PROVIDE (__DTOR_END__, ABSOLUTE (.)) + *(.jcr) + 0x0000000000005710 . = ALIGN (0x4) + +.rodata 0x0000000000005710 0x3c + [!provide] PROVIDE (__ram_rodata_start, ABSOLUTE (.)) + 0x0000000000005710 . = ALIGN (0x4) + *(.rodata .rodata.* .gnu.linkonce.r.*) + .rodata 0x0000000000005710 0x6 obj/default/main.o + *fill* 0x0000000000005716 0x2 + .rodata.str1.4 + 0x0000000000005718 0x2 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) + *fill* 0x000000000000571a 0x2 + .rodata.str1.4 + 0x000000000000571c 0x4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-impure.o) + 0x2 (size before relaxing) + .rodata 0x0000000000005720 0xa ../semafor_bsp//libhal_bsp.a(alt_dev.o) + *fill* 0x000000000000572a 0x2 + .rodata 0x000000000000572c 0xf ../semafor_bsp//libhal_bsp.a(alt_main.o) + *fill* 0x000000000000573b 0x1 + .rodata 0x000000000000573c 0xf ../semafor_bsp//libhal_bsp.a(alt_sys_init.o) + *(.rodata1) + 0x000000000000574c . = ALIGN (0x4) + *fill* 0x000000000000574b 0x1 + [!provide] PROVIDE (__ram_rodata_end, ABSOLUTE (.)) + [!provide] PROVIDE (__flash_rodata_start, LOADADDR (.rodata)) + +.rwdata 0x000000000000574c 0x1a6c + [!provide] PROVIDE (__ram_rwdata_start, ABSOLUTE (.)) + 0x000000000000574c . = ALIGN (0x4) + *(.got.plt) + *(.got) + *(.data1) + *(.data .data.* .gnu.linkonce.d.*) + .data 0x000000000000574c 0x0 ../semafor_bsp//obj/HAL/src/crt0.o + .data 0x000000000000574c 0x0 obj/default/main.o + .data 0x000000000000574c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) + .data 0x000000000000574c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-strlen.o) + .data 0x000000000000574c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + .data 0x000000000000574c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) + .data 0x000000000000574c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fwalk.o) + .data 0x000000000000574c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-impure.o) + .data.impure_data + 0x000000000000574c 0x424 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-impure.o) + .data 0x0000000000005b70 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + .data.__malloc_av_ + 0x0000000000005b70 0x408 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + 0x0000000000005b70 __malloc_av_ + .data 0x0000000000005f78 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memchr.o) + .data 0x0000000000005f78 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcpy.o) + .data 0x0000000000005f78 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memmove.o) + .data 0x0000000000005f78 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memset.o) + .data 0x0000000000005f78 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-reallocr.o) + .data 0x0000000000005f78 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-sbrkr.o) + .data 0x0000000000005f78 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) + .data 0x0000000000005f78 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-writer.o) + .data 0x0000000000005f78 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-wsetup.o) + .data 0x0000000000005f78 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(closer.o) + .data 0x0000000000005f78 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fclose.o) + .data 0x0000000000005f78 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fflush.o) + .data 0x0000000000005f78 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-freer.o) + .data 0x0000000000005f78 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-int_errno.o) + .data 0x0000000000005f78 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-lseekr.o) + .data 0x0000000000005f78 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-makebuf.o) + .data 0x0000000000005f78 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-readr.o) + .data 0x0000000000005f78 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fstatr.o) + .data 0x0000000000005f78 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-isattyr.o) + .data 0x0000000000005f78 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-divmod.o) + .data 0x0000000000005f78 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-mul.o) + .data 0x0000000000005f78 0x0 ../semafor_bsp//libhal_bsp.a(alt_close.o) + .data 0x0000000000005f78 0x1a8 ../semafor_bsp//libhal_bsp.a(alt_dev.o) + 0x0000000000005f78 alt_dev_null + 0x0000000000005fa0 alt_fd_list + .data 0x0000000000006120 0x0 ../semafor_bsp//libhal_bsp.a(alt_errno.o) + .data 0x0000000000006120 0x0 ../semafor_bsp//libhal_bsp.a(alt_fstat.o) + .data 0x0000000000006120 0x0 ../semafor_bsp//libhal_bsp.a(alt_isatty.o) + .data 0x0000000000006120 0x0 ../semafor_bsp//libhal_bsp.a(alt_lseek.o) + .data 0x0000000000006120 0x0 ../semafor_bsp//libhal_bsp.a(alt_main.o) + .data 0x0000000000006120 0x0 ../semafor_bsp//libhal_bsp.a(alt_malloc_lock.o) + .data 0x0000000000006120 0x0 ../semafor_bsp//libhal_bsp.a(alt_read.o) + .data 0x0000000000006120 0x0 ../semafor_bsp//libhal_bsp.a(alt_release_fd.o) + .data 0x0000000000006120 0x0 ../semafor_bsp//libhal_bsp.a(alt_sbrk.o) + .data 0x0000000000006120 0x0 ../semafor_bsp//libhal_bsp.a(alt_write.o) + .data 0x0000000000006120 0x1060 ../semafor_bsp//libhal_bsp.a(alt_sys_init.o) + .data 0x0000000000007180 0x0 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .data 0x0000000000007180 0x0 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .data 0x0000000000007180 0x0 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .data 0x0000000000007180 0x0 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .data 0x0000000000007180 0x0 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .data 0x0000000000007180 0x0 ../semafor_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) + .data 0x0000000000007180 0x0 ../semafor_bsp//libhal_bsp.a(alt_alarm_start.o) + .data 0x0000000000007180 0x0 ../semafor_bsp//libhal_bsp.a(alt_dev_llist_insert.o) + .data 0x0000000000007180 0x0 ../semafor_bsp//libhal_bsp.a(alt_do_ctors.o) + .data 0x0000000000007180 0x0 ../semafor_bsp//libhal_bsp.a(alt_do_dtors.o) + .data 0x0000000000007180 0x0 ../semafor_bsp//libhal_bsp.a(alt_iic.o) + .data 0x0000000000007180 0x0 ../semafor_bsp//libhal_bsp.a(alt_iic_isr_register.o) + .data 0x0000000000007180 0x0 ../semafor_bsp//libhal_bsp.a(alt_io_redirect.o) + .data 0x0000000000007180 0x0 ../semafor_bsp//libhal_bsp.a(alt_irq_entry.o) + .data 0x0000000000007180 0x0 ../semafor_bsp//libhal_bsp.a(alt_irq_handler.o) + .data 0x0000000000007180 0x0 ../semafor_bsp//libhal_bsp.a(alt_irq_vars.o) + .data 0x0000000000007180 0x0 ../semafor_bsp//libhal_bsp.a(alt_open.o) + .data 0x0000000000007180 0x0 ../semafor_bsp//libhal_bsp.a(alt_tick.o) + .data 0x0000000000007180 0x0 ../semafor_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) + .data 0x0000000000007180 0x0 ../semafor_bsp//libhal_bsp.a(alt_exception_entry.o) + .data 0x0000000000007180 0x0 ../semafor_bsp//libhal_bsp.a(alt_find_dev.o) + .data 0x0000000000007180 0x0 ../semafor_bsp//libhal_bsp.a(alt_find_file.o) + .data 0x0000000000007180 0x0 ../semafor_bsp//libhal_bsp.a(alt_get_fd.o) + .data 0x0000000000007180 0x0 ../semafor_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + .data 0x0000000000007180 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-atexit.o) + .data 0x0000000000007180 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-exit.o) + .data 0x0000000000007180 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcmp.o) + .data 0x0000000000007180 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__atexit.o) + .data 0x0000000000007180 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__call_atexit.o) + .data 0x0000000000007180 0x0 ../semafor_bsp//libhal_bsp.a(alt_exit.o) + 0x000000000000f180 _gp = ABSOLUTE ((. + 0x8000)) + [!provide] PROVIDE (gp, _gp) + *(.rwdata .rwdata.*) + *(.sdata .sdata.* .gnu.linkonce.s.*) + .sdata._global_impure_ptr + 0x0000000000007180 0x4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-impure.o) + 0x0000000000007180 _global_impure_ptr + .sdata._impure_ptr + 0x0000000000007184 0x4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-impure.o) + 0x0000000000007184 _impure_ptr + .sdata.__malloc_sbrk_base + 0x0000000000007188 0x4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + 0x0000000000007188 __malloc_sbrk_base + .sdata.__malloc_trim_threshold + 0x000000000000718c 0x4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + 0x000000000000718c __malloc_trim_threshold + .sdata 0x0000000000007190 0x14 ../semafor_bsp//libhal_bsp.a(alt_dev.o) + 0x0000000000007190 alt_fs_list + 0x0000000000007198 alt_dev_list + 0x00000000000071a0 alt_max_fd + .sdata 0x00000000000071a4 0x4 ../semafor_bsp//libhal_bsp.a(alt_errno.o) + 0x00000000000071a4 alt_errno + .sdata 0x00000000000071a8 0x4 ../semafor_bsp//libhal_bsp.a(alt_sbrk.o) + .sdata 0x00000000000071ac 0x4 ../semafor_bsp//libhal_bsp.a(alt_irq_vars.o) + 0x00000000000071ac alt_priority_mask + .sdata 0x00000000000071b0 0x8 ../semafor_bsp//libhal_bsp.a(alt_tick.o) + 0x00000000000071b0 alt_alarm_list + *(.sdata2 .sdata2.* .gnu.linkonce.s2.*) + 0x00000000000071b8 . = ALIGN (0x4) + 0x00000000000071b8 _edata = ABSOLUTE (.) + [!provide] PROVIDE (edata, ABSOLUTE (.)) + [!provide] PROVIDE (__ram_rwdata_end, ABSOLUTE (.)) + [!provide] PROVIDE (__flash_rwdata_start, LOADADDR (.rwdata)) + +.bss 0x00000000000071b8 0x154 + 0x00000000000071b8 __bss_start = ABSOLUTE (.) + [!provide] PROVIDE (__sbss_start, ABSOLUTE (.)) + [!provide] PROVIDE (___sbss_start, ABSOLUTE (.)) + *(.dynsbss) + *(.sbss .sbss.* .gnu.linkonce.sb.*) + .sbss.__malloc_max_total_mem + 0x00000000000071b8 0x4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + 0x00000000000071b8 __malloc_max_total_mem + .sbss.__malloc_max_sbrked_mem + 0x00000000000071bc 0x4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + 0x00000000000071bc __malloc_max_sbrked_mem + .sbss.__malloc_top_pad + 0x00000000000071c0 0x4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + 0x00000000000071c0 __malloc_top_pad + .sbss 0x00000000000071c4 0x4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-int_errno.o) + 0x00000000000071c4 errno + .sbss 0x00000000000071c8 0xc ../semafor_bsp//libhal_bsp.a(alt_main.o) + 0x00000000000071c8 alt_argc + 0x00000000000071cc alt_argv + 0x00000000000071d0 alt_envp + .sbss 0x00000000000071d4 0x4 ../semafor_bsp//libhal_bsp.a(alt_irq_vars.o) + 0x00000000000071d4 alt_irq_active + .sbss 0x00000000000071d8 0x8 ../semafor_bsp//libhal_bsp.a(alt_tick.o) + 0x00000000000071d8 _alt_tick_rate + 0x00000000000071dc _alt_nticks + .sbss 0x00000000000071e0 0x4 ../semafor_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + 0x00000000000071e0 alt_instruction_exception_handler + *(.sbss2 .sbss2.* .gnu.linkonce.sb2.*) + *(.scommon) + [!provide] PROVIDE (__sbss_end, ABSOLUTE (.)) + [!provide] PROVIDE (___sbss_end, ABSOLUTE (.)) + *(.dynbss) + *(.bss .bss.* .gnu.linkonce.b.*) + .bss 0x00000000000071e4 0x0 ../semafor_bsp//obj/HAL/src/crt0.o + .bss 0x00000000000071e4 0x0 obj/default/main.o + .bss 0x00000000000071e4 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) + .bss 0x00000000000071e4 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-strlen.o) + .bss 0x00000000000071e4 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + .bss 0x00000000000071e4 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) + .bss 0x00000000000071e4 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fwalk.o) + .bss 0x00000000000071e4 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-impure.o) + .bss 0x00000000000071e4 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + .bss.__malloc_current_mallinfo + 0x00000000000071e4 0x28 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + 0x00000000000071e4 __malloc_current_mallinfo + .bss 0x000000000000720c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memchr.o) + .bss 0x000000000000720c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcpy.o) + .bss 0x000000000000720c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memmove.o) + .bss 0x000000000000720c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memset.o) + .bss 0x000000000000720c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-reallocr.o) + .bss 0x000000000000720c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-sbrkr.o) + .bss 0x000000000000720c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) + .bss 0x000000000000720c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-writer.o) + .bss 0x000000000000720c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-wsetup.o) + .bss 0x000000000000720c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(closer.o) + .bss 0x000000000000720c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fclose.o) + .bss 0x000000000000720c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fflush.o) + .bss 0x000000000000720c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-freer.o) + .bss 0x000000000000720c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-int_errno.o) + .bss 0x000000000000720c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-lseekr.o) + .bss 0x000000000000720c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-makebuf.o) + .bss 0x000000000000720c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-readr.o) + .bss 0x000000000000720c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fstatr.o) + .bss 0x000000000000720c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-isattyr.o) + .bss 0x000000000000720c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-divmod.o) + .bss 0x000000000000720c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-mul.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(alt_close.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(alt_dev.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(alt_errno.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(alt_fstat.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(alt_isatty.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(alt_lseek.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(alt_main.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(alt_malloc_lock.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(alt_read.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(alt_release_fd.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(alt_sbrk.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(alt_write.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(alt_sys_init.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(alt_alarm_start.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(alt_dev_llist_insert.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(alt_do_ctors.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(alt_do_dtors.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(alt_iic.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(alt_iic_isr_register.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(alt_io_redirect.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(alt_irq_entry.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(alt_irq_handler.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(alt_irq_vars.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(alt_open.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(alt_tick.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(alt_exception_entry.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(alt_find_dev.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(alt_find_file.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(alt_get_fd.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + .bss 0x000000000000720c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-atexit.o) + .bss 0x000000000000720c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-exit.o) + .bss 0x000000000000720c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcmp.o) + .bss 0x000000000000720c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__atexit.o) + .bss 0x000000000000720c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__call_atexit.o) + .bss 0x000000000000720c 0x0 ../semafor_bsp//libhal_bsp.a(alt_exit.o) + *(COMMON) + COMMON 0x000000000000720c 0x100 ../semafor_bsp//libhal_bsp.a(alt_irq_handler.o) + 0x000000000000720c alt_irq + 0x000000000000730c . = ALIGN (0x4) + 0x000000000000730c __bss_end = ABSOLUTE (.) + +.mem 0x000000000000730c 0x0 + [!provide] PROVIDE (_alt_partition_mem_start, ABSOLUTE (.)) + *(.mem .mem. mem.*) + 0x000000000000730c . = ALIGN (0x4) + [!provide] PROVIDE (_alt_partition_mem_end, ABSOLUTE (.)) + 0x000000000000730c _end = ABSOLUTE (.) + 0x000000000000730c end = ABSOLUTE (.) + 0x000000000000730c __alt_stack_base = ABSOLUTE (.) + [!provide] PROVIDE (_alt_partition_mem_load_addr, LOADADDR (.mem)) + +.stab + *(.stab) + +.stabstr + *(.stabstr) + +.stab.excl + *(.stab.excl) + +.stab.exclstr + *(.stab.exclstr) + +.stab.index + *(.stab.index) + +.stab.indexstr + *(.stab.indexstr) + +.comment 0x0000000000000000 0x23 + *(.comment) + .comment 0x0000000000000000 0x23 obj/default/main.o + 0x24 (size before relaxing) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-strlen.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fwalk.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-impure.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memchr.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcpy.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memmove.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memset.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-reallocr.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-sbrkr.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-writer.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-wsetup.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(closer.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fclose.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fflush.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-freer.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-int_errno.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-lseekr.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-makebuf.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-readr.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fstatr.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-isattyr.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-divmod.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-mul.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_close.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_dev.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_errno.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_fstat.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_isatty.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_lseek.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_main.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_malloc_lock.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_read.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_release_fd.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_sbrk.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_write.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_sys_init.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_alarm_start.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_dev_llist_insert.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_do_ctors.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_do_dtors.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_iic.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_iic_isr_register.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_io_redirect.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_irq_handler.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_irq_vars.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_open.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_tick.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_find_dev.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_find_file.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_get_fd.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-atexit.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-exit.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcmp.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__atexit.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__call_atexit.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_exit.o) + +.debug + *(.debug) + +.line + *(.line) + +.debug_srcinfo + *(.debug_srcinfo) + +.debug_sfnames + *(.debug_sfnames) + +.debug_aranges 0x0000000000000000 0x9c8 + *(.debug_aranges) + .debug_aranges + 0x0000000000000000 0x20 ../semafor_bsp//obj/HAL/src/crt0.o + .debug_aranges + 0x0000000000000020 0x20 obj/default/main.o + .debug_aranges + 0x0000000000000040 0x28 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) + .debug_aranges + 0x0000000000000068 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-strlen.o) + .debug_aranges + 0x0000000000000088 0x68 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + .debug_aranges + 0x00000000000000f0 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) + .debug_aranges + 0x0000000000000110 0x28 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fwalk.o) + .debug_aranges + 0x0000000000000138 0x18 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-impure.o) + .debug_aranges + 0x0000000000000150 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + .debug_aranges + 0x0000000000000170 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memchr.o) + .debug_aranges + 0x0000000000000190 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcpy.o) + .debug_aranges + 0x00000000000001b0 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memmove.o) + .debug_aranges + 0x00000000000001d0 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memset.o) + .debug_aranges + 0x00000000000001f0 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-reallocr.o) + .debug_aranges + 0x0000000000000210 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-sbrkr.o) + .debug_aranges + 0x0000000000000230 0x40 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) + .debug_aranges + 0x0000000000000270 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-writer.o) + .debug_aranges + 0x0000000000000290 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-wsetup.o) + .debug_aranges + 0x00000000000002b0 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(closer.o) + .debug_aranges + 0x00000000000002d0 0x28 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fclose.o) + .debug_aranges + 0x00000000000002f8 0x30 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fflush.o) + .debug_aranges + 0x0000000000000328 0x28 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-freer.o) + .debug_aranges + 0x0000000000000350 0x18 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-int_errno.o) + .debug_aranges + 0x0000000000000368 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-lseekr.o) + .debug_aranges + 0x0000000000000388 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-makebuf.o) + .debug_aranges + 0x00000000000003a8 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-readr.o) + .debug_aranges + 0x00000000000003c8 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fstatr.o) + .debug_aranges + 0x00000000000003e8 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-isattyr.o) + .debug_aranges + 0x0000000000000408 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-divmod.o) + .debug_aranges + 0x0000000000000428 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-mul.o) + .debug_aranges + 0x0000000000000448 0x20 ../semafor_bsp//libhal_bsp.a(alt_close.o) + .debug_aranges + 0x0000000000000468 0x20 ../semafor_bsp//libhal_bsp.a(alt_dev.o) + .debug_aranges + 0x0000000000000488 0x18 ../semafor_bsp//libhal_bsp.a(alt_errno.o) + .debug_aranges + 0x00000000000004a0 0x20 ../semafor_bsp//libhal_bsp.a(alt_fstat.o) + .debug_aranges + 0x00000000000004c0 0x20 ../semafor_bsp//libhal_bsp.a(alt_isatty.o) + .debug_aranges + 0x00000000000004e0 0x20 ../semafor_bsp//libhal_bsp.a(alt_lseek.o) + .debug_aranges + 0x0000000000000500 0x20 ../semafor_bsp//libhal_bsp.a(alt_main.o) + .debug_aranges + 0x0000000000000520 0x20 ../semafor_bsp//libhal_bsp.a(alt_malloc_lock.o) + .debug_aranges + 0x0000000000000540 0x20 ../semafor_bsp//libhal_bsp.a(alt_read.o) + .debug_aranges + 0x0000000000000560 0x20 ../semafor_bsp//libhal_bsp.a(alt_release_fd.o) + .debug_aranges + 0x0000000000000580 0x20 ../semafor_bsp//libhal_bsp.a(alt_sbrk.o) + .debug_aranges + 0x00000000000005a0 0x20 ../semafor_bsp//libhal_bsp.a(alt_write.o) + .debug_aranges + 0x00000000000005c0 0x20 ../semafor_bsp//libhal_bsp.a(alt_sys_init.o) + .debug_aranges + 0x00000000000005e0 0x20 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .debug_aranges + 0x0000000000000600 0x20 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_aranges + 0x0000000000000620 0x20 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .debug_aranges + 0x0000000000000640 0x20 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_aranges + 0x0000000000000660 0x20 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .debug_aranges + 0x0000000000000680 0x20 ../semafor_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) + .debug_aranges + 0x00000000000006a0 0x20 ../semafor_bsp//libhal_bsp.a(alt_alarm_start.o) + .debug_aranges + 0x00000000000006c0 0x20 ../semafor_bsp//libhal_bsp.a(alt_dev_llist_insert.o) + .debug_aranges + 0x00000000000006e0 0x20 ../semafor_bsp//libhal_bsp.a(alt_do_ctors.o) + .debug_aranges + 0x0000000000000700 0x20 ../semafor_bsp//libhal_bsp.a(alt_do_dtors.o) + .debug_aranges + 0x0000000000000720 0x20 ../semafor_bsp//libhal_bsp.a(alt_iic.o) + .debug_aranges + 0x0000000000000740 0x20 ../semafor_bsp//libhal_bsp.a(alt_iic_isr_register.o) + .debug_aranges + 0x0000000000000760 0x20 ../semafor_bsp//libhal_bsp.a(alt_io_redirect.o) + .debug_aranges + 0x0000000000000780 0x30 ../semafor_bsp//libhal_bsp.a(alt_irq_entry.o) + .debug_aranges + 0x00000000000007b0 0x20 ../semafor_bsp//libhal_bsp.a(alt_irq_handler.o) + .debug_aranges + 0x00000000000007d0 0x18 ../semafor_bsp//libhal_bsp.a(alt_irq_vars.o) + .debug_aranges + 0x00000000000007e8 0x20 ../semafor_bsp//libhal_bsp.a(alt_open.o) + .debug_aranges + 0x0000000000000808 0x20 ../semafor_bsp//libhal_bsp.a(alt_tick.o) + .debug_aranges + 0x0000000000000828 0x20 ../semafor_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) + .debug_aranges + 0x0000000000000848 0x38 ../semafor_bsp//libhal_bsp.a(alt_exception_entry.o) + .debug_aranges + 0x0000000000000880 0x20 ../semafor_bsp//libhal_bsp.a(alt_find_dev.o) + .debug_aranges + 0x00000000000008a0 0x20 ../semafor_bsp//libhal_bsp.a(alt_find_file.o) + .debug_aranges + 0x00000000000008c0 0x20 ../semafor_bsp//libhal_bsp.a(alt_get_fd.o) + .debug_aranges + 0x00000000000008e0 0x28 ../semafor_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + .debug_aranges + 0x0000000000000908 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-atexit.o) + .debug_aranges + 0x0000000000000928 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-exit.o) + .debug_aranges + 0x0000000000000948 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcmp.o) + .debug_aranges + 0x0000000000000968 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__atexit.o) + .debug_aranges + 0x0000000000000988 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__call_atexit.o) + .debug_aranges + 0x00000000000009a8 0x20 ../semafor_bsp//libhal_bsp.a(alt_exit.o) + +.debug_pubnames + *(.debug_pubnames) + +.debug_info 0x0000000000000000 0x16256 + *(.debug_info .gnu.linkonce.wi.*) + .debug_info 0x0000000000000000 0x7e ../semafor_bsp//obj/HAL/src/crt0.o + .debug_info 0x000000000000007e 0xa1 obj/default/main.o + .debug_info 0x000000000000011f 0xa38 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) + .debug_info 0x0000000000000b57 0xe2 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-strlen.o) + .debug_info 0x0000000000000c39 0xd36 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + .debug_info 0x000000000000196f 0xbb8 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) + .debug_info 0x0000000000002527 0x9b0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fwalk.o) + .debug_info 0x0000000000002ed7 0x8a1 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-impure.o) + .debug_info 0x0000000000003778 0xd57 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + .debug_info 0x00000000000044cf 0x132 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memchr.o) + .debug_info 0x0000000000004601 0x144 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcpy.o) + .debug_info 0x0000000000004745 0x13a /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memmove.o) + .debug_info 0x000000000000487f 0x121 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memset.o) + .debug_info 0x00000000000049a0 0xc6b /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-reallocr.o) + .debug_info 0x000000000000560b 0x900 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-sbrkr.o) + .debug_info 0x0000000000005f0b 0xb32 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) + .debug_info 0x0000000000006a3d 0x928 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-writer.o) + .debug_info 0x0000000000007365 0x936 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-wsetup.o) + .debug_info 0x0000000000007c9b 0x8df /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(closer.o) + .debug_info 0x000000000000857a 0xa23 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fclose.o) + .debug_info 0x0000000000008f9d 0xa93 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fflush.o) + .debug_info 0x0000000000009a30 0xbf7 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-freer.o) + .debug_info 0x000000000000a627 0x8a /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-int_errno.o) + .debug_info 0x000000000000a6b1 0x90b /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-lseekr.o) + .debug_info 0x000000000000afbc 0xad8 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-makebuf.o) + .debug_info 0x000000000000ba94 0x921 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-readr.o) + .debug_info 0x000000000000c3b5 0xa5d /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fstatr.o) + .debug_info 0x000000000000ce12 0x8df /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-isattyr.o) + .debug_info 0x000000000000d6f1 0x2c6 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-divmod.o) + .debug_info 0x000000000000d9b7 0xb4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-mul.o) + .debug_info 0x000000000000da6b 0x321 ../semafor_bsp//libhal_bsp.a(alt_close.o) + .debug_info 0x000000000000dd8c 0x49c ../semafor_bsp//libhal_bsp.a(alt_dev.o) + .debug_info 0x000000000000e228 0xa6 ../semafor_bsp//libhal_bsp.a(alt_errno.o) + .debug_info 0x000000000000e2ce 0x488 ../semafor_bsp//libhal_bsp.a(alt_fstat.o) + .debug_info 0x000000000000e756 0x48b ../semafor_bsp//libhal_bsp.a(alt_isatty.o) + .debug_info 0x000000000000ebe1 0x352 ../semafor_bsp//libhal_bsp.a(alt_lseek.o) + .debug_info 0x000000000000ef33 0x131 ../semafor_bsp//libhal_bsp.a(alt_main.o) + .debug_info 0x000000000000f064 0x8b6 ../semafor_bsp//libhal_bsp.a(alt_malloc_lock.o) + .debug_info 0x000000000000f91a 0x4b0 ../semafor_bsp//libhal_bsp.a(alt_read.o) + .debug_info 0x000000000000fdca 0x2b0 ../semafor_bsp//libhal_bsp.a(alt_release_fd.o) + .debug_info 0x000000000001007a 0x1ac ../semafor_bsp//libhal_bsp.a(alt_sbrk.o) + .debug_info 0x0000000000010226 0x4b7 ../semafor_bsp//libhal_bsp.a(alt_write.o) + .debug_info 0x00000000000106dd 0x4d9 ../semafor_bsp//libhal_bsp.a(alt_sys_init.o) + .debug_info 0x0000000000010bb6 0x52e ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .debug_info 0x00000000000110e4 0x3a0 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_info 0x0000000000011484 0x280 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .debug_info 0x0000000000011704 0x345 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_info 0x0000000000011a49 0x340 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .debug_info 0x0000000000011d89 0x226 ../semafor_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) + .debug_info 0x0000000000011faf 0x2cc ../semafor_bsp//libhal_bsp.a(alt_alarm_start.o) + .debug_info 0x000000000001227b 0x1a9 ../semafor_bsp//libhal_bsp.a(alt_dev_llist_insert.o) + .debug_info 0x0000000000012424 0x83 ../semafor_bsp//libhal_bsp.a(alt_do_ctors.o) + .debug_info 0x00000000000124a7 0x83 ../semafor_bsp//libhal_bsp.a(alt_do_dtors.o) + .debug_info 0x000000000001252a 0x350 ../semafor_bsp//libhal_bsp.a(alt_iic.o) + .debug_info 0x000000000001287a 0x1ef ../semafor_bsp//libhal_bsp.a(alt_iic_isr_register.o) + .debug_info 0x0000000000012a69 0x4a5 ../semafor_bsp//libhal_bsp.a(alt_io_redirect.o) + .debug_info 0x0000000000012f0e 0x83 ../semafor_bsp//libhal_bsp.a(alt_irq_entry.o) + .debug_info 0x0000000000012f91 0x187 ../semafor_bsp//libhal_bsp.a(alt_irq_handler.o) + .debug_info 0x0000000000013118 0x88 ../semafor_bsp//libhal_bsp.a(alt_irq_vars.o) + .debug_info 0x00000000000131a0 0x3bb ../semafor_bsp//libhal_bsp.a(alt_open.o) + .debug_info 0x000000000001355b 0x299 ../semafor_bsp//libhal_bsp.a(alt_tick.o) + .debug_info 0x00000000000137f4 0x92 ../semafor_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) + .debug_info 0x0000000000013886 0x89 ../semafor_bsp//libhal_bsp.a(alt_exception_entry.o) + .debug_info 0x000000000001390f 0x2e9 ../semafor_bsp//libhal_bsp.a(alt_find_dev.o) + .debug_info 0x0000000000013bf8 0x2e6 ../semafor_bsp//libhal_bsp.a(alt_find_file.o) + .debug_info 0x0000000000013ede 0x310 ../semafor_bsp//libhal_bsp.a(alt_get_fd.o) + .debug_info 0x00000000000141ee 0x1e7 ../semafor_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + .debug_info 0x00000000000143d5 0xfe /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-atexit.o) + .debug_info 0x00000000000144d3 0x8e4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-exit.o) + .debug_info 0x0000000000014db7 0x11a /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcmp.o) + .debug_info 0x0000000000014ed1 0x934 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__atexit.o) + .debug_info 0x0000000000015805 0x965 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__call_atexit.o) + .debug_info 0x000000000001616a 0xec ../semafor_bsp//libhal_bsp.a(alt_exit.o) + +.debug_abbrev 0x0000000000000000 0x5f12 + *(.debug_abbrev) + .debug_abbrev 0x0000000000000000 0x14 ../semafor_bsp//obj/HAL/src/crt0.o + .debug_abbrev 0x0000000000000014 0x4f obj/default/main.o + .debug_abbrev 0x0000000000000063 0x249 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) + .debug_abbrev 0x00000000000002ac 0x90 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-strlen.o) + .debug_abbrev 0x000000000000033c 0x3ad /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + .debug_abbrev 0x00000000000006e9 0x228 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) + .debug_abbrev 0x0000000000000911 0x1d1 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fwalk.o) + .debug_abbrev 0x0000000000000ae2 0x18f /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-impure.o) + .debug_abbrev 0x0000000000000c71 0x29d /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + .debug_abbrev 0x0000000000000f0e 0xab /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memchr.o) + .debug_abbrev 0x0000000000000fb9 0xa3 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcpy.o) + .debug_abbrev 0x000000000000105c 0x9c /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memmove.o) + .debug_abbrev 0x00000000000010f8 0xae /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memset.o) + .debug_abbrev 0x00000000000011a6 0x231 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-reallocr.o) + .debug_abbrev 0x00000000000013d7 0x20a /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-sbrkr.o) + .debug_abbrev 0x00000000000015e1 0x239 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) + .debug_abbrev 0x000000000000181a 0x1eb /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-writer.o) + .debug_abbrev 0x0000000000001a05 0x218 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-wsetup.o) + .debug_abbrev 0x0000000000001c1d 0x1e6 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(closer.o) + .debug_abbrev 0x0000000000001e03 0x2a2 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fclose.o) + .debug_abbrev 0x00000000000020a5 0x2a0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fflush.o) + .debug_abbrev 0x0000000000002345 0x264 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-freer.o) + .debug_abbrev 0x00000000000025a9 0x37 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-int_errno.o) + .debug_abbrev 0x00000000000025e0 0x1f5 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-lseekr.o) + .debug_abbrev 0x00000000000027d5 0x20f /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-makebuf.o) + .debug_abbrev 0x00000000000029e4 0x1e6 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-readr.o) + .debug_abbrev 0x0000000000002bca 0x1f5 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fstatr.o) + .debug_abbrev 0x0000000000002dbf 0x1e6 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-isattyr.o) + .debug_abbrev 0x0000000000002fa5 0x10e /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-divmod.o) + .debug_abbrev 0x00000000000030b3 0x6f /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-mul.o) + .debug_abbrev 0x0000000000003122 0x11f ../semafor_bsp//libhal_bsp.a(alt_close.o) + .debug_abbrev 0x0000000000003241 0xd9 ../semafor_bsp//libhal_bsp.a(alt_dev.o) + .debug_abbrev 0x000000000000331a 0x5a ../semafor_bsp//libhal_bsp.a(alt_errno.o) + .debug_abbrev 0x0000000000003374 0x11f ../semafor_bsp//libhal_bsp.a(alt_fstat.o) + .debug_abbrev 0x0000000000003493 0x11f ../semafor_bsp//libhal_bsp.a(alt_isatty.o) + .debug_abbrev 0x00000000000035b2 0x11f ../semafor_bsp//libhal_bsp.a(alt_lseek.o) + .debug_abbrev 0x00000000000036d1 0xba ../semafor_bsp//libhal_bsp.a(alt_main.o) + .debug_abbrev 0x000000000000378b 0x1b4 ../semafor_bsp//libhal_bsp.a(alt_malloc_lock.o) + .debug_abbrev 0x000000000000393f 0x12e ../semafor_bsp//libhal_bsp.a(alt_read.o) + .debug_abbrev 0x0000000000003a6d 0xde ../semafor_bsp//libhal_bsp.a(alt_release_fd.o) + .debug_abbrev 0x0000000000003b4b 0x112 ../semafor_bsp//libhal_bsp.a(alt_sbrk.o) + .debug_abbrev 0x0000000000003c5d 0x133 ../semafor_bsp//libhal_bsp.a(alt_write.o) + .debug_abbrev 0x0000000000003d90 0x187 ../semafor_bsp//libhal_bsp.a(alt_sys_init.o) + .debug_abbrev 0x0000000000003f17 0x127 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .debug_abbrev 0x000000000000403e 0x19e ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_abbrev 0x00000000000041dc 0x111 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .debug_abbrev 0x00000000000042ed 0x1aa ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_abbrev 0x0000000000004497 0x1a8 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .debug_abbrev 0x000000000000463f 0x155 ../semafor_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) + .debug_abbrev 0x0000000000004794 0x162 ../semafor_bsp//libhal_bsp.a(alt_alarm_start.o) + .debug_abbrev 0x00000000000048f6 0x114 ../semafor_bsp//libhal_bsp.a(alt_dev_llist_insert.o) + .debug_abbrev 0x0000000000004a0a 0x79 ../semafor_bsp//libhal_bsp.a(alt_do_ctors.o) + .debug_abbrev 0x0000000000004a83 0x79 ../semafor_bsp//libhal_bsp.a(alt_do_dtors.o) + .debug_abbrev 0x0000000000004afc 0x163 ../semafor_bsp//libhal_bsp.a(alt_iic.o) + .debug_abbrev 0x0000000000004c5f 0x169 ../semafor_bsp//libhal_bsp.a(alt_iic_isr_register.o) + .debug_abbrev 0x0000000000004dc8 0x114 ../semafor_bsp//libhal_bsp.a(alt_io_redirect.o) + .debug_abbrev 0x0000000000004edc 0x12 ../semafor_bsp//libhal_bsp.a(alt_irq_entry.o) + .debug_abbrev 0x0000000000004eee 0x122 ../semafor_bsp//libhal_bsp.a(alt_irq_handler.o) + .debug_abbrev 0x0000000000005010 0x40 ../semafor_bsp//libhal_bsp.a(alt_irq_vars.o) + .debug_abbrev 0x0000000000005050 0x148 ../semafor_bsp//libhal_bsp.a(alt_open.o) + .debug_abbrev 0x0000000000005198 0x15a ../semafor_bsp//libhal_bsp.a(alt_tick.o) + .debug_abbrev 0x00000000000052f2 0x42 ../semafor_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) + .debug_abbrev 0x0000000000005334 0x12 ../semafor_bsp//libhal_bsp.a(alt_exception_entry.o) + .debug_abbrev 0x0000000000005346 0xf0 ../semafor_bsp//libhal_bsp.a(alt_find_dev.o) + .debug_abbrev 0x0000000000005436 0xf0 ../semafor_bsp//libhal_bsp.a(alt_find_file.o) + .debug_abbrev 0x0000000000005526 0x10d ../semafor_bsp//libhal_bsp.a(alt_get_fd.o) + .debug_abbrev 0x0000000000005633 0xdc ../semafor_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + .debug_abbrev 0x000000000000570f 0xaa /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-atexit.o) + .debug_abbrev 0x00000000000057b9 0x1e5 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-exit.o) + .debug_abbrev 0x000000000000599e 0x7f /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcmp.o) + .debug_abbrev 0x0000000000005a1d 0x21e /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__atexit.o) + .debug_abbrev 0x0000000000005c3b 0x22e /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__call_atexit.o) + .debug_abbrev 0x0000000000005e69 0xa9 ../semafor_bsp//libhal_bsp.a(alt_exit.o) + +.debug_line 0x0000000000000000 0x69cb + *(.debug_line) + .debug_line 0x0000000000000000 0x51 ../semafor_bsp//obj/HAL/src/crt0.o + .debug_line 0x0000000000000051 0x64 obj/default/main.o + .debug_line 0x00000000000000b5 0x248 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) + .debug_line 0x00000000000002fd 0x16f /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-strlen.o) + .debug_line 0x000000000000046c 0x375 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + .debug_line 0x00000000000007e1 0x346 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) + .debug_line 0x0000000000000b27 0x23a /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fwalk.o) + .debug_line 0x0000000000000d61 0x160 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-impure.o) + .debug_line 0x0000000000000ec1 0x3fc /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + .debug_line 0x00000000000012bd 0x18d /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memchr.o) + .debug_line 0x000000000000144a 0x18c /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcpy.o) + .debug_line 0x00000000000015d6 0x18d /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memmove.o) + .debug_line 0x0000000000001763 0x18f /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memset.o) + .debug_line 0x00000000000018f2 0x2f5 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-reallocr.o) + .debug_line 0x0000000000001be7 0x1e9 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-sbrkr.o) + .debug_line 0x0000000000001dd0 0x26a /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) + .debug_line 0x000000000000203a 0x1f6 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-writer.o) + .debug_line 0x0000000000002230 0x266 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-wsetup.o) + .debug_line 0x0000000000002496 0x1f6 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(closer.o) + .debug_line 0x000000000000268c 0x239 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fclose.o) + .debug_line 0x00000000000028c5 0x2ea /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fflush.o) + .debug_line 0x0000000000002baf 0x2f7 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-freer.o) + .debug_line 0x0000000000002ea6 0x5f /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-int_errno.o) + .debug_line 0x0000000000002f05 0x1f6 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-lseekr.o) + .debug_line 0x00000000000030fb 0x274 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-makebuf.o) + .debug_line 0x000000000000336f 0x1f5 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-readr.o) + .debug_line 0x0000000000003564 0x1ff /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fstatr.o) + .debug_line 0x0000000000003763 0x1f7 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-isattyr.o) + .debug_line 0x000000000000395a 0x105 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-divmod.o) + .debug_line 0x0000000000003a5f 0x80 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-mul.o) + .debug_line 0x0000000000003adf 0x185 ../semafor_bsp//libhal_bsp.a(alt_close.o) + .debug_line 0x0000000000003c64 0x118 ../semafor_bsp//libhal_bsp.a(alt_dev.o) + .debug_line 0x0000000000003d7c 0x51 ../semafor_bsp//libhal_bsp.a(alt_errno.o) + .debug_line 0x0000000000003dcd 0x17e ../semafor_bsp//libhal_bsp.a(alt_fstat.o) + .debug_line 0x0000000000003f4b 0x182 ../semafor_bsp//libhal_bsp.a(alt_isatty.o) + .debug_line 0x00000000000040cd 0x183 ../semafor_bsp//libhal_bsp.a(alt_lseek.o) + .debug_line 0x0000000000004250 0xa4 ../semafor_bsp//libhal_bsp.a(alt_main.o) + .debug_line 0x00000000000042f4 0x162 ../semafor_bsp//libhal_bsp.a(alt_malloc_lock.o) + .debug_line 0x0000000000004456 0x21c ../semafor_bsp//libhal_bsp.a(alt_read.o) + .debug_line 0x0000000000004672 0xb0 ../semafor_bsp//libhal_bsp.a(alt_release_fd.o) + .debug_line 0x0000000000004722 0x113 ../semafor_bsp//libhal_bsp.a(alt_sbrk.o) + .debug_line 0x0000000000004835 0x21b ../semafor_bsp//libhal_bsp.a(alt_write.o) + .debug_line 0x0000000000004a50 0x151 ../semafor_bsp//libhal_bsp.a(alt_sys_init.o) + .debug_line 0x0000000000004ba1 0x12f ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .debug_line 0x0000000000004cd0 0x18b ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_line 0x0000000000004e5b 0x131 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .debug_line 0x0000000000004f8c 0x171 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_line 0x00000000000050fd 0x170 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .debug_line 0x000000000000526d 0xfd ../semafor_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) + .debug_line 0x000000000000536a 0xf9 ../semafor_bsp//libhal_bsp.a(alt_alarm_start.o) + .debug_line 0x0000000000005463 0xcf ../semafor_bsp//libhal_bsp.a(alt_dev_llist_insert.o) + .debug_line 0x0000000000005532 0x59 ../semafor_bsp//libhal_bsp.a(alt_do_ctors.o) + .debug_line 0x000000000000558b 0x59 ../semafor_bsp//libhal_bsp.a(alt_do_dtors.o) + .debug_line 0x00000000000055e4 0xeb ../semafor_bsp//libhal_bsp.a(alt_iic.o) + .debug_line 0x00000000000056cf 0xdb ../semafor_bsp//libhal_bsp.a(alt_iic_isr_register.o) + .debug_line 0x00000000000057aa 0x155 ../semafor_bsp//libhal_bsp.a(alt_io_redirect.o) + .debug_line 0x00000000000058ff 0x6b ../semafor_bsp//libhal_bsp.a(alt_irq_entry.o) + .debug_line 0x000000000000596a 0xaa ../semafor_bsp//libhal_bsp.a(alt_irq_handler.o) + .debug_line 0x0000000000005a14 0x50 ../semafor_bsp//libhal_bsp.a(alt_irq_vars.o) + .debug_line 0x0000000000005a64 0x12b ../semafor_bsp//libhal_bsp.a(alt_open.o) + .debug_line 0x0000000000005b8f 0xff ../semafor_bsp//libhal_bsp.a(alt_tick.o) + .debug_line 0x0000000000005c8e 0x51 ../semafor_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) + .debug_line 0x0000000000005cdf 0xb3 ../semafor_bsp//libhal_bsp.a(alt_exception_entry.o) + .debug_line 0x0000000000005d92 0xb5 ../semafor_bsp//libhal_bsp.a(alt_find_dev.o) + .debug_line 0x0000000000005e47 0xd1 ../semafor_bsp//libhal_bsp.a(alt_find_file.o) + .debug_line 0x0000000000005f18 0xd7 ../semafor_bsp//libhal_bsp.a(alt_get_fd.o) + .debug_line 0x0000000000005fef 0xb9 ../semafor_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + .debug_line 0x00000000000060a8 0xe7 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-atexit.o) + .debug_line 0x000000000000618f 0x1fc /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-exit.o) + .debug_line 0x000000000000638b 0x176 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcmp.o) + .debug_line 0x0000000000006501 0x21f /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__atexit.o) + .debug_line 0x0000000000006720 0x23a /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__call_atexit.o) + .debug_line 0x000000000000695a 0x71 ../semafor_bsp//libhal_bsp.a(alt_exit.o) + +.debug_frame 0x0000000000000000 0x14d0 + *(.debug_frame) + .debug_frame 0x0000000000000000 0x2c obj/default/main.o + .debug_frame 0x000000000000002c 0x48 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) + .debug_frame 0x0000000000000074 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-strlen.o) + .debug_frame 0x0000000000000094 0x160 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + .debug_frame 0x00000000000001f4 0x78 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) + .debug_frame 0x000000000000026c 0x90 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fwalk.o) + .debug_frame 0x00000000000002fc 0x54 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + .debug_frame 0x0000000000000350 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memchr.o) + .debug_frame 0x0000000000000370 0x38 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcpy.o) + .debug_frame 0x00000000000003a8 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memmove.o) + .debug_frame 0x00000000000003c8 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memset.o) + .debug_frame 0x00000000000003e8 0x70 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-reallocr.o) + .debug_frame 0x0000000000000458 0x38 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-sbrkr.o) + .debug_frame 0x0000000000000490 0xb4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) + .debug_frame 0x0000000000000544 0x38 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-writer.o) + .debug_frame 0x000000000000057c 0x38 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-wsetup.o) + .debug_frame 0x00000000000005b4 0x38 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(closer.o) + .debug_frame 0x00000000000005ec 0x54 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fclose.o) + .debug_frame 0x0000000000000640 0x7c /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fflush.o) + .debug_frame 0x00000000000006bc 0x70 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-freer.o) + .debug_frame 0x000000000000072c 0x38 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-lseekr.o) + .debug_frame 0x0000000000000764 0x4c /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-makebuf.o) + .debug_frame 0x00000000000007b0 0x38 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-readr.o) + .debug_frame 0x00000000000007e8 0x38 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fstatr.o) + .debug_frame 0x0000000000000820 0x38 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-isattyr.o) + .debug_frame 0x0000000000000858 0x50 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-divmod.o) + .debug_frame 0x00000000000008a8 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-mul.o) + .debug_frame 0x00000000000008c8 0x60 ../semafor_bsp//libhal_bsp.a(alt_close.o) + .debug_frame 0x0000000000000928 0x34 ../semafor_bsp//libhal_bsp.a(alt_dev.o) + .debug_frame 0x000000000000095c 0x60 ../semafor_bsp//libhal_bsp.a(alt_fstat.o) + .debug_frame 0x00000000000009bc 0x60 ../semafor_bsp//libhal_bsp.a(alt_isatty.o) + .debug_frame 0x0000000000000a1c 0x60 ../semafor_bsp//libhal_bsp.a(alt_lseek.o) + .debug_frame 0x0000000000000a7c 0x2c ../semafor_bsp//libhal_bsp.a(alt_main.o) + .debug_frame 0x0000000000000aa8 0x58 ../semafor_bsp//libhal_bsp.a(alt_malloc_lock.o) + .debug_frame 0x0000000000000b00 0x60 ../semafor_bsp//libhal_bsp.a(alt_read.o) + .debug_frame 0x0000000000000b60 0x3c ../semafor_bsp//libhal_bsp.a(alt_release_fd.o) + .debug_frame 0x0000000000000b9c 0x34 ../semafor_bsp//libhal_bsp.a(alt_sbrk.o) + .debug_frame 0x0000000000000bd0 0x60 ../semafor_bsp//libhal_bsp.a(alt_write.o) + .debug_frame 0x0000000000000c30 0x88 ../semafor_bsp//libhal_bsp.a(alt_sys_init.o) + .debug_frame 0x0000000000000cb8 0xb0 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .debug_frame 0x0000000000000d68 0xa4 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_frame 0x0000000000000e0c 0x34 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .debug_frame 0x0000000000000e40 0x38 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_frame 0x0000000000000e78 0x38 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .debug_frame 0x0000000000000eb0 0x60 ../semafor_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) + .debug_frame 0x0000000000000f10 0x34 ../semafor_bsp//libhal_bsp.a(alt_alarm_start.o) + .debug_frame 0x0000000000000f44 0x60 ../semafor_bsp//libhal_bsp.a(alt_dev_llist_insert.o) + .debug_frame 0x0000000000000fa4 0x38 ../semafor_bsp//libhal_bsp.a(alt_do_ctors.o) + .debug_frame 0x0000000000000fdc 0x38 ../semafor_bsp//libhal_bsp.a(alt_do_dtors.o) + .debug_frame 0x0000000000001014 0xa4 ../semafor_bsp//libhal_bsp.a(alt_iic.o) + .debug_frame 0x00000000000010b8 0x38 ../semafor_bsp//libhal_bsp.a(alt_iic_isr_register.o) + .debug_frame 0x00000000000010f0 0x64 ../semafor_bsp//libhal_bsp.a(alt_io_redirect.o) + .debug_frame 0x0000000000001154 0x38 ../semafor_bsp//libhal_bsp.a(alt_irq_handler.o) + .debug_frame 0x000000000000118c 0x8c ../semafor_bsp//libhal_bsp.a(alt_open.o) + .debug_frame 0x0000000000001218 0x5c ../semafor_bsp//libhal_bsp.a(alt_tick.o) + .debug_frame 0x0000000000001274 0x34 ../semafor_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) + .debug_frame 0x00000000000012a8 0x38 ../semafor_bsp//libhal_bsp.a(alt_find_dev.o) + .debug_frame 0x00000000000012e0 0x38 ../semafor_bsp//libhal_bsp.a(alt_find_file.o) + .debug_frame 0x0000000000001318 0x3c ../semafor_bsp//libhal_bsp.a(alt_get_fd.o) + .debug_frame 0x0000000000001354 0x5c ../semafor_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + .debug_frame 0x00000000000013b0 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-atexit.o) + .debug_frame 0x00000000000013d0 0x28 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-exit.o) + .debug_frame 0x00000000000013f8 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcmp.o) + .debug_frame 0x0000000000001418 0x38 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__atexit.o) + .debug_frame 0x0000000000001450 0x54 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__call_atexit.o) + .debug_frame 0x00000000000014a4 0x2c ../semafor_bsp//libhal_bsp.a(alt_exit.o) + +.debug_str 0x0000000000000000 0x267d + *(.debug_str) + .debug_str 0x0000000000000000 0xfa obj/default/main.o + 0x13f (size before relaxing) + .debug_str 0x00000000000000fa 0x4fa /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) + 0x61d (size before relaxing) + .debug_str 0x00000000000005f4 0xda /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-strlen.o) + 0x1f8 (size before relaxing) + .debug_str 0x00000000000006ce 0x146 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + 0x6cc (size before relaxing) + .debug_str 0x0000000000000814 0x9a /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) + 0x648 (size before relaxing) + .debug_str 0x00000000000008ae 0x4a /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fwalk.o) + 0x5bb (size before relaxing) + .debug_str 0x00000000000008f8 0xd7 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-impure.o) + 0x5b6 (size before relaxing) + .debug_str 0x00000000000009cf 0x2bc /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + 0x7bb (size before relaxing) + .debug_str 0x0000000000000c8b 0x52 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memchr.o) + 0x1ff (size before relaxing) + .debug_str 0x0000000000000cdd 0x64 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcpy.o) + 0x20c (size before relaxing) + .debug_str 0x0000000000000d41 0x47 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memmove.o) + 0x218 (size before relaxing) + .debug_str 0x0000000000000d88 0x44 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memset.o) + 0x1f9 (size before relaxing) + .debug_str 0x0000000000000dcc 0x4e /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-reallocr.o) + 0x697 (size before relaxing) + .debug_str 0x0000000000000e1a 0x4f /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-sbrkr.o) + 0x5ac (size before relaxing) + .debug_str 0x0000000000000e69 0x9b /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) + 0x60a (size before relaxing) + .debug_str 0x0000000000000f04 0x3c /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-writer.o) + 0x5b0 (size before relaxing) + .debug_str 0x0000000000000f40 0x49 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-wsetup.o) + 0x5d5 (size before relaxing) + .debug_str 0x0000000000000f89 0x3c /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(closer.o) + 0x5a0 (size before relaxing) + .debug_str 0x0000000000000fc5 0x5d /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fclose.o) + 0x603 (size before relaxing) + .debug_str 0x0000000000001022 0x54 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fflush.o) + 0x616 (size before relaxing) + .debug_str 0x0000000000001076 0x34 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-freer.o) + 0x705 (size before relaxing) + .debug_str 0x00000000000010aa 0x3f /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-int_errno.o) + 0x1de (size before relaxing) + .debug_str 0x00000000000010e9 0x42 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-lseekr.o) + 0x5a7 (size before relaxing) + .debug_str 0x000000000000112b 0x124 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-makebuf.o) + 0x6b8 (size before relaxing) + .debug_str 0x000000000000124f 0x3b /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-readr.o) + 0x5ad (size before relaxing) + .debug_str 0x000000000000128a 0x48 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fstatr.o) + 0x68c (size before relaxing) + .debug_str 0x00000000000012d2 0x44 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-isattyr.o) + 0x5a3 (size before relaxing) + .debug_str 0x0000000000001316 0x188 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-divmod.o) + 0x1d3 (size before relaxing) + .debug_str 0x000000000000149e 0x40 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-mul.o) + 0x194 (size before relaxing) + .debug_str 0x00000000000014de 0xf0 ../semafor_bsp//libhal_bsp.a(alt_close.o) + 0x217 (size before relaxing) + .debug_str 0x00000000000015ce 0x50 ../semafor_bsp//libhal_bsp.a(alt_dev.o) + 0x311 (size before relaxing) + .debug_str 0x000000000000161e 0x14 ../semafor_bsp//libhal_bsp.a(alt_errno.o) + 0x151 (size before relaxing) + .debug_str 0x0000000000001632 0x14 ../semafor_bsp//libhal_bsp.a(alt_fstat.o) + 0x2f8 (size before relaxing) + .debug_str 0x0000000000001646 0x15 ../semafor_bsp//libhal_bsp.a(alt_isatty.o) + 0x300 (size before relaxing) + .debug_str 0x000000000000165b 0x14 ../semafor_bsp//libhal_bsp.a(alt_lseek.o) + 0x21d (size before relaxing) + .debug_str 0x000000000000166f 0x44 ../semafor_bsp//libhal_bsp.a(alt_main.o) + 0x1a9 (size before relaxing) + .debug_str 0x00000000000016b3 0x1a ../semafor_bsp//libhal_bsp.a(alt_malloc_lock.o) + 0x51b (size before relaxing) + .debug_str 0x00000000000016cd 0x13 ../semafor_bsp//libhal_bsp.a(alt_read.o) + 0x303 (size before relaxing) + .debug_str 0x00000000000016e0 0x28 ../semafor_bsp//libhal_bsp.a(alt_release_fd.o) + 0x1df (size before relaxing) + .debug_str 0x0000000000001708 0x98 ../semafor_bsp//libhal_bsp.a(alt_sbrk.o) + 0x1e0 (size before relaxing) + .debug_str 0x00000000000017a0 0x14 ../semafor_bsp//libhal_bsp.a(alt_write.o) + 0x304 (size before relaxing) + .debug_str 0x00000000000017b4 0x193 ../semafor_bsp//libhal_bsp.a(alt_sys_init.o) + 0x39a (size before relaxing) + .debug_str 0x0000000000001947 0xb2 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + 0x3b0 (size before relaxing) + .debug_str 0x00000000000019f9 0xd1 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) + 0x344 (size before relaxing) + .debug_str 0x0000000000001aca 0x4a ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + 0x29f (size before relaxing) + .debug_str 0x0000000000001b14 0x48 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) + 0x2f4 (size before relaxing) + .debug_str 0x0000000000001b5c 0x4a ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) + 0x2f5 (size before relaxing) + .debug_str 0x0000000000001ba6 0x6d ../semafor_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) + 0x257 (size before relaxing) + .debug_str 0x0000000000001c13 0x59 ../semafor_bsp//libhal_bsp.a(alt_alarm_start.o) + 0x28a (size before relaxing) + .debug_str 0x0000000000001c6c 0x34 ../semafor_bsp//libhal_bsp.a(alt_dev_llist_insert.o) + 0x1d8 (size before relaxing) + .debug_str 0x0000000000001ca0 0x48 ../semafor_bsp//libhal_bsp.a(alt_do_ctors.o) + 0xdc (size before relaxing) + .debug_str 0x0000000000001ce8 0x4c ../semafor_bsp//libhal_bsp.a(alt_do_dtors.o) + 0xdb (size before relaxing) + .debug_str 0x0000000000001d34 0xc4 ../semafor_bsp//libhal_bsp.a(alt_iic.o) + 0x24a (size before relaxing) + .debug_str 0x0000000000001df8 0x4c ../semafor_bsp//libhal_bsp.a(alt_iic_isr_register.o) + 0x1f4 (size before relaxing) + .debug_str 0x0000000000001e44 0x56 ../semafor_bsp//libhal_bsp.a(alt_io_redirect.o) + 0x322 (size before relaxing) + .debug_str 0x0000000000001e9a 0x3a ../semafor_bsp//libhal_bsp.a(alt_irq_handler.o) + 0x1a3 (size before relaxing) + .debug_str 0x0000000000001ed4 0x17 ../semafor_bsp//libhal_bsp.a(alt_irq_vars.o) + 0x146 (size before relaxing) + .debug_str 0x0000000000001eeb 0x29 ../semafor_bsp//libhal_bsp.a(alt_open.o) + 0x257 (size before relaxing) + .debug_str 0x0000000000001f14 0x4a ../semafor_bsp//libhal_bsp.a(alt_tick.o) + 0x25e (size before relaxing) + .debug_str 0x0000000000001f5e 0x3b ../semafor_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) + 0x168 (size before relaxing) + .debug_str 0x0000000000001f99 0x24 ../semafor_bsp//libhal_bsp.a(alt_find_dev.o) + 0x1f8 (size before relaxing) + .debug_str 0x0000000000001fbd 0x26 ../semafor_bsp//libhal_bsp.a(alt_find_file.o) + 0x206 (size before relaxing) + .debug_str 0x0000000000001fe3 0x30 ../semafor_bsp//libhal_bsp.a(alt_get_fd.o) + 0x228 (size before relaxing) + .debug_str 0x0000000000002013 0x48b ../semafor_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + 0x5ac (size before relaxing) + .debug_str 0x000000000000249e 0x81 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-atexit.o) + 0x222 (size before relaxing) + .debug_str 0x000000000000251f 0x4c /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-exit.o) + 0x5bf (size before relaxing) + .debug_str 0x000000000000256b 0x44 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcmp.o) + 0x1e5 (size before relaxing) + .debug_str 0x00000000000025af 0x3f /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__atexit.o) + 0x5f7 (size before relaxing) + .debug_str 0x00000000000025ee 0x65 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__call_atexit.o) + 0x5e3 (size before relaxing) + .debug_str 0x0000000000002653 0x2a ../semafor_bsp//libhal_bsp.a(alt_exit.o) + 0x15d (size before relaxing) + +.debug_loc 0x0000000000000000 0x4ada + *(.debug_loc) + .debug_loc 0x0000000000000000 0x117 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) + .debug_loc 0x0000000000000117 0x47 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-strlen.o) + .debug_loc 0x000000000000015e 0x2f9 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + .debug_loc 0x0000000000000457 0x5c7 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) + .debug_loc 0x0000000000000a1e 0x25b /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fwalk.o) + .debug_loc 0x0000000000000c79 0xb1b /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + .debug_loc 0x0000000000001794 0x1a1 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memchr.o) + .debug_loc 0x0000000000001935 0x266 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcpy.o) + .debug_loc 0x0000000000001b9b 0x308 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memmove.o) + .debug_loc 0x0000000000001ea3 0x1a6 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memset.o) + .debug_loc 0x0000000000002049 0xde4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-reallocr.o) + .debug_loc 0x0000000000002e2d 0x58 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-sbrkr.o) + .debug_loc 0x0000000000002e85 0x33a /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) + .debug_loc 0x00000000000031bf 0xb0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-writer.o) + .debug_loc 0x000000000000326f 0xa2 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-wsetup.o) + .debug_loc 0x0000000000003311 0x58 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(closer.o) + .debug_loc 0x0000000000003369 0x199 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fclose.o) + .debug_loc 0x0000000000003502 0x36f /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fflush.o) + .debug_loc 0x0000000000003871 0x4e7 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-freer.o) + .debug_loc 0x0000000000003d58 0xb0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-lseekr.o) + .debug_loc 0x0000000000003e08 0x122 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-makebuf.o) + .debug_loc 0x0000000000003f2a 0xb0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-readr.o) + .debug_loc 0x0000000000003fda 0x84 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fstatr.o) + .debug_loc 0x000000000000405e 0x58 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-isattyr.o) + .debug_loc 0x00000000000040b6 0x4ce /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-divmod.o) + .debug_loc 0x0000000000004584 0x93 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-mul.o) + .debug_loc 0x0000000000004617 0x2c /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-atexit.o) + .debug_loc 0x0000000000004643 0x1e /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-exit.o) + .debug_loc 0x0000000000004661 0x126 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcmp.o) + .debug_loc 0x0000000000004787 0x185 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__atexit.o) + .debug_loc 0x000000000000490c 0x1ce /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__call_atexit.o) + +.debug_macinfo + *(.debug_macinfo) + +.debug_weaknames + *(.debug_weaknames) + +.debug_funcnames + *(.debug_funcnames) + +.debug_typenames + *(.debug_typenames) + +.debug_varnames + *(.debug_varnames) + +.debug_alt_sim_info + 0x0000000000000000 0x10 + *(.debug_alt_sim_info) + .debug_alt_sim_info + 0x0000000000000000 0x10 ../semafor_bsp//obj/HAL/src/crt0.o + 0x0000000000008000 __alt_data_end = 0x8000 + 0x0000000000008000 PROVIDE (__alt_stack_pointer, __alt_data_end) + [!provide] PROVIDE (__alt_stack_limit, __alt_stack_base) + 0x000000000000730c PROVIDE (__alt_heap_start, end) + 0x0000000000008000 PROVIDE (__alt_heap_limit, 0x8000) +OUTPUT(deltasigma.elf elf32-littlenios2) + +.debug_ranges 0x0000000000000000 0x660 + .debug_ranges 0x0000000000000000 0x30 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) + .debug_ranges 0x0000000000000030 0x10 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-strlen.o) + .debug_ranges 0x0000000000000040 0xc8 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + .debug_ranges 0x0000000000000108 0x30 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) + .debug_ranges 0x0000000000000138 0x18 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fwalk.o) + .debug_ranges 0x0000000000000150 0x48 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + .debug_ranges 0x0000000000000198 0x10 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memchr.o) + .debug_ranges 0x00000000000001a8 0x10 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcpy.o) + .debug_ranges 0x00000000000001b8 0x10 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memmove.o) + .debug_ranges 0x00000000000001c8 0x10 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memset.o) + .debug_ranges 0x00000000000001d8 0x148 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-reallocr.o) + .debug_ranges 0x0000000000000320 0x10 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-sbrkr.o) + .debug_ranges 0x0000000000000330 0x30 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) + .debug_ranges 0x0000000000000360 0x10 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-writer.o) + .debug_ranges 0x0000000000000370 0x40 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-wsetup.o) + .debug_ranges 0x00000000000003b0 0x10 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(closer.o) + .debug_ranges 0x00000000000003c0 0x48 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fclose.o) + .debug_ranges 0x0000000000000408 0x58 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fflush.o) + .debug_ranges 0x0000000000000460 0x18 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-freer.o) + .debug_ranges 0x0000000000000478 0x10 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-lseekr.o) + .debug_ranges 0x0000000000000488 0x10 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-makebuf.o) + .debug_ranges 0x0000000000000498 0x10 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-readr.o) + .debug_ranges 0x00000000000004a8 0x10 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fstatr.o) + .debug_ranges 0x00000000000004b8 0x10 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-isattyr.o) + .debug_ranges 0x00000000000004c8 0x60 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-divmod.o) + .debug_ranges 0x0000000000000528 0x30 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_ranges 0x0000000000000558 0x18 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_ranges 0x0000000000000570 0x28 ../semafor_bsp//libhal_bsp.a(alt_irq_entry.o) + .debug_ranges 0x0000000000000598 0x10 ../semafor_bsp//libhal_bsp.a(alt_irq_handler.o) + .debug_ranges 0x00000000000005a8 0x30 ../semafor_bsp//libhal_bsp.a(alt_exception_entry.o) + .debug_ranges 0x00000000000005d8 0x18 ../semafor_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + .debug_ranges 0x00000000000005f0 0x10 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-atexit.o) + .debug_ranges 0x0000000000000600 0x10 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-exit.o) + .debug_ranges 0x0000000000000610 0x10 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcmp.o) + .debug_ranges 0x0000000000000620 0x10 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__atexit.o) + .debug_ranges 0x0000000000000630 0x30 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__call_atexit.o) diff --git a/Top/software/deltasigma/deltasigma.objdump b/Top/software/deltasigma/deltasigma.objdump new file mode 100644 index 0000000..bf7124b --- /dev/null +++ b/Top/software/deltasigma/deltasigma.objdump @@ -0,0 +1,6133 @@ + +deltasigma.elf: file format elf32-littlenios2 +deltasigma.elf +architecture: nios2:r1, flags 0x00000112: +EXEC_P, HAS_SYMS, D_PAGED +start address 0x00000230 + +Program Header: + LOAD off 0x00001000 vaddr 0x00000000 paddr 0x00000000 align 2**12 + filesz 0x00000000 memsz 0x00000000 flags r-x + LOAD off 0x00001020 vaddr 0x00000020 paddr 0x00000020 align 2**12 + filesz 0x00007198 memsz 0x000072ec flags rwx + +Sections: +Idx Name Size VMA LMA File off Algn + 0 .entry 00000000 00000000 00000000 00001000 2**5 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 1 .exceptions 00000210 00000020 00000020 00001020 2**2 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 2 .text 000054e0 00000230 00000230 00001230 2**2 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 3 .rodata 0000003c 00005710 00005710 00006710 2**2 + CONTENTS, ALLOC, LOAD, READONLY, DATA + 4 .rwdata 00001a6c 0000574c 0000574c 0000674c 2**2 + CONTENTS, ALLOC, LOAD, DATA, SMALL_DATA + 5 .bss 00000154 000071b8 000071b8 000081b8 2**2 + ALLOC, SMALL_DATA + 6 .mem 00000000 0000730c 0000730c 000081b8 2**0 + CONTENTS + 7 .comment 00000023 00000000 00000000 000081b8 2**0 + CONTENTS, READONLY + 8 .debug_aranges 000009c8 00000000 00000000 000081e0 2**3 + CONTENTS, READONLY, DEBUGGING + 9 .debug_info 00016256 00000000 00000000 00008ba8 2**0 + CONTENTS, READONLY, DEBUGGING + 10 .debug_abbrev 00005f12 00000000 00000000 0001edfe 2**0 + CONTENTS, READONLY, DEBUGGING + 11 .debug_line 000069cb 00000000 00000000 00024d10 2**0 + CONTENTS, READONLY, DEBUGGING + 12 .debug_frame 000014d0 00000000 00000000 0002b6dc 2**2 + CONTENTS, READONLY, DEBUGGING + 13 .debug_str 0000267d 00000000 00000000 0002cbac 2**0 + CONTENTS, READONLY, DEBUGGING + 14 .debug_loc 00004ada 00000000 00000000 0002f229 2**0 + CONTENTS, READONLY, DEBUGGING + 15 .debug_alt_sim_info 00000010 00000000 00000000 00033d04 2**2 + CONTENTS, READONLY, DEBUGGING + 16 .debug_ranges 00000660 00000000 00000000 00033d18 2**3 + CONTENTS, READONLY, DEBUGGING + 17 .thread_model 00000003 00000000 00000000 00036590 2**0 + CONTENTS, READONLY + 18 .cpu 00000003 00000000 00000000 00036593 2**0 + CONTENTS, READONLY + 19 .qsys 00000001 00000000 00000000 00036596 2**0 + CONTENTS, READONLY + 20 .simulation_enabled 00000001 00000000 00000000 00036597 2**0 + CONTENTS, READONLY + 21 .stderr_dev 00000009 00000000 00000000 00036598 2**0 + CONTENTS, READONLY + 22 .stdin_dev 00000009 00000000 00000000 000365a1 2**0 + CONTENTS, READONLY + 23 .stdout_dev 00000009 00000000 00000000 000365aa 2**0 + CONTENTS, READONLY + 24 .sopc_system_name 00000006 00000000 00000000 000365b3 2**0 + CONTENTS, READONLY + 25 .sopcinfo 0003a0b0 00000000 00000000 000365b9 2**0 + CONTENTS, READONLY +SYMBOL TABLE: +00000000 l d .entry 00000000 .entry +00000020 l d .exceptions 00000000 .exceptions +00000230 l d .text 00000000 .text +00005710 l d .rodata 00000000 .rodata +0000574c l d .rwdata 00000000 .rwdata +000071b8 l d .bss 00000000 .bss +0000730c l d .mem 00000000 .mem +00000000 l d .comment 00000000 .comment +00000000 l d .debug_aranges 00000000 .debug_aranges +00000000 l d .debug_info 00000000 .debug_info +00000000 l d .debug_abbrev 00000000 .debug_abbrev +00000000 l d .debug_line 00000000 .debug_line +00000000 l d .debug_frame 00000000 .debug_frame +00000000 l d .debug_str 00000000 .debug_str +00000000 l d .debug_loc 00000000 .debug_loc +00000000 l d .debug_alt_sim_info 00000000 .debug_alt_sim_info +00000000 l d .debug_ranges 00000000 .debug_ranges +00000000 l df *ABS* 00000000 ../semafor_bsp//obj/HAL/src/crt0.o +00000264 l .text 00000000 alt_after_alt_main +00000000 l df *ABS* 00000000 alt_irq_handler.c +00000000 l df *ABS* 00000000 alt_instruction_exception_entry.c +00000000 l df *ABS* 00000000 main.c +00000000 l df *ABS* 00000000 puts.c +00000000 l df *ABS* 00000000 strlen.c +00000000 l df *ABS* 00000000 findfp.c +00000400 l F .text 00000008 __fp_unlock +00000414 l F .text 0000019c __sinit.part.1 +000005b0 l F .text 00000008 __fp_lock +00000000 l df *ABS* 00000000 fvwrite.c +00000000 l df *ABS* 00000000 fwalk.c +00000000 l df *ABS* 00000000 impure.c +0000574c l O .rwdata 00000424 impure_data +00000000 l df *ABS* 00000000 mallocr.c +00000000 l df *ABS* 00000000 memchr.c +00000000 l df *ABS* 00000000 memcpy.c +00000000 l df *ABS* 00000000 memmove.c +00000000 l df *ABS* 00000000 memset.c +00000000 l df *ABS* 00000000 mallocr.c +00000000 l df *ABS* 00000000 sbrkr.c +00000000 l df *ABS* 00000000 stdio.c +00000000 l df *ABS* 00000000 writer.c +00000000 l df *ABS* 00000000 wsetup.c +00000000 l df *ABS* 00000000 closer.c +00000000 l df *ABS* 00000000 fclose.c +00000000 l df *ABS* 00000000 fflush.c +00000000 l df *ABS* 00000000 mallocr.c +00000000 l df *ABS* 00000000 int_errno.c +00000000 l df *ABS* 00000000 lseekr.c +00000000 l df *ABS* 00000000 makebuf.c +00000000 l df *ABS* 00000000 readr.c +00000000 l df *ABS* 00000000 fstatr.c +00000000 l df *ABS* 00000000 isattyr.c +00000000 l df *ABS* 00000000 lib2-divmod.c +00000000 l df *ABS* 00000000 lib2-mul.c +00000000 l df *ABS* 00000000 alt_close.c +000030a0 l F .text 0000003c alt_get_errno +00000000 l df *ABS* 00000000 alt_dev.c +000031b4 l F .text 0000002c alt_dev_null_write +00000000 l df *ABS* 00000000 alt_errno.c +00000000 l df *ABS* 00000000 alt_fstat.c +000031e0 l F .text 0000003c alt_get_errno +00000000 l df *ABS* 00000000 alt_isatty.c +000032d4 l F .text 0000003c alt_get_errno +00000000 l df *ABS* 00000000 alt_lseek.c +000033bc l F .text 0000003c alt_get_errno +00000000 l df *ABS* 00000000 alt_main.c +00000000 l df *ABS* 00000000 alt_malloc_lock.c +00000000 l df *ABS* 00000000 alt_read.c +00003598 l F .text 0000003c alt_get_errno +00000000 l df *ABS* 00000000 alt_release_fd.c +00000000 l df *ABS* 00000000 alt_sbrk.c +000071a8 l O .rwdata 00000004 heap_end +00000000 l df *ABS* 00000000 alt_write.c +0000380c l F .text 0000003c alt_get_errno +00000000 l df *ABS* 00000000 alt_sys_init.c +00003948 l F .text 00000034 alt_dev_reg +00006120 l O .rwdata 00001060 jtag_uart +00000000 l df *ABS* 00000000 altera_avalon_jtag_uart_fd.c +00000000 l df *ABS* 00000000 altera_avalon_jtag_uart_init.c +00003c34 l F .text 0000020c altera_avalon_jtag_uart_irq +00003e40 l F .text 000000a0 altera_avalon_jtag_uart_timeout +00000000 l df *ABS* 00000000 altera_avalon_jtag_uart_ioctl.c +00000000 l df *ABS* 00000000 altera_avalon_jtag_uart_read.c +00000000 l df *ABS* 00000000 altera_avalon_jtag_uart_write.c +00000000 l df *ABS* 00000000 altera_avalon_timer_sc.c +00004478 l F .text 00000078 alt_avalon_timer_sc_irq +00000000 l df *ABS* 00000000 alt_alarm_start.c +00000000 l df *ABS* 00000000 alt_dev_llist_insert.c +00004698 l F .text 0000003c alt_get_errno +00000000 l df *ABS* 00000000 alt_do_ctors.c +00000000 l df *ABS* 00000000 alt_do_dtors.c +00000000 l df *ABS* 00000000 alt_iic.c +00000000 l df *ABS* 00000000 alt_iic_isr_register.c +00000000 l df *ABS* 00000000 alt_io_redirect.c +00004ad8 l F .text 000000e4 alt_open_fd +00000000 l df *ABS* 00000000 alt_irq_vars.c +00000000 l df *ABS* 00000000 alt_open.c +00004c38 l F .text 0000003c alt_get_errno +00004c74 l F .text 000000ec alt_file_locked +00000000 l df *ABS* 00000000 alt_tick.c +00000000 l df *ABS* 00000000 altera_nios2_gen2_irq.c +00000000 l df *ABS* 00000000 alt_find_dev.c +00000000 l df *ABS* 00000000 alt_find_file.c +00000000 l df *ABS* 00000000 alt_get_fd.c +00000000 l df *ABS* 00000000 atexit.c +00000000 l df *ABS* 00000000 exit.c +00000000 l df *ABS* 00000000 memcmp.c +00000000 l df *ABS* 00000000 __atexit.c +00000000 l df *ABS* 00000000 __call_atexit.c +00000000 l df *ABS* 00000000 alt_exit.c +000071e0 g O .bss 00000004 alt_instruction_exception_handler +00002e70 g F .text 00000054 _isatty_r +000034d4 g F .text 0000007c alt_main +00000294 g F .text 000000c0 _puts_r +0000720c g O .bss 00000100 alt_irq +00002b98 g F .text 00000060 _lseek_r +0000730c g *ABS* 00000000 __alt_heap_start +0000214c g F .text 0000005c __sseek +00000760 g F .text 00000010 __sinit +000005b8 g F .text 00000078 __sfmoreglue +00003574 g F .text 00000024 __malloc_unlock +00001838 g F .text 0000015c memmove +00000748 g F .text 00000018 _cleanup +00005060 g F .text 00000024 altera_nios2_gen2_irq_init +00000000 g F .entry 00000000 __reset +00002e14 g F .text 0000005c _fstat_r +000071c4 g O .bss 00000004 errno +000020c8 g F .text 00000008 __seofread +000071cc g O .bss 00000004 alt_argv +0000f180 g *ABS* 00000000 _gp +00005fa0 g O .rwdata 00000180 alt_fd_list +00005084 g F .text 00000090 alt_find_dev +000016f0 g F .text 00000148 memcpy +00000408 g F .text 0000000c _cleanup_r +00004bbc g F .text 0000007c alt_io_redirect +00005710 g *ABS* 00000000 __DTOR_END__ +00000354 g F .text 00000014 puts +000052e0 g F .text 0000009c alt_exception_cause_generated_bad_addr +00004038 g F .text 0000021c altera_avalon_jtag_uart_read +00002fbc g F .text 00000064 .hidden __udivsi3 +00003310 g F .text 000000ac isatty +000071c0 g O .bss 00000004 __malloc_top_pad +000007b0 g F .text 000004c8 __sfvwrite_r +00002020 g F .text 00000054 _sbrk_r +00002db4 g F .text 00000060 _read_r +000071a0 g O .rwdata 00000004 alt_max_fd +000023b8 g F .text 000000f0 _fclose_r +00002734 g F .text 00000030 fflush +000071bc g O .bss 00000004 __malloc_max_sbrked_mem +000033f8 g F .text 000000dc lseek +00007180 g O .rwdata 00000004 _global_impure_ptr +00001abc g F .text 00000564 _realloc_r +0000730c g *ABS* 00000000 __bss_end +000049e8 g F .text 000000f0 alt_iic_isr_register +00004f58 g F .text 00000108 alt_tick +00000780 g F .text 00000018 __fp_lock_all +0000499c g F .text 0000004c alt_ic_irq_enabled +00000000 g *ABS* 00000000 __alt_mem_mem +00004ebc g F .text 0000009c alt_alarm_stop +000071d4 g O .bss 00000004 alt_irq_active +000000fc g F .exceptions 000000d4 alt_irq_handler +00005f78 g O .rwdata 00000028 alt_dev_null +00007198 g O .rwdata 00000008 alt_dev_list +00003848 g F .text 00000100 write +0000321c g F .text 000000b8 fstat +00003020 g F .text 00000058 .hidden __umodsi3 +0000730c g *ABS* 00000000 end +00003b74 g F .text 000000c0 altera_avalon_jtag_uart_init +000001d0 g F .exceptions 00000060 alt_instruction_exception_entry +00005710 g *ABS* 00000000 __CTOR_LIST__ +00008000 g *ABS* 00000000 __alt_stack_pointer +000044f0 g F .text 0000007c alt_avalon_timer_sc_init +00004254 g F .text 00000224 altera_avalon_jtag_uart_write +00000770 g F .text 00000004 __sfp_lock_acquire +0000160c g F .text 000000e4 memchr +00002888 g F .text 00000310 _free_r +0000555c g F .text 00000180 __call_exitprocs +00007188 g O .rwdata 00000004 __malloc_sbrk_base +00000230 g F .text 00000038 _start +000071d8 g O .bss 00000004 _alt_tick_rate +000071dc g O .bss 00000004 _alt_nticks +000035d4 g F .text 00000104 read +000039b4 g F .text 0000005c alt_sys_init +00005444 g F .text 00000118 __register_exitproc +00003ee0 g F .text 00000068 altera_avalon_jtag_uart_close +00003078 g F .text 00000028 .hidden __mulsi3 +000071e4 g O .bss 00000028 __malloc_current_mallinfo +00003a10 g F .text 00000060 altera_avalon_jtag_uart_read_fd +0000521c g F .text 000000c4 alt_get_fd +00002364 g F .text 00000054 _close_r +000053c8 g F .text 0000007c memcmp +00003ad0 g F .text 00000050 altera_avalon_jtag_uart_close_fd +0000730c g *ABS* 00000000 __alt_stack_base +00003b20 g F .text 00000054 altera_avalon_jtag_uart_ioctl_fd +00002210 g F .text 00000154 __swsetup_r +00000630 g F .text 00000118 __sfp +00005b70 g O .rwdata 00000408 __malloc_av_ +0000077c g F .text 00000004 __sinit_lock_release +00002074 g F .text 00000054 __sread +00005114 g F .text 00000108 alt_find_file +000046d4 g F .text 000000a4 alt_dev_llist_insert +00003550 g F .text 00000024 __malloc_lock +0000375c g F .text 000000b0 sbrk +000026d8 g F .text 0000005c _fflush_r +000071b8 g *ABS* 00000000 __bss_start +00001994 g F .text 00000128 memset +00000268 g F .text 0000002c main +000071d0 g O .bss 00000004 alt_envp +000071b8 g O .bss 00000004 __malloc_max_total_mem +00003a70 g F .text 00000060 altera_avalon_jtag_uart_write_fd +000021a8 g F .text 00000008 __sclose +00008000 g *ABS* 00000000 __alt_heap_limit +000024a8 g F .text 00000014 fclose +00000e00 g F .text 0000080c _malloc_r +000071a4 g O .rwdata 00000004 alt_errno +00000c78 g F .text 000000c4 _fwalk +00002ec4 g F .text 00000084 .hidden __divsi3 +00002764 g F .text 00000124 _malloc_trim_r +00005710 g *ABS* 00000000 __CTOR_END__ +00005710 g *ABS* 00000000 __DTOR_LIST__ +0000397c g F .text 00000038 alt_irq_init +000036d8 g F .text 00000084 alt_release_fd +0000537c g F .text 00000014 atexit +000021b0 g F .text 00000060 _write_r +00007184 g O .rwdata 00000004 _impure_ptr +000071c8 g O .bss 00000004 alt_argc +000024bc g F .text 0000021c __sflush_r +000047d8 g F .text 00000060 _do_dtors +00000020 g .exceptions 00000000 alt_irq_entry +00000798 g F .text 00000018 __fp_unlock_all +00007190 g O .rwdata 00000008 alt_fs_list +00004838 g F .text 00000050 alt_ic_isr_register +000071b8 g *ABS* 00000000 _edata +0000730c g *ABS* 00000000 _end +00003f48 g F .text 000000f0 altera_avalon_jtag_uart_ioctl +00004910 g F .text 0000008c alt_ic_irq_disable +000020d0 g F .text 0000007c __swrite +0000718c g O .rwdata 00000004 __malloc_trim_threshold +00005390 g F .text 00000038 exit +00000d3c g F .text 000000c4 _fwalk_reent +00002f48 g F .text 00000074 .hidden __modsi3 +00008000 g *ABS* 00000000 __alt_data_end +00000020 g F .exceptions 00000000 alt_exception +00000774 g F .text 00000004 __sfp_lock_release +000056dc g F .text 00000034 _exit +0000456c g F .text 0000012c alt_alarm_start +00002bf8 g F .text 000001bc __smakebuf_r +00000368 g F .text 00000098 strlen +00004d60 g F .text 0000015c open +000071ac g O .rwdata 00000004 alt_priority_mask +00004888 g F .text 00000088 alt_ic_irq_enable +000071b0 g O .rwdata 00000008 alt_alarm_list +00004778 g F .text 00000060 _do_ctors +000030dc g F .text 000000d8 close +00000778 g F .text 00000004 __sinit_lock_acquire + + + +Disassembly of section .exceptions: + +00000020 : + 20: deffed04 addi sp,sp,-76 + 24: dfc00015 stw ra,0(sp) + 28: d8400215 stw at,8(sp) + 2c: d8800315 stw r2,12(sp) + 30: d8c00415 stw r3,16(sp) + 34: d9000515 stw r4,20(sp) + 38: d9400615 stw r5,24(sp) + 3c: d9800715 stw r6,28(sp) + 40: d9c00815 stw r7,32(sp) + 44: 000b307a rdctl r5,estatus + 48: da000915 stw r8,36(sp) + 4c: da400a15 stw r9,40(sp) + 50: da800b15 stw r10,44(sp) + 54: dac00c15 stw r11,48(sp) + 58: db000d15 stw r12,52(sp) + 5c: db400e15 stw r13,56(sp) + 60: db800f15 stw r14,60(sp) + 64: dbc01015 stw r15,64(sp) + 68: d9401115 stw r5,68(sp) + 6c: ebffff04 addi r15,ea,-4 + 70: dbc01215 stw r15,72(sp) + 74: 0009313a rdctl r4,ipending + 78: 2880004c andi r2,r5,1 + 7c: 10000326 beq r2,zero,8c + 80: 20000226 beq r4,zero,8c + 84: 00000fc0 call fc + 88: 00000706 br a8 + 8c: df401215 stw ea,72(sp) + 90: e8bfff17 ldw r2,-4(ea) + 94: e93fff04 addi r4,ea,-4 + 98: 00001d00 call 1d0 + 9c: 1000021e bne r2,zero,a8 + a0: ebffff04 addi r15,ea,-4 + a4: dbc01215 stw r15,72(sp) + a8: d9401117 ldw r5,68(sp) + ac: df401217 ldw ea,72(sp) + b0: dfc00017 ldw ra,0(sp) + b4: 2801707a wrctl estatus,r5 + b8: d8400217 ldw at,8(sp) + bc: d8800317 ldw r2,12(sp) + c0: d8c00417 ldw r3,16(sp) + c4: d9000517 ldw r4,20(sp) + c8: d9400617 ldw r5,24(sp) + cc: d9800717 ldw r6,28(sp) + d0: d9c00817 ldw r7,32(sp) + d4: da000917 ldw r8,36(sp) + d8: da400a17 ldw r9,40(sp) + dc: da800b17 ldw r10,44(sp) + e0: dac00c17 ldw r11,48(sp) + e4: db000d17 ldw r12,52(sp) + e8: db400e17 ldw r13,56(sp) + ec: db800f17 ldw r14,60(sp) + f0: dbc01017 ldw r15,64(sp) + f4: dec01304 addi sp,sp,76 + f8: ef80083a eret + +000000fc : + fc: defff904 addi sp,sp,-28 + 100: dfc00615 stw ra,24(sp) + 104: df000515 stw fp,20(sp) + 108: df000504 addi fp,sp,20 + 10c: 0001883a nop + 110: 0005313a rdctl r2,ipending + 114: e0bffe15 stw r2,-8(fp) + 118: e0bffe17 ldw r2,-8(fp) + 11c: e0bffb15 stw r2,-20(fp) + 120: e03ffd15 stw zero,-12(fp) + 124: 00800044 movi r2,1 + 128: e0bffc15 stw r2,-16(fp) + 12c: e0fffb17 ldw r3,-20(fp) + 130: e0bffc17 ldw r2,-16(fp) + 134: 1884703a and r2,r3,r2 + 138: 10001426 beq r2,zero,18c + 13c: 00800034 movhi r2,0 + 140: 109c8304 addi r2,r2,29196 + 144: e0fffd17 ldw r3,-12(fp) + 148: 180690fa slli r3,r3,3 + 14c: 10c5883a add r2,r2,r3 + 150: 10c00017 ldw r3,0(r2) + 154: 00800034 movhi r2,0 + 158: 109c8304 addi r2,r2,29196 + 15c: e13ffd17 ldw r4,-12(fp) + 160: 200890fa slli r4,r4,3 + 164: 1105883a add r2,r2,r4 + 168: 10800104 addi r2,r2,4 + 16c: 10800017 ldw r2,0(r2) + 170: 1009883a mov r4,r2 + 174: 183ee83a callr r3 + 178: 0001883a nop + 17c: 0005313a rdctl r2,ipending + 180: e0bfff15 stw r2,-4(fp) + 184: e0bfff17 ldw r2,-4(fp) + 188: 00000706 br 1a8 + 18c: e0bffc17 ldw r2,-16(fp) + 190: 1085883a add r2,r2,r2 + 194: e0bffc15 stw r2,-16(fp) + 198: e0bffd17 ldw r2,-12(fp) + 19c: 10800044 addi r2,r2,1 + 1a0: e0bffd15 stw r2,-12(fp) + 1a4: 003fe106 br 12c + 1a8: e0bffb15 stw r2,-20(fp) + 1ac: e0bffb17 ldw r2,-20(fp) + 1b0: 103fdb1e bne r2,zero,120 + 1b4: 0001883a nop + 1b8: 0001883a nop + 1bc: e037883a mov sp,fp + 1c0: dfc00117 ldw ra,4(sp) + 1c4: df000017 ldw fp,0(sp) + 1c8: dec00204 addi sp,sp,8 + 1cc: f800283a ret + +000001d0 : + 1d0: defffb04 addi sp,sp,-20 + 1d4: dfc00415 stw ra,16(sp) + 1d8: df000315 stw fp,12(sp) + 1dc: df000304 addi fp,sp,12 + 1e0: e13fff15 stw r4,-4(fp) + 1e4: 00bfffc4 movi r2,-1 + 1e8: e0bffd15 stw r2,-12(fp) + 1ec: e03ffe15 stw zero,-8(fp) + 1f0: d0a01817 ldw r2,-32672(gp) + 1f4: 10000726 beq r2,zero,214 + 1f8: d0a01817 ldw r2,-32672(gp) + 1fc: e0fffd17 ldw r3,-12(fp) + 200: e1bffe17 ldw r6,-8(fp) + 204: e17fff17 ldw r5,-4(fp) + 208: 1809883a mov r4,r3 + 20c: 103ee83a callr r2 + 210: 00000206 br 21c + 214: 003da03a break 0 + 218: 0005883a mov r2,zero + 21c: e037883a mov sp,fp + 220: dfc00117 ldw ra,4(sp) + 224: df000017 ldw fp,0(sp) + 228: dec00204 addi sp,sp,8 + 22c: f800283a ret + +Disassembly of section .text: + +00000230 <_start>: + 230: 06c00034 movhi sp,0 + 234: dee00014 ori sp,sp,32768 + 238: 06800034 movhi gp,0 + 23c: d6bc6014 ori gp,gp,61824 + 240: 00800034 movhi r2,0 + 244: 109c6e14 ori r2,r2,29112 + 248: 00c00034 movhi r3,0 + 24c: 18dcc314 ori r3,r3,29452 + 250: 10c00326 beq r2,r3,260 <_start+0x30> + 254: 10000015 stw zero,0(r2) + 258: 10800104 addi r2,r2,4 + 25c: 10fffd36 bltu r2,r3,254 <_start+0x24> + 260: 00034d40 call 34d4 + +00000264 : + 264: 003fff06 br 264 + +00000268
: + 268: defffe04 addi sp,sp,-8 + 26c: dfc00115 stw ra,4(sp) + 270: df000015 stw fp,0(sp) + 274: d839883a mov fp,sp + 278: 00c00974 movhi r3,37 + 27c: 00a40a14 movui r2,36904 + 280: 10c00035 stwio r3,0(r2) + 284: 01000034 movhi r4,0 + 288: 2115c404 addi r4,r4,22288 + 28c: 00003540 call 354 + 290: 003fff06 br 290 + +00000294 <_puts_r>: + 294: defff604 addi sp,sp,-40 + 298: dc000715 stw r16,28(sp) + 29c: 2021883a mov r16,r4 + 2a0: 2809883a mov r4,r5 + 2a4: dc400815 stw r17,32(sp) + 2a8: dfc00915 stw ra,36(sp) + 2ac: 2823883a mov r17,r5 + 2b0: 00003680 call 368 + 2b4: 10c00044 addi r3,r2,1 + 2b8: d8800115 stw r2,4(sp) + 2bc: 00800034 movhi r2,0 + 2c0: 1095c604 addi r2,r2,22296 + 2c4: d8800215 stw r2,8(sp) + 2c8: 00800044 movi r2,1 + 2cc: d8800315 stw r2,12(sp) + 2d0: 00800084 movi r2,2 + 2d4: dc400015 stw r17,0(sp) + 2d8: d8c00615 stw r3,24(sp) + 2dc: dec00415 stw sp,16(sp) + 2e0: d8800515 stw r2,20(sp) + 2e4: 80000226 beq r16,zero,2f0 <_puts_r+0x5c> + 2e8: 80800e17 ldw r2,56(r16) + 2ec: 10001426 beq r2,zero,340 <_puts_r+0xac> + 2f0: 81400217 ldw r5,8(r16) + 2f4: 2880030b ldhu r2,12(r5) + 2f8: 10c8000c andi r3,r2,8192 + 2fc: 1800061e bne r3,zero,318 <_puts_r+0x84> + 300: 29001917 ldw r4,100(r5) + 304: 00f7ffc4 movi r3,-8193 + 308: 10880014 ori r2,r2,8192 + 30c: 20c6703a and r3,r4,r3 + 310: 2880030d sth r2,12(r5) + 314: 28c01915 stw r3,100(r5) + 318: d9800404 addi r6,sp,16 + 31c: 8009883a mov r4,r16 + 320: 00007b00 call 7b0 <__sfvwrite_r> + 324: 1000091e bne r2,zero,34c <_puts_r+0xb8> + 328: 00800284 movi r2,10 + 32c: dfc00917 ldw ra,36(sp) + 330: dc400817 ldw r17,32(sp) + 334: dc000717 ldw r16,28(sp) + 338: dec00a04 addi sp,sp,40 + 33c: f800283a ret + 340: 8009883a mov r4,r16 + 344: 00007600 call 760 <__sinit> + 348: 003fe906 br 2f0 <_puts_r+0x5c> + 34c: 00bfffc4 movi r2,-1 + 350: 003ff606 br 32c <_puts_r+0x98> + +00000354 : + 354: 00800034 movhi r2,0 + 358: 109c6104 addi r2,r2,29060 + 35c: 200b883a mov r5,r4 + 360: 11000017 ldw r4,0(r2) + 364: 00002941 jmpi 294 <_puts_r> + +00000368 : + 368: 208000cc andi r2,r4,3 + 36c: 10002026 beq r2,zero,3f0 + 370: 20800007 ldb r2,0(r4) + 374: 10002026 beq r2,zero,3f8 + 378: 2005883a mov r2,r4 + 37c: 00000206 br 388 + 380: 10c00007 ldb r3,0(r2) + 384: 18001826 beq r3,zero,3e8 + 388: 10800044 addi r2,r2,1 + 38c: 10c000cc andi r3,r2,3 + 390: 183ffb1e bne r3,zero,380 + 394: 10c00017 ldw r3,0(r2) + 398: 01ffbff4 movhi r7,65279 + 39c: 39ffbfc4 addi r7,r7,-257 + 3a0: 00ca303a nor r5,zero,r3 + 3a4: 01a02074 movhi r6,32897 + 3a8: 19c7883a add r3,r3,r7 + 3ac: 31a02004 addi r6,r6,-32640 + 3b0: 1946703a and r3,r3,r5 + 3b4: 1986703a and r3,r3,r6 + 3b8: 1800091e bne r3,zero,3e0 + 3bc: 10800104 addi r2,r2,4 + 3c0: 10c00017 ldw r3,0(r2) + 3c4: 19cb883a add r5,r3,r7 + 3c8: 00c6303a nor r3,zero,r3 + 3cc: 28c6703a and r3,r5,r3 + 3d0: 1986703a and r3,r3,r6 + 3d4: 183ff926 beq r3,zero,3bc + 3d8: 00000106 br 3e0 + 3dc: 10800044 addi r2,r2,1 + 3e0: 10c00007 ldb r3,0(r2) + 3e4: 183ffd1e bne r3,zero,3dc + 3e8: 1105c83a sub r2,r2,r4 + 3ec: f800283a ret + 3f0: 2005883a mov r2,r4 + 3f4: 003fe706 br 394 + 3f8: 0005883a mov r2,zero + 3fc: f800283a ret + +00000400 <__fp_unlock>: + 400: 0005883a mov r2,zero + 404: f800283a ret + +00000408 <_cleanup_r>: + 408: 01400034 movhi r5,0 + 40c: 2948ee04 addi r5,r5,9144 + 410: 0000d3c1 jmpi d3c <_fwalk_reent> + +00000414 <__sinit.part.1>: + 414: defff704 addi sp,sp,-36 + 418: 00c00034 movhi r3,0 + 41c: dfc00815 stw ra,32(sp) + 420: ddc00715 stw r23,28(sp) + 424: dd800615 stw r22,24(sp) + 428: dd400515 stw r21,20(sp) + 42c: dd000415 stw r20,16(sp) + 430: dcc00315 stw r19,12(sp) + 434: dc800215 stw r18,8(sp) + 438: dc400115 stw r17,4(sp) + 43c: dc000015 stw r16,0(sp) + 440: 18c10204 addi r3,r3,1032 + 444: 24000117 ldw r16,4(r4) + 448: 20c00f15 stw r3,60(r4) + 44c: 2080bb04 addi r2,r4,748 + 450: 00c000c4 movi r3,3 + 454: 20c0b915 stw r3,740(r4) + 458: 2080ba15 stw r2,744(r4) + 45c: 2000b815 stw zero,736(r4) + 460: 05c00204 movi r23,8 + 464: 00800104 movi r2,4 + 468: 2025883a mov r18,r4 + 46c: b80d883a mov r6,r23 + 470: 81001704 addi r4,r16,92 + 474: 000b883a mov r5,zero + 478: 80000015 stw zero,0(r16) + 47c: 80000115 stw zero,4(r16) + 480: 80000215 stw zero,8(r16) + 484: 8080030d sth r2,12(r16) + 488: 80001915 stw zero,100(r16) + 48c: 8000038d sth zero,14(r16) + 490: 80000415 stw zero,16(r16) + 494: 80000515 stw zero,20(r16) + 498: 80000615 stw zero,24(r16) + 49c: 00019940 call 1994 + 4a0: 05800034 movhi r22,0 + 4a4: 94400217 ldw r17,8(r18) + 4a8: 05400034 movhi r21,0 + 4ac: 05000034 movhi r20,0 + 4b0: 04c00034 movhi r19,0 + 4b4: b5881d04 addi r22,r22,8308 + 4b8: ad483404 addi r21,r21,8400 + 4bc: a5085304 addi r20,r20,8524 + 4c0: 9cc86a04 addi r19,r19,8616 + 4c4: 85800815 stw r22,32(r16) + 4c8: 85400915 stw r21,36(r16) + 4cc: 85000a15 stw r20,40(r16) + 4d0: 84c00b15 stw r19,44(r16) + 4d4: 84000715 stw r16,28(r16) + 4d8: 00800284 movi r2,10 + 4dc: 8880030d sth r2,12(r17) + 4e0: 00800044 movi r2,1 + 4e4: b80d883a mov r6,r23 + 4e8: 89001704 addi r4,r17,92 + 4ec: 000b883a mov r5,zero + 4f0: 88000015 stw zero,0(r17) + 4f4: 88000115 stw zero,4(r17) + 4f8: 88000215 stw zero,8(r17) + 4fc: 88001915 stw zero,100(r17) + 500: 8880038d sth r2,14(r17) + 504: 88000415 stw zero,16(r17) + 508: 88000515 stw zero,20(r17) + 50c: 88000615 stw zero,24(r17) + 510: 00019940 call 1994 + 514: 94000317 ldw r16,12(r18) + 518: 00800484 movi r2,18 + 51c: 8c400715 stw r17,28(r17) + 520: 8d800815 stw r22,32(r17) + 524: 8d400915 stw r21,36(r17) + 528: 8d000a15 stw r20,40(r17) + 52c: 8cc00b15 stw r19,44(r17) + 530: 8080030d sth r2,12(r16) + 534: 00800084 movi r2,2 + 538: 80000015 stw zero,0(r16) + 53c: 80000115 stw zero,4(r16) + 540: 80000215 stw zero,8(r16) + 544: 80001915 stw zero,100(r16) + 548: 8080038d sth r2,14(r16) + 54c: 80000415 stw zero,16(r16) + 550: 80000515 stw zero,20(r16) + 554: 80000615 stw zero,24(r16) + 558: b80d883a mov r6,r23 + 55c: 000b883a mov r5,zero + 560: 81001704 addi r4,r16,92 + 564: 00019940 call 1994 + 568: 00800044 movi r2,1 + 56c: 84000715 stw r16,28(r16) + 570: 85800815 stw r22,32(r16) + 574: 85400915 stw r21,36(r16) + 578: 85000a15 stw r20,40(r16) + 57c: 84c00b15 stw r19,44(r16) + 580: 90800e15 stw r2,56(r18) + 584: dfc00817 ldw ra,32(sp) + 588: ddc00717 ldw r23,28(sp) + 58c: dd800617 ldw r22,24(sp) + 590: dd400517 ldw r21,20(sp) + 594: dd000417 ldw r20,16(sp) + 598: dcc00317 ldw r19,12(sp) + 59c: dc800217 ldw r18,8(sp) + 5a0: dc400117 ldw r17,4(sp) + 5a4: dc000017 ldw r16,0(sp) + 5a8: dec00904 addi sp,sp,36 + 5ac: f800283a ret + +000005b0 <__fp_lock>: + 5b0: 0005883a mov r2,zero + 5b4: f800283a ret + +000005b8 <__sfmoreglue>: + 5b8: defffc04 addi sp,sp,-16 + 5bc: dc800215 stw r18,8(sp) + 5c0: 2825883a mov r18,r5 + 5c4: dc000015 stw r16,0(sp) + 5c8: 01401a04 movi r5,104 + 5cc: 2021883a mov r16,r4 + 5d0: 913fffc4 addi r4,r18,-1 + 5d4: dfc00315 stw ra,12(sp) + 5d8: dc400115 stw r17,4(sp) + 5dc: 00030780 call 3078 <__mulsi3> + 5e0: 8009883a mov r4,r16 + 5e4: 11401d04 addi r5,r2,116 + 5e8: 1023883a mov r17,r2 + 5ec: 0000e000 call e00 <_malloc_r> + 5f0: 1021883a mov r16,r2 + 5f4: 10000726 beq r2,zero,614 <__sfmoreglue+0x5c> + 5f8: 11000304 addi r4,r2,12 + 5fc: 10000015 stw zero,0(r2) + 600: 14800115 stw r18,4(r2) + 604: 11000215 stw r4,8(r2) + 608: 89801a04 addi r6,r17,104 + 60c: 000b883a mov r5,zero + 610: 00019940 call 1994 + 614: 8005883a mov r2,r16 + 618: dfc00317 ldw ra,12(sp) + 61c: dc800217 ldw r18,8(sp) + 620: dc400117 ldw r17,4(sp) + 624: dc000017 ldw r16,0(sp) + 628: dec00404 addi sp,sp,16 + 62c: f800283a ret + +00000630 <__sfp>: + 630: defffb04 addi sp,sp,-20 + 634: dc000015 stw r16,0(sp) + 638: 04000034 movhi r16,0 + 63c: 841c6004 addi r16,r16,29056 + 640: dcc00315 stw r19,12(sp) + 644: 2027883a mov r19,r4 + 648: 81000017 ldw r4,0(r16) + 64c: dfc00415 stw ra,16(sp) + 650: dc800215 stw r18,8(sp) + 654: 20800e17 ldw r2,56(r4) + 658: dc400115 stw r17,4(sp) + 65c: 1000021e bne r2,zero,668 <__sfp+0x38> + 660: 00004140 call 414 <__sinit.part.1> + 664: 81000017 ldw r4,0(r16) + 668: 2480b804 addi r18,r4,736 + 66c: 047fffc4 movi r17,-1 + 670: 91000117 ldw r4,4(r18) + 674: 94000217 ldw r16,8(r18) + 678: 213fffc4 addi r4,r4,-1 + 67c: 20000a16 blt r4,zero,6a8 <__sfp+0x78> + 680: 8080030f ldh r2,12(r16) + 684: 10000c26 beq r2,zero,6b8 <__sfp+0x88> + 688: 80c01d04 addi r3,r16,116 + 68c: 00000206 br 698 <__sfp+0x68> + 690: 18bfe60f ldh r2,-104(r3) + 694: 10000826 beq r2,zero,6b8 <__sfp+0x88> + 698: 213fffc4 addi r4,r4,-1 + 69c: 1c3ffd04 addi r16,r3,-12 + 6a0: 18c01a04 addi r3,r3,104 + 6a4: 247ffa1e bne r4,r17,690 <__sfp+0x60> + 6a8: 90800017 ldw r2,0(r18) + 6ac: 10001d26 beq r2,zero,724 <__sfp+0xf4> + 6b0: 1025883a mov r18,r2 + 6b4: 003fee06 br 670 <__sfp+0x40> + 6b8: 00bfffc4 movi r2,-1 + 6bc: 8080038d sth r2,14(r16) + 6c0: 00800044 movi r2,1 + 6c4: 8080030d sth r2,12(r16) + 6c8: 80001915 stw zero,100(r16) + 6cc: 80000015 stw zero,0(r16) + 6d0: 80000215 stw zero,8(r16) + 6d4: 80000115 stw zero,4(r16) + 6d8: 80000415 stw zero,16(r16) + 6dc: 80000515 stw zero,20(r16) + 6e0: 80000615 stw zero,24(r16) + 6e4: 01800204 movi r6,8 + 6e8: 000b883a mov r5,zero + 6ec: 81001704 addi r4,r16,92 + 6f0: 00019940 call 1994 + 6f4: 8005883a mov r2,r16 + 6f8: 80000c15 stw zero,48(r16) + 6fc: 80000d15 stw zero,52(r16) + 700: 80001115 stw zero,68(r16) + 704: 80001215 stw zero,72(r16) + 708: dfc00417 ldw ra,16(sp) + 70c: dcc00317 ldw r19,12(sp) + 710: dc800217 ldw r18,8(sp) + 714: dc400117 ldw r17,4(sp) + 718: dc000017 ldw r16,0(sp) + 71c: dec00504 addi sp,sp,20 + 720: f800283a ret + 724: 01400104 movi r5,4 + 728: 9809883a mov r4,r19 + 72c: 00005b80 call 5b8 <__sfmoreglue> + 730: 90800015 stw r2,0(r18) + 734: 103fde1e bne r2,zero,6b0 <__sfp+0x80> + 738: 00800304 movi r2,12 + 73c: 98800015 stw r2,0(r19) + 740: 0005883a mov r2,zero + 744: 003ff006 br 708 <__sfp+0xd8> + +00000748 <_cleanup>: + 748: 00800034 movhi r2,0 + 74c: 109c6004 addi r2,r2,29056 + 750: 11000017 ldw r4,0(r2) + 754: 01400034 movhi r5,0 + 758: 2948ee04 addi r5,r5,9144 + 75c: 0000d3c1 jmpi d3c <_fwalk_reent> + +00000760 <__sinit>: + 760: 20800e17 ldw r2,56(r4) + 764: 10000126 beq r2,zero,76c <__sinit+0xc> + 768: f800283a ret + 76c: 00004141 jmpi 414 <__sinit.part.1> + +00000770 <__sfp_lock_acquire>: + 770: f800283a ret + +00000774 <__sfp_lock_release>: + 774: f800283a ret + +00000778 <__sinit_lock_acquire>: + 778: f800283a ret + +0000077c <__sinit_lock_release>: + 77c: f800283a ret + +00000780 <__fp_lock_all>: + 780: 00800034 movhi r2,0 + 784: 109c6104 addi r2,r2,29060 + 788: 11000017 ldw r4,0(r2) + 78c: 01400034 movhi r5,0 + 790: 29416c04 addi r5,r5,1456 + 794: 0000c781 jmpi c78 <_fwalk> + +00000798 <__fp_unlock_all>: + 798: 00800034 movhi r2,0 + 79c: 109c6104 addi r2,r2,29060 + 7a0: 11000017 ldw r4,0(r2) + 7a4: 01400034 movhi r5,0 + 7a8: 29410004 addi r5,r5,1024 + 7ac: 0000c781 jmpi c78 <_fwalk> + +000007b0 <__sfvwrite_r>: + 7b0: 30800217 ldw r2,8(r6) + 7b4: 10006726 beq r2,zero,954 <__sfvwrite_r+0x1a4> + 7b8: 28c0030b ldhu r3,12(r5) + 7bc: defff404 addi sp,sp,-48 + 7c0: dd400715 stw r21,28(sp) + 7c4: dd000615 stw r20,24(sp) + 7c8: dc000215 stw r16,8(sp) + 7cc: dfc00b15 stw ra,44(sp) + 7d0: df000a15 stw fp,40(sp) + 7d4: ddc00915 stw r23,36(sp) + 7d8: dd800815 stw r22,32(sp) + 7dc: dcc00515 stw r19,20(sp) + 7e0: dc800415 stw r18,16(sp) + 7e4: dc400315 stw r17,12(sp) + 7e8: 1880020c andi r2,r3,8 + 7ec: 2821883a mov r16,r5 + 7f0: 202b883a mov r21,r4 + 7f4: 3029883a mov r20,r6 + 7f8: 10002726 beq r2,zero,898 <__sfvwrite_r+0xe8> + 7fc: 28800417 ldw r2,16(r5) + 800: 10002526 beq r2,zero,898 <__sfvwrite_r+0xe8> + 804: 1880008c andi r2,r3,2 + 808: a4400017 ldw r17,0(r20) + 80c: 10002a26 beq r2,zero,8b8 <__sfvwrite_r+0x108> + 810: 05a00034 movhi r22,32768 + 814: 0027883a mov r19,zero + 818: 0025883a mov r18,zero + 81c: b5bf0004 addi r22,r22,-1024 + 820: 980d883a mov r6,r19 + 824: a809883a mov r4,r21 + 828: 90004626 beq r18,zero,944 <__sfvwrite_r+0x194> + 82c: 900f883a mov r7,r18 + 830: b480022e bgeu r22,r18,83c <__sfvwrite_r+0x8c> + 834: 01e00034 movhi r7,32768 + 838: 39ff0004 addi r7,r7,-1024 + 83c: 80800917 ldw r2,36(r16) + 840: 81400717 ldw r5,28(r16) + 844: 103ee83a callr r2 + 848: 00805a0e bge zero,r2,9b4 <__sfvwrite_r+0x204> + 84c: a0c00217 ldw r3,8(r20) + 850: 98a7883a add r19,r19,r2 + 854: 90a5c83a sub r18,r18,r2 + 858: 1885c83a sub r2,r3,r2 + 85c: a0800215 stw r2,8(r20) + 860: 103fef1e bne r2,zero,820 <__sfvwrite_r+0x70> + 864: 0005883a mov r2,zero + 868: dfc00b17 ldw ra,44(sp) + 86c: df000a17 ldw fp,40(sp) + 870: ddc00917 ldw r23,36(sp) + 874: dd800817 ldw r22,32(sp) + 878: dd400717 ldw r21,28(sp) + 87c: dd000617 ldw r20,24(sp) + 880: dcc00517 ldw r19,20(sp) + 884: dc800417 ldw r18,16(sp) + 888: dc400317 ldw r17,12(sp) + 88c: dc000217 ldw r16,8(sp) + 890: dec00c04 addi sp,sp,48 + 894: f800283a ret + 898: 800b883a mov r5,r16 + 89c: a809883a mov r4,r21 + 8a0: 00022100 call 2210 <__swsetup_r> + 8a4: 1000ee1e bne r2,zero,c60 <__sfvwrite_r+0x4b0> + 8a8: 80c0030b ldhu r3,12(r16) + 8ac: a4400017 ldw r17,0(r20) + 8b0: 1880008c andi r2,r3,2 + 8b4: 103fd61e bne r2,zero,810 <__sfvwrite_r+0x60> + 8b8: 1880004c andi r2,r3,1 + 8bc: 1000421e bne r2,zero,9c8 <__sfvwrite_r+0x218> + 8c0: 0039883a mov fp,zero + 8c4: 0025883a mov r18,zero + 8c8: 90001a26 beq r18,zero,934 <__sfvwrite_r+0x184> + 8cc: 1880800c andi r2,r3,512 + 8d0: 84c00217 ldw r19,8(r16) + 8d4: 10002126 beq r2,zero,95c <__sfvwrite_r+0x1ac> + 8d8: 982f883a mov r23,r19 + 8dc: 94c09636 bltu r18,r19,b38 <__sfvwrite_r+0x388> + 8e0: 1881200c andi r2,r3,1152 + 8e4: 1000a11e bne r2,zero,b6c <__sfvwrite_r+0x3bc> + 8e8: 81000017 ldw r4,0(r16) + 8ec: b80d883a mov r6,r23 + 8f0: e00b883a mov r5,fp + 8f4: 00018380 call 1838 + 8f8: 80c00217 ldw r3,8(r16) + 8fc: 81000017 ldw r4,0(r16) + 900: 9005883a mov r2,r18 + 904: 1ce7c83a sub r19,r3,r19 + 908: 25cf883a add r7,r4,r23 + 90c: 84c00215 stw r19,8(r16) + 910: 81c00015 stw r7,0(r16) + 914: a0c00217 ldw r3,8(r20) + 918: e0b9883a add fp,fp,r2 + 91c: 90a5c83a sub r18,r18,r2 + 920: 18a7c83a sub r19,r3,r2 + 924: a4c00215 stw r19,8(r20) + 928: 983fce26 beq r19,zero,864 <__sfvwrite_r+0xb4> + 92c: 80c0030b ldhu r3,12(r16) + 930: 903fe61e bne r18,zero,8cc <__sfvwrite_r+0x11c> + 934: 8f000017 ldw fp,0(r17) + 938: 8c800117 ldw r18,4(r17) + 93c: 8c400204 addi r17,r17,8 + 940: 003fe106 br 8c8 <__sfvwrite_r+0x118> + 944: 8cc00017 ldw r19,0(r17) + 948: 8c800117 ldw r18,4(r17) + 94c: 8c400204 addi r17,r17,8 + 950: 003fb306 br 820 <__sfvwrite_r+0x70> + 954: 0005883a mov r2,zero + 958: f800283a ret + 95c: 81000017 ldw r4,0(r16) + 960: 80800417 ldw r2,16(r16) + 964: 11005a36 bltu r2,r4,ad0 <__sfvwrite_r+0x320> + 968: 85c00517 ldw r23,20(r16) + 96c: 95c05836 bltu r18,r23,ad0 <__sfvwrite_r+0x320> + 970: 00a00034 movhi r2,32768 + 974: 10bfffc4 addi r2,r2,-1 + 978: 9009883a mov r4,r18 + 97c: 1480012e bgeu r2,r18,984 <__sfvwrite_r+0x1d4> + 980: 1009883a mov r4,r2 + 984: b80b883a mov r5,r23 + 988: 0002ec40 call 2ec4 <__divsi3> + 98c: b80b883a mov r5,r23 + 990: 1009883a mov r4,r2 + 994: 00030780 call 3078 <__mulsi3> + 998: 81400717 ldw r5,28(r16) + 99c: 80c00917 ldw r3,36(r16) + 9a0: 100f883a mov r7,r2 + 9a4: e00d883a mov r6,fp + 9a8: a809883a mov r4,r21 + 9ac: 183ee83a callr r3 + 9b0: 00bfd816 blt zero,r2,914 <__sfvwrite_r+0x164> + 9b4: 8080030b ldhu r2,12(r16) + 9b8: 10801014 ori r2,r2,64 + 9bc: 8080030d sth r2,12(r16) + 9c0: 00bfffc4 movi r2,-1 + 9c4: 003fa806 br 868 <__sfvwrite_r+0xb8> + 9c8: 0027883a mov r19,zero + 9cc: 0011883a mov r8,zero + 9d0: 0039883a mov fp,zero + 9d4: 0025883a mov r18,zero + 9d8: 90001f26 beq r18,zero,a58 <__sfvwrite_r+0x2a8> + 9dc: 40005a26 beq r8,zero,b48 <__sfvwrite_r+0x398> + 9e0: 982d883a mov r22,r19 + 9e4: 94c0012e bgeu r18,r19,9ec <__sfvwrite_r+0x23c> + 9e8: 902d883a mov r22,r18 + 9ec: 81000017 ldw r4,0(r16) + 9f0: 80800417 ldw r2,16(r16) + 9f4: b02f883a mov r23,r22 + 9f8: 81c00517 ldw r7,20(r16) + 9fc: 1100032e bgeu r2,r4,a0c <__sfvwrite_r+0x25c> + a00: 80c00217 ldw r3,8(r16) + a04: 38c7883a add r3,r7,r3 + a08: 1d801816 blt r3,r22,a6c <__sfvwrite_r+0x2bc> + a0c: b1c03e16 blt r22,r7,b08 <__sfvwrite_r+0x358> + a10: 80800917 ldw r2,36(r16) + a14: 81400717 ldw r5,28(r16) + a18: e00d883a mov r6,fp + a1c: da000115 stw r8,4(sp) + a20: a809883a mov r4,r21 + a24: 103ee83a callr r2 + a28: 102f883a mov r23,r2 + a2c: da000117 ldw r8,4(sp) + a30: 00bfe00e bge zero,r2,9b4 <__sfvwrite_r+0x204> + a34: 9de7c83a sub r19,r19,r23 + a38: 98001f26 beq r19,zero,ab8 <__sfvwrite_r+0x308> + a3c: a0800217 ldw r2,8(r20) + a40: e5f9883a add fp,fp,r23 + a44: 95e5c83a sub r18,r18,r23 + a48: 15efc83a sub r23,r2,r23 + a4c: a5c00215 stw r23,8(r20) + a50: b83f8426 beq r23,zero,864 <__sfvwrite_r+0xb4> + a54: 903fe11e bne r18,zero,9dc <__sfvwrite_r+0x22c> + a58: 8f000017 ldw fp,0(r17) + a5c: 8c800117 ldw r18,4(r17) + a60: 0011883a mov r8,zero + a64: 8c400204 addi r17,r17,8 + a68: 003fdb06 br 9d8 <__sfvwrite_r+0x228> + a6c: 180d883a mov r6,r3 + a70: e00b883a mov r5,fp + a74: da000115 stw r8,4(sp) + a78: d8c00015 stw r3,0(sp) + a7c: 00018380 call 1838 + a80: d8c00017 ldw r3,0(sp) + a84: 80800017 ldw r2,0(r16) + a88: 800b883a mov r5,r16 + a8c: a809883a mov r4,r21 + a90: 10c5883a add r2,r2,r3 + a94: 80800015 stw r2,0(r16) + a98: d8c00015 stw r3,0(sp) + a9c: 00026d80 call 26d8 <_fflush_r> + aa0: d8c00017 ldw r3,0(sp) + aa4: da000117 ldw r8,4(sp) + aa8: 103fc21e bne r2,zero,9b4 <__sfvwrite_r+0x204> + aac: 182f883a mov r23,r3 + ab0: 9de7c83a sub r19,r19,r23 + ab4: 983fe11e bne r19,zero,a3c <__sfvwrite_r+0x28c> + ab8: 800b883a mov r5,r16 + abc: a809883a mov r4,r21 + ac0: 00026d80 call 26d8 <_fflush_r> + ac4: 103fbb1e bne r2,zero,9b4 <__sfvwrite_r+0x204> + ac8: 0011883a mov r8,zero + acc: 003fdb06 br a3c <__sfvwrite_r+0x28c> + ad0: 94c0012e bgeu r18,r19,ad8 <__sfvwrite_r+0x328> + ad4: 9027883a mov r19,r18 + ad8: 980d883a mov r6,r19 + adc: e00b883a mov r5,fp + ae0: 00018380 call 1838 + ae4: 80800217 ldw r2,8(r16) + ae8: 80c00017 ldw r3,0(r16) + aec: 14c5c83a sub r2,r2,r19 + af0: 1cc7883a add r3,r3,r19 + af4: 80800215 stw r2,8(r16) + af8: 80c00015 stw r3,0(r16) + afc: 10004326 beq r2,zero,c0c <__sfvwrite_r+0x45c> + b00: 9805883a mov r2,r19 + b04: 003f8306 br 914 <__sfvwrite_r+0x164> + b08: b00d883a mov r6,r22 + b0c: e00b883a mov r5,fp + b10: da000115 stw r8,4(sp) + b14: 00018380 call 1838 + b18: 80800217 ldw r2,8(r16) + b1c: 80c00017 ldw r3,0(r16) + b20: da000117 ldw r8,4(sp) + b24: 1585c83a sub r2,r2,r22 + b28: 1dad883a add r22,r3,r22 + b2c: 80800215 stw r2,8(r16) + b30: 85800015 stw r22,0(r16) + b34: 003fbf06 br a34 <__sfvwrite_r+0x284> + b38: 81000017 ldw r4,0(r16) + b3c: 9027883a mov r19,r18 + b40: 902f883a mov r23,r18 + b44: 003f6906 br 8ec <__sfvwrite_r+0x13c> + b48: 900d883a mov r6,r18 + b4c: 01400284 movi r5,10 + b50: e009883a mov r4,fp + b54: 000160c0 call 160c + b58: 10003e26 beq r2,zero,c54 <__sfvwrite_r+0x4a4> + b5c: 10800044 addi r2,r2,1 + b60: 1727c83a sub r19,r2,fp + b64: 02000044 movi r8,1 + b68: 003f9d06 br 9e0 <__sfvwrite_r+0x230> + b6c: 80800517 ldw r2,20(r16) + b70: 81400417 ldw r5,16(r16) + b74: 81c00017 ldw r7,0(r16) + b78: 10a7883a add r19,r2,r2 + b7c: 9885883a add r2,r19,r2 + b80: 1026d7fa srli r19,r2,31 + b84: 396dc83a sub r22,r7,r5 + b88: b1000044 addi r4,r22,1 + b8c: 9885883a add r2,r19,r2 + b90: 1027d07a srai r19,r2,1 + b94: 2485883a add r2,r4,r18 + b98: 980d883a mov r6,r19 + b9c: 9880022e bgeu r19,r2,ba8 <__sfvwrite_r+0x3f8> + ba0: 1027883a mov r19,r2 + ba4: 100d883a mov r6,r2 + ba8: 18c1000c andi r3,r3,1024 + bac: 18001c26 beq r3,zero,c20 <__sfvwrite_r+0x470> + bb0: 300b883a mov r5,r6 + bb4: a809883a mov r4,r21 + bb8: 0000e000 call e00 <_malloc_r> + bbc: 102f883a mov r23,r2 + bc0: 10002926 beq r2,zero,c68 <__sfvwrite_r+0x4b8> + bc4: 81400417 ldw r5,16(r16) + bc8: b00d883a mov r6,r22 + bcc: 1009883a mov r4,r2 + bd0: 00016f00 call 16f0 + bd4: 8080030b ldhu r2,12(r16) + bd8: 00fedfc4 movi r3,-1153 + bdc: 10c4703a and r2,r2,r3 + be0: 10802014 ori r2,r2,128 + be4: 8080030d sth r2,12(r16) + be8: bd89883a add r4,r23,r22 + bec: 9d8fc83a sub r7,r19,r22 + bf0: 85c00415 stw r23,16(r16) + bf4: 84c00515 stw r19,20(r16) + bf8: 81000015 stw r4,0(r16) + bfc: 9027883a mov r19,r18 + c00: 81c00215 stw r7,8(r16) + c04: 902f883a mov r23,r18 + c08: 003f3806 br 8ec <__sfvwrite_r+0x13c> + c0c: 800b883a mov r5,r16 + c10: a809883a mov r4,r21 + c14: 00026d80 call 26d8 <_fflush_r> + c18: 103fb926 beq r2,zero,b00 <__sfvwrite_r+0x350> + c1c: 003f6506 br 9b4 <__sfvwrite_r+0x204> + c20: a809883a mov r4,r21 + c24: 0001abc0 call 1abc <_realloc_r> + c28: 102f883a mov r23,r2 + c2c: 103fee1e bne r2,zero,be8 <__sfvwrite_r+0x438> + c30: 81400417 ldw r5,16(r16) + c34: a809883a mov r4,r21 + c38: 00028880 call 2888 <_free_r> + c3c: 8080030b ldhu r2,12(r16) + c40: 00ffdfc4 movi r3,-129 + c44: 1884703a and r2,r3,r2 + c48: 00c00304 movi r3,12 + c4c: a8c00015 stw r3,0(r21) + c50: 003f5906 br 9b8 <__sfvwrite_r+0x208> + c54: 94c00044 addi r19,r18,1 + c58: 02000044 movi r8,1 + c5c: 003f6006 br 9e0 <__sfvwrite_r+0x230> + c60: 00bfffc4 movi r2,-1 + c64: 003f0006 br 868 <__sfvwrite_r+0xb8> + c68: 00800304 movi r2,12 + c6c: a8800015 stw r2,0(r21) + c70: 8080030b ldhu r2,12(r16) + c74: 003f5006 br 9b8 <__sfvwrite_r+0x208> + +00000c78 <_fwalk>: + c78: defff704 addi sp,sp,-36 + c7c: dd000415 stw r20,16(sp) + c80: dfc00815 stw ra,32(sp) + c84: ddc00715 stw r23,28(sp) + c88: dd800615 stw r22,24(sp) + c8c: dd400515 stw r21,20(sp) + c90: dcc00315 stw r19,12(sp) + c94: dc800215 stw r18,8(sp) + c98: dc400115 stw r17,4(sp) + c9c: dc000015 stw r16,0(sp) + ca0: 2500b804 addi r20,r4,736 + ca4: a0002326 beq r20,zero,d34 <_fwalk+0xbc> + ca8: 282b883a mov r21,r5 + cac: 002f883a mov r23,zero + cb0: 05800044 movi r22,1 + cb4: 04ffffc4 movi r19,-1 + cb8: a4400117 ldw r17,4(r20) + cbc: a4800217 ldw r18,8(r20) + cc0: 8c7fffc4 addi r17,r17,-1 + cc4: 88000d16 blt r17,zero,cfc <_fwalk+0x84> + cc8: 94000304 addi r16,r18,12 + ccc: 94800384 addi r18,r18,14 + cd0: 8080000b ldhu r2,0(r16) + cd4: 8c7fffc4 addi r17,r17,-1 + cd8: 813ffd04 addi r4,r16,-12 + cdc: b080042e bgeu r22,r2,cf0 <_fwalk+0x78> + ce0: 9080000f ldh r2,0(r18) + ce4: 14c00226 beq r2,r19,cf0 <_fwalk+0x78> + ce8: a83ee83a callr r21 + cec: b8aeb03a or r23,r23,r2 + cf0: 84001a04 addi r16,r16,104 + cf4: 94801a04 addi r18,r18,104 + cf8: 8cfff51e bne r17,r19,cd0 <_fwalk+0x58> + cfc: a5000017 ldw r20,0(r20) + d00: a03fed1e bne r20,zero,cb8 <_fwalk+0x40> + d04: b805883a mov r2,r23 + d08: dfc00817 ldw ra,32(sp) + d0c: ddc00717 ldw r23,28(sp) + d10: dd800617 ldw r22,24(sp) + d14: dd400517 ldw r21,20(sp) + d18: dd000417 ldw r20,16(sp) + d1c: dcc00317 ldw r19,12(sp) + d20: dc800217 ldw r18,8(sp) + d24: dc400117 ldw r17,4(sp) + d28: dc000017 ldw r16,0(sp) + d2c: dec00904 addi sp,sp,36 + d30: f800283a ret + d34: 002f883a mov r23,zero + d38: 003ff206 br d04 <_fwalk+0x8c> + +00000d3c <_fwalk_reent>: + d3c: defff704 addi sp,sp,-36 + d40: dd000415 stw r20,16(sp) + d44: dfc00815 stw ra,32(sp) + d48: ddc00715 stw r23,28(sp) + d4c: dd800615 stw r22,24(sp) + d50: dd400515 stw r21,20(sp) + d54: dcc00315 stw r19,12(sp) + d58: dc800215 stw r18,8(sp) + d5c: dc400115 stw r17,4(sp) + d60: dc000015 stw r16,0(sp) + d64: 2500b804 addi r20,r4,736 + d68: a0002326 beq r20,zero,df8 <_fwalk_reent+0xbc> + d6c: 282b883a mov r21,r5 + d70: 2027883a mov r19,r4 + d74: 002f883a mov r23,zero + d78: 05800044 movi r22,1 + d7c: 04bfffc4 movi r18,-1 + d80: a4400117 ldw r17,4(r20) + d84: a4000217 ldw r16,8(r20) + d88: 8c7fffc4 addi r17,r17,-1 + d8c: 88000c16 blt r17,zero,dc0 <_fwalk_reent+0x84> + d90: 84000304 addi r16,r16,12 + d94: 8080000b ldhu r2,0(r16) + d98: 8c7fffc4 addi r17,r17,-1 + d9c: 817ffd04 addi r5,r16,-12 + da0: b080052e bgeu r22,r2,db8 <_fwalk_reent+0x7c> + da4: 8080008f ldh r2,2(r16) + da8: 9809883a mov r4,r19 + dac: 14800226 beq r2,r18,db8 <_fwalk_reent+0x7c> + db0: a83ee83a callr r21 + db4: b8aeb03a or r23,r23,r2 + db8: 84001a04 addi r16,r16,104 + dbc: 8cbff51e bne r17,r18,d94 <_fwalk_reent+0x58> + dc0: a5000017 ldw r20,0(r20) + dc4: a03fee1e bne r20,zero,d80 <_fwalk_reent+0x44> + dc8: b805883a mov r2,r23 + dcc: dfc00817 ldw ra,32(sp) + dd0: ddc00717 ldw r23,28(sp) + dd4: dd800617 ldw r22,24(sp) + dd8: dd400517 ldw r21,20(sp) + ddc: dd000417 ldw r20,16(sp) + de0: dcc00317 ldw r19,12(sp) + de4: dc800217 ldw r18,8(sp) + de8: dc400117 ldw r17,4(sp) + dec: dc000017 ldw r16,0(sp) + df0: dec00904 addi sp,sp,36 + df4: f800283a ret + df8: 002f883a mov r23,zero + dfc: 003ff206 br dc8 <_fwalk_reent+0x8c> + +00000e00 <_malloc_r>: + e00: defff504 addi sp,sp,-44 + e04: dc800315 stw r18,12(sp) + e08: dfc00a15 stw ra,40(sp) + e0c: df000915 stw fp,36(sp) + e10: ddc00815 stw r23,32(sp) + e14: dd800715 stw r22,28(sp) + e18: dd400615 stw r21,24(sp) + e1c: dd000515 stw r20,20(sp) + e20: dcc00415 stw r19,16(sp) + e24: dc400215 stw r17,8(sp) + e28: dc000115 stw r16,4(sp) + e2c: 288002c4 addi r2,r5,11 + e30: 00c00584 movi r3,22 + e34: 2025883a mov r18,r4 + e38: 18807f2e bgeu r3,r2,1038 <_malloc_r+0x238> + e3c: 047ffe04 movi r17,-8 + e40: 1462703a and r17,r2,r17 + e44: 8800a316 blt r17,zero,10d4 <_malloc_r+0x2d4> + e48: 8940a236 bltu r17,r5,10d4 <_malloc_r+0x2d4> + e4c: 00035500 call 3550 <__malloc_lock> + e50: 00807dc4 movi r2,503 + e54: 1441e92e bgeu r2,r17,15fc <_malloc_r+0x7fc> + e58: 8804d27a srli r2,r17,9 + e5c: 1000a126 beq r2,zero,10e4 <_malloc_r+0x2e4> + e60: 00c00104 movi r3,4 + e64: 18811e36 bltu r3,r2,12e0 <_malloc_r+0x4e0> + e68: 8804d1ba srli r2,r17,6 + e6c: 12000e44 addi r8,r2,57 + e70: 11c00e04 addi r7,r2,56 + e74: 4209883a add r4,r8,r8 + e78: 04c00034 movhi r19,0 + e7c: 2109883a add r4,r4,r4 + e80: 9cd6dc04 addi r19,r19,23408 + e84: 2109883a add r4,r4,r4 + e88: 9909883a add r4,r19,r4 + e8c: 24000117 ldw r16,4(r4) + e90: 213ffe04 addi r4,r4,-8 + e94: 24009726 beq r4,r16,10f4 <_malloc_r+0x2f4> + e98: 80800117 ldw r2,4(r16) + e9c: 01bfff04 movi r6,-4 + ea0: 014003c4 movi r5,15 + ea4: 1184703a and r2,r2,r6 + ea8: 1447c83a sub r3,r2,r17 + eac: 28c00716 blt r5,r3,ecc <_malloc_r+0xcc> + eb0: 1800920e bge r3,zero,10fc <_malloc_r+0x2fc> + eb4: 84000317 ldw r16,12(r16) + eb8: 24008e26 beq r4,r16,10f4 <_malloc_r+0x2f4> + ebc: 80800117 ldw r2,4(r16) + ec0: 1184703a and r2,r2,r6 + ec4: 1447c83a sub r3,r2,r17 + ec8: 28fff90e bge r5,r3,eb0 <_malloc_r+0xb0> + ecc: 3809883a mov r4,r7 + ed0: 01800034 movhi r6,0 + ed4: 9c000417 ldw r16,16(r19) + ed8: 3196dc04 addi r6,r6,23408 + edc: 32000204 addi r8,r6,8 + ee0: 82013426 beq r16,r8,13b4 <_malloc_r+0x5b4> + ee4: 80c00117 ldw r3,4(r16) + ee8: 00bfff04 movi r2,-4 + eec: 188e703a and r7,r3,r2 + ef0: 3c45c83a sub r2,r7,r17 + ef4: 00c003c4 movi r3,15 + ef8: 18811f16 blt r3,r2,1378 <_malloc_r+0x578> + efc: 32000515 stw r8,20(r6) + f00: 32000415 stw r8,16(r6) + f04: 10007f0e bge r2,zero,1104 <_malloc_r+0x304> + f08: 00807fc4 movi r2,511 + f0c: 11c0fd36 bltu r2,r7,1304 <_malloc_r+0x504> + f10: 3806d0fa srli r3,r7,3 + f14: 01c00044 movi r7,1 + f18: 30800117 ldw r2,4(r6) + f1c: 19400044 addi r5,r3,1 + f20: 294b883a add r5,r5,r5 + f24: 1807d0ba srai r3,r3,2 + f28: 294b883a add r5,r5,r5 + f2c: 294b883a add r5,r5,r5 + f30: 298b883a add r5,r5,r6 + f34: 38c6983a sll r3,r7,r3 + f38: 29c00017 ldw r7,0(r5) + f3c: 2a7ffe04 addi r9,r5,-8 + f40: 1886b03a or r3,r3,r2 + f44: 82400315 stw r9,12(r16) + f48: 81c00215 stw r7,8(r16) + f4c: 30c00115 stw r3,4(r6) + f50: 2c000015 stw r16,0(r5) + f54: 3c000315 stw r16,12(r7) + f58: 2005d0ba srai r2,r4,2 + f5c: 01400044 movi r5,1 + f60: 288a983a sll r5,r5,r2 + f64: 19406f36 bltu r3,r5,1124 <_malloc_r+0x324> + f68: 28c4703a and r2,r5,r3 + f6c: 10000a1e bne r2,zero,f98 <_malloc_r+0x198> + f70: 00bfff04 movi r2,-4 + f74: 294b883a add r5,r5,r5 + f78: 2088703a and r4,r4,r2 + f7c: 28c4703a and r2,r5,r3 + f80: 21000104 addi r4,r4,4 + f84: 1000041e bne r2,zero,f98 <_malloc_r+0x198> + f88: 294b883a add r5,r5,r5 + f8c: 28c4703a and r2,r5,r3 + f90: 21000104 addi r4,r4,4 + f94: 103ffc26 beq r2,zero,f88 <_malloc_r+0x188> + f98: 02bfff04 movi r10,-4 + f9c: 024003c4 movi r9,15 + fa0: 21800044 addi r6,r4,1 + fa4: 318d883a add r6,r6,r6 + fa8: 318d883a add r6,r6,r6 + fac: 318d883a add r6,r6,r6 + fb0: 998d883a add r6,r19,r6 + fb4: 333ffe04 addi r12,r6,-8 + fb8: 2017883a mov r11,r4 + fbc: 31800104 addi r6,r6,4 + fc0: 34000017 ldw r16,0(r6) + fc4: 31fffd04 addi r7,r6,-12 + fc8: 81c0041e bne r16,r7,fdc <_malloc_r+0x1dc> + fcc: 0000fb06 br 13bc <_malloc_r+0x5bc> + fd0: 1801030e bge r3,zero,13e0 <_malloc_r+0x5e0> + fd4: 84000317 ldw r16,12(r16) + fd8: 81c0f826 beq r16,r7,13bc <_malloc_r+0x5bc> + fdc: 80800117 ldw r2,4(r16) + fe0: 1284703a and r2,r2,r10 + fe4: 1447c83a sub r3,r2,r17 + fe8: 48fff90e bge r9,r3,fd0 <_malloc_r+0x1d0> + fec: 80800317 ldw r2,12(r16) + ff0: 81000217 ldw r4,8(r16) + ff4: 89400054 ori r5,r17,1 + ff8: 81400115 stw r5,4(r16) + ffc: 20800315 stw r2,12(r4) + 1000: 11000215 stw r4,8(r2) + 1004: 8463883a add r17,r16,r17 + 1008: 9c400515 stw r17,20(r19) + 100c: 9c400415 stw r17,16(r19) + 1010: 18800054 ori r2,r3,1 + 1014: 88800115 stw r2,4(r17) + 1018: 8a000315 stw r8,12(r17) + 101c: 8a000215 stw r8,8(r17) + 1020: 88e3883a add r17,r17,r3 + 1024: 88c00015 stw r3,0(r17) + 1028: 9009883a mov r4,r18 + 102c: 00035740 call 3574 <__malloc_unlock> + 1030: 80800204 addi r2,r16,8 + 1034: 00001b06 br 10a4 <_malloc_r+0x2a4> + 1038: 04400404 movi r17,16 + 103c: 89402536 bltu r17,r5,10d4 <_malloc_r+0x2d4> + 1040: 00035500 call 3550 <__malloc_lock> + 1044: 00800184 movi r2,6 + 1048: 01000084 movi r4,2 + 104c: 04c00034 movhi r19,0 + 1050: 1085883a add r2,r2,r2 + 1054: 9cd6dc04 addi r19,r19,23408 + 1058: 1085883a add r2,r2,r2 + 105c: 9885883a add r2,r19,r2 + 1060: 14000117 ldw r16,4(r2) + 1064: 10fffe04 addi r3,r2,-8 + 1068: 80c0d926 beq r16,r3,13d0 <_malloc_r+0x5d0> + 106c: 80c00117 ldw r3,4(r16) + 1070: 81000317 ldw r4,12(r16) + 1074: 00bfff04 movi r2,-4 + 1078: 1884703a and r2,r3,r2 + 107c: 81400217 ldw r5,8(r16) + 1080: 8085883a add r2,r16,r2 + 1084: 10c00117 ldw r3,4(r2) + 1088: 29000315 stw r4,12(r5) + 108c: 21400215 stw r5,8(r4) + 1090: 18c00054 ori r3,r3,1 + 1094: 10c00115 stw r3,4(r2) + 1098: 9009883a mov r4,r18 + 109c: 00035740 call 3574 <__malloc_unlock> + 10a0: 80800204 addi r2,r16,8 + 10a4: dfc00a17 ldw ra,40(sp) + 10a8: df000917 ldw fp,36(sp) + 10ac: ddc00817 ldw r23,32(sp) + 10b0: dd800717 ldw r22,28(sp) + 10b4: dd400617 ldw r21,24(sp) + 10b8: dd000517 ldw r20,20(sp) + 10bc: dcc00417 ldw r19,16(sp) + 10c0: dc800317 ldw r18,12(sp) + 10c4: dc400217 ldw r17,8(sp) + 10c8: dc000117 ldw r16,4(sp) + 10cc: dec00b04 addi sp,sp,44 + 10d0: f800283a ret + 10d4: 00800304 movi r2,12 + 10d8: 90800015 stw r2,0(r18) + 10dc: 0005883a mov r2,zero + 10e0: 003ff006 br 10a4 <_malloc_r+0x2a4> + 10e4: 01002004 movi r4,128 + 10e8: 02001004 movi r8,64 + 10ec: 01c00fc4 movi r7,63 + 10f0: 003f6106 br e78 <_malloc_r+0x78> + 10f4: 4009883a mov r4,r8 + 10f8: 003f7506 br ed0 <_malloc_r+0xd0> + 10fc: 81000317 ldw r4,12(r16) + 1100: 003fde06 br 107c <_malloc_r+0x27c> + 1104: 81c5883a add r2,r16,r7 + 1108: 11400117 ldw r5,4(r2) + 110c: 9009883a mov r4,r18 + 1110: 29400054 ori r5,r5,1 + 1114: 11400115 stw r5,4(r2) + 1118: 00035740 call 3574 <__malloc_unlock> + 111c: 80800204 addi r2,r16,8 + 1120: 003fe006 br 10a4 <_malloc_r+0x2a4> + 1124: 9c000217 ldw r16,8(r19) + 1128: 00bfff04 movi r2,-4 + 112c: 85800117 ldw r22,4(r16) + 1130: b0ac703a and r22,r22,r2 + 1134: b4400336 bltu r22,r17,1144 <_malloc_r+0x344> + 1138: b445c83a sub r2,r22,r17 + 113c: 00c003c4 movi r3,15 + 1140: 18805d16 blt r3,r2,12b8 <_malloc_r+0x4b8> + 1144: 05c00034 movhi r23,0 + 1148: 00800034 movhi r2,0 + 114c: 109c7004 addi r2,r2,29120 + 1150: bddc6204 addi r23,r23,29064 + 1154: 15400017 ldw r21,0(r2) + 1158: b8c00017 ldw r3,0(r23) + 115c: 00bfffc4 movi r2,-1 + 1160: 858d883a add r6,r16,r22 + 1164: 8d6b883a add r21,r17,r21 + 1168: 1880ea26 beq r3,r2,1514 <_malloc_r+0x714> + 116c: ad4403c4 addi r21,r21,4111 + 1170: 00bc0004 movi r2,-4096 + 1174: a8aa703a and r21,r21,r2 + 1178: a80b883a mov r5,r21 + 117c: 9009883a mov r4,r18 + 1180: d9800015 stw r6,0(sp) + 1184: 00020200 call 2020 <_sbrk_r> + 1188: 1029883a mov r20,r2 + 118c: 00bfffc4 movi r2,-1 + 1190: d9800017 ldw r6,0(sp) + 1194: a080e826 beq r20,r2,1538 <_malloc_r+0x738> + 1198: a180a636 bltu r20,r6,1434 <_malloc_r+0x634> + 119c: 07000034 movhi fp,0 + 11a0: e71c7904 addi fp,fp,29156 + 11a4: e0800017 ldw r2,0(fp) + 11a8: a887883a add r3,r21,r2 + 11ac: e0c00015 stw r3,0(fp) + 11b0: 3500e626 beq r6,r20,154c <_malloc_r+0x74c> + 11b4: b9000017 ldw r4,0(r23) + 11b8: 00bfffc4 movi r2,-1 + 11bc: 2080ee26 beq r4,r2,1578 <_malloc_r+0x778> + 11c0: a185c83a sub r2,r20,r6 + 11c4: 10c5883a add r2,r2,r3 + 11c8: e0800015 stw r2,0(fp) + 11cc: a0c001cc andi r3,r20,7 + 11d0: 1800bc26 beq r3,zero,14c4 <_malloc_r+0x6c4> + 11d4: a0e9c83a sub r20,r20,r3 + 11d8: 00840204 movi r2,4104 + 11dc: a5000204 addi r20,r20,8 + 11e0: 10c7c83a sub r3,r2,r3 + 11e4: a545883a add r2,r20,r21 + 11e8: 1083ffcc andi r2,r2,4095 + 11ec: 18abc83a sub r21,r3,r2 + 11f0: a80b883a mov r5,r21 + 11f4: 9009883a mov r4,r18 + 11f8: 00020200 call 2020 <_sbrk_r> + 11fc: 00ffffc4 movi r3,-1 + 1200: 10c0e126 beq r2,r3,1588 <_malloc_r+0x788> + 1204: 1505c83a sub r2,r2,r20 + 1208: 1545883a add r2,r2,r21 + 120c: 10800054 ori r2,r2,1 + 1210: e0c00017 ldw r3,0(fp) + 1214: 9d000215 stw r20,8(r19) + 1218: a0800115 stw r2,4(r20) + 121c: a8c7883a add r3,r21,r3 + 1220: e0c00015 stw r3,0(fp) + 1224: 84c00e26 beq r16,r19,1260 <_malloc_r+0x460> + 1228: 018003c4 movi r6,15 + 122c: 3580a72e bgeu r6,r22,14cc <_malloc_r+0x6cc> + 1230: 81400117 ldw r5,4(r16) + 1234: 013ffe04 movi r4,-8 + 1238: b0bffd04 addi r2,r22,-12 + 123c: 1104703a and r2,r2,r4 + 1240: 2900004c andi r4,r5,1 + 1244: 2088b03a or r4,r4,r2 + 1248: 81000115 stw r4,4(r16) + 124c: 01400144 movi r5,5 + 1250: 8089883a add r4,r16,r2 + 1254: 21400115 stw r5,4(r4) + 1258: 21400215 stw r5,8(r4) + 125c: 3080cd36 bltu r6,r2,1594 <_malloc_r+0x794> + 1260: 00800034 movhi r2,0 + 1264: 109c6f04 addi r2,r2,29116 + 1268: 11000017 ldw r4,0(r2) + 126c: 20c0012e bgeu r4,r3,1274 <_malloc_r+0x474> + 1270: 10c00015 stw r3,0(r2) + 1274: 00800034 movhi r2,0 + 1278: 109c6e04 addi r2,r2,29112 + 127c: 11000017 ldw r4,0(r2) + 1280: 9c000217 ldw r16,8(r19) + 1284: 20c0012e bgeu r4,r3,128c <_malloc_r+0x48c> + 1288: 10c00015 stw r3,0(r2) + 128c: 80c00117 ldw r3,4(r16) + 1290: 00bfff04 movi r2,-4 + 1294: 1886703a and r3,r3,r2 + 1298: 1c45c83a sub r2,r3,r17 + 129c: 1c400236 bltu r3,r17,12a8 <_malloc_r+0x4a8> + 12a0: 00c003c4 movi r3,15 + 12a4: 18800416 blt r3,r2,12b8 <_malloc_r+0x4b8> + 12a8: 9009883a mov r4,r18 + 12ac: 00035740 call 3574 <__malloc_unlock> + 12b0: 0005883a mov r2,zero + 12b4: 003f7b06 br 10a4 <_malloc_r+0x2a4> + 12b8: 88c00054 ori r3,r17,1 + 12bc: 80c00115 stw r3,4(r16) + 12c0: 8463883a add r17,r16,r17 + 12c4: 10800054 ori r2,r2,1 + 12c8: 9c400215 stw r17,8(r19) + 12cc: 88800115 stw r2,4(r17) + 12d0: 9009883a mov r4,r18 + 12d4: 00035740 call 3574 <__malloc_unlock> + 12d8: 80800204 addi r2,r16,8 + 12dc: 003f7106 br 10a4 <_malloc_r+0x2a4> + 12e0: 00c00504 movi r3,20 + 12e4: 18804a2e bgeu r3,r2,1410 <_malloc_r+0x610> + 12e8: 00c01504 movi r3,84 + 12ec: 18806e36 bltu r3,r2,14a8 <_malloc_r+0x6a8> + 12f0: 8804d33a srli r2,r17,12 + 12f4: 12001bc4 addi r8,r2,111 + 12f8: 11c01b84 addi r7,r2,110 + 12fc: 4209883a add r4,r8,r8 + 1300: 003edd06 br e78 <_malloc_r+0x78> + 1304: 3804d27a srli r2,r7,9 + 1308: 00c00104 movi r3,4 + 130c: 1880442e bgeu r3,r2,1420 <_malloc_r+0x620> + 1310: 00c00504 movi r3,20 + 1314: 18808136 bltu r3,r2,151c <_malloc_r+0x71c> + 1318: 11401704 addi r5,r2,92 + 131c: 10c016c4 addi r3,r2,91 + 1320: 294b883a add r5,r5,r5 + 1324: 294b883a add r5,r5,r5 + 1328: 294b883a add r5,r5,r5 + 132c: 994b883a add r5,r19,r5 + 1330: 28800017 ldw r2,0(r5) + 1334: 01800034 movhi r6,0 + 1338: 297ffe04 addi r5,r5,-8 + 133c: 3196dc04 addi r6,r6,23408 + 1340: 28806526 beq r5,r2,14d8 <_malloc_r+0x6d8> + 1344: 01bfff04 movi r6,-4 + 1348: 10c00117 ldw r3,4(r2) + 134c: 1986703a and r3,r3,r6 + 1350: 38c0022e bgeu r7,r3,135c <_malloc_r+0x55c> + 1354: 10800217 ldw r2,8(r2) + 1358: 28bffb1e bne r5,r2,1348 <_malloc_r+0x548> + 135c: 11400317 ldw r5,12(r2) + 1360: 98c00117 ldw r3,4(r19) + 1364: 81400315 stw r5,12(r16) + 1368: 80800215 stw r2,8(r16) + 136c: 2c000215 stw r16,8(r5) + 1370: 14000315 stw r16,12(r2) + 1374: 003ef806 br f58 <_malloc_r+0x158> + 1378: 88c00054 ori r3,r17,1 + 137c: 80c00115 stw r3,4(r16) + 1380: 8463883a add r17,r16,r17 + 1384: 34400515 stw r17,20(r6) + 1388: 34400415 stw r17,16(r6) + 138c: 10c00054 ori r3,r2,1 + 1390: 8a000315 stw r8,12(r17) + 1394: 8a000215 stw r8,8(r17) + 1398: 88c00115 stw r3,4(r17) + 139c: 88a3883a add r17,r17,r2 + 13a0: 88800015 stw r2,0(r17) + 13a4: 9009883a mov r4,r18 + 13a8: 00035740 call 3574 <__malloc_unlock> + 13ac: 80800204 addi r2,r16,8 + 13b0: 003f3c06 br 10a4 <_malloc_r+0x2a4> + 13b4: 30c00117 ldw r3,4(r6) + 13b8: 003ee706 br f58 <_malloc_r+0x158> + 13bc: 5ac00044 addi r11,r11,1 + 13c0: 588000cc andi r2,r11,3 + 13c4: 31800204 addi r6,r6,8 + 13c8: 103efd1e bne r2,zero,fc0 <_malloc_r+0x1c0> + 13cc: 00002406 br 1460 <_malloc_r+0x660> + 13d0: 14000317 ldw r16,12(r2) + 13d4: 143f251e bne r2,r16,106c <_malloc_r+0x26c> + 13d8: 21000084 addi r4,r4,2 + 13dc: 003ebc06 br ed0 <_malloc_r+0xd0> + 13e0: 8085883a add r2,r16,r2 + 13e4: 10c00117 ldw r3,4(r2) + 13e8: 81000317 ldw r4,12(r16) + 13ec: 81400217 ldw r5,8(r16) + 13f0: 18c00054 ori r3,r3,1 + 13f4: 10c00115 stw r3,4(r2) + 13f8: 29000315 stw r4,12(r5) + 13fc: 21400215 stw r5,8(r4) + 1400: 9009883a mov r4,r18 + 1404: 00035740 call 3574 <__malloc_unlock> + 1408: 80800204 addi r2,r16,8 + 140c: 003f2506 br 10a4 <_malloc_r+0x2a4> + 1410: 12001704 addi r8,r2,92 + 1414: 11c016c4 addi r7,r2,91 + 1418: 4209883a add r4,r8,r8 + 141c: 003e9606 br e78 <_malloc_r+0x78> + 1420: 3804d1ba srli r2,r7,6 + 1424: 11400e44 addi r5,r2,57 + 1428: 10c00e04 addi r3,r2,56 + 142c: 294b883a add r5,r5,r5 + 1430: 003fbc06 br 1324 <_malloc_r+0x524> + 1434: 84ff5926 beq r16,r19,119c <_malloc_r+0x39c> + 1438: 00800034 movhi r2,0 + 143c: 1096dc04 addi r2,r2,23408 + 1440: 14000217 ldw r16,8(r2) + 1444: 00bfff04 movi r2,-4 + 1448: 80c00117 ldw r3,4(r16) + 144c: 1886703a and r3,r3,r2 + 1450: 003f9106 br 1298 <_malloc_r+0x498> + 1454: 60800217 ldw r2,8(r12) + 1458: 213fffc4 addi r4,r4,-1 + 145c: 1300651e bne r2,r12,15f4 <_malloc_r+0x7f4> + 1460: 208000cc andi r2,r4,3 + 1464: 633ffe04 addi r12,r12,-8 + 1468: 103ffa1e bne r2,zero,1454 <_malloc_r+0x654> + 146c: 98800117 ldw r2,4(r19) + 1470: 0146303a nor r3,zero,r5 + 1474: 1884703a and r2,r3,r2 + 1478: 98800115 stw r2,4(r19) + 147c: 294b883a add r5,r5,r5 + 1480: 117f2836 bltu r2,r5,1124 <_malloc_r+0x324> + 1484: 283f2726 beq r5,zero,1124 <_malloc_r+0x324> + 1488: 2886703a and r3,r5,r2 + 148c: 5809883a mov r4,r11 + 1490: 183ec31e bne r3,zero,fa0 <_malloc_r+0x1a0> + 1494: 294b883a add r5,r5,r5 + 1498: 2886703a and r3,r5,r2 + 149c: 21000104 addi r4,r4,4 + 14a0: 183ffc26 beq r3,zero,1494 <_malloc_r+0x694> + 14a4: 003ebe06 br fa0 <_malloc_r+0x1a0> + 14a8: 00c05504 movi r3,340 + 14ac: 18801236 bltu r3,r2,14f8 <_malloc_r+0x6f8> + 14b0: 8804d3fa srli r2,r17,15 + 14b4: 12001e04 addi r8,r2,120 + 14b8: 11c01dc4 addi r7,r2,119 + 14bc: 4209883a add r4,r8,r8 + 14c0: 003e6d06 br e78 <_malloc_r+0x78> + 14c4: 00c40004 movi r3,4096 + 14c8: 003f4606 br 11e4 <_malloc_r+0x3e4> + 14cc: 00800044 movi r2,1 + 14d0: a0800115 stw r2,4(r20) + 14d4: 003f7406 br 12a8 <_malloc_r+0x4a8> + 14d8: 1805d0ba srai r2,r3,2 + 14dc: 01c00044 movi r7,1 + 14e0: 30c00117 ldw r3,4(r6) + 14e4: 388e983a sll r7,r7,r2 + 14e8: 2805883a mov r2,r5 + 14ec: 38c6b03a or r3,r7,r3 + 14f0: 30c00115 stw r3,4(r6) + 14f4: 003f9b06 br 1364 <_malloc_r+0x564> + 14f8: 00c15504 movi r3,1364 + 14fc: 18801a36 bltu r3,r2,1568 <_malloc_r+0x768> + 1500: 8804d4ba srli r2,r17,18 + 1504: 12001f44 addi r8,r2,125 + 1508: 11c01f04 addi r7,r2,124 + 150c: 4209883a add r4,r8,r8 + 1510: 003e5906 br e78 <_malloc_r+0x78> + 1514: ad400404 addi r21,r21,16 + 1518: 003f1706 br 1178 <_malloc_r+0x378> + 151c: 00c01504 movi r3,84 + 1520: 18802336 bltu r3,r2,15b0 <_malloc_r+0x7b0> + 1524: 3804d33a srli r2,r7,12 + 1528: 11401bc4 addi r5,r2,111 + 152c: 10c01b84 addi r3,r2,110 + 1530: 294b883a add r5,r5,r5 + 1534: 003f7b06 br 1324 <_malloc_r+0x524> + 1538: 9c000217 ldw r16,8(r19) + 153c: 00bfff04 movi r2,-4 + 1540: 80c00117 ldw r3,4(r16) + 1544: 1886703a and r3,r3,r2 + 1548: 003f5306 br 1298 <_malloc_r+0x498> + 154c: 3083ffcc andi r2,r6,4095 + 1550: 103f181e bne r2,zero,11b4 <_malloc_r+0x3b4> + 1554: 99000217 ldw r4,8(r19) + 1558: b545883a add r2,r22,r21 + 155c: 10800054 ori r2,r2,1 + 1560: 20800115 stw r2,4(r4) + 1564: 003f3e06 br 1260 <_malloc_r+0x460> + 1568: 01003f84 movi r4,254 + 156c: 02001fc4 movi r8,127 + 1570: 01c01f84 movi r7,126 + 1574: 003e4006 br e78 <_malloc_r+0x78> + 1578: 00800034 movhi r2,0 + 157c: 109c6204 addi r2,r2,29064 + 1580: 15000015 stw r20,0(r2) + 1584: 003f1106 br 11cc <_malloc_r+0x3cc> + 1588: 00800044 movi r2,1 + 158c: 002b883a mov r21,zero + 1590: 003f1f06 br 1210 <_malloc_r+0x410> + 1594: 81400204 addi r5,r16,8 + 1598: 9009883a mov r4,r18 + 159c: 00028880 call 2888 <_free_r> + 15a0: 00800034 movhi r2,0 + 15a4: 109c7904 addi r2,r2,29156 + 15a8: 10c00017 ldw r3,0(r2) + 15ac: 003f2c06 br 1260 <_malloc_r+0x460> + 15b0: 00c05504 movi r3,340 + 15b4: 18800536 bltu r3,r2,15cc <_malloc_r+0x7cc> + 15b8: 3804d3fa srli r2,r7,15 + 15bc: 11401e04 addi r5,r2,120 + 15c0: 10c01dc4 addi r3,r2,119 + 15c4: 294b883a add r5,r5,r5 + 15c8: 003f5606 br 1324 <_malloc_r+0x524> + 15cc: 00c15504 movi r3,1364 + 15d0: 18800536 bltu r3,r2,15e8 <_malloc_r+0x7e8> + 15d4: 3804d4ba srli r2,r7,18 + 15d8: 11401f44 addi r5,r2,125 + 15dc: 10c01f04 addi r3,r2,124 + 15e0: 294b883a add r5,r5,r5 + 15e4: 003f4f06 br 1324 <_malloc_r+0x524> + 15e8: 01403f84 movi r5,254 + 15ec: 00c01f84 movi r3,126 + 15f0: 003f4c06 br 1324 <_malloc_r+0x524> + 15f4: 98800117 ldw r2,4(r19) + 15f8: 003fa006 br 147c <_malloc_r+0x67c> + 15fc: 8808d0fa srli r4,r17,3 + 1600: 20800044 addi r2,r4,1 + 1604: 1085883a add r2,r2,r2 + 1608: 003e9006 br 104c <_malloc_r+0x24c> + +0000160c : + 160c: 208000cc andi r2,r4,3 + 1610: 280f883a mov r7,r5 + 1614: 10003426 beq r2,zero,16e8 + 1618: 30bfffc4 addi r2,r6,-1 + 161c: 30001a26 beq r6,zero,1688 + 1620: 20c00003 ldbu r3,0(r4) + 1624: 29803fcc andi r6,r5,255 + 1628: 30c0051e bne r6,r3,1640 + 162c: 00001806 br 1690 + 1630: 10001526 beq r2,zero,1688 + 1634: 20c00003 ldbu r3,0(r4) + 1638: 10bfffc4 addi r2,r2,-1 + 163c: 30c01426 beq r6,r3,1690 + 1640: 21000044 addi r4,r4,1 + 1644: 20c000cc andi r3,r4,3 + 1648: 183ff91e bne r3,zero,1630 + 164c: 020000c4 movi r8,3 + 1650: 40801136 bltu r8,r2,1698 + 1654: 10000c26 beq r2,zero,1688 + 1658: 20c00003 ldbu r3,0(r4) + 165c: 29403fcc andi r5,r5,255 + 1660: 28c00b26 beq r5,r3,1690 + 1664: 20c00044 addi r3,r4,1 + 1668: 39803fcc andi r6,r7,255 + 166c: 2089883a add r4,r4,r2 + 1670: 00000306 br 1680 + 1674: 18c00044 addi r3,r3,1 + 1678: 197fffc3 ldbu r5,-1(r3) + 167c: 31400526 beq r6,r5,1694 + 1680: 1805883a mov r2,r3 + 1684: 20fffb1e bne r4,r3,1674 + 1688: 0005883a mov r2,zero + 168c: f800283a ret + 1690: 2005883a mov r2,r4 + 1694: f800283a ret + 1698: 28c03fcc andi r3,r5,255 + 169c: 1812923a slli r9,r3,8 + 16a0: 02ffbff4 movhi r11,65279 + 16a4: 02a02074 movhi r10,32897 + 16a8: 48d2b03a or r9,r9,r3 + 16ac: 4806943a slli r3,r9,16 + 16b0: 5affbfc4 addi r11,r11,-257 + 16b4: 52a02004 addi r10,r10,-32640 + 16b8: 48d2b03a or r9,r9,r3 + 16bc: 20c00017 ldw r3,0(r4) + 16c0: 48c6f03a xor r3,r9,r3 + 16c4: 1acd883a add r6,r3,r11 + 16c8: 00c6303a nor r3,zero,r3 + 16cc: 30c6703a and r3,r6,r3 + 16d0: 1a86703a and r3,r3,r10 + 16d4: 183fe01e bne r3,zero,1658 + 16d8: 10bfff04 addi r2,r2,-4 + 16dc: 21000104 addi r4,r4,4 + 16e0: 40bff636 bltu r8,r2,16bc + 16e4: 003fdb06 br 1654 + 16e8: 3005883a mov r2,r6 + 16ec: 003fd706 br 164c + +000016f0 : + 16f0: defffd04 addi sp,sp,-12 + 16f4: dfc00215 stw ra,8(sp) + 16f8: dc400115 stw r17,4(sp) + 16fc: dc000015 stw r16,0(sp) + 1700: 00c003c4 movi r3,15 + 1704: 2005883a mov r2,r4 + 1708: 1980452e bgeu r3,r6,1820 + 170c: 2906b03a or r3,r5,r4 + 1710: 18c000cc andi r3,r3,3 + 1714: 1800441e bne r3,zero,1828 + 1718: 347ffc04 addi r17,r6,-16 + 171c: 8822d13a srli r17,r17,4 + 1720: 28c00104 addi r3,r5,4 + 1724: 23400104 addi r13,r4,4 + 1728: 8820913a slli r16,r17,4 + 172c: 2b000204 addi r12,r5,8 + 1730: 22c00204 addi r11,r4,8 + 1734: 84000504 addi r16,r16,20 + 1738: 2a800304 addi r10,r5,12 + 173c: 22400304 addi r9,r4,12 + 1740: 2c21883a add r16,r5,r16 + 1744: 2811883a mov r8,r5 + 1748: 200f883a mov r7,r4 + 174c: 41000017 ldw r4,0(r8) + 1750: 1fc00017 ldw ra,0(r3) + 1754: 63c00017 ldw r15,0(r12) + 1758: 39000015 stw r4,0(r7) + 175c: 53800017 ldw r14,0(r10) + 1760: 6fc00015 stw ra,0(r13) + 1764: 5bc00015 stw r15,0(r11) + 1768: 4b800015 stw r14,0(r9) + 176c: 18c00404 addi r3,r3,16 + 1770: 39c00404 addi r7,r7,16 + 1774: 42000404 addi r8,r8,16 + 1778: 6b400404 addi r13,r13,16 + 177c: 63000404 addi r12,r12,16 + 1780: 5ac00404 addi r11,r11,16 + 1784: 52800404 addi r10,r10,16 + 1788: 4a400404 addi r9,r9,16 + 178c: 1c3fef1e bne r3,r16,174c + 1790: 89c00044 addi r7,r17,1 + 1794: 380e913a slli r7,r7,4 + 1798: 310003cc andi r4,r6,15 + 179c: 02c000c4 movi r11,3 + 17a0: 11c7883a add r3,r2,r7 + 17a4: 29cb883a add r5,r5,r7 + 17a8: 5900212e bgeu r11,r4,1830 + 17ac: 1813883a mov r9,r3 + 17b0: 2811883a mov r8,r5 + 17b4: 200f883a mov r7,r4 + 17b8: 42800017 ldw r10,0(r8) + 17bc: 4a400104 addi r9,r9,4 + 17c0: 39ffff04 addi r7,r7,-4 + 17c4: 4abfff15 stw r10,-4(r9) + 17c8: 42000104 addi r8,r8,4 + 17cc: 59fffa36 bltu r11,r7,17b8 + 17d0: 213fff04 addi r4,r4,-4 + 17d4: 2008d0ba srli r4,r4,2 + 17d8: 318000cc andi r6,r6,3 + 17dc: 21000044 addi r4,r4,1 + 17e0: 2109883a add r4,r4,r4 + 17e4: 2109883a add r4,r4,r4 + 17e8: 1907883a add r3,r3,r4 + 17ec: 290b883a add r5,r5,r4 + 17f0: 30000626 beq r6,zero,180c + 17f4: 198d883a add r6,r3,r6 + 17f8: 29c00003 ldbu r7,0(r5) + 17fc: 18c00044 addi r3,r3,1 + 1800: 29400044 addi r5,r5,1 + 1804: 19ffffc5 stb r7,-1(r3) + 1808: 19bffb1e bne r3,r6,17f8 + 180c: dfc00217 ldw ra,8(sp) + 1810: dc400117 ldw r17,4(sp) + 1814: dc000017 ldw r16,0(sp) + 1818: dec00304 addi sp,sp,12 + 181c: f800283a ret + 1820: 2007883a mov r3,r4 + 1824: 003ff206 br 17f0 + 1828: 2007883a mov r3,r4 + 182c: 003ff106 br 17f4 + 1830: 200d883a mov r6,r4 + 1834: 003fee06 br 17f0 + +00001838 : + 1838: 2005883a mov r2,r4 + 183c: 29000b2e bgeu r5,r4,186c + 1840: 298f883a add r7,r5,r6 + 1844: 21c0092e bgeu r4,r7,186c + 1848: 2187883a add r3,r4,r6 + 184c: 198bc83a sub r5,r3,r6 + 1850: 30004826 beq r6,zero,1974 + 1854: 39ffffc4 addi r7,r7,-1 + 1858: 39000003 ldbu r4,0(r7) + 185c: 18ffffc4 addi r3,r3,-1 + 1860: 19000005 stb r4,0(r3) + 1864: 28fffb1e bne r5,r3,1854 + 1868: f800283a ret + 186c: 00c003c4 movi r3,15 + 1870: 1980412e bgeu r3,r6,1978 + 1874: 2886b03a or r3,r5,r2 + 1878: 18c000cc andi r3,r3,3 + 187c: 1800401e bne r3,zero,1980 + 1880: 33fffc04 addi r15,r6,-16 + 1884: 781ed13a srli r15,r15,4 + 1888: 28c00104 addi r3,r5,4 + 188c: 13400104 addi r13,r2,4 + 1890: 781c913a slli r14,r15,4 + 1894: 2b000204 addi r12,r5,8 + 1898: 12c00204 addi r11,r2,8 + 189c: 73800504 addi r14,r14,20 + 18a0: 2a800304 addi r10,r5,12 + 18a4: 12400304 addi r9,r2,12 + 18a8: 2b9d883a add r14,r5,r14 + 18ac: 2811883a mov r8,r5 + 18b0: 100f883a mov r7,r2 + 18b4: 41000017 ldw r4,0(r8) + 18b8: 39c00404 addi r7,r7,16 + 18bc: 18c00404 addi r3,r3,16 + 18c0: 393ffc15 stw r4,-16(r7) + 18c4: 193ffc17 ldw r4,-16(r3) + 18c8: 6b400404 addi r13,r13,16 + 18cc: 5ac00404 addi r11,r11,16 + 18d0: 693ffc15 stw r4,-16(r13) + 18d4: 61000017 ldw r4,0(r12) + 18d8: 4a400404 addi r9,r9,16 + 18dc: 42000404 addi r8,r8,16 + 18e0: 593ffc15 stw r4,-16(r11) + 18e4: 51000017 ldw r4,0(r10) + 18e8: 63000404 addi r12,r12,16 + 18ec: 52800404 addi r10,r10,16 + 18f0: 493ffc15 stw r4,-16(r9) + 18f4: 1bbfef1e bne r3,r14,18b4 + 18f8: 79000044 addi r4,r15,1 + 18fc: 2008913a slli r4,r4,4 + 1900: 328003cc andi r10,r6,15 + 1904: 02c000c4 movi r11,3 + 1908: 1107883a add r3,r2,r4 + 190c: 290b883a add r5,r5,r4 + 1910: 5a801e2e bgeu r11,r10,198c + 1914: 1813883a mov r9,r3 + 1918: 2811883a mov r8,r5 + 191c: 500f883a mov r7,r10 + 1920: 41000017 ldw r4,0(r8) + 1924: 4a400104 addi r9,r9,4 + 1928: 39ffff04 addi r7,r7,-4 + 192c: 493fff15 stw r4,-4(r9) + 1930: 42000104 addi r8,r8,4 + 1934: 59fffa36 bltu r11,r7,1920 + 1938: 513fff04 addi r4,r10,-4 + 193c: 2008d0ba srli r4,r4,2 + 1940: 318000cc andi r6,r6,3 + 1944: 21000044 addi r4,r4,1 + 1948: 2109883a add r4,r4,r4 + 194c: 2109883a add r4,r4,r4 + 1950: 1907883a add r3,r3,r4 + 1954: 290b883a add r5,r5,r4 + 1958: 30000b26 beq r6,zero,1988 + 195c: 198d883a add r6,r3,r6 + 1960: 29c00003 ldbu r7,0(r5) + 1964: 18c00044 addi r3,r3,1 + 1968: 29400044 addi r5,r5,1 + 196c: 19ffffc5 stb r7,-1(r3) + 1970: 19bffb1e bne r3,r6,1960 + 1974: f800283a ret + 1978: 1007883a mov r3,r2 + 197c: 003ff606 br 1958 + 1980: 1007883a mov r3,r2 + 1984: 003ff506 br 195c + 1988: f800283a ret + 198c: 500d883a mov r6,r10 + 1990: 003ff106 br 1958 + +00001994 : + 1994: 20c000cc andi r3,r4,3 + 1998: 2005883a mov r2,r4 + 199c: 18004426 beq r3,zero,1ab0 + 19a0: 31ffffc4 addi r7,r6,-1 + 19a4: 30004026 beq r6,zero,1aa8 + 19a8: 2813883a mov r9,r5 + 19ac: 200d883a mov r6,r4 + 19b0: 2007883a mov r3,r4 + 19b4: 00000406 br 19c8 + 19b8: 3a3fffc4 addi r8,r7,-1 + 19bc: 31800044 addi r6,r6,1 + 19c0: 38003926 beq r7,zero,1aa8 + 19c4: 400f883a mov r7,r8 + 19c8: 18c00044 addi r3,r3,1 + 19cc: 32400005 stb r9,0(r6) + 19d0: 1a0000cc andi r8,r3,3 + 19d4: 403ff81e bne r8,zero,19b8 + 19d8: 010000c4 movi r4,3 + 19dc: 21c02d2e bgeu r4,r7,1a94 + 19e0: 29003fcc andi r4,r5,255 + 19e4: 200c923a slli r6,r4,8 + 19e8: 3108b03a or r4,r6,r4 + 19ec: 200c943a slli r6,r4,16 + 19f0: 218cb03a or r6,r4,r6 + 19f4: 010003c4 movi r4,15 + 19f8: 21c0182e bgeu r4,r7,1a5c + 19fc: 3b3ffc04 addi r12,r7,-16 + 1a00: 6018d13a srli r12,r12,4 + 1a04: 1a000104 addi r8,r3,4 + 1a08: 1ac00204 addi r11,r3,8 + 1a0c: 6008913a slli r4,r12,4 + 1a10: 1a800304 addi r10,r3,12 + 1a14: 1813883a mov r9,r3 + 1a18: 21000504 addi r4,r4,20 + 1a1c: 1909883a add r4,r3,r4 + 1a20: 49800015 stw r6,0(r9) + 1a24: 41800015 stw r6,0(r8) + 1a28: 59800015 stw r6,0(r11) + 1a2c: 51800015 stw r6,0(r10) + 1a30: 42000404 addi r8,r8,16 + 1a34: 4a400404 addi r9,r9,16 + 1a38: 5ac00404 addi r11,r11,16 + 1a3c: 52800404 addi r10,r10,16 + 1a40: 413ff71e bne r8,r4,1a20 + 1a44: 63000044 addi r12,r12,1 + 1a48: 6018913a slli r12,r12,4 + 1a4c: 39c003cc andi r7,r7,15 + 1a50: 010000c4 movi r4,3 + 1a54: 1b07883a add r3,r3,r12 + 1a58: 21c00e2e bgeu r4,r7,1a94 + 1a5c: 1813883a mov r9,r3 + 1a60: 3811883a mov r8,r7 + 1a64: 010000c4 movi r4,3 + 1a68: 49800015 stw r6,0(r9) + 1a6c: 423fff04 addi r8,r8,-4 + 1a70: 4a400104 addi r9,r9,4 + 1a74: 223ffc36 bltu r4,r8,1a68 + 1a78: 393fff04 addi r4,r7,-4 + 1a7c: 2008d0ba srli r4,r4,2 + 1a80: 39c000cc andi r7,r7,3 + 1a84: 21000044 addi r4,r4,1 + 1a88: 2109883a add r4,r4,r4 + 1a8c: 2109883a add r4,r4,r4 + 1a90: 1907883a add r3,r3,r4 + 1a94: 38000526 beq r7,zero,1aac + 1a98: 19cf883a add r7,r3,r7 + 1a9c: 19400005 stb r5,0(r3) + 1aa0: 18c00044 addi r3,r3,1 + 1aa4: 38fffd1e bne r7,r3,1a9c + 1aa8: f800283a ret + 1aac: f800283a ret + 1ab0: 2007883a mov r3,r4 + 1ab4: 300f883a mov r7,r6 + 1ab8: 003fc706 br 19d8 + +00001abc <_realloc_r>: + 1abc: defff604 addi sp,sp,-40 + 1ac0: dc800215 stw r18,8(sp) + 1ac4: dfc00915 stw ra,36(sp) + 1ac8: df000815 stw fp,32(sp) + 1acc: ddc00715 stw r23,28(sp) + 1ad0: dd800615 stw r22,24(sp) + 1ad4: dd400515 stw r21,20(sp) + 1ad8: dd000415 stw r20,16(sp) + 1adc: dcc00315 stw r19,12(sp) + 1ae0: dc400115 stw r17,4(sp) + 1ae4: dc000015 stw r16,0(sp) + 1ae8: 3025883a mov r18,r6 + 1aec: 2800b726 beq r5,zero,1dcc <_realloc_r+0x310> + 1af0: 282b883a mov r21,r5 + 1af4: 2029883a mov r20,r4 + 1af8: 00035500 call 3550 <__malloc_lock> + 1afc: a8bfff17 ldw r2,-4(r21) + 1b00: 043fff04 movi r16,-4 + 1b04: 90c002c4 addi r3,r18,11 + 1b08: 01000584 movi r4,22 + 1b0c: acfffe04 addi r19,r21,-8 + 1b10: 1420703a and r16,r2,r16 + 1b14: 20c0332e bgeu r4,r3,1be4 <_realloc_r+0x128> + 1b18: 047ffe04 movi r17,-8 + 1b1c: 1c62703a and r17,r3,r17 + 1b20: 8807883a mov r3,r17 + 1b24: 88005816 blt r17,zero,1c88 <_realloc_r+0x1cc> + 1b28: 8c805736 bltu r17,r18,1c88 <_realloc_r+0x1cc> + 1b2c: 80c0300e bge r16,r3,1bf0 <_realloc_r+0x134> + 1b30: 07000034 movhi fp,0 + 1b34: e716dc04 addi fp,fp,23408 + 1b38: e1c00217 ldw r7,8(fp) + 1b3c: 9c09883a add r4,r19,r16 + 1b40: 22000117 ldw r8,4(r4) + 1b44: 21c06326 beq r4,r7,1cd4 <_realloc_r+0x218> + 1b48: 017fff84 movi r5,-2 + 1b4c: 414a703a and r5,r8,r5 + 1b50: 214b883a add r5,r4,r5 + 1b54: 29800117 ldw r6,4(r5) + 1b58: 3180004c andi r6,r6,1 + 1b5c: 30003f26 beq r6,zero,1c5c <_realloc_r+0x1a0> + 1b60: 1080004c andi r2,r2,1 + 1b64: 10008326 beq r2,zero,1d74 <_realloc_r+0x2b8> + 1b68: 900b883a mov r5,r18 + 1b6c: a009883a mov r4,r20 + 1b70: 0000e000 call e00 <_malloc_r> + 1b74: 1025883a mov r18,r2 + 1b78: 10011e26 beq r2,zero,1ff4 <_realloc_r+0x538> + 1b7c: a93fff17 ldw r4,-4(r21) + 1b80: 10fffe04 addi r3,r2,-8 + 1b84: 00bfff84 movi r2,-2 + 1b88: 2084703a and r2,r4,r2 + 1b8c: 9885883a add r2,r19,r2 + 1b90: 1880ee26 beq r3,r2,1f4c <_realloc_r+0x490> + 1b94: 81bfff04 addi r6,r16,-4 + 1b98: 00800904 movi r2,36 + 1b9c: 1180b836 bltu r2,r6,1e80 <_realloc_r+0x3c4> + 1ba0: 00c004c4 movi r3,19 + 1ba4: 19809636 bltu r3,r6,1e00 <_realloc_r+0x344> + 1ba8: 9005883a mov r2,r18 + 1bac: a807883a mov r3,r21 + 1bb0: 19000017 ldw r4,0(r3) + 1bb4: 11000015 stw r4,0(r2) + 1bb8: 19000117 ldw r4,4(r3) + 1bbc: 11000115 stw r4,4(r2) + 1bc0: 18c00217 ldw r3,8(r3) + 1bc4: 10c00215 stw r3,8(r2) + 1bc8: a80b883a mov r5,r21 + 1bcc: a009883a mov r4,r20 + 1bd0: 00028880 call 2888 <_free_r> + 1bd4: a009883a mov r4,r20 + 1bd8: 00035740 call 3574 <__malloc_unlock> + 1bdc: 9005883a mov r2,r18 + 1be0: 00001206 br 1c2c <_realloc_r+0x170> + 1be4: 00c00404 movi r3,16 + 1be8: 1823883a mov r17,r3 + 1bec: 003fce06 br 1b28 <_realloc_r+0x6c> + 1bf0: a825883a mov r18,r21 + 1bf4: 8445c83a sub r2,r16,r17 + 1bf8: 00c003c4 movi r3,15 + 1bfc: 18802636 bltu r3,r2,1c98 <_realloc_r+0x1dc> + 1c00: 99800117 ldw r6,4(r19) + 1c04: 9c07883a add r3,r19,r16 + 1c08: 3180004c andi r6,r6,1 + 1c0c: 3420b03a or r16,r6,r16 + 1c10: 9c000115 stw r16,4(r19) + 1c14: 18800117 ldw r2,4(r3) + 1c18: 10800054 ori r2,r2,1 + 1c1c: 18800115 stw r2,4(r3) + 1c20: a009883a mov r4,r20 + 1c24: 00035740 call 3574 <__malloc_unlock> + 1c28: 9005883a mov r2,r18 + 1c2c: dfc00917 ldw ra,36(sp) + 1c30: df000817 ldw fp,32(sp) + 1c34: ddc00717 ldw r23,28(sp) + 1c38: dd800617 ldw r22,24(sp) + 1c3c: dd400517 ldw r21,20(sp) + 1c40: dd000417 ldw r20,16(sp) + 1c44: dcc00317 ldw r19,12(sp) + 1c48: dc800217 ldw r18,8(sp) + 1c4c: dc400117 ldw r17,4(sp) + 1c50: dc000017 ldw r16,0(sp) + 1c54: dec00a04 addi sp,sp,40 + 1c58: f800283a ret + 1c5c: 017fff04 movi r5,-4 + 1c60: 414a703a and r5,r8,r5 + 1c64: 814d883a add r6,r16,r5 + 1c68: 30c01f16 blt r6,r3,1ce8 <_realloc_r+0x22c> + 1c6c: 20800317 ldw r2,12(r4) + 1c70: 20c00217 ldw r3,8(r4) + 1c74: a825883a mov r18,r21 + 1c78: 3021883a mov r16,r6 + 1c7c: 18800315 stw r2,12(r3) + 1c80: 10c00215 stw r3,8(r2) + 1c84: 003fdb06 br 1bf4 <_realloc_r+0x138> + 1c88: 00800304 movi r2,12 + 1c8c: a0800015 stw r2,0(r20) + 1c90: 0005883a mov r2,zero + 1c94: 003fe506 br 1c2c <_realloc_r+0x170> + 1c98: 98c00117 ldw r3,4(r19) + 1c9c: 9c4b883a add r5,r19,r17 + 1ca0: 11000054 ori r4,r2,1 + 1ca4: 18c0004c andi r3,r3,1 + 1ca8: 1c62b03a or r17,r3,r17 + 1cac: 9c400115 stw r17,4(r19) + 1cb0: 29000115 stw r4,4(r5) + 1cb4: 2885883a add r2,r5,r2 + 1cb8: 10c00117 ldw r3,4(r2) + 1cbc: 29400204 addi r5,r5,8 + 1cc0: a009883a mov r4,r20 + 1cc4: 18c00054 ori r3,r3,1 + 1cc8: 10c00115 stw r3,4(r2) + 1ccc: 00028880 call 2888 <_free_r> + 1cd0: 003fd306 br 1c20 <_realloc_r+0x164> + 1cd4: 017fff04 movi r5,-4 + 1cd8: 414a703a and r5,r8,r5 + 1cdc: 89800404 addi r6,r17,16 + 1ce0: 8151883a add r8,r16,r5 + 1ce4: 4180590e bge r8,r6,1e4c <_realloc_r+0x390> + 1ce8: 1080004c andi r2,r2,1 + 1cec: 103f9e1e bne r2,zero,1b68 <_realloc_r+0xac> + 1cf0: adbffe17 ldw r22,-8(r21) + 1cf4: 00bfff04 movi r2,-4 + 1cf8: 9dadc83a sub r22,r19,r22 + 1cfc: b1800117 ldw r6,4(r22) + 1d00: 3084703a and r2,r6,r2 + 1d04: 20002026 beq r4,zero,1d88 <_realloc_r+0x2cc> + 1d08: 80af883a add r23,r16,r2 + 1d0c: b96f883a add r23,r23,r5 + 1d10: 21c05f26 beq r4,r7,1e90 <_realloc_r+0x3d4> + 1d14: b8c01c16 blt r23,r3,1d88 <_realloc_r+0x2cc> + 1d18: 20800317 ldw r2,12(r4) + 1d1c: 20c00217 ldw r3,8(r4) + 1d20: 81bfff04 addi r6,r16,-4 + 1d24: 01000904 movi r4,36 + 1d28: 18800315 stw r2,12(r3) + 1d2c: 10c00215 stw r3,8(r2) + 1d30: b0c00217 ldw r3,8(r22) + 1d34: b0800317 ldw r2,12(r22) + 1d38: b4800204 addi r18,r22,8 + 1d3c: 18800315 stw r2,12(r3) + 1d40: 10c00215 stw r3,8(r2) + 1d44: 21801b36 bltu r4,r6,1db4 <_realloc_r+0x2f8> + 1d48: 008004c4 movi r2,19 + 1d4c: 1180352e bgeu r2,r6,1e24 <_realloc_r+0x368> + 1d50: a8800017 ldw r2,0(r21) + 1d54: b0800215 stw r2,8(r22) + 1d58: a8800117 ldw r2,4(r21) + 1d5c: b0800315 stw r2,12(r22) + 1d60: 008006c4 movi r2,27 + 1d64: 11807f36 bltu r2,r6,1f64 <_realloc_r+0x4a8> + 1d68: b0800404 addi r2,r22,16 + 1d6c: ad400204 addi r21,r21,8 + 1d70: 00002d06 br 1e28 <_realloc_r+0x36c> + 1d74: adbffe17 ldw r22,-8(r21) + 1d78: 00bfff04 movi r2,-4 + 1d7c: 9dadc83a sub r22,r19,r22 + 1d80: b1000117 ldw r4,4(r22) + 1d84: 2084703a and r2,r4,r2 + 1d88: b03f7726 beq r22,zero,1b68 <_realloc_r+0xac> + 1d8c: 80af883a add r23,r16,r2 + 1d90: b8ff7516 blt r23,r3,1b68 <_realloc_r+0xac> + 1d94: b0800317 ldw r2,12(r22) + 1d98: b0c00217 ldw r3,8(r22) + 1d9c: 81bfff04 addi r6,r16,-4 + 1da0: 01000904 movi r4,36 + 1da4: 18800315 stw r2,12(r3) + 1da8: 10c00215 stw r3,8(r2) + 1dac: b4800204 addi r18,r22,8 + 1db0: 21bfe52e bgeu r4,r6,1d48 <_realloc_r+0x28c> + 1db4: a80b883a mov r5,r21 + 1db8: 9009883a mov r4,r18 + 1dbc: 00018380 call 1838 + 1dc0: b821883a mov r16,r23 + 1dc4: b027883a mov r19,r22 + 1dc8: 003f8a06 br 1bf4 <_realloc_r+0x138> + 1dcc: 300b883a mov r5,r6 + 1dd0: dfc00917 ldw ra,36(sp) + 1dd4: df000817 ldw fp,32(sp) + 1dd8: ddc00717 ldw r23,28(sp) + 1ddc: dd800617 ldw r22,24(sp) + 1de0: dd400517 ldw r21,20(sp) + 1de4: dd000417 ldw r20,16(sp) + 1de8: dcc00317 ldw r19,12(sp) + 1dec: dc800217 ldw r18,8(sp) + 1df0: dc400117 ldw r17,4(sp) + 1df4: dc000017 ldw r16,0(sp) + 1df8: dec00a04 addi sp,sp,40 + 1dfc: 0000e001 jmpi e00 <_malloc_r> + 1e00: a8c00017 ldw r3,0(r21) + 1e04: 90c00015 stw r3,0(r18) + 1e08: a8c00117 ldw r3,4(r21) + 1e0c: 90c00115 stw r3,4(r18) + 1e10: 00c006c4 movi r3,27 + 1e14: 19804536 bltu r3,r6,1f2c <_realloc_r+0x470> + 1e18: 90800204 addi r2,r18,8 + 1e1c: a8c00204 addi r3,r21,8 + 1e20: 003f6306 br 1bb0 <_realloc_r+0xf4> + 1e24: 9005883a mov r2,r18 + 1e28: a8c00017 ldw r3,0(r21) + 1e2c: b821883a mov r16,r23 + 1e30: b027883a mov r19,r22 + 1e34: 10c00015 stw r3,0(r2) + 1e38: a8c00117 ldw r3,4(r21) + 1e3c: 10c00115 stw r3,4(r2) + 1e40: a8c00217 ldw r3,8(r21) + 1e44: 10c00215 stw r3,8(r2) + 1e48: 003f6a06 br 1bf4 <_realloc_r+0x138> + 1e4c: 9c67883a add r19,r19,r17 + 1e50: 4445c83a sub r2,r8,r17 + 1e54: e4c00215 stw r19,8(fp) + 1e58: 10800054 ori r2,r2,1 + 1e5c: 98800115 stw r2,4(r19) + 1e60: a8bfff17 ldw r2,-4(r21) + 1e64: a009883a mov r4,r20 + 1e68: 1080004c andi r2,r2,1 + 1e6c: 1462b03a or r17,r2,r17 + 1e70: ac7fff15 stw r17,-4(r21) + 1e74: 00035740 call 3574 <__malloc_unlock> + 1e78: a805883a mov r2,r21 + 1e7c: 003f6b06 br 1c2c <_realloc_r+0x170> + 1e80: a80b883a mov r5,r21 + 1e84: 9009883a mov r4,r18 + 1e88: 00018380 call 1838 + 1e8c: 003f4e06 br 1bc8 <_realloc_r+0x10c> + 1e90: 89000404 addi r4,r17,16 + 1e94: b93fbc16 blt r23,r4,1d88 <_realloc_r+0x2cc> + 1e98: b0800317 ldw r2,12(r22) + 1e9c: b0c00217 ldw r3,8(r22) + 1ea0: 81bfff04 addi r6,r16,-4 + 1ea4: 01000904 movi r4,36 + 1ea8: 18800315 stw r2,12(r3) + 1eac: 10c00215 stw r3,8(r2) + 1eb0: b4800204 addi r18,r22,8 + 1eb4: 21804336 bltu r4,r6,1fc4 <_realloc_r+0x508> + 1eb8: 008004c4 movi r2,19 + 1ebc: 11803f2e bgeu r2,r6,1fbc <_realloc_r+0x500> + 1ec0: a8800017 ldw r2,0(r21) + 1ec4: b0800215 stw r2,8(r22) + 1ec8: a8800117 ldw r2,4(r21) + 1ecc: b0800315 stw r2,12(r22) + 1ed0: 008006c4 movi r2,27 + 1ed4: 11803f36 bltu r2,r6,1fd4 <_realloc_r+0x518> + 1ed8: b0800404 addi r2,r22,16 + 1edc: ad400204 addi r21,r21,8 + 1ee0: a8c00017 ldw r3,0(r21) + 1ee4: 10c00015 stw r3,0(r2) + 1ee8: a8c00117 ldw r3,4(r21) + 1eec: 10c00115 stw r3,4(r2) + 1ef0: a8c00217 ldw r3,8(r21) + 1ef4: 10c00215 stw r3,8(r2) + 1ef8: b447883a add r3,r22,r17 + 1efc: bc45c83a sub r2,r23,r17 + 1f00: e0c00215 stw r3,8(fp) + 1f04: 10800054 ori r2,r2,1 + 1f08: 18800115 stw r2,4(r3) + 1f0c: b0800117 ldw r2,4(r22) + 1f10: a009883a mov r4,r20 + 1f14: 1080004c andi r2,r2,1 + 1f18: 1462b03a or r17,r2,r17 + 1f1c: b4400115 stw r17,4(r22) + 1f20: 00035740 call 3574 <__malloc_unlock> + 1f24: 9005883a mov r2,r18 + 1f28: 003f4006 br 1c2c <_realloc_r+0x170> + 1f2c: a8c00217 ldw r3,8(r21) + 1f30: 90c00215 stw r3,8(r18) + 1f34: a8c00317 ldw r3,12(r21) + 1f38: 90c00315 stw r3,12(r18) + 1f3c: 30801126 beq r6,r2,1f84 <_realloc_r+0x4c8> + 1f40: 90800404 addi r2,r18,16 + 1f44: a8c00404 addi r3,r21,16 + 1f48: 003f1906 br 1bb0 <_realloc_r+0xf4> + 1f4c: 90ffff17 ldw r3,-4(r18) + 1f50: 00bfff04 movi r2,-4 + 1f54: a825883a mov r18,r21 + 1f58: 1884703a and r2,r3,r2 + 1f5c: 80a1883a add r16,r16,r2 + 1f60: 003f2406 br 1bf4 <_realloc_r+0x138> + 1f64: a8800217 ldw r2,8(r21) + 1f68: b0800415 stw r2,16(r22) + 1f6c: a8800317 ldw r2,12(r21) + 1f70: b0800515 stw r2,20(r22) + 1f74: 31000a26 beq r6,r4,1fa0 <_realloc_r+0x4e4> + 1f78: b0800604 addi r2,r22,24 + 1f7c: ad400404 addi r21,r21,16 + 1f80: 003fa906 br 1e28 <_realloc_r+0x36c> + 1f84: a9000417 ldw r4,16(r21) + 1f88: 90800604 addi r2,r18,24 + 1f8c: a8c00604 addi r3,r21,24 + 1f90: 91000415 stw r4,16(r18) + 1f94: a9000517 ldw r4,20(r21) + 1f98: 91000515 stw r4,20(r18) + 1f9c: 003f0406 br 1bb0 <_realloc_r+0xf4> + 1fa0: a8c00417 ldw r3,16(r21) + 1fa4: ad400604 addi r21,r21,24 + 1fa8: b0800804 addi r2,r22,32 + 1fac: b0c00615 stw r3,24(r22) + 1fb0: a8ffff17 ldw r3,-4(r21) + 1fb4: b0c00715 stw r3,28(r22) + 1fb8: 003f9b06 br 1e28 <_realloc_r+0x36c> + 1fbc: 9005883a mov r2,r18 + 1fc0: 003fc706 br 1ee0 <_realloc_r+0x424> + 1fc4: a80b883a mov r5,r21 + 1fc8: 9009883a mov r4,r18 + 1fcc: 00018380 call 1838 + 1fd0: 003fc906 br 1ef8 <_realloc_r+0x43c> + 1fd4: a8800217 ldw r2,8(r21) + 1fd8: b0800415 stw r2,16(r22) + 1fdc: a8800317 ldw r2,12(r21) + 1fe0: b0800515 stw r2,20(r22) + 1fe4: 31000726 beq r6,r4,2004 <_realloc_r+0x548> + 1fe8: b0800604 addi r2,r22,24 + 1fec: ad400404 addi r21,r21,16 + 1ff0: 003fbb06 br 1ee0 <_realloc_r+0x424> + 1ff4: a009883a mov r4,r20 + 1ff8: 00035740 call 3574 <__malloc_unlock> + 1ffc: 0005883a mov r2,zero + 2000: 003f0a06 br 1c2c <_realloc_r+0x170> + 2004: a8c00417 ldw r3,16(r21) + 2008: ad400604 addi r21,r21,24 + 200c: b0800804 addi r2,r22,32 + 2010: b0c00615 stw r3,24(r22) + 2014: a8ffff17 ldw r3,-4(r21) + 2018: b0c00715 stw r3,28(r22) + 201c: 003fb006 br 1ee0 <_realloc_r+0x424> + +00002020 <_sbrk_r>: + 2020: defffd04 addi sp,sp,-12 + 2024: dc000015 stw r16,0(sp) + 2028: 04000034 movhi r16,0 + 202c: dc400115 stw r17,4(sp) + 2030: 841c7104 addi r16,r16,29124 + 2034: 2023883a mov r17,r4 + 2038: 2809883a mov r4,r5 + 203c: dfc00215 stw ra,8(sp) + 2040: 80000015 stw zero,0(r16) + 2044: 000375c0 call 375c + 2048: 00ffffc4 movi r3,-1 + 204c: 10c00526 beq r2,r3,2064 <_sbrk_r+0x44> + 2050: dfc00217 ldw ra,8(sp) + 2054: dc400117 ldw r17,4(sp) + 2058: dc000017 ldw r16,0(sp) + 205c: dec00304 addi sp,sp,12 + 2060: f800283a ret + 2064: 80c00017 ldw r3,0(r16) + 2068: 183ff926 beq r3,zero,2050 <_sbrk_r+0x30> + 206c: 88c00015 stw r3,0(r17) + 2070: 003ff706 br 2050 <_sbrk_r+0x30> + +00002074 <__sread>: + 2074: defffe04 addi sp,sp,-8 + 2078: dc000015 stw r16,0(sp) + 207c: 2821883a mov r16,r5 + 2080: 2940038f ldh r5,14(r5) + 2084: dfc00115 stw ra,4(sp) + 2088: 0002db40 call 2db4 <_read_r> + 208c: 10000716 blt r2,zero,20ac <__sread+0x38> + 2090: 80c01417 ldw r3,80(r16) + 2094: 1887883a add r3,r3,r2 + 2098: 80c01415 stw r3,80(r16) + 209c: dfc00117 ldw ra,4(sp) + 20a0: dc000017 ldw r16,0(sp) + 20a4: dec00204 addi sp,sp,8 + 20a8: f800283a ret + 20ac: 80c0030b ldhu r3,12(r16) + 20b0: 18fbffcc andi r3,r3,61439 + 20b4: 80c0030d sth r3,12(r16) + 20b8: dfc00117 ldw ra,4(sp) + 20bc: dc000017 ldw r16,0(sp) + 20c0: dec00204 addi sp,sp,8 + 20c4: f800283a ret + +000020c8 <__seofread>: + 20c8: 0005883a mov r2,zero + 20cc: f800283a ret + +000020d0 <__swrite>: + 20d0: 2880030b ldhu r2,12(r5) + 20d4: defffb04 addi sp,sp,-20 + 20d8: dcc00315 stw r19,12(sp) + 20dc: dc800215 stw r18,8(sp) + 20e0: dc400115 stw r17,4(sp) + 20e4: dc000015 stw r16,0(sp) + 20e8: dfc00415 stw ra,16(sp) + 20ec: 10c0400c andi r3,r2,256 + 20f0: 2821883a mov r16,r5 + 20f4: 2023883a mov r17,r4 + 20f8: 3025883a mov r18,r6 + 20fc: 3827883a mov r19,r7 + 2100: 18000526 beq r3,zero,2118 <__swrite+0x48> + 2104: 2940038f ldh r5,14(r5) + 2108: 01c00084 movi r7,2 + 210c: 000d883a mov r6,zero + 2110: 0002b980 call 2b98 <_lseek_r> + 2114: 8080030b ldhu r2,12(r16) + 2118: 8140038f ldh r5,14(r16) + 211c: 10bbffcc andi r2,r2,61439 + 2120: 980f883a mov r7,r19 + 2124: 900d883a mov r6,r18 + 2128: 8809883a mov r4,r17 + 212c: 8080030d sth r2,12(r16) + 2130: dfc00417 ldw ra,16(sp) + 2134: dcc00317 ldw r19,12(sp) + 2138: dc800217 ldw r18,8(sp) + 213c: dc400117 ldw r17,4(sp) + 2140: dc000017 ldw r16,0(sp) + 2144: dec00504 addi sp,sp,20 + 2148: 00021b01 jmpi 21b0 <_write_r> + +0000214c <__sseek>: + 214c: defffe04 addi sp,sp,-8 + 2150: dc000015 stw r16,0(sp) + 2154: 2821883a mov r16,r5 + 2158: 2940038f ldh r5,14(r5) + 215c: dfc00115 stw ra,4(sp) + 2160: 0002b980 call 2b98 <_lseek_r> + 2164: 00ffffc4 movi r3,-1 + 2168: 10c00826 beq r2,r3,218c <__sseek+0x40> + 216c: 80c0030b ldhu r3,12(r16) + 2170: 80801415 stw r2,80(r16) + 2174: 18c40014 ori r3,r3,4096 + 2178: 80c0030d sth r3,12(r16) + 217c: dfc00117 ldw ra,4(sp) + 2180: dc000017 ldw r16,0(sp) + 2184: dec00204 addi sp,sp,8 + 2188: f800283a ret + 218c: 80c0030b ldhu r3,12(r16) + 2190: 18fbffcc andi r3,r3,61439 + 2194: 80c0030d sth r3,12(r16) + 2198: dfc00117 ldw ra,4(sp) + 219c: dc000017 ldw r16,0(sp) + 21a0: dec00204 addi sp,sp,8 + 21a4: f800283a ret + +000021a8 <__sclose>: + 21a8: 2940038f ldh r5,14(r5) + 21ac: 00023641 jmpi 2364 <_close_r> + +000021b0 <_write_r>: + 21b0: defffd04 addi sp,sp,-12 + 21b4: 2805883a mov r2,r5 + 21b8: dc000015 stw r16,0(sp) + 21bc: 04000034 movhi r16,0 + 21c0: dc400115 stw r17,4(sp) + 21c4: 300b883a mov r5,r6 + 21c8: 841c7104 addi r16,r16,29124 + 21cc: 2023883a mov r17,r4 + 21d0: 380d883a mov r6,r7 + 21d4: 1009883a mov r4,r2 + 21d8: dfc00215 stw ra,8(sp) + 21dc: 80000015 stw zero,0(r16) + 21e0: 00038480 call 3848 + 21e4: 00ffffc4 movi r3,-1 + 21e8: 10c00526 beq r2,r3,2200 <_write_r+0x50> + 21ec: dfc00217 ldw ra,8(sp) + 21f0: dc400117 ldw r17,4(sp) + 21f4: dc000017 ldw r16,0(sp) + 21f8: dec00304 addi sp,sp,12 + 21fc: f800283a ret + 2200: 80c00017 ldw r3,0(r16) + 2204: 183ff926 beq r3,zero,21ec <_write_r+0x3c> + 2208: 88c00015 stw r3,0(r17) + 220c: 003ff706 br 21ec <_write_r+0x3c> + +00002210 <__swsetup_r>: + 2210: 00800034 movhi r2,0 + 2214: defffd04 addi sp,sp,-12 + 2218: 109c6104 addi r2,r2,29060 + 221c: dc400115 stw r17,4(sp) + 2220: 2023883a mov r17,r4 + 2224: 11000017 ldw r4,0(r2) + 2228: dc000015 stw r16,0(sp) + 222c: dfc00215 stw ra,8(sp) + 2230: 2821883a mov r16,r5 + 2234: 20000226 beq r4,zero,2240 <__swsetup_r+0x30> + 2238: 20800e17 ldw r2,56(r4) + 223c: 10003126 beq r2,zero,2304 <__swsetup_r+0xf4> + 2240: 8080030b ldhu r2,12(r16) + 2244: 10c0020c andi r3,r2,8 + 2248: 1009883a mov r4,r2 + 224c: 18000f26 beq r3,zero,228c <__swsetup_r+0x7c> + 2250: 80c00417 ldw r3,16(r16) + 2254: 18001526 beq r3,zero,22ac <__swsetup_r+0x9c> + 2258: 1100004c andi r4,r2,1 + 225c: 20001c1e bne r4,zero,22d0 <__swsetup_r+0xc0> + 2260: 1080008c andi r2,r2,2 + 2264: 1000291e bne r2,zero,230c <__swsetup_r+0xfc> + 2268: 80800517 ldw r2,20(r16) + 226c: 80800215 stw r2,8(r16) + 2270: 18001c26 beq r3,zero,22e4 <__swsetup_r+0xd4> + 2274: 0005883a mov r2,zero + 2278: dfc00217 ldw ra,8(sp) + 227c: dc400117 ldw r17,4(sp) + 2280: dc000017 ldw r16,0(sp) + 2284: dec00304 addi sp,sp,12 + 2288: f800283a ret + 228c: 2080040c andi r2,r4,16 + 2290: 10002e26 beq r2,zero,234c <__swsetup_r+0x13c> + 2294: 2080010c andi r2,r4,4 + 2298: 10001e1e bne r2,zero,2314 <__swsetup_r+0x104> + 229c: 80c00417 ldw r3,16(r16) + 22a0: 20800214 ori r2,r4,8 + 22a4: 8080030d sth r2,12(r16) + 22a8: 183feb1e bne r3,zero,2258 <__swsetup_r+0x48> + 22ac: 1100a00c andi r4,r2,640 + 22b0: 01408004 movi r5,512 + 22b4: 217fe826 beq r4,r5,2258 <__swsetup_r+0x48> + 22b8: 800b883a mov r5,r16 + 22bc: 8809883a mov r4,r17 + 22c0: 0002bf80 call 2bf8 <__smakebuf_r> + 22c4: 8080030b ldhu r2,12(r16) + 22c8: 80c00417 ldw r3,16(r16) + 22cc: 003fe206 br 2258 <__swsetup_r+0x48> + 22d0: 80800517 ldw r2,20(r16) + 22d4: 80000215 stw zero,8(r16) + 22d8: 0085c83a sub r2,zero,r2 + 22dc: 80800615 stw r2,24(r16) + 22e0: 183fe41e bne r3,zero,2274 <__swsetup_r+0x64> + 22e4: 80c0030b ldhu r3,12(r16) + 22e8: 0005883a mov r2,zero + 22ec: 1900200c andi r4,r3,128 + 22f0: 203fe126 beq r4,zero,2278 <__swsetup_r+0x68> + 22f4: 18c01014 ori r3,r3,64 + 22f8: 80c0030d sth r3,12(r16) + 22fc: 00bfffc4 movi r2,-1 + 2300: 003fdd06 br 2278 <__swsetup_r+0x68> + 2304: 00007600 call 760 <__sinit> + 2308: 003fcd06 br 2240 <__swsetup_r+0x30> + 230c: 0005883a mov r2,zero + 2310: 003fd606 br 226c <__swsetup_r+0x5c> + 2314: 81400c17 ldw r5,48(r16) + 2318: 28000626 beq r5,zero,2334 <__swsetup_r+0x124> + 231c: 80801004 addi r2,r16,64 + 2320: 28800326 beq r5,r2,2330 <__swsetup_r+0x120> + 2324: 8809883a mov r4,r17 + 2328: 00028880 call 2888 <_free_r> + 232c: 8100030b ldhu r4,12(r16) + 2330: 80000c15 stw zero,48(r16) + 2334: 80c00417 ldw r3,16(r16) + 2338: 00bff6c4 movi r2,-37 + 233c: 1108703a and r4,r2,r4 + 2340: 80000115 stw zero,4(r16) + 2344: 80c00015 stw r3,0(r16) + 2348: 003fd506 br 22a0 <__swsetup_r+0x90> + 234c: 00800244 movi r2,9 + 2350: 88800015 stw r2,0(r17) + 2354: 20801014 ori r2,r4,64 + 2358: 8080030d sth r2,12(r16) + 235c: 00bfffc4 movi r2,-1 + 2360: 003fc506 br 2278 <__swsetup_r+0x68> + +00002364 <_close_r>: + 2364: defffd04 addi sp,sp,-12 + 2368: dc000015 stw r16,0(sp) + 236c: 04000034 movhi r16,0 + 2370: dc400115 stw r17,4(sp) + 2374: 841c7104 addi r16,r16,29124 + 2378: 2023883a mov r17,r4 + 237c: 2809883a mov r4,r5 + 2380: dfc00215 stw ra,8(sp) + 2384: 80000015 stw zero,0(r16) + 2388: 00030dc0 call 30dc + 238c: 00ffffc4 movi r3,-1 + 2390: 10c00526 beq r2,r3,23a8 <_close_r+0x44> + 2394: dfc00217 ldw ra,8(sp) + 2398: dc400117 ldw r17,4(sp) + 239c: dc000017 ldw r16,0(sp) + 23a0: dec00304 addi sp,sp,12 + 23a4: f800283a ret + 23a8: 80c00017 ldw r3,0(r16) + 23ac: 183ff926 beq r3,zero,2394 <_close_r+0x30> + 23b0: 88c00015 stw r3,0(r17) + 23b4: 003ff706 br 2394 <_close_r+0x30> + +000023b8 <_fclose_r>: + 23b8: 28003926 beq r5,zero,24a0 <_fclose_r+0xe8> + 23bc: defffc04 addi sp,sp,-16 + 23c0: dc400115 stw r17,4(sp) + 23c4: dc000015 stw r16,0(sp) + 23c8: dfc00315 stw ra,12(sp) + 23cc: dc800215 stw r18,8(sp) + 23d0: 2023883a mov r17,r4 + 23d4: 2821883a mov r16,r5 + 23d8: 20000226 beq r4,zero,23e4 <_fclose_r+0x2c> + 23dc: 20800e17 ldw r2,56(r4) + 23e0: 10002726 beq r2,zero,2480 <_fclose_r+0xc8> + 23e4: 8080030f ldh r2,12(r16) + 23e8: 1000071e bne r2,zero,2408 <_fclose_r+0x50> + 23ec: 0005883a mov r2,zero + 23f0: dfc00317 ldw ra,12(sp) + 23f4: dc800217 ldw r18,8(sp) + 23f8: dc400117 ldw r17,4(sp) + 23fc: dc000017 ldw r16,0(sp) + 2400: dec00404 addi sp,sp,16 + 2404: f800283a ret + 2408: 800b883a mov r5,r16 + 240c: 8809883a mov r4,r17 + 2410: 00024bc0 call 24bc <__sflush_r> + 2414: 1025883a mov r18,r2 + 2418: 80800b17 ldw r2,44(r16) + 241c: 10000426 beq r2,zero,2430 <_fclose_r+0x78> + 2420: 81400717 ldw r5,28(r16) + 2424: 8809883a mov r4,r17 + 2428: 103ee83a callr r2 + 242c: 10001616 blt r2,zero,2488 <_fclose_r+0xd0> + 2430: 8080030b ldhu r2,12(r16) + 2434: 1080200c andi r2,r2,128 + 2438: 1000151e bne r2,zero,2490 <_fclose_r+0xd8> + 243c: 81400c17 ldw r5,48(r16) + 2440: 28000526 beq r5,zero,2458 <_fclose_r+0xa0> + 2444: 80801004 addi r2,r16,64 + 2448: 28800226 beq r5,r2,2454 <_fclose_r+0x9c> + 244c: 8809883a mov r4,r17 + 2450: 00028880 call 2888 <_free_r> + 2454: 80000c15 stw zero,48(r16) + 2458: 81401117 ldw r5,68(r16) + 245c: 28000326 beq r5,zero,246c <_fclose_r+0xb4> + 2460: 8809883a mov r4,r17 + 2464: 00028880 call 2888 <_free_r> + 2468: 80001115 stw zero,68(r16) + 246c: 00007700 call 770 <__sfp_lock_acquire> + 2470: 8000030d sth zero,12(r16) + 2474: 00007740 call 774 <__sfp_lock_release> + 2478: 9005883a mov r2,r18 + 247c: 003fdc06 br 23f0 <_fclose_r+0x38> + 2480: 00007600 call 760 <__sinit> + 2484: 003fd706 br 23e4 <_fclose_r+0x2c> + 2488: 04bfffc4 movi r18,-1 + 248c: 003fe806 br 2430 <_fclose_r+0x78> + 2490: 81400417 ldw r5,16(r16) + 2494: 8809883a mov r4,r17 + 2498: 00028880 call 2888 <_free_r> + 249c: 003fe706 br 243c <_fclose_r+0x84> + 24a0: 0005883a mov r2,zero + 24a4: f800283a ret + +000024a8 : + 24a8: 00800034 movhi r2,0 + 24ac: 109c6104 addi r2,r2,29060 + 24b0: 200b883a mov r5,r4 + 24b4: 11000017 ldw r4,0(r2) + 24b8: 00023b81 jmpi 23b8 <_fclose_r> + +000024bc <__sflush_r>: + 24bc: 2880030b ldhu r2,12(r5) + 24c0: defffb04 addi sp,sp,-20 + 24c4: dcc00315 stw r19,12(sp) + 24c8: dc400115 stw r17,4(sp) + 24cc: dfc00415 stw ra,16(sp) + 24d0: dc800215 stw r18,8(sp) + 24d4: dc000015 stw r16,0(sp) + 24d8: 10c0020c andi r3,r2,8 + 24dc: 2823883a mov r17,r5 + 24e0: 2027883a mov r19,r4 + 24e4: 1800311e bne r3,zero,25ac <__sflush_r+0xf0> + 24e8: 28c00117 ldw r3,4(r5) + 24ec: 10820014 ori r2,r2,2048 + 24f0: 2880030d sth r2,12(r5) + 24f4: 00c04b0e bge zero,r3,2624 <__sflush_r+0x168> + 24f8: 8a000a17 ldw r8,40(r17) + 24fc: 40002326 beq r8,zero,258c <__sflush_r+0xd0> + 2500: 9c000017 ldw r16,0(r19) + 2504: 10c4000c andi r3,r2,4096 + 2508: 98000015 stw zero,0(r19) + 250c: 18004826 beq r3,zero,2630 <__sflush_r+0x174> + 2510: 89801417 ldw r6,80(r17) + 2514: 10c0010c andi r3,r2,4 + 2518: 18000626 beq r3,zero,2534 <__sflush_r+0x78> + 251c: 88c00117 ldw r3,4(r17) + 2520: 88800c17 ldw r2,48(r17) + 2524: 30cdc83a sub r6,r6,r3 + 2528: 10000226 beq r2,zero,2534 <__sflush_r+0x78> + 252c: 88800f17 ldw r2,60(r17) + 2530: 308dc83a sub r6,r6,r2 + 2534: 89400717 ldw r5,28(r17) + 2538: 000f883a mov r7,zero + 253c: 9809883a mov r4,r19 + 2540: 403ee83a callr r8 + 2544: 00ffffc4 movi r3,-1 + 2548: 10c04426 beq r2,r3,265c <__sflush_r+0x1a0> + 254c: 88c0030b ldhu r3,12(r17) + 2550: 89000417 ldw r4,16(r17) + 2554: 88000115 stw zero,4(r17) + 2558: 197dffcc andi r5,r3,63487 + 255c: 8940030d sth r5,12(r17) + 2560: 89000015 stw r4,0(r17) + 2564: 18c4000c andi r3,r3,4096 + 2568: 18002c1e bne r3,zero,261c <__sflush_r+0x160> + 256c: 89400c17 ldw r5,48(r17) + 2570: 9c000015 stw r16,0(r19) + 2574: 28000526 beq r5,zero,258c <__sflush_r+0xd0> + 2578: 88801004 addi r2,r17,64 + 257c: 28800226 beq r5,r2,2588 <__sflush_r+0xcc> + 2580: 9809883a mov r4,r19 + 2584: 00028880 call 2888 <_free_r> + 2588: 88000c15 stw zero,48(r17) + 258c: 0005883a mov r2,zero + 2590: dfc00417 ldw ra,16(sp) + 2594: dcc00317 ldw r19,12(sp) + 2598: dc800217 ldw r18,8(sp) + 259c: dc400117 ldw r17,4(sp) + 25a0: dc000017 ldw r16,0(sp) + 25a4: dec00504 addi sp,sp,20 + 25a8: f800283a ret + 25ac: 2c800417 ldw r18,16(r5) + 25b0: 903ff626 beq r18,zero,258c <__sflush_r+0xd0> + 25b4: 2c000017 ldw r16,0(r5) + 25b8: 108000cc andi r2,r2,3 + 25bc: 2c800015 stw r18,0(r5) + 25c0: 84a1c83a sub r16,r16,r18 + 25c4: 1000131e bne r2,zero,2614 <__sflush_r+0x158> + 25c8: 28800517 ldw r2,20(r5) + 25cc: 88800215 stw r2,8(r17) + 25d0: 04000316 blt zero,r16,25e0 <__sflush_r+0x124> + 25d4: 003fed06 br 258c <__sflush_r+0xd0> + 25d8: 90a5883a add r18,r18,r2 + 25dc: 043feb0e bge zero,r16,258c <__sflush_r+0xd0> + 25e0: 88800917 ldw r2,36(r17) + 25e4: 89400717 ldw r5,28(r17) + 25e8: 800f883a mov r7,r16 + 25ec: 900d883a mov r6,r18 + 25f0: 9809883a mov r4,r19 + 25f4: 103ee83a callr r2 + 25f8: 80a1c83a sub r16,r16,r2 + 25fc: 00bff616 blt zero,r2,25d8 <__sflush_r+0x11c> + 2600: 88c0030b ldhu r3,12(r17) + 2604: 00bfffc4 movi r2,-1 + 2608: 18c01014 ori r3,r3,64 + 260c: 88c0030d sth r3,12(r17) + 2610: 003fdf06 br 2590 <__sflush_r+0xd4> + 2614: 0005883a mov r2,zero + 2618: 003fec06 br 25cc <__sflush_r+0x110> + 261c: 88801415 stw r2,80(r17) + 2620: 003fd206 br 256c <__sflush_r+0xb0> + 2624: 28c00f17 ldw r3,60(r5) + 2628: 00ffb316 blt zero,r3,24f8 <__sflush_r+0x3c> + 262c: 003fd706 br 258c <__sflush_r+0xd0> + 2630: 89400717 ldw r5,28(r17) + 2634: 000d883a mov r6,zero + 2638: 01c00044 movi r7,1 + 263c: 9809883a mov r4,r19 + 2640: 403ee83a callr r8 + 2644: 100d883a mov r6,r2 + 2648: 00bfffc4 movi r2,-1 + 264c: 30801426 beq r6,r2,26a0 <__sflush_r+0x1e4> + 2650: 8880030b ldhu r2,12(r17) + 2654: 8a000a17 ldw r8,40(r17) + 2658: 003fae06 br 2514 <__sflush_r+0x58> + 265c: 98c00017 ldw r3,0(r19) + 2660: 183fba26 beq r3,zero,254c <__sflush_r+0x90> + 2664: 01000744 movi r4,29 + 2668: 19000626 beq r3,r4,2684 <__sflush_r+0x1c8> + 266c: 01000584 movi r4,22 + 2670: 19000426 beq r3,r4,2684 <__sflush_r+0x1c8> + 2674: 88c0030b ldhu r3,12(r17) + 2678: 18c01014 ori r3,r3,64 + 267c: 88c0030d sth r3,12(r17) + 2680: 003fc306 br 2590 <__sflush_r+0xd4> + 2684: 8880030b ldhu r2,12(r17) + 2688: 88c00417 ldw r3,16(r17) + 268c: 88000115 stw zero,4(r17) + 2690: 10bdffcc andi r2,r2,63487 + 2694: 8880030d sth r2,12(r17) + 2698: 88c00015 stw r3,0(r17) + 269c: 003fb306 br 256c <__sflush_r+0xb0> + 26a0: 98800017 ldw r2,0(r19) + 26a4: 103fea26 beq r2,zero,2650 <__sflush_r+0x194> + 26a8: 00c00744 movi r3,29 + 26ac: 10c00226 beq r2,r3,26b8 <__sflush_r+0x1fc> + 26b0: 00c00584 movi r3,22 + 26b4: 10c0031e bne r2,r3,26c4 <__sflush_r+0x208> + 26b8: 9c000015 stw r16,0(r19) + 26bc: 0005883a mov r2,zero + 26c0: 003fb306 br 2590 <__sflush_r+0xd4> + 26c4: 88c0030b ldhu r3,12(r17) + 26c8: 3005883a mov r2,r6 + 26cc: 18c01014 ori r3,r3,64 + 26d0: 88c0030d sth r3,12(r17) + 26d4: 003fae06 br 2590 <__sflush_r+0xd4> + +000026d8 <_fflush_r>: + 26d8: defffd04 addi sp,sp,-12 + 26dc: dc000115 stw r16,4(sp) + 26e0: dfc00215 stw ra,8(sp) + 26e4: 2021883a mov r16,r4 + 26e8: 20000226 beq r4,zero,26f4 <_fflush_r+0x1c> + 26ec: 20800e17 ldw r2,56(r4) + 26f0: 10000c26 beq r2,zero,2724 <_fflush_r+0x4c> + 26f4: 2880030f ldh r2,12(r5) + 26f8: 1000051e bne r2,zero,2710 <_fflush_r+0x38> + 26fc: 0005883a mov r2,zero + 2700: dfc00217 ldw ra,8(sp) + 2704: dc000117 ldw r16,4(sp) + 2708: dec00304 addi sp,sp,12 + 270c: f800283a ret + 2710: 8009883a mov r4,r16 + 2714: dfc00217 ldw ra,8(sp) + 2718: dc000117 ldw r16,4(sp) + 271c: dec00304 addi sp,sp,12 + 2720: 00024bc1 jmpi 24bc <__sflush_r> + 2724: d9400015 stw r5,0(sp) + 2728: 00007600 call 760 <__sinit> + 272c: d9400017 ldw r5,0(sp) + 2730: 003ff006 br 26f4 <_fflush_r+0x1c> + +00002734 : + 2734: 20000526 beq r4,zero,274c + 2738: 00800034 movhi r2,0 + 273c: 109c6104 addi r2,r2,29060 + 2740: 200b883a mov r5,r4 + 2744: 11000017 ldw r4,0(r2) + 2748: 00026d81 jmpi 26d8 <_fflush_r> + 274c: 00800034 movhi r2,0 + 2750: 109c6004 addi r2,r2,29056 + 2754: 11000017 ldw r4,0(r2) + 2758: 01400034 movhi r5,0 + 275c: 2949b604 addi r5,r5,9944 + 2760: 0000d3c1 jmpi d3c <_fwalk_reent> + +00002764 <_malloc_trim_r>: + 2764: defffb04 addi sp,sp,-20 + 2768: dcc00315 stw r19,12(sp) + 276c: 04c00034 movhi r19,0 + 2770: dc800215 stw r18,8(sp) + 2774: dc400115 stw r17,4(sp) + 2778: dc000015 stw r16,0(sp) + 277c: dfc00415 stw ra,16(sp) + 2780: 2821883a mov r16,r5 + 2784: 9cd6dc04 addi r19,r19,23408 + 2788: 2025883a mov r18,r4 + 278c: 00035500 call 3550 <__malloc_lock> + 2790: 98800217 ldw r2,8(r19) + 2794: 14400117 ldw r17,4(r2) + 2798: 00bfff04 movi r2,-4 + 279c: 88a2703a and r17,r17,r2 + 27a0: 8c21c83a sub r16,r17,r16 + 27a4: 8403fbc4 addi r16,r16,4079 + 27a8: 8020d33a srli r16,r16,12 + 27ac: 0083ffc4 movi r2,4095 + 27b0: 843fffc4 addi r16,r16,-1 + 27b4: 8020933a slli r16,r16,12 + 27b8: 1400060e bge r2,r16,27d4 <_malloc_trim_r+0x70> + 27bc: 000b883a mov r5,zero + 27c0: 9009883a mov r4,r18 + 27c4: 00020200 call 2020 <_sbrk_r> + 27c8: 98c00217 ldw r3,8(r19) + 27cc: 1c47883a add r3,r3,r17 + 27d0: 10c00a26 beq r2,r3,27fc <_malloc_trim_r+0x98> + 27d4: 9009883a mov r4,r18 + 27d8: 00035740 call 3574 <__malloc_unlock> + 27dc: 0005883a mov r2,zero + 27e0: dfc00417 ldw ra,16(sp) + 27e4: dcc00317 ldw r19,12(sp) + 27e8: dc800217 ldw r18,8(sp) + 27ec: dc400117 ldw r17,4(sp) + 27f0: dc000017 ldw r16,0(sp) + 27f4: dec00504 addi sp,sp,20 + 27f8: f800283a ret + 27fc: 040bc83a sub r5,zero,r16 + 2800: 9009883a mov r4,r18 + 2804: 00020200 call 2020 <_sbrk_r> + 2808: 00ffffc4 movi r3,-1 + 280c: 10c00d26 beq r2,r3,2844 <_malloc_trim_r+0xe0> + 2810: 00c00034 movhi r3,0 + 2814: 18dc7904 addi r3,r3,29156 + 2818: 18800017 ldw r2,0(r3) + 281c: 99000217 ldw r4,8(r19) + 2820: 8c23c83a sub r17,r17,r16 + 2824: 8c400054 ori r17,r17,1 + 2828: 1421c83a sub r16,r2,r16 + 282c: 24400115 stw r17,4(r4) + 2830: 9009883a mov r4,r18 + 2834: 1c000015 stw r16,0(r3) + 2838: 00035740 call 3574 <__malloc_unlock> + 283c: 00800044 movi r2,1 + 2840: 003fe706 br 27e0 <_malloc_trim_r+0x7c> + 2844: 000b883a mov r5,zero + 2848: 9009883a mov r4,r18 + 284c: 00020200 call 2020 <_sbrk_r> + 2850: 99000217 ldw r4,8(r19) + 2854: 014003c4 movi r5,15 + 2858: 1107c83a sub r3,r2,r4 + 285c: 28ffdd0e bge r5,r3,27d4 <_malloc_trim_r+0x70> + 2860: 01400034 movhi r5,0 + 2864: 295c6204 addi r5,r5,29064 + 2868: 29400017 ldw r5,0(r5) + 286c: 18c00054 ori r3,r3,1 + 2870: 20c00115 stw r3,4(r4) + 2874: 00c00034 movhi r3,0 + 2878: 1145c83a sub r2,r2,r5 + 287c: 18dc7904 addi r3,r3,29156 + 2880: 18800015 stw r2,0(r3) + 2884: 003fd306 br 27d4 <_malloc_trim_r+0x70> + +00002888 <_free_r>: + 2888: 28004126 beq r5,zero,2990 <_free_r+0x108> + 288c: defffd04 addi sp,sp,-12 + 2890: dc400115 stw r17,4(sp) + 2894: dc000015 stw r16,0(sp) + 2898: 2023883a mov r17,r4 + 289c: 2821883a mov r16,r5 + 28a0: dfc00215 stw ra,8(sp) + 28a4: 00035500 call 3550 <__malloc_lock> + 28a8: 81ffff17 ldw r7,-4(r16) + 28ac: 00bfff84 movi r2,-2 + 28b0: 01000034 movhi r4,0 + 28b4: 81bffe04 addi r6,r16,-8 + 28b8: 3884703a and r2,r7,r2 + 28bc: 2116dc04 addi r4,r4,23408 + 28c0: 308b883a add r5,r6,r2 + 28c4: 2a400117 ldw r9,4(r5) + 28c8: 22000217 ldw r8,8(r4) + 28cc: 00ffff04 movi r3,-4 + 28d0: 48c6703a and r3,r9,r3 + 28d4: 2a005726 beq r5,r8,2a34 <_free_r+0x1ac> + 28d8: 28c00115 stw r3,4(r5) + 28dc: 39c0004c andi r7,r7,1 + 28e0: 3800091e bne r7,zero,2908 <_free_r+0x80> + 28e4: 823ffe17 ldw r8,-8(r16) + 28e8: 22400204 addi r9,r4,8 + 28ec: 320dc83a sub r6,r6,r8 + 28f0: 31c00217 ldw r7,8(r6) + 28f4: 1205883a add r2,r2,r8 + 28f8: 3a406526 beq r7,r9,2a90 <_free_r+0x208> + 28fc: 32000317 ldw r8,12(r6) + 2900: 3a000315 stw r8,12(r7) + 2904: 41c00215 stw r7,8(r8) + 2908: 28cf883a add r7,r5,r3 + 290c: 39c00117 ldw r7,4(r7) + 2910: 39c0004c andi r7,r7,1 + 2914: 38003a26 beq r7,zero,2a00 <_free_r+0x178> + 2918: 10c00054 ori r3,r2,1 + 291c: 30c00115 stw r3,4(r6) + 2920: 3087883a add r3,r6,r2 + 2924: 18800015 stw r2,0(r3) + 2928: 00c07fc4 movi r3,511 + 292c: 18801936 bltu r3,r2,2994 <_free_r+0x10c> + 2930: 1004d0fa srli r2,r2,3 + 2934: 01c00044 movi r7,1 + 2938: 21400117 ldw r5,4(r4) + 293c: 10c00044 addi r3,r2,1 + 2940: 18c7883a add r3,r3,r3 + 2944: 1005d0ba srai r2,r2,2 + 2948: 18c7883a add r3,r3,r3 + 294c: 18c7883a add r3,r3,r3 + 2950: 1907883a add r3,r3,r4 + 2954: 3884983a sll r2,r7,r2 + 2958: 19c00017 ldw r7,0(r3) + 295c: 1a3ffe04 addi r8,r3,-8 + 2960: 1144b03a or r2,r2,r5 + 2964: 32000315 stw r8,12(r6) + 2968: 31c00215 stw r7,8(r6) + 296c: 20800115 stw r2,4(r4) + 2970: 19800015 stw r6,0(r3) + 2974: 39800315 stw r6,12(r7) + 2978: 8809883a mov r4,r17 + 297c: dfc00217 ldw ra,8(sp) + 2980: dc400117 ldw r17,4(sp) + 2984: dc000017 ldw r16,0(sp) + 2988: dec00304 addi sp,sp,12 + 298c: 00035741 jmpi 3574 <__malloc_unlock> + 2990: f800283a ret + 2994: 100ad27a srli r5,r2,9 + 2998: 00c00104 movi r3,4 + 299c: 19404a36 bltu r3,r5,2ac8 <_free_r+0x240> + 29a0: 100ad1ba srli r5,r2,6 + 29a4: 28c00e44 addi r3,r5,57 + 29a8: 18c7883a add r3,r3,r3 + 29ac: 29400e04 addi r5,r5,56 + 29b0: 18c7883a add r3,r3,r3 + 29b4: 18c7883a add r3,r3,r3 + 29b8: 1909883a add r4,r3,r4 + 29bc: 20c00017 ldw r3,0(r4) + 29c0: 01c00034 movhi r7,0 + 29c4: 213ffe04 addi r4,r4,-8 + 29c8: 39d6dc04 addi r7,r7,23408 + 29cc: 20c04426 beq r4,r3,2ae0 <_free_r+0x258> + 29d0: 01ffff04 movi r7,-4 + 29d4: 19400117 ldw r5,4(r3) + 29d8: 29ca703a and r5,r5,r7 + 29dc: 1140022e bgeu r2,r5,29e8 <_free_r+0x160> + 29e0: 18c00217 ldw r3,8(r3) + 29e4: 20fffb1e bne r4,r3,29d4 <_free_r+0x14c> + 29e8: 19000317 ldw r4,12(r3) + 29ec: 31000315 stw r4,12(r6) + 29f0: 30c00215 stw r3,8(r6) + 29f4: 21800215 stw r6,8(r4) + 29f8: 19800315 stw r6,12(r3) + 29fc: 003fde06 br 2978 <_free_r+0xf0> + 2a00: 29c00217 ldw r7,8(r5) + 2a04: 10c5883a add r2,r2,r3 + 2a08: 00c00034 movhi r3,0 + 2a0c: 18d6de04 addi r3,r3,23416 + 2a10: 38c03b26 beq r7,r3,2b00 <_free_r+0x278> + 2a14: 2a000317 ldw r8,12(r5) + 2a18: 11400054 ori r5,r2,1 + 2a1c: 3087883a add r3,r6,r2 + 2a20: 3a000315 stw r8,12(r7) + 2a24: 41c00215 stw r7,8(r8) + 2a28: 31400115 stw r5,4(r6) + 2a2c: 18800015 stw r2,0(r3) + 2a30: 003fbd06 br 2928 <_free_r+0xa0> + 2a34: 39c0004c andi r7,r7,1 + 2a38: 10c5883a add r2,r2,r3 + 2a3c: 3800071e bne r7,zero,2a5c <_free_r+0x1d4> + 2a40: 81fffe17 ldw r7,-8(r16) + 2a44: 31cdc83a sub r6,r6,r7 + 2a48: 30c00317 ldw r3,12(r6) + 2a4c: 31400217 ldw r5,8(r6) + 2a50: 11c5883a add r2,r2,r7 + 2a54: 28c00315 stw r3,12(r5) + 2a58: 19400215 stw r5,8(r3) + 2a5c: 10c00054 ori r3,r2,1 + 2a60: 30c00115 stw r3,4(r6) + 2a64: 00c00034 movhi r3,0 + 2a68: 18dc6304 addi r3,r3,29068 + 2a6c: 18c00017 ldw r3,0(r3) + 2a70: 21800215 stw r6,8(r4) + 2a74: 10ffc036 bltu r2,r3,2978 <_free_r+0xf0> + 2a78: 00800034 movhi r2,0 + 2a7c: 109c7004 addi r2,r2,29120 + 2a80: 11400017 ldw r5,0(r2) + 2a84: 8809883a mov r4,r17 + 2a88: 00027640 call 2764 <_malloc_trim_r> + 2a8c: 003fba06 br 2978 <_free_r+0xf0> + 2a90: 28c9883a add r4,r5,r3 + 2a94: 21000117 ldw r4,4(r4) + 2a98: 2100004c andi r4,r4,1 + 2a9c: 2000391e bne r4,zero,2b84 <_free_r+0x2fc> + 2aa0: 29c00217 ldw r7,8(r5) + 2aa4: 29000317 ldw r4,12(r5) + 2aa8: 1885883a add r2,r3,r2 + 2aac: 10c00054 ori r3,r2,1 + 2ab0: 39000315 stw r4,12(r7) + 2ab4: 21c00215 stw r7,8(r4) + 2ab8: 30c00115 stw r3,4(r6) + 2abc: 308d883a add r6,r6,r2 + 2ac0: 30800015 stw r2,0(r6) + 2ac4: 003fac06 br 2978 <_free_r+0xf0> + 2ac8: 00c00504 movi r3,20 + 2acc: 19401536 bltu r3,r5,2b24 <_free_r+0x29c> + 2ad0: 28c01704 addi r3,r5,92 + 2ad4: 18c7883a add r3,r3,r3 + 2ad8: 294016c4 addi r5,r5,91 + 2adc: 003fb406 br 29b0 <_free_r+0x128> + 2ae0: 280bd0ba srai r5,r5,2 + 2ae4: 00c00044 movi r3,1 + 2ae8: 38800117 ldw r2,4(r7) + 2aec: 194a983a sll r5,r3,r5 + 2af0: 2007883a mov r3,r4 + 2af4: 2884b03a or r2,r5,r2 + 2af8: 38800115 stw r2,4(r7) + 2afc: 003fbb06 br 29ec <_free_r+0x164> + 2b00: 21800515 stw r6,20(r4) + 2b04: 21800415 stw r6,16(r4) + 2b08: 10c00054 ori r3,r2,1 + 2b0c: 31c00315 stw r7,12(r6) + 2b10: 31c00215 stw r7,8(r6) + 2b14: 30c00115 stw r3,4(r6) + 2b18: 308d883a add r6,r6,r2 + 2b1c: 30800015 stw r2,0(r6) + 2b20: 003f9506 br 2978 <_free_r+0xf0> + 2b24: 00c01504 movi r3,84 + 2b28: 19400536 bltu r3,r5,2b40 <_free_r+0x2b8> + 2b2c: 100ad33a srli r5,r2,12 + 2b30: 28c01bc4 addi r3,r5,111 + 2b34: 18c7883a add r3,r3,r3 + 2b38: 29401b84 addi r5,r5,110 + 2b3c: 003f9c06 br 29b0 <_free_r+0x128> + 2b40: 00c05504 movi r3,340 + 2b44: 19400536 bltu r3,r5,2b5c <_free_r+0x2d4> + 2b48: 100ad3fa srli r5,r2,15 + 2b4c: 28c01e04 addi r3,r5,120 + 2b50: 18c7883a add r3,r3,r3 + 2b54: 29401dc4 addi r5,r5,119 + 2b58: 003f9506 br 29b0 <_free_r+0x128> + 2b5c: 00c15504 movi r3,1364 + 2b60: 19400536 bltu r3,r5,2b78 <_free_r+0x2f0> + 2b64: 100ad4ba srli r5,r2,18 + 2b68: 28c01f44 addi r3,r5,125 + 2b6c: 18c7883a add r3,r3,r3 + 2b70: 29401f04 addi r5,r5,124 + 2b74: 003f8e06 br 29b0 <_free_r+0x128> + 2b78: 00c03f84 movi r3,254 + 2b7c: 01401f84 movi r5,126 + 2b80: 003f8b06 br 29b0 <_free_r+0x128> + 2b84: 10c00054 ori r3,r2,1 + 2b88: 30c00115 stw r3,4(r6) + 2b8c: 308d883a add r6,r6,r2 + 2b90: 30800015 stw r2,0(r6) + 2b94: 003f7806 br 2978 <_free_r+0xf0> + +00002b98 <_lseek_r>: + 2b98: defffd04 addi sp,sp,-12 + 2b9c: 2805883a mov r2,r5 + 2ba0: dc000015 stw r16,0(sp) + 2ba4: 04000034 movhi r16,0 + 2ba8: dc400115 stw r17,4(sp) + 2bac: 300b883a mov r5,r6 + 2bb0: 841c7104 addi r16,r16,29124 + 2bb4: 2023883a mov r17,r4 + 2bb8: 380d883a mov r6,r7 + 2bbc: 1009883a mov r4,r2 + 2bc0: dfc00215 stw ra,8(sp) + 2bc4: 80000015 stw zero,0(r16) + 2bc8: 00033f80 call 33f8 + 2bcc: 00ffffc4 movi r3,-1 + 2bd0: 10c00526 beq r2,r3,2be8 <_lseek_r+0x50> + 2bd4: dfc00217 ldw ra,8(sp) + 2bd8: dc400117 ldw r17,4(sp) + 2bdc: dc000017 ldw r16,0(sp) + 2be0: dec00304 addi sp,sp,12 + 2be4: f800283a ret + 2be8: 80c00017 ldw r3,0(r16) + 2bec: 183ff926 beq r3,zero,2bd4 <_lseek_r+0x3c> + 2bf0: 88c00015 stw r3,0(r17) + 2bf4: 003ff706 br 2bd4 <_lseek_r+0x3c> + +00002bf8 <__smakebuf_r>: + 2bf8: 2880030b ldhu r2,12(r5) + 2bfc: 10c0008c andi r3,r2,2 + 2c00: 1800411e bne r3,zero,2d08 <__smakebuf_r+0x110> + 2c04: deffec04 addi sp,sp,-80 + 2c08: dc000f15 stw r16,60(sp) + 2c0c: 2821883a mov r16,r5 + 2c10: 2940038f ldh r5,14(r5) + 2c14: dc401015 stw r17,64(sp) + 2c18: dfc01315 stw ra,76(sp) + 2c1c: dcc01215 stw r19,72(sp) + 2c20: dc801115 stw r18,68(sp) + 2c24: 2023883a mov r17,r4 + 2c28: 28001c16 blt r5,zero,2c9c <__smakebuf_r+0xa4> + 2c2c: d80d883a mov r6,sp + 2c30: 0002e140 call 2e14 <_fstat_r> + 2c34: 10001816 blt r2,zero,2c98 <__smakebuf_r+0xa0> + 2c38: d8800117 ldw r2,4(sp) + 2c3c: 00e00014 movui r3,32768 + 2c40: 10bc000c andi r2,r2,61440 + 2c44: 14c80020 cmpeqi r19,r2,8192 + 2c48: 10c03726 beq r2,r3,2d28 <__smakebuf_r+0x130> + 2c4c: 80c0030b ldhu r3,12(r16) + 2c50: 18c20014 ori r3,r3,2048 + 2c54: 80c0030d sth r3,12(r16) + 2c58: 00c80004 movi r3,8192 + 2c5c: 10c0521e bne r2,r3,2da8 <__smakebuf_r+0x1b0> + 2c60: 8140038f ldh r5,14(r16) + 2c64: 8809883a mov r4,r17 + 2c68: 0002e700 call 2e70 <_isatty_r> + 2c6c: 10004c26 beq r2,zero,2da0 <__smakebuf_r+0x1a8> + 2c70: 8080030b ldhu r2,12(r16) + 2c74: 80c010c4 addi r3,r16,67 + 2c78: 80c00015 stw r3,0(r16) + 2c7c: 10800054 ori r2,r2,1 + 2c80: 8080030d sth r2,12(r16) + 2c84: 00800044 movi r2,1 + 2c88: 80c00415 stw r3,16(r16) + 2c8c: 80800515 stw r2,20(r16) + 2c90: 04810004 movi r18,1024 + 2c94: 00000706 br 2cb4 <__smakebuf_r+0xbc> + 2c98: 8080030b ldhu r2,12(r16) + 2c9c: 10c0200c andi r3,r2,128 + 2ca0: 18001f1e bne r3,zero,2d20 <__smakebuf_r+0x128> + 2ca4: 04810004 movi r18,1024 + 2ca8: 10820014 ori r2,r2,2048 + 2cac: 8080030d sth r2,12(r16) + 2cb0: 0027883a mov r19,zero + 2cb4: 900b883a mov r5,r18 + 2cb8: 8809883a mov r4,r17 + 2cbc: 0000e000 call e00 <_malloc_r> + 2cc0: 10002c26 beq r2,zero,2d74 <__smakebuf_r+0x17c> + 2cc4: 80c0030b ldhu r3,12(r16) + 2cc8: 01000034 movhi r4,0 + 2ccc: 21010204 addi r4,r4,1032 + 2cd0: 89000f15 stw r4,60(r17) + 2cd4: 18c02014 ori r3,r3,128 + 2cd8: 80c0030d sth r3,12(r16) + 2cdc: 80800015 stw r2,0(r16) + 2ce0: 80800415 stw r2,16(r16) + 2ce4: 84800515 stw r18,20(r16) + 2ce8: 98001a1e bne r19,zero,2d54 <__smakebuf_r+0x15c> + 2cec: dfc01317 ldw ra,76(sp) + 2cf0: dcc01217 ldw r19,72(sp) + 2cf4: dc801117 ldw r18,68(sp) + 2cf8: dc401017 ldw r17,64(sp) + 2cfc: dc000f17 ldw r16,60(sp) + 2d00: dec01404 addi sp,sp,80 + 2d04: f800283a ret + 2d08: 288010c4 addi r2,r5,67 + 2d0c: 28800015 stw r2,0(r5) + 2d10: 28800415 stw r2,16(r5) + 2d14: 00800044 movi r2,1 + 2d18: 28800515 stw r2,20(r5) + 2d1c: f800283a ret + 2d20: 04801004 movi r18,64 + 2d24: 003fe006 br 2ca8 <__smakebuf_r+0xb0> + 2d28: 81000a17 ldw r4,40(r16) + 2d2c: 00c00034 movhi r3,0 + 2d30: 18c85304 addi r3,r3,8524 + 2d34: 20ffc51e bne r4,r3,2c4c <__smakebuf_r+0x54> + 2d38: 8080030b ldhu r2,12(r16) + 2d3c: 04810004 movi r18,1024 + 2d40: 84801315 stw r18,76(r16) + 2d44: 1484b03a or r2,r2,r18 + 2d48: 8080030d sth r2,12(r16) + 2d4c: 0027883a mov r19,zero + 2d50: 003fd806 br 2cb4 <__smakebuf_r+0xbc> + 2d54: 8140038f ldh r5,14(r16) + 2d58: 8809883a mov r4,r17 + 2d5c: 0002e700 call 2e70 <_isatty_r> + 2d60: 103fe226 beq r2,zero,2cec <__smakebuf_r+0xf4> + 2d64: 8080030b ldhu r2,12(r16) + 2d68: 10800054 ori r2,r2,1 + 2d6c: 8080030d sth r2,12(r16) + 2d70: 003fde06 br 2cec <__smakebuf_r+0xf4> + 2d74: 8080030b ldhu r2,12(r16) + 2d78: 10c0800c andi r3,r2,512 + 2d7c: 183fdb1e bne r3,zero,2cec <__smakebuf_r+0xf4> + 2d80: 10800094 ori r2,r2,2 + 2d84: 80c010c4 addi r3,r16,67 + 2d88: 8080030d sth r2,12(r16) + 2d8c: 00800044 movi r2,1 + 2d90: 80c00015 stw r3,0(r16) + 2d94: 80c00415 stw r3,16(r16) + 2d98: 80800515 stw r2,20(r16) + 2d9c: 003fd306 br 2cec <__smakebuf_r+0xf4> + 2da0: 04810004 movi r18,1024 + 2da4: 003fc306 br 2cb4 <__smakebuf_r+0xbc> + 2da8: 0027883a mov r19,zero + 2dac: 04810004 movi r18,1024 + 2db0: 003fc006 br 2cb4 <__smakebuf_r+0xbc> + +00002db4 <_read_r>: + 2db4: defffd04 addi sp,sp,-12 + 2db8: 2805883a mov r2,r5 + 2dbc: dc000015 stw r16,0(sp) + 2dc0: 04000034 movhi r16,0 + 2dc4: dc400115 stw r17,4(sp) + 2dc8: 300b883a mov r5,r6 + 2dcc: 841c7104 addi r16,r16,29124 + 2dd0: 2023883a mov r17,r4 + 2dd4: 380d883a mov r6,r7 + 2dd8: 1009883a mov r4,r2 + 2ddc: dfc00215 stw ra,8(sp) + 2de0: 80000015 stw zero,0(r16) + 2de4: 00035d40 call 35d4 + 2de8: 00ffffc4 movi r3,-1 + 2dec: 10c00526 beq r2,r3,2e04 <_read_r+0x50> + 2df0: dfc00217 ldw ra,8(sp) + 2df4: dc400117 ldw r17,4(sp) + 2df8: dc000017 ldw r16,0(sp) + 2dfc: dec00304 addi sp,sp,12 + 2e00: f800283a ret + 2e04: 80c00017 ldw r3,0(r16) + 2e08: 183ff926 beq r3,zero,2df0 <_read_r+0x3c> + 2e0c: 88c00015 stw r3,0(r17) + 2e10: 003ff706 br 2df0 <_read_r+0x3c> + +00002e14 <_fstat_r>: + 2e14: defffd04 addi sp,sp,-12 + 2e18: 2805883a mov r2,r5 + 2e1c: dc000015 stw r16,0(sp) + 2e20: 04000034 movhi r16,0 + 2e24: dc400115 stw r17,4(sp) + 2e28: 841c7104 addi r16,r16,29124 + 2e2c: 2023883a mov r17,r4 + 2e30: 300b883a mov r5,r6 + 2e34: 1009883a mov r4,r2 + 2e38: dfc00215 stw ra,8(sp) + 2e3c: 80000015 stw zero,0(r16) + 2e40: 000321c0 call 321c + 2e44: 00ffffc4 movi r3,-1 + 2e48: 10c00526 beq r2,r3,2e60 <_fstat_r+0x4c> + 2e4c: dfc00217 ldw ra,8(sp) + 2e50: dc400117 ldw r17,4(sp) + 2e54: dc000017 ldw r16,0(sp) + 2e58: dec00304 addi sp,sp,12 + 2e5c: f800283a ret + 2e60: 80c00017 ldw r3,0(r16) + 2e64: 183ff926 beq r3,zero,2e4c <_fstat_r+0x38> + 2e68: 88c00015 stw r3,0(r17) + 2e6c: 003ff706 br 2e4c <_fstat_r+0x38> + +00002e70 <_isatty_r>: + 2e70: defffd04 addi sp,sp,-12 + 2e74: dc000015 stw r16,0(sp) + 2e78: 04000034 movhi r16,0 + 2e7c: dc400115 stw r17,4(sp) + 2e80: 841c7104 addi r16,r16,29124 + 2e84: 2023883a mov r17,r4 + 2e88: 2809883a mov r4,r5 + 2e8c: dfc00215 stw ra,8(sp) + 2e90: 80000015 stw zero,0(r16) + 2e94: 00033100 call 3310 + 2e98: 00ffffc4 movi r3,-1 + 2e9c: 10c00526 beq r2,r3,2eb4 <_isatty_r+0x44> + 2ea0: dfc00217 ldw ra,8(sp) + 2ea4: dc400117 ldw r17,4(sp) + 2ea8: dc000017 ldw r16,0(sp) + 2eac: dec00304 addi sp,sp,12 + 2eb0: f800283a ret + 2eb4: 80c00017 ldw r3,0(r16) + 2eb8: 183ff926 beq r3,zero,2ea0 <_isatty_r+0x30> + 2ebc: 88c00015 stw r3,0(r17) + 2ec0: 003ff706 br 2ea0 <_isatty_r+0x30> + +00002ec4 <__divsi3>: + 2ec4: 20001b16 blt r4,zero,2f34 <__divsi3+0x70> + 2ec8: 000f883a mov r7,zero + 2ecc: 28001616 blt r5,zero,2f28 <__divsi3+0x64> + 2ed0: 200d883a mov r6,r4 + 2ed4: 29001a2e bgeu r5,r4,2f40 <__divsi3+0x7c> + 2ed8: 00800804 movi r2,32 + 2edc: 00c00044 movi r3,1 + 2ee0: 00000106 br 2ee8 <__divsi3+0x24> + 2ee4: 10000d26 beq r2,zero,2f1c <__divsi3+0x58> + 2ee8: 294b883a add r5,r5,r5 + 2eec: 10bfffc4 addi r2,r2,-1 + 2ef0: 18c7883a add r3,r3,r3 + 2ef4: 293ffb36 bltu r5,r4,2ee4 <__divsi3+0x20> + 2ef8: 0005883a mov r2,zero + 2efc: 18000726 beq r3,zero,2f1c <__divsi3+0x58> + 2f00: 0005883a mov r2,zero + 2f04: 31400236 bltu r6,r5,2f10 <__divsi3+0x4c> + 2f08: 314dc83a sub r6,r6,r5 + 2f0c: 10c4b03a or r2,r2,r3 + 2f10: 1806d07a srli r3,r3,1 + 2f14: 280ad07a srli r5,r5,1 + 2f18: 183ffa1e bne r3,zero,2f04 <__divsi3+0x40> + 2f1c: 38000126 beq r7,zero,2f24 <__divsi3+0x60> + 2f20: 0085c83a sub r2,zero,r2 + 2f24: f800283a ret + 2f28: 014bc83a sub r5,zero,r5 + 2f2c: 39c0005c xori r7,r7,1 + 2f30: 003fe706 br 2ed0 <__divsi3+0xc> + 2f34: 0109c83a sub r4,zero,r4 + 2f38: 01c00044 movi r7,1 + 2f3c: 003fe306 br 2ecc <__divsi3+0x8> + 2f40: 00c00044 movi r3,1 + 2f44: 003fee06 br 2f00 <__divsi3+0x3c> + +00002f48 <__modsi3>: + 2f48: 20001716 blt r4,zero,2fa8 <__modsi3+0x60> + 2f4c: 000f883a mov r7,zero + 2f50: 2005883a mov r2,r4 + 2f54: 28001216 blt r5,zero,2fa0 <__modsi3+0x58> + 2f58: 2900162e bgeu r5,r4,2fb4 <__modsi3+0x6c> + 2f5c: 01800804 movi r6,32 + 2f60: 00c00044 movi r3,1 + 2f64: 00000106 br 2f6c <__modsi3+0x24> + 2f68: 30000a26 beq r6,zero,2f94 <__modsi3+0x4c> + 2f6c: 294b883a add r5,r5,r5 + 2f70: 31bfffc4 addi r6,r6,-1 + 2f74: 18c7883a add r3,r3,r3 + 2f78: 293ffb36 bltu r5,r4,2f68 <__modsi3+0x20> + 2f7c: 18000526 beq r3,zero,2f94 <__modsi3+0x4c> + 2f80: 1806d07a srli r3,r3,1 + 2f84: 11400136 bltu r2,r5,2f8c <__modsi3+0x44> + 2f88: 1145c83a sub r2,r2,r5 + 2f8c: 280ad07a srli r5,r5,1 + 2f90: 183ffb1e bne r3,zero,2f80 <__modsi3+0x38> + 2f94: 38000126 beq r7,zero,2f9c <__modsi3+0x54> + 2f98: 0085c83a sub r2,zero,r2 + 2f9c: f800283a ret + 2fa0: 014bc83a sub r5,zero,r5 + 2fa4: 003fec06 br 2f58 <__modsi3+0x10> + 2fa8: 0109c83a sub r4,zero,r4 + 2fac: 01c00044 movi r7,1 + 2fb0: 003fe706 br 2f50 <__modsi3+0x8> + 2fb4: 00c00044 movi r3,1 + 2fb8: 003ff106 br 2f80 <__modsi3+0x38> + +00002fbc <__udivsi3>: + 2fbc: 200d883a mov r6,r4 + 2fc0: 2900152e bgeu r5,r4,3018 <__udivsi3+0x5c> + 2fc4: 28001416 blt r5,zero,3018 <__udivsi3+0x5c> + 2fc8: 00800804 movi r2,32 + 2fcc: 00c00044 movi r3,1 + 2fd0: 00000206 br 2fdc <__udivsi3+0x20> + 2fd4: 10000e26 beq r2,zero,3010 <__udivsi3+0x54> + 2fd8: 28000516 blt r5,zero,2ff0 <__udivsi3+0x34> + 2fdc: 294b883a add r5,r5,r5 + 2fe0: 10bfffc4 addi r2,r2,-1 + 2fe4: 18c7883a add r3,r3,r3 + 2fe8: 293ffa36 bltu r5,r4,2fd4 <__udivsi3+0x18> + 2fec: 18000826 beq r3,zero,3010 <__udivsi3+0x54> + 2ff0: 0005883a mov r2,zero + 2ff4: 31400236 bltu r6,r5,3000 <__udivsi3+0x44> + 2ff8: 314dc83a sub r6,r6,r5 + 2ffc: 10c4b03a or r2,r2,r3 + 3000: 1806d07a srli r3,r3,1 + 3004: 280ad07a srli r5,r5,1 + 3008: 183ffa1e bne r3,zero,2ff4 <__udivsi3+0x38> + 300c: f800283a ret + 3010: 0005883a mov r2,zero + 3014: f800283a ret + 3018: 00c00044 movi r3,1 + 301c: 003ff406 br 2ff0 <__udivsi3+0x34> + +00003020 <__umodsi3>: + 3020: 2005883a mov r2,r4 + 3024: 2900122e bgeu r5,r4,3070 <__umodsi3+0x50> + 3028: 28001116 blt r5,zero,3070 <__umodsi3+0x50> + 302c: 01800804 movi r6,32 + 3030: 00c00044 movi r3,1 + 3034: 00000206 br 3040 <__umodsi3+0x20> + 3038: 30000c26 beq r6,zero,306c <__umodsi3+0x4c> + 303c: 28000516 blt r5,zero,3054 <__umodsi3+0x34> + 3040: 294b883a add r5,r5,r5 + 3044: 31bfffc4 addi r6,r6,-1 + 3048: 18c7883a add r3,r3,r3 + 304c: 293ffa36 bltu r5,r4,3038 <__umodsi3+0x18> + 3050: 18000626 beq r3,zero,306c <__umodsi3+0x4c> + 3054: 1806d07a srli r3,r3,1 + 3058: 11400136 bltu r2,r5,3060 <__umodsi3+0x40> + 305c: 1145c83a sub r2,r2,r5 + 3060: 280ad07a srli r5,r5,1 + 3064: 183ffb1e bne r3,zero,3054 <__umodsi3+0x34> + 3068: f800283a ret + 306c: f800283a ret + 3070: 00c00044 movi r3,1 + 3074: 003ff706 br 3054 <__umodsi3+0x34> + +00003078 <__mulsi3>: + 3078: 0005883a mov r2,zero + 307c: 20000726 beq r4,zero,309c <__mulsi3+0x24> + 3080: 20c0004c andi r3,r4,1 + 3084: 2008d07a srli r4,r4,1 + 3088: 18000126 beq r3,zero,3090 <__mulsi3+0x18> + 308c: 1145883a add r2,r2,r5 + 3090: 294b883a add r5,r5,r5 + 3094: 203ffa1e bne r4,zero,3080 <__mulsi3+0x8> + 3098: f800283a ret + 309c: f800283a ret + +000030a0 : + 30a0: defffe04 addi sp,sp,-8 + 30a4: dfc00115 stw ra,4(sp) + 30a8: df000015 stw fp,0(sp) + 30ac: d839883a mov fp,sp + 30b0: d0a00917 ldw r2,-32732(gp) + 30b4: 10000326 beq r2,zero,30c4 + 30b8: d0a00917 ldw r2,-32732(gp) + 30bc: 103ee83a callr r2 + 30c0: 00000106 br 30c8 + 30c4: d0a01104 addi r2,gp,-32700 + 30c8: e037883a mov sp,fp + 30cc: dfc00117 ldw ra,4(sp) + 30d0: df000017 ldw fp,0(sp) + 30d4: dec00204 addi sp,sp,8 + 30d8: f800283a ret + +000030dc : + 30dc: defffb04 addi sp,sp,-20 + 30e0: dfc00415 stw ra,16(sp) + 30e4: df000315 stw fp,12(sp) + 30e8: df000304 addi fp,sp,12 + 30ec: e13fff15 stw r4,-4(fp) + 30f0: e0bfff17 ldw r2,-4(fp) + 30f4: 10000816 blt r2,zero,3118 + 30f8: 01400304 movi r5,12 + 30fc: e13fff17 ldw r4,-4(fp) + 3100: 00030780 call 3078 <__mulsi3> + 3104: 1007883a mov r3,r2 + 3108: 00800034 movhi r2,0 + 310c: 1097e804 addi r2,r2,24480 + 3110: 1885883a add r2,r3,r2 + 3114: 00000106 br 311c + 3118: 0005883a mov r2,zero + 311c: e0bffd15 stw r2,-12(fp) + 3120: e0bffd17 ldw r2,-12(fp) + 3124: 10001926 beq r2,zero,318c + 3128: e0bffd17 ldw r2,-12(fp) + 312c: 10800017 ldw r2,0(r2) + 3130: 10800417 ldw r2,16(r2) + 3134: 10000626 beq r2,zero,3150 + 3138: e0bffd17 ldw r2,-12(fp) + 313c: 10800017 ldw r2,0(r2) + 3140: 10800417 ldw r2,16(r2) + 3144: e13ffd17 ldw r4,-12(fp) + 3148: 103ee83a callr r2 + 314c: 00000106 br 3154 + 3150: 0005883a mov r2,zero + 3154: e0bffe15 stw r2,-8(fp) + 3158: e13fff17 ldw r4,-4(fp) + 315c: 00036d80 call 36d8 + 3160: e0bffe17 ldw r2,-8(fp) + 3164: 1000070e bge r2,zero,3184 + 3168: 00030a00 call 30a0 + 316c: 1007883a mov r3,r2 + 3170: e0bffe17 ldw r2,-8(fp) + 3174: 0085c83a sub r2,zero,r2 + 3178: 18800015 stw r2,0(r3) + 317c: 00bfffc4 movi r2,-1 + 3180: 00000706 br 31a0 + 3184: 0005883a mov r2,zero + 3188: 00000506 br 31a0 + 318c: 00030a00 call 30a0 + 3190: 1007883a mov r3,r2 + 3194: 00801444 movi r2,81 + 3198: 18800015 stw r2,0(r3) + 319c: 00bfffc4 movi r2,-1 + 31a0: e037883a mov sp,fp + 31a4: dfc00117 ldw ra,4(sp) + 31a8: df000017 ldw fp,0(sp) + 31ac: dec00204 addi sp,sp,8 + 31b0: f800283a ret + +000031b4 : + 31b4: defffc04 addi sp,sp,-16 + 31b8: df000315 stw fp,12(sp) + 31bc: df000304 addi fp,sp,12 + 31c0: e13ffd15 stw r4,-12(fp) + 31c4: e17ffe15 stw r5,-8(fp) + 31c8: e1bfff15 stw r6,-4(fp) + 31cc: e0bfff17 ldw r2,-4(fp) + 31d0: e037883a mov sp,fp + 31d4: df000017 ldw fp,0(sp) + 31d8: dec00104 addi sp,sp,4 + 31dc: f800283a ret + +000031e0 : + 31e0: defffe04 addi sp,sp,-8 + 31e4: dfc00115 stw ra,4(sp) + 31e8: df000015 stw fp,0(sp) + 31ec: d839883a mov fp,sp + 31f0: d0a00917 ldw r2,-32732(gp) + 31f4: 10000326 beq r2,zero,3204 + 31f8: d0a00917 ldw r2,-32732(gp) + 31fc: 103ee83a callr r2 + 3200: 00000106 br 3208 + 3204: d0a01104 addi r2,gp,-32700 + 3208: e037883a mov sp,fp + 320c: dfc00117 ldw ra,4(sp) + 3210: df000017 ldw fp,0(sp) + 3214: dec00204 addi sp,sp,8 + 3218: f800283a ret + +0000321c : + 321c: defffb04 addi sp,sp,-20 + 3220: dfc00415 stw ra,16(sp) + 3224: df000315 stw fp,12(sp) + 3228: df000304 addi fp,sp,12 + 322c: e13ffe15 stw r4,-8(fp) + 3230: e17fff15 stw r5,-4(fp) + 3234: e0bffe17 ldw r2,-8(fp) + 3238: 10000816 blt r2,zero,325c + 323c: 01400304 movi r5,12 + 3240: e13ffe17 ldw r4,-8(fp) + 3244: 00030780 call 3078 <__mulsi3> + 3248: 1007883a mov r3,r2 + 324c: 00800034 movhi r2,0 + 3250: 1097e804 addi r2,r2,24480 + 3254: 1885883a add r2,r3,r2 + 3258: 00000106 br 3260 + 325c: 0005883a mov r2,zero + 3260: e0bffd15 stw r2,-12(fp) + 3264: e0bffd17 ldw r2,-12(fp) + 3268: 10001026 beq r2,zero,32ac + 326c: e0bffd17 ldw r2,-12(fp) + 3270: 10800017 ldw r2,0(r2) + 3274: 10800817 ldw r2,32(r2) + 3278: 10000726 beq r2,zero,3298 + 327c: e0bffd17 ldw r2,-12(fp) + 3280: 10800017 ldw r2,0(r2) + 3284: 10800817 ldw r2,32(r2) + 3288: e17fff17 ldw r5,-4(fp) + 328c: e13ffd17 ldw r4,-12(fp) + 3290: 103ee83a callr r2 + 3294: 00000a06 br 32c0 + 3298: e0bfff17 ldw r2,-4(fp) + 329c: 00c80004 movi r3,8192 + 32a0: 10c00115 stw r3,4(r2) + 32a4: 0005883a mov r2,zero + 32a8: 00000506 br 32c0 + 32ac: 00031e00 call 31e0 + 32b0: 1007883a mov r3,r2 + 32b4: 00801444 movi r2,81 + 32b8: 18800015 stw r2,0(r3) + 32bc: 00bfffc4 movi r2,-1 + 32c0: e037883a mov sp,fp + 32c4: dfc00117 ldw ra,4(sp) + 32c8: df000017 ldw fp,0(sp) + 32cc: dec00204 addi sp,sp,8 + 32d0: f800283a ret + +000032d4 : + 32d4: defffe04 addi sp,sp,-8 + 32d8: dfc00115 stw ra,4(sp) + 32dc: df000015 stw fp,0(sp) + 32e0: d839883a mov fp,sp + 32e4: d0a00917 ldw r2,-32732(gp) + 32e8: 10000326 beq r2,zero,32f8 + 32ec: d0a00917 ldw r2,-32732(gp) + 32f0: 103ee83a callr r2 + 32f4: 00000106 br 32fc + 32f8: d0a01104 addi r2,gp,-32700 + 32fc: e037883a mov sp,fp + 3300: dfc00117 ldw ra,4(sp) + 3304: df000017 ldw fp,0(sp) + 3308: dec00204 addi sp,sp,8 + 330c: f800283a ret + +00003310 : + 3310: deffed04 addi sp,sp,-76 + 3314: dfc01215 stw ra,72(sp) + 3318: df001115 stw fp,68(sp) + 331c: df001104 addi fp,sp,68 + 3320: e13fff15 stw r4,-4(fp) + 3324: e0bfff17 ldw r2,-4(fp) + 3328: 10000816 blt r2,zero,334c + 332c: 01400304 movi r5,12 + 3330: e13fff17 ldw r4,-4(fp) + 3334: 00030780 call 3078 <__mulsi3> + 3338: 1007883a mov r3,r2 + 333c: 00800034 movhi r2,0 + 3340: 1097e804 addi r2,r2,24480 + 3344: 1885883a add r2,r3,r2 + 3348: 00000106 br 3350 + 334c: 0005883a mov r2,zero + 3350: e0bfef15 stw r2,-68(fp) + 3354: e0bfef17 ldw r2,-68(fp) + 3358: 10000e26 beq r2,zero,3394 + 335c: e0bfef17 ldw r2,-68(fp) + 3360: 10800017 ldw r2,0(r2) + 3364: 10800817 ldw r2,32(r2) + 3368: 1000021e bne r2,zero,3374 + 336c: 00800044 movi r2,1 + 3370: 00000d06 br 33a8 + 3374: e0bff004 addi r2,fp,-64 + 3378: 100b883a mov r5,r2 + 337c: e13fff17 ldw r4,-4(fp) + 3380: 000321c0 call 321c + 3384: e0bff117 ldw r2,-60(fp) + 3388: 10880020 cmpeqi r2,r2,8192 + 338c: 10803fcc andi r2,r2,255 + 3390: 00000506 br 33a8 + 3394: 00032d40 call 32d4 + 3398: 1007883a mov r3,r2 + 339c: 00801444 movi r2,81 + 33a0: 18800015 stw r2,0(r3) + 33a4: 0005883a mov r2,zero + 33a8: e037883a mov sp,fp + 33ac: dfc00117 ldw ra,4(sp) + 33b0: df000017 ldw fp,0(sp) + 33b4: dec00204 addi sp,sp,8 + 33b8: f800283a ret + +000033bc : + 33bc: defffe04 addi sp,sp,-8 + 33c0: dfc00115 stw ra,4(sp) + 33c4: df000015 stw fp,0(sp) + 33c8: d839883a mov fp,sp + 33cc: d0a00917 ldw r2,-32732(gp) + 33d0: 10000326 beq r2,zero,33e0 + 33d4: d0a00917 ldw r2,-32732(gp) + 33d8: 103ee83a callr r2 + 33dc: 00000106 br 33e4 + 33e0: d0a01104 addi r2,gp,-32700 + 33e4: e037883a mov sp,fp + 33e8: dfc00117 ldw ra,4(sp) + 33ec: df000017 ldw fp,0(sp) + 33f0: dec00204 addi sp,sp,8 + 33f4: f800283a ret + +000033f8 : + 33f8: defff904 addi sp,sp,-28 + 33fc: dfc00615 stw ra,24(sp) + 3400: df000515 stw fp,20(sp) + 3404: df000504 addi fp,sp,20 + 3408: e13ffd15 stw r4,-12(fp) + 340c: e17ffe15 stw r5,-8(fp) + 3410: e1bfff15 stw r6,-4(fp) + 3414: e03ffb15 stw zero,-20(fp) + 3418: e0bffd17 ldw r2,-12(fp) + 341c: 10000816 blt r2,zero,3440 + 3420: 01400304 movi r5,12 + 3424: e13ffd17 ldw r4,-12(fp) + 3428: 00030780 call 3078 <__mulsi3> + 342c: 1007883a mov r3,r2 + 3430: 00800034 movhi r2,0 + 3434: 1097e804 addi r2,r2,24480 + 3438: 1885883a add r2,r3,r2 + 343c: 00000106 br 3444 + 3440: 0005883a mov r2,zero + 3444: e0bffc15 stw r2,-16(fp) + 3448: e0bffc17 ldw r2,-16(fp) + 344c: 10001026 beq r2,zero,3490 + 3450: e0bffc17 ldw r2,-16(fp) + 3454: 10800017 ldw r2,0(r2) + 3458: 10800717 ldw r2,28(r2) + 345c: 10000926 beq r2,zero,3484 + 3460: e0bffc17 ldw r2,-16(fp) + 3464: 10800017 ldw r2,0(r2) + 3468: 10800717 ldw r2,28(r2) + 346c: e1bfff17 ldw r6,-4(fp) + 3470: e17ffe17 ldw r5,-8(fp) + 3474: e13ffc17 ldw r4,-16(fp) + 3478: 103ee83a callr r2 + 347c: e0bffb15 stw r2,-20(fp) + 3480: 00000506 br 3498 + 3484: 00bfde84 movi r2,-134 + 3488: e0bffb15 stw r2,-20(fp) + 348c: 00000206 br 3498 + 3490: 00bfebc4 movi r2,-81 + 3494: e0bffb15 stw r2,-20(fp) + 3498: e0bffb17 ldw r2,-20(fp) + 349c: 1000070e bge r2,zero,34bc + 34a0: 00033bc0 call 33bc + 34a4: 1007883a mov r3,r2 + 34a8: e0bffb17 ldw r2,-20(fp) + 34ac: 0085c83a sub r2,zero,r2 + 34b0: 18800015 stw r2,0(r3) + 34b4: 00bfffc4 movi r2,-1 + 34b8: e0bffb15 stw r2,-20(fp) + 34bc: e0bffb17 ldw r2,-20(fp) + 34c0: e037883a mov sp,fp + 34c4: dfc00117 ldw ra,4(sp) + 34c8: df000017 ldw fp,0(sp) + 34cc: dec00204 addi sp,sp,8 + 34d0: f800283a ret + +000034d4 : + 34d4: defffd04 addi sp,sp,-12 + 34d8: dfc00215 stw ra,8(sp) + 34dc: df000115 stw fp,4(sp) + 34e0: df000104 addi fp,sp,4 + 34e4: 0009883a mov r4,zero + 34e8: 000397c0 call 397c + 34ec: 0001883a nop + 34f0: 00039b40 call 39b4 + 34f4: 01800034 movhi r6,0 + 34f8: 3195cb04 addi r6,r6,22316 + 34fc: 01400034 movhi r5,0 + 3500: 2955cb04 addi r5,r5,22316 + 3504: 01000034 movhi r4,0 + 3508: 2115cb04 addi r4,r4,22316 + 350c: 0004bbc0 call 4bbc + 3510: 00047780 call 4778 <_do_ctors> + 3514: 01000034 movhi r4,0 + 3518: 2111f604 addi r4,r4,18392 + 351c: 000537c0 call 537c + 3520: d0a01217 ldw r2,-32696(gp) + 3524: d0e01317 ldw r3,-32692(gp) + 3528: d1201417 ldw r4,-32688(gp) + 352c: 200d883a mov r6,r4 + 3530: 180b883a mov r5,r3 + 3534: 1009883a mov r4,r2 + 3538: 00002680 call 268
+ 353c: e0bfff15 stw r2,-4(fp) + 3540: 01000044 movi r4,1 + 3544: 00030dc0 call 30dc + 3548: e13fff17 ldw r4,-4(fp) + 354c: 00053900 call 5390 + +00003550 <__malloc_lock>: + 3550: defffe04 addi sp,sp,-8 + 3554: df000115 stw fp,4(sp) + 3558: df000104 addi fp,sp,4 + 355c: e13fff15 stw r4,-4(fp) + 3560: 0001883a nop + 3564: e037883a mov sp,fp + 3568: df000017 ldw fp,0(sp) + 356c: dec00104 addi sp,sp,4 + 3570: f800283a ret + +00003574 <__malloc_unlock>: + 3574: defffe04 addi sp,sp,-8 + 3578: df000115 stw fp,4(sp) + 357c: df000104 addi fp,sp,4 + 3580: e13fff15 stw r4,-4(fp) + 3584: 0001883a nop + 3588: e037883a mov sp,fp + 358c: df000017 ldw fp,0(sp) + 3590: dec00104 addi sp,sp,4 + 3594: f800283a ret + +00003598 : + 3598: defffe04 addi sp,sp,-8 + 359c: dfc00115 stw ra,4(sp) + 35a0: df000015 stw fp,0(sp) + 35a4: d839883a mov fp,sp + 35a8: d0a00917 ldw r2,-32732(gp) + 35ac: 10000326 beq r2,zero,35bc + 35b0: d0a00917 ldw r2,-32732(gp) + 35b4: 103ee83a callr r2 + 35b8: 00000106 br 35c0 + 35bc: d0a01104 addi r2,gp,-32700 + 35c0: e037883a mov sp,fp + 35c4: dfc00117 ldw ra,4(sp) + 35c8: df000017 ldw fp,0(sp) + 35cc: dec00204 addi sp,sp,8 + 35d0: f800283a ret + +000035d4 : + 35d4: defff904 addi sp,sp,-28 + 35d8: dfc00615 stw ra,24(sp) + 35dc: df000515 stw fp,20(sp) + 35e0: df000504 addi fp,sp,20 + 35e4: e13ffd15 stw r4,-12(fp) + 35e8: e17ffe15 stw r5,-8(fp) + 35ec: e1bfff15 stw r6,-4(fp) + 35f0: e0bffd17 ldw r2,-12(fp) + 35f4: 10000816 blt r2,zero,3618 + 35f8: 01400304 movi r5,12 + 35fc: e13ffd17 ldw r4,-12(fp) + 3600: 00030780 call 3078 <__mulsi3> + 3604: 1007883a mov r3,r2 + 3608: 00800034 movhi r2,0 + 360c: 1097e804 addi r2,r2,24480 + 3610: 1885883a add r2,r3,r2 + 3614: 00000106 br 361c + 3618: 0005883a mov r2,zero + 361c: e0bffb15 stw r2,-20(fp) + 3620: e0bffb17 ldw r2,-20(fp) + 3624: 10002226 beq r2,zero,36b0 + 3628: e0bffb17 ldw r2,-20(fp) + 362c: 10800217 ldw r2,8(r2) + 3630: 108000cc andi r2,r2,3 + 3634: 10800060 cmpeqi r2,r2,1 + 3638: 1000181e bne r2,zero,369c + 363c: e0bffb17 ldw r2,-20(fp) + 3640: 10800017 ldw r2,0(r2) + 3644: 10800517 ldw r2,20(r2) + 3648: 10001426 beq r2,zero,369c + 364c: e0bffb17 ldw r2,-20(fp) + 3650: 10800017 ldw r2,0(r2) + 3654: 10800517 ldw r2,20(r2) + 3658: e0ffff17 ldw r3,-4(fp) + 365c: 180d883a mov r6,r3 + 3660: e17ffe17 ldw r5,-8(fp) + 3664: e13ffb17 ldw r4,-20(fp) + 3668: 103ee83a callr r2 + 366c: e0bffc15 stw r2,-16(fp) + 3670: e0bffc17 ldw r2,-16(fp) + 3674: 1000070e bge r2,zero,3694 + 3678: 00035980 call 3598 + 367c: 1007883a mov r3,r2 + 3680: e0bffc17 ldw r2,-16(fp) + 3684: 0085c83a sub r2,zero,r2 + 3688: 18800015 stw r2,0(r3) + 368c: 00bfffc4 movi r2,-1 + 3690: 00000c06 br 36c4 + 3694: e0bffc17 ldw r2,-16(fp) + 3698: 00000a06 br 36c4 + 369c: 00035980 call 3598 + 36a0: 1007883a mov r3,r2 + 36a4: 00800344 movi r2,13 + 36a8: 18800015 stw r2,0(r3) + 36ac: 00000406 br 36c0 + 36b0: 00035980 call 3598 + 36b4: 1007883a mov r3,r2 + 36b8: 00801444 movi r2,81 + 36bc: 18800015 stw r2,0(r3) + 36c0: 00bfffc4 movi r2,-1 + 36c4: e037883a mov sp,fp + 36c8: dfc00117 ldw ra,4(sp) + 36cc: df000017 ldw fp,0(sp) + 36d0: dec00204 addi sp,sp,8 + 36d4: f800283a ret + +000036d8 : + 36d8: defffc04 addi sp,sp,-16 + 36dc: dfc00315 stw ra,12(sp) + 36e0: df000215 stw fp,8(sp) + 36e4: dc000115 stw r16,4(sp) + 36e8: df000204 addi fp,sp,8 + 36ec: e13ffe15 stw r4,-8(fp) + 36f0: e0bffe17 ldw r2,-8(fp) + 36f4: 108000d0 cmplti r2,r2,3 + 36f8: 1000111e bne r2,zero,3740 + 36fc: 04000034 movhi r16,0 + 3700: 8417e804 addi r16,r16,24480 + 3704: e0bffe17 ldw r2,-8(fp) + 3708: 01400304 movi r5,12 + 370c: 1009883a mov r4,r2 + 3710: 00030780 call 3078 <__mulsi3> + 3714: 8085883a add r2,r16,r2 + 3718: 10800204 addi r2,r2,8 + 371c: 10000015 stw zero,0(r2) + 3720: 04000034 movhi r16,0 + 3724: 8417e804 addi r16,r16,24480 + 3728: e0bffe17 ldw r2,-8(fp) + 372c: 01400304 movi r5,12 + 3730: 1009883a mov r4,r2 + 3734: 00030780 call 3078 <__mulsi3> + 3738: 8085883a add r2,r16,r2 + 373c: 10000015 stw zero,0(r2) + 3740: 0001883a nop + 3744: e6ffff04 addi sp,fp,-4 + 3748: dfc00217 ldw ra,8(sp) + 374c: df000117 ldw fp,4(sp) + 3750: dc000017 ldw r16,0(sp) + 3754: dec00304 addi sp,sp,12 + 3758: f800283a ret + +0000375c : + 375c: defff904 addi sp,sp,-28 + 3760: df000615 stw fp,24(sp) + 3764: df000604 addi fp,sp,24 + 3768: e13fff15 stw r4,-4(fp) + 376c: 0005303a rdctl r2,status + 3770: e0bffe15 stw r2,-8(fp) + 3774: e0fffe17 ldw r3,-8(fp) + 3778: 00bfff84 movi r2,-2 + 377c: 1884703a and r2,r3,r2 + 3780: 1001703a wrctl status,r2 + 3784: e0bffe17 ldw r2,-8(fp) + 3788: e0bffb15 stw r2,-20(fp) + 378c: d0a00a17 ldw r2,-32728(gp) + 3790: 10c000c4 addi r3,r2,3 + 3794: 00bfff04 movi r2,-4 + 3798: 1884703a and r2,r3,r2 + 379c: d0a00a15 stw r2,-32728(gp) + 37a0: d0e00a17 ldw r3,-32728(gp) + 37a4: e0bfff17 ldw r2,-4(fp) + 37a8: 1887883a add r3,r3,r2 + 37ac: 00800074 movhi r2,1 + 37b0: 10a00004 addi r2,r2,-32768 + 37b4: 10c0062e bgeu r2,r3,37d0 + 37b8: e0bffb17 ldw r2,-20(fp) + 37bc: e0bffa15 stw r2,-24(fp) + 37c0: e0bffa17 ldw r2,-24(fp) + 37c4: 1001703a wrctl status,r2 + 37c8: 00bfffc4 movi r2,-1 + 37cc: 00000b06 br 37fc + 37d0: d0a00a17 ldw r2,-32728(gp) + 37d4: e0bffd15 stw r2,-12(fp) + 37d8: d0e00a17 ldw r3,-32728(gp) + 37dc: e0bfff17 ldw r2,-4(fp) + 37e0: 1885883a add r2,r3,r2 + 37e4: d0a00a15 stw r2,-32728(gp) + 37e8: e0bffb17 ldw r2,-20(fp) + 37ec: e0bffc15 stw r2,-16(fp) + 37f0: e0bffc17 ldw r2,-16(fp) + 37f4: 1001703a wrctl status,r2 + 37f8: e0bffd17 ldw r2,-12(fp) + 37fc: e037883a mov sp,fp + 3800: df000017 ldw fp,0(sp) + 3804: dec00104 addi sp,sp,4 + 3808: f800283a ret + +0000380c : + 380c: defffe04 addi sp,sp,-8 + 3810: dfc00115 stw ra,4(sp) + 3814: df000015 stw fp,0(sp) + 3818: d839883a mov fp,sp + 381c: d0a00917 ldw r2,-32732(gp) + 3820: 10000326 beq r2,zero,3830 + 3824: d0a00917 ldw r2,-32732(gp) + 3828: 103ee83a callr r2 + 382c: 00000106 br 3834 + 3830: d0a01104 addi r2,gp,-32700 + 3834: e037883a mov sp,fp + 3838: dfc00117 ldw ra,4(sp) + 383c: df000017 ldw fp,0(sp) + 3840: dec00204 addi sp,sp,8 + 3844: f800283a ret + +00003848 : + 3848: defff904 addi sp,sp,-28 + 384c: dfc00615 stw ra,24(sp) + 3850: df000515 stw fp,20(sp) + 3854: df000504 addi fp,sp,20 + 3858: e13ffd15 stw r4,-12(fp) + 385c: e17ffe15 stw r5,-8(fp) + 3860: e1bfff15 stw r6,-4(fp) + 3864: e0bffd17 ldw r2,-12(fp) + 3868: 10000816 blt r2,zero,388c + 386c: 01400304 movi r5,12 + 3870: e13ffd17 ldw r4,-12(fp) + 3874: 00030780 call 3078 <__mulsi3> + 3878: 1007883a mov r3,r2 + 387c: 00800034 movhi r2,0 + 3880: 1097e804 addi r2,r2,24480 + 3884: 1885883a add r2,r3,r2 + 3888: 00000106 br 3890 + 388c: 0005883a mov r2,zero + 3890: e0bffb15 stw r2,-20(fp) + 3894: e0bffb17 ldw r2,-20(fp) + 3898: 10002126 beq r2,zero,3920 + 389c: e0bffb17 ldw r2,-20(fp) + 38a0: 10800217 ldw r2,8(r2) + 38a4: 108000cc andi r2,r2,3 + 38a8: 10001826 beq r2,zero,390c + 38ac: e0bffb17 ldw r2,-20(fp) + 38b0: 10800017 ldw r2,0(r2) + 38b4: 10800617 ldw r2,24(r2) + 38b8: 10001426 beq r2,zero,390c + 38bc: e0bffb17 ldw r2,-20(fp) + 38c0: 10800017 ldw r2,0(r2) + 38c4: 10800617 ldw r2,24(r2) + 38c8: e0ffff17 ldw r3,-4(fp) + 38cc: 180d883a mov r6,r3 + 38d0: e17ffe17 ldw r5,-8(fp) + 38d4: e13ffb17 ldw r4,-20(fp) + 38d8: 103ee83a callr r2 + 38dc: e0bffc15 stw r2,-16(fp) + 38e0: e0bffc17 ldw r2,-16(fp) + 38e4: 1000070e bge r2,zero,3904 + 38e8: 000380c0 call 380c + 38ec: 1007883a mov r3,r2 + 38f0: e0bffc17 ldw r2,-16(fp) + 38f4: 0085c83a sub r2,zero,r2 + 38f8: 18800015 stw r2,0(r3) + 38fc: 00bfffc4 movi r2,-1 + 3900: 00000c06 br 3934 + 3904: e0bffc17 ldw r2,-16(fp) + 3908: 00000a06 br 3934 + 390c: 000380c0 call 380c + 3910: 1007883a mov r3,r2 + 3914: 00800344 movi r2,13 + 3918: 18800015 stw r2,0(r3) + 391c: 00000406 br 3930 + 3920: 000380c0 call 380c + 3924: 1007883a mov r3,r2 + 3928: 00801444 movi r2,81 + 392c: 18800015 stw r2,0(r3) + 3930: 00bfffc4 movi r2,-1 + 3934: e037883a mov sp,fp + 3938: dfc00117 ldw ra,4(sp) + 393c: df000017 ldw fp,0(sp) + 3940: dec00204 addi sp,sp,8 + 3944: f800283a ret + +00003948 : + 3948: defffd04 addi sp,sp,-12 + 394c: dfc00215 stw ra,8(sp) + 3950: df000115 stw fp,4(sp) + 3954: df000104 addi fp,sp,4 + 3958: e13fff15 stw r4,-4(fp) + 395c: d1600604 addi r5,gp,-32744 + 3960: e13fff17 ldw r4,-4(fp) + 3964: 00046d40 call 46d4 + 3968: e037883a mov sp,fp + 396c: dfc00117 ldw ra,4(sp) + 3970: df000017 ldw fp,0(sp) + 3974: dec00204 addi sp,sp,8 + 3978: f800283a ret + +0000397c : + 397c: defffd04 addi sp,sp,-12 + 3980: dfc00215 stw ra,8(sp) + 3984: df000115 stw fp,4(sp) + 3988: df000104 addi fp,sp,4 + 398c: e13fff15 stw r4,-4(fp) + 3990: 00050600 call 5060 + 3994: 00800044 movi r2,1 + 3998: 1001703a wrctl status,r2 + 399c: 0001883a nop + 39a0: e037883a mov sp,fp + 39a4: dfc00117 ldw ra,4(sp) + 39a8: df000017 ldw fp,0(sp) + 39ac: dec00204 addi sp,sp,8 + 39b0: f800283a ret + +000039b4 : + 39b4: defffe04 addi sp,sp,-8 + 39b8: dfc00115 stw ra,4(sp) + 39bc: df000015 stw fp,0(sp) + 39c0: d839883a mov fp,sp + 39c4: 01c0fa04 movi r7,1000 + 39c8: 000d883a mov r6,zero + 39cc: 000b883a mov r5,zero + 39d0: 01240014 movui r4,36864 + 39d4: 00044f00 call 44f0 + 39d8: 01800044 movi r6,1 + 39dc: 000b883a mov r5,zero + 39e0: 01000034 movhi r4,0 + 39e4: 21185204 addi r4,r4,24904 + 39e8: 0003b740 call 3b74 + 39ec: 01000034 movhi r4,0 + 39f0: 21184804 addi r4,r4,24864 + 39f4: 00039480 call 3948 + 39f8: 0001883a nop + 39fc: e037883a mov sp,fp + 3a00: dfc00117 ldw ra,4(sp) + 3a04: df000017 ldw fp,0(sp) + 3a08: dec00204 addi sp,sp,8 + 3a0c: f800283a ret + +00003a10 : + 3a10: defffa04 addi sp,sp,-24 + 3a14: dfc00515 stw ra,20(sp) + 3a18: df000415 stw fp,16(sp) + 3a1c: df000404 addi fp,sp,16 + 3a20: e13ffd15 stw r4,-12(fp) + 3a24: e17ffe15 stw r5,-8(fp) + 3a28: e1bfff15 stw r6,-4(fp) + 3a2c: e0bffd17 ldw r2,-12(fp) + 3a30: 10800017 ldw r2,0(r2) + 3a34: e0bffc15 stw r2,-16(fp) + 3a38: e0bffc17 ldw r2,-16(fp) + 3a3c: 10c00a04 addi r3,r2,40 + 3a40: e0bffd17 ldw r2,-12(fp) + 3a44: 10800217 ldw r2,8(r2) + 3a48: 100f883a mov r7,r2 + 3a4c: e1bfff17 ldw r6,-4(fp) + 3a50: e17ffe17 ldw r5,-8(fp) + 3a54: 1809883a mov r4,r3 + 3a58: 00040380 call 4038 + 3a5c: e037883a mov sp,fp + 3a60: dfc00117 ldw ra,4(sp) + 3a64: df000017 ldw fp,0(sp) + 3a68: dec00204 addi sp,sp,8 + 3a6c: f800283a ret + +00003a70 : + 3a70: defffa04 addi sp,sp,-24 + 3a74: dfc00515 stw ra,20(sp) + 3a78: df000415 stw fp,16(sp) + 3a7c: df000404 addi fp,sp,16 + 3a80: e13ffd15 stw r4,-12(fp) + 3a84: e17ffe15 stw r5,-8(fp) + 3a88: e1bfff15 stw r6,-4(fp) + 3a8c: e0bffd17 ldw r2,-12(fp) + 3a90: 10800017 ldw r2,0(r2) + 3a94: e0bffc15 stw r2,-16(fp) + 3a98: e0bffc17 ldw r2,-16(fp) + 3a9c: 10c00a04 addi r3,r2,40 + 3aa0: e0bffd17 ldw r2,-12(fp) + 3aa4: 10800217 ldw r2,8(r2) + 3aa8: 100f883a mov r7,r2 + 3aac: e1bfff17 ldw r6,-4(fp) + 3ab0: e17ffe17 ldw r5,-8(fp) + 3ab4: 1809883a mov r4,r3 + 3ab8: 00042540 call 4254 + 3abc: e037883a mov sp,fp + 3ac0: dfc00117 ldw ra,4(sp) + 3ac4: df000017 ldw fp,0(sp) + 3ac8: dec00204 addi sp,sp,8 + 3acc: f800283a ret + +00003ad0 : + 3ad0: defffc04 addi sp,sp,-16 + 3ad4: dfc00315 stw ra,12(sp) + 3ad8: df000215 stw fp,8(sp) + 3adc: df000204 addi fp,sp,8 + 3ae0: e13fff15 stw r4,-4(fp) + 3ae4: e0bfff17 ldw r2,-4(fp) + 3ae8: 10800017 ldw r2,0(r2) + 3aec: e0bffe15 stw r2,-8(fp) + 3af0: e0bffe17 ldw r2,-8(fp) + 3af4: 10c00a04 addi r3,r2,40 + 3af8: e0bfff17 ldw r2,-4(fp) + 3afc: 10800217 ldw r2,8(r2) + 3b00: 100b883a mov r5,r2 + 3b04: 1809883a mov r4,r3 + 3b08: 0003ee00 call 3ee0 + 3b0c: e037883a mov sp,fp + 3b10: dfc00117 ldw ra,4(sp) + 3b14: df000017 ldw fp,0(sp) + 3b18: dec00204 addi sp,sp,8 + 3b1c: f800283a ret + +00003b20 : + 3b20: defffa04 addi sp,sp,-24 + 3b24: dfc00515 stw ra,20(sp) + 3b28: df000415 stw fp,16(sp) + 3b2c: df000404 addi fp,sp,16 + 3b30: e13ffd15 stw r4,-12(fp) + 3b34: e17ffe15 stw r5,-8(fp) + 3b38: e1bfff15 stw r6,-4(fp) + 3b3c: e0bffd17 ldw r2,-12(fp) + 3b40: 10800017 ldw r2,0(r2) + 3b44: e0bffc15 stw r2,-16(fp) + 3b48: e0bffc17 ldw r2,-16(fp) + 3b4c: 10800a04 addi r2,r2,40 + 3b50: e1bfff17 ldw r6,-4(fp) + 3b54: e17ffe17 ldw r5,-8(fp) + 3b58: 1009883a mov r4,r2 + 3b5c: 0003f480 call 3f48 + 3b60: e037883a mov sp,fp + 3b64: dfc00117 ldw ra,4(sp) + 3b68: df000017 ldw fp,0(sp) + 3b6c: dec00204 addi sp,sp,8 + 3b70: f800283a ret + +00003b74 : + 3b74: defffa04 addi sp,sp,-24 + 3b78: dfc00515 stw ra,20(sp) + 3b7c: df000415 stw fp,16(sp) + 3b80: df000404 addi fp,sp,16 + 3b84: e13ffd15 stw r4,-12(fp) + 3b88: e17ffe15 stw r5,-8(fp) + 3b8c: e1bfff15 stw r6,-4(fp) + 3b90: e0bffd17 ldw r2,-12(fp) + 3b94: 00c00044 movi r3,1 + 3b98: 10c00815 stw r3,32(r2) + 3b9c: e0bffd17 ldw r2,-12(fp) + 3ba0: 10800017 ldw r2,0(r2) + 3ba4: 10800104 addi r2,r2,4 + 3ba8: 1007883a mov r3,r2 + 3bac: e0bffd17 ldw r2,-12(fp) + 3bb0: 10800817 ldw r2,32(r2) + 3bb4: 18800035 stwio r2,0(r3) + 3bb8: e0bffe17 ldw r2,-8(fp) + 3bbc: e0ffff17 ldw r3,-4(fp) + 3bc0: d8000015 stw zero,0(sp) + 3bc4: e1fffd17 ldw r7,-12(fp) + 3bc8: 01800034 movhi r6,0 + 3bcc: 318f0d04 addi r6,r6,15412 + 3bd0: 180b883a mov r5,r3 + 3bd4: 1009883a mov r4,r2 + 3bd8: 00048380 call 4838 + 3bdc: e0bffd17 ldw r2,-12(fp) + 3be0: 10000915 stw zero,36(r2) + 3be4: e0bffd17 ldw r2,-12(fp) + 3be8: 10800204 addi r2,r2,8 + 3bec: d0e01617 ldw r3,-32680(gp) + 3bf0: e1fffd17 ldw r7,-12(fp) + 3bf4: 01800034 movhi r6,0 + 3bf8: 318f9004 addi r6,r6,15936 + 3bfc: 180b883a mov r5,r3 + 3c00: 1009883a mov r4,r2 + 3c04: 000456c0 call 456c + 3c08: 1000040e bge r2,zero,3c1c + 3c0c: e0fffd17 ldw r3,-12(fp) + 3c10: 00a00034 movhi r2,32768 + 3c14: 10bfffc4 addi r2,r2,-1 + 3c18: 18800115 stw r2,4(r3) + 3c1c: 0001883a nop + 3c20: e037883a mov sp,fp + 3c24: dfc00117 ldw ra,4(sp) + 3c28: df000017 ldw fp,0(sp) + 3c2c: dec00204 addi sp,sp,8 + 3c30: f800283a ret + +00003c34 : + 3c34: defff804 addi sp,sp,-32 + 3c38: df000715 stw fp,28(sp) + 3c3c: df000704 addi fp,sp,28 + 3c40: e13fff15 stw r4,-4(fp) + 3c44: e0bfff17 ldw r2,-4(fp) + 3c48: e0bffb15 stw r2,-20(fp) + 3c4c: e0bffb17 ldw r2,-20(fp) + 3c50: 10800017 ldw r2,0(r2) + 3c54: e0bffc15 stw r2,-16(fp) + 3c58: e0bffc17 ldw r2,-16(fp) + 3c5c: 10800104 addi r2,r2,4 + 3c60: 10800037 ldwio r2,0(r2) + 3c64: e0bffd15 stw r2,-12(fp) + 3c68: e0bffd17 ldw r2,-12(fp) + 3c6c: 1080c00c andi r2,r2,768 + 3c70: 10006d26 beq r2,zero,3e28 + 3c74: e0bffd17 ldw r2,-12(fp) + 3c78: 1080400c andi r2,r2,256 + 3c7c: 10003526 beq r2,zero,3d54 + 3c80: 00800074 movhi r2,1 + 3c84: e0bff915 stw r2,-28(fp) + 3c88: e0bffb17 ldw r2,-20(fp) + 3c8c: 10800a17 ldw r2,40(r2) + 3c90: 10800044 addi r2,r2,1 + 3c94: 1081ffcc andi r2,r2,2047 + 3c98: e0bffe15 stw r2,-8(fp) + 3c9c: e0bffb17 ldw r2,-20(fp) + 3ca0: 10c00b17 ldw r3,44(r2) + 3ca4: e0bffe17 ldw r2,-8(fp) + 3ca8: 18801526 beq r3,r2,3d00 + 3cac: e0bffc17 ldw r2,-16(fp) + 3cb0: 10800037 ldwio r2,0(r2) + 3cb4: e0bff915 stw r2,-28(fp) + 3cb8: e0bff917 ldw r2,-28(fp) + 3cbc: 10a0000c andi r2,r2,32768 + 3cc0: 10001126 beq r2,zero,3d08 + 3cc4: e0bffb17 ldw r2,-20(fp) + 3cc8: 10800a17 ldw r2,40(r2) + 3ccc: e0fff917 ldw r3,-28(fp) + 3cd0: 1809883a mov r4,r3 + 3cd4: e0fffb17 ldw r3,-20(fp) + 3cd8: 1885883a add r2,r3,r2 + 3cdc: 10800e04 addi r2,r2,56 + 3ce0: 11000005 stb r4,0(r2) + 3ce4: e0bffb17 ldw r2,-20(fp) + 3ce8: 10800a17 ldw r2,40(r2) + 3cec: 10800044 addi r2,r2,1 + 3cf0: 10c1ffcc andi r3,r2,2047 + 3cf4: e0bffb17 ldw r2,-20(fp) + 3cf8: 10c00a15 stw r3,40(r2) + 3cfc: 003fe206 br 3c88 + 3d00: 0001883a nop + 3d04: 00000106 br 3d0c + 3d08: 0001883a nop + 3d0c: e0bff917 ldw r2,-28(fp) + 3d10: 10bfffec andhi r2,r2,65535 + 3d14: 10000f26 beq r2,zero,3d54 + 3d18: e0bffb17 ldw r2,-20(fp) + 3d1c: 10c00817 ldw r3,32(r2) + 3d20: 00bfff84 movi r2,-2 + 3d24: 1886703a and r3,r3,r2 + 3d28: e0bffb17 ldw r2,-20(fp) + 3d2c: 10c00815 stw r3,32(r2) + 3d30: e0bffc17 ldw r2,-16(fp) + 3d34: 10800104 addi r2,r2,4 + 3d38: 1007883a mov r3,r2 + 3d3c: e0bffb17 ldw r2,-20(fp) + 3d40: 10800817 ldw r2,32(r2) + 3d44: 18800035 stwio r2,0(r3) + 3d48: e0bffc17 ldw r2,-16(fp) + 3d4c: 10800104 addi r2,r2,4 + 3d50: 10800037 ldwio r2,0(r2) + 3d54: e0bffd17 ldw r2,-12(fp) + 3d58: 1080800c andi r2,r2,512 + 3d5c: 103fbe26 beq r2,zero,3c58 + 3d60: e0bffd17 ldw r2,-12(fp) + 3d64: 1004d43a srli r2,r2,16 + 3d68: e0bffa15 stw r2,-24(fp) + 3d6c: 00001406 br 3dc0 + 3d70: e0bffc17 ldw r2,-16(fp) + 3d74: e0fffb17 ldw r3,-20(fp) + 3d78: 18c00d17 ldw r3,52(r3) + 3d7c: e13ffb17 ldw r4,-20(fp) + 3d80: 20c7883a add r3,r4,r3 + 3d84: 18c20e04 addi r3,r3,2104 + 3d88: 18c00003 ldbu r3,0(r3) + 3d8c: 18c03fcc andi r3,r3,255 + 3d90: 18c0201c xori r3,r3,128 + 3d94: 18ffe004 addi r3,r3,-128 + 3d98: 10c00035 stwio r3,0(r2) + 3d9c: e0bffb17 ldw r2,-20(fp) + 3da0: 10800d17 ldw r2,52(r2) + 3da4: 10800044 addi r2,r2,1 + 3da8: 10c1ffcc andi r3,r2,2047 + 3dac: e0bffb17 ldw r2,-20(fp) + 3db0: 10c00d15 stw r3,52(r2) + 3db4: e0bffa17 ldw r2,-24(fp) + 3db8: 10bfffc4 addi r2,r2,-1 + 3dbc: e0bffa15 stw r2,-24(fp) + 3dc0: e0bffa17 ldw r2,-24(fp) + 3dc4: 10000526 beq r2,zero,3ddc + 3dc8: e0bffb17 ldw r2,-20(fp) + 3dcc: 10c00d17 ldw r3,52(r2) + 3dd0: e0bffb17 ldw r2,-20(fp) + 3dd4: 10800c17 ldw r2,48(r2) + 3dd8: 18bfe51e bne r3,r2,3d70 + 3ddc: e0bffa17 ldw r2,-24(fp) + 3de0: 103f9d26 beq r2,zero,3c58 + 3de4: e0bffb17 ldw r2,-20(fp) + 3de8: 10c00817 ldw r3,32(r2) + 3dec: 00bfff44 movi r2,-3 + 3df0: 1886703a and r3,r3,r2 + 3df4: e0bffb17 ldw r2,-20(fp) + 3df8: 10c00815 stw r3,32(r2) + 3dfc: e0bffb17 ldw r2,-20(fp) + 3e00: 10800017 ldw r2,0(r2) + 3e04: 10800104 addi r2,r2,4 + 3e08: 1007883a mov r3,r2 + 3e0c: e0bffb17 ldw r2,-20(fp) + 3e10: 10800817 ldw r2,32(r2) + 3e14: 18800035 stwio r2,0(r3) + 3e18: e0bffc17 ldw r2,-16(fp) + 3e1c: 10800104 addi r2,r2,4 + 3e20: 10800037 ldwio r2,0(r2) + 3e24: 003f8c06 br 3c58 + 3e28: 0001883a nop + 3e2c: 0001883a nop + 3e30: e037883a mov sp,fp + 3e34: df000017 ldw fp,0(sp) + 3e38: dec00104 addi sp,sp,4 + 3e3c: f800283a ret + +00003e40 : + 3e40: defff804 addi sp,sp,-32 + 3e44: df000715 stw fp,28(sp) + 3e48: df000704 addi fp,sp,28 + 3e4c: e13ffb15 stw r4,-20(fp) + 3e50: e0bffb17 ldw r2,-20(fp) + 3e54: e0bff915 stw r2,-28(fp) + 3e58: e0bff917 ldw r2,-28(fp) + 3e5c: 10800017 ldw r2,0(r2) + 3e60: 10800104 addi r2,r2,4 + 3e64: 10800037 ldwio r2,0(r2) + 3e68: e0bffa15 stw r2,-24(fp) + 3e6c: e0bffa17 ldw r2,-24(fp) + 3e70: 1081000c andi r2,r2,1024 + 3e74: 10000b26 beq r2,zero,3ea4 + 3e78: e0bff917 ldw r2,-28(fp) + 3e7c: 10800017 ldw r2,0(r2) + 3e80: 10800104 addi r2,r2,4 + 3e84: 1007883a mov r3,r2 + 3e88: e0bff917 ldw r2,-28(fp) + 3e8c: 10800817 ldw r2,32(r2) + 3e90: 10810014 ori r2,r2,1024 + 3e94: 18800035 stwio r2,0(r3) + 3e98: e0bff917 ldw r2,-28(fp) + 3e9c: 10000915 stw zero,36(r2) + 3ea0: 00000a06 br 3ecc + 3ea4: e0bff917 ldw r2,-28(fp) + 3ea8: 10c00917 ldw r3,36(r2) + 3eac: 00a00034 movhi r2,32768 + 3eb0: 10bfff04 addi r2,r2,-4 + 3eb4: 10c00536 bltu r2,r3,3ecc + 3eb8: e0bff917 ldw r2,-28(fp) + 3ebc: 10800917 ldw r2,36(r2) + 3ec0: 10c00044 addi r3,r2,1 + 3ec4: e0bff917 ldw r2,-28(fp) + 3ec8: 10c00915 stw r3,36(r2) + 3ecc: d0a01617 ldw r2,-32680(gp) + 3ed0: e037883a mov sp,fp + 3ed4: df000017 ldw fp,0(sp) + 3ed8: dec00104 addi sp,sp,4 + 3edc: f800283a ret + +00003ee0 : + 3ee0: defffd04 addi sp,sp,-12 + 3ee4: df000215 stw fp,8(sp) + 3ee8: df000204 addi fp,sp,8 + 3eec: e13ffe15 stw r4,-8(fp) + 3ef0: e17fff15 stw r5,-4(fp) + 3ef4: 00000506 br 3f0c + 3ef8: e0bfff17 ldw r2,-4(fp) + 3efc: 1090000c andi r2,r2,16384 + 3f00: 10000226 beq r2,zero,3f0c + 3f04: 00bffd44 movi r2,-11 + 3f08: 00000b06 br 3f38 + 3f0c: e0bffe17 ldw r2,-8(fp) + 3f10: 10c00d17 ldw r3,52(r2) + 3f14: e0bffe17 ldw r2,-8(fp) + 3f18: 10800c17 ldw r2,48(r2) + 3f1c: 18800526 beq r3,r2,3f34 + 3f20: e0bffe17 ldw r2,-8(fp) + 3f24: 10c00917 ldw r3,36(r2) + 3f28: e0bffe17 ldw r2,-8(fp) + 3f2c: 10800117 ldw r2,4(r2) + 3f30: 18bff136 bltu r3,r2,3ef8 + 3f34: 0005883a mov r2,zero + 3f38: e037883a mov sp,fp + 3f3c: df000017 ldw fp,0(sp) + 3f40: dec00104 addi sp,sp,4 + 3f44: f800283a ret + +00003f48 : + 3f48: defffa04 addi sp,sp,-24 + 3f4c: df000515 stw fp,20(sp) + 3f50: df000504 addi fp,sp,20 + 3f54: e13ffd15 stw r4,-12(fp) + 3f58: e17ffe15 stw r5,-8(fp) + 3f5c: e1bfff15 stw r6,-4(fp) + 3f60: 00bff9c4 movi r2,-25 + 3f64: e0bffb15 stw r2,-20(fp) + 3f68: e0bffe17 ldw r2,-8(fp) + 3f6c: 10da8060 cmpeqi r3,r2,27137 + 3f70: 1800031e bne r3,zero,3f80 + 3f74: 109a80a0 cmpeqi r2,r2,27138 + 3f78: 1000181e bne r2,zero,3fdc + 3f7c: 00002906 br 4024 + 3f80: e0bffd17 ldw r2,-12(fp) + 3f84: 10c00117 ldw r3,4(r2) + 3f88: 00a00034 movhi r2,32768 + 3f8c: 10bfffc4 addi r2,r2,-1 + 3f90: 18802126 beq r3,r2,4018 + 3f94: e0bfff17 ldw r2,-4(fp) + 3f98: 10800017 ldw r2,0(r2) + 3f9c: e0bffc15 stw r2,-16(fp) + 3fa0: e0bffc17 ldw r2,-16(fp) + 3fa4: 10800090 cmplti r2,r2,2 + 3fa8: 1000061e bne r2,zero,3fc4 + 3fac: e0fffc17 ldw r3,-16(fp) + 3fb0: 00a00034 movhi r2,32768 + 3fb4: 10bfffc4 addi r2,r2,-1 + 3fb8: 18800226 beq r3,r2,3fc4 + 3fbc: e0bffc17 ldw r2,-16(fp) + 3fc0: 00000206 br 3fcc + 3fc4: 00a00034 movhi r2,32768 + 3fc8: 10bfff84 addi r2,r2,-2 + 3fcc: e0fffd17 ldw r3,-12(fp) + 3fd0: 18800115 stw r2,4(r3) + 3fd4: e03ffb15 stw zero,-20(fp) + 3fd8: 00000f06 br 4018 + 3fdc: e0bffd17 ldw r2,-12(fp) + 3fe0: 10c00117 ldw r3,4(r2) + 3fe4: 00a00034 movhi r2,32768 + 3fe8: 10bfffc4 addi r2,r2,-1 + 3fec: 18800c26 beq r3,r2,4020 + 3ff0: e0bffd17 ldw r2,-12(fp) + 3ff4: 10c00917 ldw r3,36(r2) + 3ff8: e0bffd17 ldw r2,-12(fp) + 3ffc: 10800117 ldw r2,4(r2) + 4000: 1885803a cmpltu r2,r3,r2 + 4004: 10c03fcc andi r3,r2,255 + 4008: e0bfff17 ldw r2,-4(fp) + 400c: 10c00015 stw r3,0(r2) + 4010: e03ffb15 stw zero,-20(fp) + 4014: 00000206 br 4020 + 4018: 0001883a nop + 401c: 00000106 br 4024 + 4020: 0001883a nop + 4024: e0bffb17 ldw r2,-20(fp) + 4028: e037883a mov sp,fp + 402c: df000017 ldw fp,0(sp) + 4030: dec00104 addi sp,sp,4 + 4034: f800283a ret + +00004038 : + 4038: defff304 addi sp,sp,-52 + 403c: dfc00c15 stw ra,48(sp) + 4040: df000b15 stw fp,44(sp) + 4044: df000b04 addi fp,sp,44 + 4048: e13ffc15 stw r4,-16(fp) + 404c: e17ffd15 stw r5,-12(fp) + 4050: e1bffe15 stw r6,-8(fp) + 4054: e1ffff15 stw r7,-4(fp) + 4058: e0bffd17 ldw r2,-12(fp) + 405c: e0bff515 stw r2,-44(fp) + 4060: 00004706 br 4180 + 4064: e0bffc17 ldw r2,-16(fp) + 4068: 10800a17 ldw r2,40(r2) + 406c: e0bff715 stw r2,-36(fp) + 4070: e0bffc17 ldw r2,-16(fp) + 4074: 10800b17 ldw r2,44(r2) + 4078: e0bff815 stw r2,-32(fp) + 407c: e0fff717 ldw r3,-36(fp) + 4080: e0bff817 ldw r2,-32(fp) + 4084: 18800536 bltu r3,r2,409c + 4088: e0fff717 ldw r3,-36(fp) + 408c: e0bff817 ldw r2,-32(fp) + 4090: 1885c83a sub r2,r3,r2 + 4094: e0bff615 stw r2,-40(fp) + 4098: 00000406 br 40ac + 409c: 00c20004 movi r3,2048 + 40a0: e0bff817 ldw r2,-32(fp) + 40a4: 1885c83a sub r2,r3,r2 + 40a8: e0bff615 stw r2,-40(fp) + 40ac: e0bff617 ldw r2,-40(fp) + 40b0: 10001e26 beq r2,zero,412c + 40b4: e0fffe17 ldw r3,-8(fp) + 40b8: e0bff617 ldw r2,-40(fp) + 40bc: 1880022e bgeu r3,r2,40c8 + 40c0: e0bffe17 ldw r2,-8(fp) + 40c4: e0bff615 stw r2,-40(fp) + 40c8: e0bffc17 ldw r2,-16(fp) + 40cc: 10c00e04 addi r3,r2,56 + 40d0: e0bff817 ldw r2,-32(fp) + 40d4: 1885883a add r2,r3,r2 + 40d8: e1bff617 ldw r6,-40(fp) + 40dc: 100b883a mov r5,r2 + 40e0: e13ff517 ldw r4,-44(fp) + 40e4: 00016f00 call 16f0 + 40e8: e0fff517 ldw r3,-44(fp) + 40ec: e0bff617 ldw r2,-40(fp) + 40f0: 1885883a add r2,r3,r2 + 40f4: e0bff515 stw r2,-44(fp) + 40f8: e0fffe17 ldw r3,-8(fp) + 40fc: e0bff617 ldw r2,-40(fp) + 4100: 1885c83a sub r2,r3,r2 + 4104: e0bffe15 stw r2,-8(fp) + 4108: e0fff817 ldw r3,-32(fp) + 410c: e0bff617 ldw r2,-40(fp) + 4110: 1885883a add r2,r3,r2 + 4114: 10c1ffcc andi r3,r2,2047 + 4118: e0bffc17 ldw r2,-16(fp) + 411c: 10c00b15 stw r3,44(r2) + 4120: e0bffe17 ldw r2,-8(fp) + 4124: 00bfcf16 blt zero,r2,4064 + 4128: 00000106 br 4130 + 412c: 0001883a nop + 4130: e0fff517 ldw r3,-44(fp) + 4134: e0bffd17 ldw r2,-12(fp) + 4138: 1880141e bne r3,r2,418c + 413c: e0bfff17 ldw r2,-4(fp) + 4140: 1090000c andi r2,r2,16384 + 4144: 1000131e bne r2,zero,4194 + 4148: 0001883a nop + 414c: e0bffc17 ldw r2,-16(fp) + 4150: 10c00a17 ldw r3,40(r2) + 4154: e0bff717 ldw r2,-36(fp) + 4158: 1880051e bne r3,r2,4170 + 415c: e0bffc17 ldw r2,-16(fp) + 4160: 10c00917 ldw r3,36(r2) + 4164: e0bffc17 ldw r2,-16(fp) + 4168: 10800117 ldw r2,4(r2) + 416c: 18bff736 bltu r3,r2,414c + 4170: e0bffc17 ldw r2,-16(fp) + 4174: 10c00a17 ldw r3,40(r2) + 4178: e0bff717 ldw r2,-36(fp) + 417c: 18800726 beq r3,r2,419c + 4180: e0bffe17 ldw r2,-8(fp) + 4184: 00bfb716 blt zero,r2,4064 + 4188: 00000506 br 41a0 + 418c: 0001883a nop + 4190: 00000306 br 41a0 + 4194: 0001883a nop + 4198: 00000106 br 41a0 + 419c: 0001883a nop + 41a0: e0fff517 ldw r3,-44(fp) + 41a4: e0bffd17 ldw r2,-12(fp) + 41a8: 18801826 beq r3,r2,420c + 41ac: 0005303a rdctl r2,status + 41b0: e0bffb15 stw r2,-20(fp) + 41b4: e0fffb17 ldw r3,-20(fp) + 41b8: 00bfff84 movi r2,-2 + 41bc: 1884703a and r2,r3,r2 + 41c0: 1001703a wrctl status,r2 + 41c4: e0bffb17 ldw r2,-20(fp) + 41c8: e0bffa15 stw r2,-24(fp) + 41cc: e0bffc17 ldw r2,-16(fp) + 41d0: 10800817 ldw r2,32(r2) + 41d4: 10c00054 ori r3,r2,1 + 41d8: e0bffc17 ldw r2,-16(fp) + 41dc: 10c00815 stw r3,32(r2) + 41e0: e0bffc17 ldw r2,-16(fp) + 41e4: 10800017 ldw r2,0(r2) + 41e8: 10800104 addi r2,r2,4 + 41ec: 1007883a mov r3,r2 + 41f0: e0bffc17 ldw r2,-16(fp) + 41f4: 10800817 ldw r2,32(r2) + 41f8: 18800035 stwio r2,0(r3) + 41fc: e0bffa17 ldw r2,-24(fp) + 4200: e0bff915 stw r2,-28(fp) + 4204: e0bff917 ldw r2,-28(fp) + 4208: 1001703a wrctl status,r2 + 420c: e0fff517 ldw r3,-44(fp) + 4210: e0bffd17 ldw r2,-12(fp) + 4214: 18800426 beq r3,r2,4228 + 4218: e0fff517 ldw r3,-44(fp) + 421c: e0bffd17 ldw r2,-12(fp) + 4220: 1885c83a sub r2,r3,r2 + 4224: 00000606 br 4240 + 4228: e0bfff17 ldw r2,-4(fp) + 422c: 1090000c andi r2,r2,16384 + 4230: 10000226 beq r2,zero,423c + 4234: 00bffd44 movi r2,-11 + 4238: 00000106 br 4240 + 423c: 00bffec4 movi r2,-5 + 4240: e037883a mov sp,fp + 4244: dfc00117 ldw ra,4(sp) + 4248: df000017 ldw fp,0(sp) + 424c: dec00204 addi sp,sp,8 + 4250: f800283a ret + +00004254 : + 4254: defff304 addi sp,sp,-52 + 4258: dfc00c15 stw ra,48(sp) + 425c: df000b15 stw fp,44(sp) + 4260: df000b04 addi fp,sp,44 + 4264: e13ffc15 stw r4,-16(fp) + 4268: e17ffd15 stw r5,-12(fp) + 426c: e1bffe15 stw r6,-8(fp) + 4270: e1ffff15 stw r7,-4(fp) + 4274: e03ff515 stw zero,-44(fp) + 4278: e0bffd17 ldw r2,-12(fp) + 427c: e0bff715 stw r2,-36(fp) + 4280: 00003706 br 4360 + 4284: e0bffc17 ldw r2,-16(fp) + 4288: 10800c17 ldw r2,48(r2) + 428c: e0bff915 stw r2,-28(fp) + 4290: e0bffc17 ldw r2,-16(fp) + 4294: 10800d17 ldw r2,52(r2) + 4298: e0bff515 stw r2,-44(fp) + 429c: e0fff917 ldw r3,-28(fp) + 42a0: e0bff517 ldw r2,-44(fp) + 42a4: 1880062e bgeu r3,r2,42c0 + 42a8: e0fff517 ldw r3,-44(fp) + 42ac: e0bff917 ldw r2,-28(fp) + 42b0: 1885c83a sub r2,r3,r2 + 42b4: 10bfffc4 addi r2,r2,-1 + 42b8: e0bff615 stw r2,-40(fp) + 42bc: 00000b06 br 42ec + 42c0: e0bff517 ldw r2,-44(fp) + 42c4: 10000526 beq r2,zero,42dc + 42c8: 00c20004 movi r3,2048 + 42cc: e0bff917 ldw r2,-28(fp) + 42d0: 1885c83a sub r2,r3,r2 + 42d4: e0bff615 stw r2,-40(fp) + 42d8: 00000406 br 42ec + 42dc: 00c1ffc4 movi r3,2047 + 42e0: e0bff917 ldw r2,-28(fp) + 42e4: 1885c83a sub r2,r3,r2 + 42e8: e0bff615 stw r2,-40(fp) + 42ec: e0bff617 ldw r2,-40(fp) + 42f0: 10001e26 beq r2,zero,436c + 42f4: e0fffe17 ldw r3,-8(fp) + 42f8: e0bff617 ldw r2,-40(fp) + 42fc: 1880022e bgeu r3,r2,4308 + 4300: e0bffe17 ldw r2,-8(fp) + 4304: e0bff615 stw r2,-40(fp) + 4308: e0bffc17 ldw r2,-16(fp) + 430c: 10c20e04 addi r3,r2,2104 + 4310: e0bff917 ldw r2,-28(fp) + 4314: 1885883a add r2,r3,r2 + 4318: e1bff617 ldw r6,-40(fp) + 431c: e17ffd17 ldw r5,-12(fp) + 4320: 1009883a mov r4,r2 + 4324: 00016f00 call 16f0 + 4328: e0fffd17 ldw r3,-12(fp) + 432c: e0bff617 ldw r2,-40(fp) + 4330: 1885883a add r2,r3,r2 + 4334: e0bffd15 stw r2,-12(fp) + 4338: e0fffe17 ldw r3,-8(fp) + 433c: e0bff617 ldw r2,-40(fp) + 4340: 1885c83a sub r2,r3,r2 + 4344: e0bffe15 stw r2,-8(fp) + 4348: e0fff917 ldw r3,-28(fp) + 434c: e0bff617 ldw r2,-40(fp) + 4350: 1885883a add r2,r3,r2 + 4354: 10c1ffcc andi r3,r2,2047 + 4358: e0bffc17 ldw r2,-16(fp) + 435c: 10c00c15 stw r3,48(r2) + 4360: e0bffe17 ldw r2,-8(fp) + 4364: 00bfc716 blt zero,r2,4284 + 4368: 00000106 br 4370 + 436c: 0001883a nop + 4370: 0005303a rdctl r2,status + 4374: e0bffb15 stw r2,-20(fp) + 4378: e0fffb17 ldw r3,-20(fp) + 437c: 00bfff84 movi r2,-2 + 4380: 1884703a and r2,r3,r2 + 4384: 1001703a wrctl status,r2 + 4388: e0bffb17 ldw r2,-20(fp) + 438c: e0bffa15 stw r2,-24(fp) + 4390: e0bffc17 ldw r2,-16(fp) + 4394: 10800817 ldw r2,32(r2) + 4398: 10c00094 ori r3,r2,2 + 439c: e0bffc17 ldw r2,-16(fp) + 43a0: 10c00815 stw r3,32(r2) + 43a4: e0bffc17 ldw r2,-16(fp) + 43a8: 10800017 ldw r2,0(r2) + 43ac: 10800104 addi r2,r2,4 + 43b0: 1007883a mov r3,r2 + 43b4: e0bffc17 ldw r2,-16(fp) + 43b8: 10800817 ldw r2,32(r2) + 43bc: 18800035 stwio r2,0(r3) + 43c0: e0bffa17 ldw r2,-24(fp) + 43c4: e0bff815 stw r2,-32(fp) + 43c8: e0bff817 ldw r2,-32(fp) + 43cc: 1001703a wrctl status,r2 + 43d0: e0bffe17 ldw r2,-8(fp) + 43d4: 0080100e bge zero,r2,4418 + 43d8: e0bfff17 ldw r2,-4(fp) + 43dc: 1090000c andi r2,r2,16384 + 43e0: 1000101e bne r2,zero,4424 + 43e4: 0001883a nop + 43e8: e0bffc17 ldw r2,-16(fp) + 43ec: 10c00d17 ldw r3,52(r2) + 43f0: e0bff517 ldw r2,-44(fp) + 43f4: 1880051e bne r3,r2,440c + 43f8: e0bffc17 ldw r2,-16(fp) + 43fc: 10c00917 ldw r3,36(r2) + 4400: e0bffc17 ldw r2,-16(fp) + 4404: 10800117 ldw r2,4(r2) + 4408: 18bff736 bltu r3,r2,43e8 + 440c: e0bffc17 ldw r2,-16(fp) + 4410: 10800917 ldw r2,36(r2) + 4414: 1000051e bne r2,zero,442c + 4418: e0bffe17 ldw r2,-8(fp) + 441c: 00bfd016 blt zero,r2,4360 + 4420: 00000306 br 4430 + 4424: 0001883a nop + 4428: 00000106 br 4430 + 442c: 0001883a nop + 4430: e0fffd17 ldw r3,-12(fp) + 4434: e0bff717 ldw r2,-36(fp) + 4438: 18800426 beq r3,r2,444c + 443c: e0fffd17 ldw r3,-12(fp) + 4440: e0bff717 ldw r2,-36(fp) + 4444: 1885c83a sub r2,r3,r2 + 4448: 00000606 br 4464 + 444c: e0bfff17 ldw r2,-4(fp) + 4450: 1090000c andi r2,r2,16384 + 4454: 10000226 beq r2,zero,4460 + 4458: 00bffd44 movi r2,-11 + 445c: 00000106 br 4464 + 4460: 00bffec4 movi r2,-5 + 4464: e037883a mov sp,fp + 4468: dfc00117 ldw ra,4(sp) + 446c: df000017 ldw fp,0(sp) + 4470: dec00204 addi sp,sp,8 + 4474: f800283a ret + +00004478 : + 4478: defffa04 addi sp,sp,-24 + 447c: dfc00515 stw ra,20(sp) + 4480: df000415 stw fp,16(sp) + 4484: df000404 addi fp,sp,16 + 4488: e13fff15 stw r4,-4(fp) + 448c: 0007883a mov r3,zero + 4490: e0bfff17 ldw r2,-4(fp) + 4494: 10c00035 stwio r3,0(r2) + 4498: e0bfff17 ldw r2,-4(fp) + 449c: 10800104 addi r2,r2,4 + 44a0: 10800037 ldwio r2,0(r2) + 44a4: 0005303a rdctl r2,status + 44a8: e0bffd15 stw r2,-12(fp) + 44ac: e0fffd17 ldw r3,-12(fp) + 44b0: 00bfff84 movi r2,-2 + 44b4: 1884703a and r2,r3,r2 + 44b8: 1001703a wrctl status,r2 + 44bc: e0bffd17 ldw r2,-12(fp) + 44c0: e0bffc15 stw r2,-16(fp) + 44c4: 0004f580 call 4f58 + 44c8: e0bffc17 ldw r2,-16(fp) + 44cc: e0bffe15 stw r2,-8(fp) + 44d0: e0bffe17 ldw r2,-8(fp) + 44d4: 1001703a wrctl status,r2 + 44d8: 0001883a nop + 44dc: e037883a mov sp,fp + 44e0: dfc00117 ldw ra,4(sp) + 44e4: df000017 ldw fp,0(sp) + 44e8: dec00204 addi sp,sp,8 + 44ec: f800283a ret + +000044f0 : + 44f0: defff804 addi sp,sp,-32 + 44f4: dfc00715 stw ra,28(sp) + 44f8: df000615 stw fp,24(sp) + 44fc: df000604 addi fp,sp,24 + 4500: e13ffc15 stw r4,-16(fp) + 4504: e17ffd15 stw r5,-12(fp) + 4508: e1bffe15 stw r6,-8(fp) + 450c: e1ffff15 stw r7,-4(fp) + 4510: e0bfff17 ldw r2,-4(fp) + 4514: e0bffb15 stw r2,-20(fp) + 4518: d0a01617 ldw r2,-32680(gp) + 451c: 1000021e bne r2,zero,4528 + 4520: e0bffb17 ldw r2,-20(fp) + 4524: d0a01615 stw r2,-32680(gp) + 4528: e0bffc17 ldw r2,-16(fp) + 452c: 10800104 addi r2,r2,4 + 4530: 00c001c4 movi r3,7 + 4534: 10c00035 stwio r3,0(r2) + 4538: d8000015 stw zero,0(sp) + 453c: e1fffc17 ldw r7,-16(fp) + 4540: 01800034 movhi r6,0 + 4544: 31911e04 addi r6,r6,17528 + 4548: e17ffe17 ldw r5,-8(fp) + 454c: e13ffd17 ldw r4,-12(fp) + 4550: 00048380 call 4838 + 4554: 0001883a nop + 4558: e037883a mov sp,fp + 455c: dfc00117 ldw ra,4(sp) + 4560: df000017 ldw fp,0(sp) + 4564: dec00204 addi sp,sp,8 + 4568: f800283a ret + +0000456c : + 456c: defff504 addi sp,sp,-44 + 4570: df000a15 stw fp,40(sp) + 4574: df000a04 addi fp,sp,40 + 4578: e13ffc15 stw r4,-16(fp) + 457c: e17ffd15 stw r5,-12(fp) + 4580: e1bffe15 stw r6,-8(fp) + 4584: e1ffff15 stw r7,-4(fp) + 4588: e03ff615 stw zero,-40(fp) + 458c: d0a01617 ldw r2,-32680(gp) + 4590: 10003c26 beq r2,zero,4684 + 4594: e0bffc17 ldw r2,-16(fp) + 4598: 10003826 beq r2,zero,467c + 459c: e0bffc17 ldw r2,-16(fp) + 45a0: e0fffe17 ldw r3,-8(fp) + 45a4: 10c00315 stw r3,12(r2) + 45a8: e0bffc17 ldw r2,-16(fp) + 45ac: e0ffff17 ldw r3,-4(fp) + 45b0: 10c00515 stw r3,20(r2) + 45b4: 0005303a rdctl r2,status + 45b8: e0bff915 stw r2,-28(fp) + 45bc: e0fff917 ldw r3,-28(fp) + 45c0: 00bfff84 movi r2,-2 + 45c4: 1884703a and r2,r3,r2 + 45c8: 1001703a wrctl status,r2 + 45cc: e0bff917 ldw r2,-28(fp) + 45d0: e0bff815 stw r2,-32(fp) + 45d4: d0a01717 ldw r2,-32676(gp) + 45d8: e0bff615 stw r2,-40(fp) + 45dc: e0fffd17 ldw r3,-12(fp) + 45e0: e0bff617 ldw r2,-40(fp) + 45e4: 1885883a add r2,r3,r2 + 45e8: 10c00044 addi r3,r2,1 + 45ec: e0bffc17 ldw r2,-16(fp) + 45f0: 10c00215 stw r3,8(r2) + 45f4: e0bffc17 ldw r2,-16(fp) + 45f8: 10c00217 ldw r3,8(r2) + 45fc: e0bff617 ldw r2,-40(fp) + 4600: 1880042e bgeu r3,r2,4614 + 4604: e0bffc17 ldw r2,-16(fp) + 4608: 00c00044 movi r3,1 + 460c: 10c00405 stb r3,16(r2) + 4610: 00000206 br 461c + 4614: e0bffc17 ldw r2,-16(fp) + 4618: 10000405 stb zero,16(r2) + 461c: e0bffc17 ldw r2,-16(fp) + 4620: d0e00c04 addi r3,gp,-32720 + 4624: e0fffa15 stw r3,-24(fp) + 4628: e0bffb15 stw r2,-20(fp) + 462c: e0bffb17 ldw r2,-20(fp) + 4630: e0fffa17 ldw r3,-24(fp) + 4634: 10c00115 stw r3,4(r2) + 4638: e0bffa17 ldw r2,-24(fp) + 463c: 10c00017 ldw r3,0(r2) + 4640: e0bffb17 ldw r2,-20(fp) + 4644: 10c00015 stw r3,0(r2) + 4648: e0bffa17 ldw r2,-24(fp) + 464c: 10800017 ldw r2,0(r2) + 4650: e0fffb17 ldw r3,-20(fp) + 4654: 10c00115 stw r3,4(r2) + 4658: e0bffa17 ldw r2,-24(fp) + 465c: e0fffb17 ldw r3,-20(fp) + 4660: 10c00015 stw r3,0(r2) + 4664: e0bff817 ldw r2,-32(fp) + 4668: e0bff715 stw r2,-36(fp) + 466c: e0bff717 ldw r2,-36(fp) + 4670: 1001703a wrctl status,r2 + 4674: 0005883a mov r2,zero + 4678: 00000306 br 4688 + 467c: 00bffa84 movi r2,-22 + 4680: 00000106 br 4688 + 4684: 00bfde84 movi r2,-134 + 4688: e037883a mov sp,fp + 468c: df000017 ldw fp,0(sp) + 4690: dec00104 addi sp,sp,4 + 4694: f800283a ret + +00004698 : + 4698: defffe04 addi sp,sp,-8 + 469c: dfc00115 stw ra,4(sp) + 46a0: df000015 stw fp,0(sp) + 46a4: d839883a mov fp,sp + 46a8: d0a00917 ldw r2,-32732(gp) + 46ac: 10000326 beq r2,zero,46bc + 46b0: d0a00917 ldw r2,-32732(gp) + 46b4: 103ee83a callr r2 + 46b8: 00000106 br 46c0 + 46bc: d0a01104 addi r2,gp,-32700 + 46c0: e037883a mov sp,fp + 46c4: dfc00117 ldw ra,4(sp) + 46c8: df000017 ldw fp,0(sp) + 46cc: dec00204 addi sp,sp,8 + 46d0: f800283a ret + +000046d4 : + 46d4: defffa04 addi sp,sp,-24 + 46d8: dfc00515 stw ra,20(sp) + 46dc: df000415 stw fp,16(sp) + 46e0: df000404 addi fp,sp,16 + 46e4: e13ffe15 stw r4,-8(fp) + 46e8: e17fff15 stw r5,-4(fp) + 46ec: e0bffe17 ldw r2,-8(fp) + 46f0: 10000326 beq r2,zero,4700 + 46f4: e0bffe17 ldw r2,-8(fp) + 46f8: 10800217 ldw r2,8(r2) + 46fc: 1000061e bne r2,zero,4718 + 4700: 00046980 call 4698 + 4704: 1007883a mov r3,r2 + 4708: 00800584 movi r2,22 + 470c: 18800015 stw r2,0(r3) + 4710: 00bffa84 movi r2,-22 + 4714: 00001306 br 4764 + 4718: e0bffe17 ldw r2,-8(fp) + 471c: e0ffff17 ldw r3,-4(fp) + 4720: e0fffc15 stw r3,-16(fp) + 4724: e0bffd15 stw r2,-12(fp) + 4728: e0bffd17 ldw r2,-12(fp) + 472c: e0fffc17 ldw r3,-16(fp) + 4730: 10c00115 stw r3,4(r2) + 4734: e0bffc17 ldw r2,-16(fp) + 4738: 10c00017 ldw r3,0(r2) + 473c: e0bffd17 ldw r2,-12(fp) + 4740: 10c00015 stw r3,0(r2) + 4744: e0bffc17 ldw r2,-16(fp) + 4748: 10800017 ldw r2,0(r2) + 474c: e0fffd17 ldw r3,-12(fp) + 4750: 10c00115 stw r3,4(r2) + 4754: e0bffc17 ldw r2,-16(fp) + 4758: e0fffd17 ldw r3,-12(fp) + 475c: 10c00015 stw r3,0(r2) + 4760: 0005883a mov r2,zero + 4764: e037883a mov sp,fp + 4768: dfc00117 ldw ra,4(sp) + 476c: df000017 ldw fp,0(sp) + 4770: dec00204 addi sp,sp,8 + 4774: f800283a ret + +00004778 <_do_ctors>: + 4778: defffd04 addi sp,sp,-12 + 477c: dfc00215 stw ra,8(sp) + 4780: df000115 stw fp,4(sp) + 4784: df000104 addi fp,sp,4 + 4788: 00800034 movhi r2,0 + 478c: 1095c304 addi r2,r2,22284 + 4790: e0bfff15 stw r2,-4(fp) + 4794: 00000606 br 47b0 <_do_ctors+0x38> + 4798: e0bfff17 ldw r2,-4(fp) + 479c: 10800017 ldw r2,0(r2) + 47a0: 103ee83a callr r2 + 47a4: e0bfff17 ldw r2,-4(fp) + 47a8: 10bfff04 addi r2,r2,-4 + 47ac: e0bfff15 stw r2,-4(fp) + 47b0: e0ffff17 ldw r3,-4(fp) + 47b4: 00800034 movhi r2,0 + 47b8: 1095c404 addi r2,r2,22288 + 47bc: 18bff62e bgeu r3,r2,4798 <_do_ctors+0x20> + 47c0: 0001883a nop + 47c4: e037883a mov sp,fp + 47c8: dfc00117 ldw ra,4(sp) + 47cc: df000017 ldw fp,0(sp) + 47d0: dec00204 addi sp,sp,8 + 47d4: f800283a ret + +000047d8 <_do_dtors>: + 47d8: defffd04 addi sp,sp,-12 + 47dc: dfc00215 stw ra,8(sp) + 47e0: df000115 stw fp,4(sp) + 47e4: df000104 addi fp,sp,4 + 47e8: 00800034 movhi r2,0 + 47ec: 1095c304 addi r2,r2,22284 + 47f0: e0bfff15 stw r2,-4(fp) + 47f4: 00000606 br 4810 <_do_dtors+0x38> + 47f8: e0bfff17 ldw r2,-4(fp) + 47fc: 10800017 ldw r2,0(r2) + 4800: 103ee83a callr r2 + 4804: e0bfff17 ldw r2,-4(fp) + 4808: 10bfff04 addi r2,r2,-4 + 480c: e0bfff15 stw r2,-4(fp) + 4810: e0ffff17 ldw r3,-4(fp) + 4814: 00800034 movhi r2,0 + 4818: 1095c404 addi r2,r2,22288 + 481c: 18bff62e bgeu r3,r2,47f8 <_do_dtors+0x20> + 4820: 0001883a nop + 4824: e037883a mov sp,fp + 4828: dfc00117 ldw ra,4(sp) + 482c: df000017 ldw fp,0(sp) + 4830: dec00204 addi sp,sp,8 + 4834: f800283a ret + +00004838 : + 4838: defff904 addi sp,sp,-28 + 483c: dfc00615 stw ra,24(sp) + 4840: df000515 stw fp,20(sp) + 4844: df000504 addi fp,sp,20 + 4848: e13ffc15 stw r4,-16(fp) + 484c: e17ffd15 stw r5,-12(fp) + 4850: e1bffe15 stw r6,-8(fp) + 4854: e1ffff15 stw r7,-4(fp) + 4858: e0800217 ldw r2,8(fp) + 485c: d8800015 stw r2,0(sp) + 4860: e1ffff17 ldw r7,-4(fp) + 4864: e1bffe17 ldw r6,-8(fp) + 4868: e17ffd17 ldw r5,-12(fp) + 486c: e13ffc17 ldw r4,-16(fp) + 4870: 00049e80 call 49e8 + 4874: e037883a mov sp,fp + 4878: dfc00117 ldw ra,4(sp) + 487c: df000017 ldw fp,0(sp) + 4880: dec00204 addi sp,sp,8 + 4884: f800283a ret + +00004888 : + 4888: defff904 addi sp,sp,-28 + 488c: df000615 stw fp,24(sp) + 4890: df000604 addi fp,sp,24 + 4894: e13ffe15 stw r4,-8(fp) + 4898: e17fff15 stw r5,-4(fp) + 489c: e0bfff17 ldw r2,-4(fp) + 48a0: e0bffa15 stw r2,-24(fp) + 48a4: 0005303a rdctl r2,status + 48a8: e0bffb15 stw r2,-20(fp) + 48ac: e0fffb17 ldw r3,-20(fp) + 48b0: 00bfff84 movi r2,-2 + 48b4: 1884703a and r2,r3,r2 + 48b8: 1001703a wrctl status,r2 + 48bc: e0bffb17 ldw r2,-20(fp) + 48c0: e0bffc15 stw r2,-16(fp) + 48c4: 00c00044 movi r3,1 + 48c8: e0bffa17 ldw r2,-24(fp) + 48cc: 1884983a sll r2,r3,r2 + 48d0: 1007883a mov r3,r2 + 48d4: d0a01517 ldw r2,-32684(gp) + 48d8: 1884b03a or r2,r3,r2 + 48dc: d0a01515 stw r2,-32684(gp) + 48e0: d0a01517 ldw r2,-32684(gp) + 48e4: 100170fa wrctl ienable,r2 + 48e8: e0bffc17 ldw r2,-16(fp) + 48ec: e0bffd15 stw r2,-12(fp) + 48f0: e0bffd17 ldw r2,-12(fp) + 48f4: 1001703a wrctl status,r2 + 48f8: 0005883a mov r2,zero + 48fc: 0001883a nop + 4900: e037883a mov sp,fp + 4904: df000017 ldw fp,0(sp) + 4908: dec00104 addi sp,sp,4 + 490c: f800283a ret + +00004910 : + 4910: defff904 addi sp,sp,-28 + 4914: df000615 stw fp,24(sp) + 4918: df000604 addi fp,sp,24 + 491c: e13ffe15 stw r4,-8(fp) + 4920: e17fff15 stw r5,-4(fp) + 4924: e0bfff17 ldw r2,-4(fp) + 4928: e0bffa15 stw r2,-24(fp) + 492c: 0005303a rdctl r2,status + 4930: e0bffb15 stw r2,-20(fp) + 4934: e0fffb17 ldw r3,-20(fp) + 4938: 00bfff84 movi r2,-2 + 493c: 1884703a and r2,r3,r2 + 4940: 1001703a wrctl status,r2 + 4944: e0bffb17 ldw r2,-20(fp) + 4948: e0bffc15 stw r2,-16(fp) + 494c: 00c00044 movi r3,1 + 4950: e0bffa17 ldw r2,-24(fp) + 4954: 1884983a sll r2,r3,r2 + 4958: 0084303a nor r2,zero,r2 + 495c: 1007883a mov r3,r2 + 4960: d0a01517 ldw r2,-32684(gp) + 4964: 1884703a and r2,r3,r2 + 4968: d0a01515 stw r2,-32684(gp) + 496c: d0a01517 ldw r2,-32684(gp) + 4970: 100170fa wrctl ienable,r2 + 4974: e0bffc17 ldw r2,-16(fp) + 4978: e0bffd15 stw r2,-12(fp) + 497c: e0bffd17 ldw r2,-12(fp) + 4980: 1001703a wrctl status,r2 + 4984: 0005883a mov r2,zero + 4988: 0001883a nop + 498c: e037883a mov sp,fp + 4990: df000017 ldw fp,0(sp) + 4994: dec00104 addi sp,sp,4 + 4998: f800283a ret + +0000499c : + 499c: defffc04 addi sp,sp,-16 + 49a0: df000315 stw fp,12(sp) + 49a4: df000304 addi fp,sp,12 + 49a8: e13ffe15 stw r4,-8(fp) + 49ac: e17fff15 stw r5,-4(fp) + 49b0: 000530fa rdctl r2,ienable + 49b4: e0bffd15 stw r2,-12(fp) + 49b8: 00c00044 movi r3,1 + 49bc: e0bfff17 ldw r2,-4(fp) + 49c0: 1884983a sll r2,r3,r2 + 49c4: 1007883a mov r3,r2 + 49c8: e0bffd17 ldw r2,-12(fp) + 49cc: 1884703a and r2,r3,r2 + 49d0: 1004c03a cmpne r2,r2,zero + 49d4: 10803fcc andi r2,r2,255 + 49d8: e037883a mov sp,fp + 49dc: df000017 ldw fp,0(sp) + 49e0: dec00104 addi sp,sp,4 + 49e4: f800283a ret + +000049e8 : + 49e8: defff504 addi sp,sp,-44 + 49ec: dfc00a15 stw ra,40(sp) + 49f0: df000915 stw fp,36(sp) + 49f4: df000904 addi fp,sp,36 + 49f8: e13ffc15 stw r4,-16(fp) + 49fc: e17ffd15 stw r5,-12(fp) + 4a00: e1bffe15 stw r6,-8(fp) + 4a04: e1ffff15 stw r7,-4(fp) + 4a08: 00bffa84 movi r2,-22 + 4a0c: e0bff715 stw r2,-36(fp) + 4a10: e0bffd17 ldw r2,-12(fp) + 4a14: e0bff815 stw r2,-32(fp) + 4a18: e0bff817 ldw r2,-32(fp) + 4a1c: 10800808 cmpgei r2,r2,32 + 4a20: 1000271e bne r2,zero,4ac0 + 4a24: 0005303a rdctl r2,status + 4a28: e0bffb15 stw r2,-20(fp) + 4a2c: e0fffb17 ldw r3,-20(fp) + 4a30: 00bfff84 movi r2,-2 + 4a34: 1884703a and r2,r3,r2 + 4a38: 1001703a wrctl status,r2 + 4a3c: e0bffb17 ldw r2,-20(fp) + 4a40: e0bffa15 stw r2,-24(fp) + 4a44: 00800034 movhi r2,0 + 4a48: 109c8304 addi r2,r2,29196 + 4a4c: e0fff817 ldw r3,-32(fp) + 4a50: 180690fa slli r3,r3,3 + 4a54: 10c5883a add r2,r2,r3 + 4a58: e0fffe17 ldw r3,-8(fp) + 4a5c: 10c00015 stw r3,0(r2) + 4a60: 00800034 movhi r2,0 + 4a64: 109c8304 addi r2,r2,29196 + 4a68: e0fff817 ldw r3,-32(fp) + 4a6c: 180690fa slli r3,r3,3 + 4a70: 10c5883a add r2,r2,r3 + 4a74: 10800104 addi r2,r2,4 + 4a78: e0ffff17 ldw r3,-4(fp) + 4a7c: 10c00015 stw r3,0(r2) + 4a80: e0bffe17 ldw r2,-8(fp) + 4a84: 10000526 beq r2,zero,4a9c + 4a88: e0bff817 ldw r2,-32(fp) + 4a8c: 100b883a mov r5,r2 + 4a90: e13ffc17 ldw r4,-16(fp) + 4a94: 00048880 call 4888 + 4a98: 00000406 br 4aac + 4a9c: e0bff817 ldw r2,-32(fp) + 4aa0: 100b883a mov r5,r2 + 4aa4: e13ffc17 ldw r4,-16(fp) + 4aa8: 00049100 call 4910 + 4aac: e0bff715 stw r2,-36(fp) + 4ab0: e0bffa17 ldw r2,-24(fp) + 4ab4: e0bff915 stw r2,-28(fp) + 4ab8: e0bff917 ldw r2,-28(fp) + 4abc: 1001703a wrctl status,r2 + 4ac0: e0bff717 ldw r2,-36(fp) + 4ac4: e037883a mov sp,fp + 4ac8: dfc00117 ldw ra,4(sp) + 4acc: df000017 ldw fp,0(sp) + 4ad0: dec00204 addi sp,sp,8 + 4ad4: f800283a ret + +00004ad8 : + 4ad8: defff804 addi sp,sp,-32 + 4adc: dfc00715 stw ra,28(sp) + 4ae0: df000615 stw fp,24(sp) + 4ae4: dc000515 stw r16,20(sp) + 4ae8: df000604 addi fp,sp,24 + 4aec: e13ffb15 stw r4,-20(fp) + 4af0: e17ffc15 stw r5,-16(fp) + 4af4: e1bffd15 stw r6,-12(fp) + 4af8: e1fffe15 stw r7,-8(fp) + 4afc: e1bffe17 ldw r6,-8(fp) + 4b00: e17ffd17 ldw r5,-12(fp) + 4b04: e13ffc17 ldw r4,-16(fp) + 4b08: 0004d600 call 4d60 + 4b0c: e0bffa15 stw r2,-24(fp) + 4b10: e0bffa17 ldw r2,-24(fp) + 4b14: 10002216 blt r2,zero,4ba0 + 4b18: 04000034 movhi r16,0 + 4b1c: 8417e804 addi r16,r16,24480 + 4b20: e0bffa17 ldw r2,-24(fp) + 4b24: 01400304 movi r5,12 + 4b28: 1009883a mov r4,r2 + 4b2c: 00030780 call 3078 <__mulsi3> + 4b30: 8085883a add r2,r16,r2 + 4b34: 10c00017 ldw r3,0(r2) + 4b38: e0bffb17 ldw r2,-20(fp) + 4b3c: 10c00015 stw r3,0(r2) + 4b40: 04000034 movhi r16,0 + 4b44: 8417e804 addi r16,r16,24480 + 4b48: e0bffa17 ldw r2,-24(fp) + 4b4c: 01400304 movi r5,12 + 4b50: 1009883a mov r4,r2 + 4b54: 00030780 call 3078 <__mulsi3> + 4b58: 8085883a add r2,r16,r2 + 4b5c: 10800104 addi r2,r2,4 + 4b60: 10c00017 ldw r3,0(r2) + 4b64: e0bffb17 ldw r2,-20(fp) + 4b68: 10c00115 stw r3,4(r2) + 4b6c: 04000034 movhi r16,0 + 4b70: 8417e804 addi r16,r16,24480 + 4b74: e0bffa17 ldw r2,-24(fp) + 4b78: 01400304 movi r5,12 + 4b7c: 1009883a mov r4,r2 + 4b80: 00030780 call 3078 <__mulsi3> + 4b84: 8085883a add r2,r16,r2 + 4b88: 10800204 addi r2,r2,8 + 4b8c: 10c00017 ldw r3,0(r2) + 4b90: e0bffb17 ldw r2,-20(fp) + 4b94: 10c00215 stw r3,8(r2) + 4b98: e13ffa17 ldw r4,-24(fp) + 4b9c: 00036d80 call 36d8 + 4ba0: 0001883a nop + 4ba4: e6ffff04 addi sp,fp,-4 + 4ba8: dfc00217 ldw ra,8(sp) + 4bac: df000117 ldw fp,4(sp) + 4bb0: dc000017 ldw r16,0(sp) + 4bb4: dec00304 addi sp,sp,12 + 4bb8: f800283a ret + +00004bbc : + 4bbc: defffb04 addi sp,sp,-20 + 4bc0: dfc00415 stw ra,16(sp) + 4bc4: df000315 stw fp,12(sp) + 4bc8: df000304 addi fp,sp,12 + 4bcc: e13ffd15 stw r4,-12(fp) + 4bd0: e17ffe15 stw r5,-8(fp) + 4bd4: e1bfff15 stw r6,-4(fp) + 4bd8: 01c07fc4 movi r7,511 + 4bdc: 01800044 movi r6,1 + 4be0: e17ffd17 ldw r5,-12(fp) + 4be4: 01000034 movhi r4,0 + 4be8: 2117eb04 addi r4,r4,24492 + 4bec: 0004ad80 call 4ad8 + 4bf0: 01c07fc4 movi r7,511 + 4bf4: 000d883a mov r6,zero + 4bf8: e17ffe17 ldw r5,-8(fp) + 4bfc: 01000034 movhi r4,0 + 4c00: 2117e804 addi r4,r4,24480 + 4c04: 0004ad80 call 4ad8 + 4c08: 01c07fc4 movi r7,511 + 4c0c: 01800044 movi r6,1 + 4c10: e17fff17 ldw r5,-4(fp) + 4c14: 01000034 movhi r4,0 + 4c18: 2117ee04 addi r4,r4,24504 + 4c1c: 0004ad80 call 4ad8 + 4c20: 0001883a nop + 4c24: e037883a mov sp,fp + 4c28: dfc00117 ldw ra,4(sp) + 4c2c: df000017 ldw fp,0(sp) + 4c30: dec00204 addi sp,sp,8 + 4c34: f800283a ret + +00004c38 : + 4c38: defffe04 addi sp,sp,-8 + 4c3c: dfc00115 stw ra,4(sp) + 4c40: df000015 stw fp,0(sp) + 4c44: d839883a mov fp,sp + 4c48: d0a00917 ldw r2,-32732(gp) + 4c4c: 10000326 beq r2,zero,4c5c + 4c50: d0a00917 ldw r2,-32732(gp) + 4c54: 103ee83a callr r2 + 4c58: 00000106 br 4c60 + 4c5c: d0a01104 addi r2,gp,-32700 + 4c60: e037883a mov sp,fp + 4c64: dfc00117 ldw ra,4(sp) + 4c68: df000017 ldw fp,0(sp) + 4c6c: dec00204 addi sp,sp,8 + 4c70: f800283a ret + +00004c74 : + 4c74: defffb04 addi sp,sp,-20 + 4c78: dfc00415 stw ra,16(sp) + 4c7c: df000315 stw fp,12(sp) + 4c80: dc000215 stw r16,8(sp) + 4c84: df000304 addi fp,sp,12 + 4c88: e13ffe15 stw r4,-8(fp) + 4c8c: e0bffe17 ldw r2,-8(fp) + 4c90: 10800217 ldw r2,8(r2) + 4c94: 10d00034 orhi r3,r2,16384 + 4c98: e0bffe17 ldw r2,-8(fp) + 4c9c: 10c00215 stw r3,8(r2) + 4ca0: e03ffd15 stw zero,-12(fp) + 4ca4: 00002306 br 4d34 + 4ca8: 04000034 movhi r16,0 + 4cac: 8417e804 addi r16,r16,24480 + 4cb0: e0bffd17 ldw r2,-12(fp) + 4cb4: 01400304 movi r5,12 + 4cb8: 1009883a mov r4,r2 + 4cbc: 00030780 call 3078 <__mulsi3> + 4cc0: 8085883a add r2,r16,r2 + 4cc4: 10c00017 ldw r3,0(r2) + 4cc8: e0bffe17 ldw r2,-8(fp) + 4ccc: 10800017 ldw r2,0(r2) + 4cd0: 1880151e bne r3,r2,4d28 + 4cd4: 04000034 movhi r16,0 + 4cd8: 8417e804 addi r16,r16,24480 + 4cdc: e0bffd17 ldw r2,-12(fp) + 4ce0: 01400304 movi r5,12 + 4ce4: 1009883a mov r4,r2 + 4ce8: 00030780 call 3078 <__mulsi3> + 4cec: 8085883a add r2,r16,r2 + 4cf0: 10800204 addi r2,r2,8 + 4cf4: 10800017 ldw r2,0(r2) + 4cf8: 10000b0e bge r2,zero,4d28 + 4cfc: 01400304 movi r5,12 + 4d00: e13ffd17 ldw r4,-12(fp) + 4d04: 00030780 call 3078 <__mulsi3> + 4d08: 1007883a mov r3,r2 + 4d0c: 00800034 movhi r2,0 + 4d10: 1097e804 addi r2,r2,24480 + 4d14: 1887883a add r3,r3,r2 + 4d18: e0bffe17 ldw r2,-8(fp) + 4d1c: 18800226 beq r3,r2,4d28 + 4d20: 00bffcc4 movi r2,-13 + 4d24: 00000806 br 4d48 + 4d28: e0bffd17 ldw r2,-12(fp) + 4d2c: 10800044 addi r2,r2,1 + 4d30: e0bffd15 stw r2,-12(fp) + 4d34: d0a00817 ldw r2,-32736(gp) + 4d38: 1007883a mov r3,r2 + 4d3c: e0bffd17 ldw r2,-12(fp) + 4d40: 18bfd92e bgeu r3,r2,4ca8 + 4d44: 0005883a mov r2,zero + 4d48: e6ffff04 addi sp,fp,-4 + 4d4c: dfc00217 ldw ra,8(sp) + 4d50: df000117 ldw fp,4(sp) + 4d54: dc000017 ldw r16,0(sp) + 4d58: dec00304 addi sp,sp,12 + 4d5c: f800283a ret + +00004d60 : + 4d60: defff604 addi sp,sp,-40 + 4d64: dfc00915 stw ra,36(sp) + 4d68: df000815 stw fp,32(sp) + 4d6c: df000804 addi fp,sp,32 + 4d70: e13ffd15 stw r4,-12(fp) + 4d74: e17ffe15 stw r5,-8(fp) + 4d78: e1bfff15 stw r6,-4(fp) + 4d7c: 00bfffc4 movi r2,-1 + 4d80: e0bff915 stw r2,-28(fp) + 4d84: 00bffb44 movi r2,-19 + 4d88: e0bffa15 stw r2,-24(fp) + 4d8c: e03ffb15 stw zero,-20(fp) + 4d90: d1600604 addi r5,gp,-32744 + 4d94: e13ffd17 ldw r4,-12(fp) + 4d98: 00050840 call 5084 + 4d9c: e0bff815 stw r2,-32(fp) + 4da0: e0bff817 ldw r2,-32(fp) + 4da4: 1000051e bne r2,zero,4dbc + 4da8: e13ffd17 ldw r4,-12(fp) + 4dac: 00051140 call 5114 + 4db0: e0bff815 stw r2,-32(fp) + 4db4: 00800044 movi r2,1 + 4db8: e0bffb15 stw r2,-20(fp) + 4dbc: e0bff817 ldw r2,-32(fp) + 4dc0: 10002b26 beq r2,zero,4e70 + 4dc4: e13ff817 ldw r4,-32(fp) + 4dc8: 000521c0 call 521c + 4dcc: e0bff915 stw r2,-28(fp) + 4dd0: e0bff917 ldw r2,-28(fp) + 4dd4: 1000030e bge r2,zero,4de4 + 4dd8: e0bff917 ldw r2,-28(fp) + 4ddc: e0bffa15 stw r2,-24(fp) + 4de0: 00002506 br 4e78 + 4de4: 01400304 movi r5,12 + 4de8: e13ff917 ldw r4,-28(fp) + 4dec: 00030780 call 3078 <__mulsi3> + 4df0: 1007883a mov r3,r2 + 4df4: 00800034 movhi r2,0 + 4df8: 1097e804 addi r2,r2,24480 + 4dfc: 1885883a add r2,r3,r2 + 4e00: e0bffc15 stw r2,-16(fp) + 4e04: e0fffe17 ldw r3,-8(fp) + 4e08: 00900034 movhi r2,16384 + 4e0c: 10bfffc4 addi r2,r2,-1 + 4e10: 1886703a and r3,r3,r2 + 4e14: e0bffc17 ldw r2,-16(fp) + 4e18: 10c00215 stw r3,8(r2) + 4e1c: e0bffb17 ldw r2,-20(fp) + 4e20: 1000051e bne r2,zero,4e38 + 4e24: e13ffc17 ldw r4,-16(fp) + 4e28: 0004c740 call 4c74 + 4e2c: e0bffa15 stw r2,-24(fp) + 4e30: e0bffa17 ldw r2,-24(fp) + 4e34: 10001016 blt r2,zero,4e78 + 4e38: e0bff817 ldw r2,-32(fp) + 4e3c: 10800317 ldw r2,12(r2) + 4e40: 10000826 beq r2,zero,4e64 + 4e44: e0bff817 ldw r2,-32(fp) + 4e48: 10800317 ldw r2,12(r2) + 4e4c: e1ffff17 ldw r7,-4(fp) + 4e50: e1bffe17 ldw r6,-8(fp) + 4e54: e17ffd17 ldw r5,-12(fp) + 4e58: e13ffc17 ldw r4,-16(fp) + 4e5c: 103ee83a callr r2 + 4e60: 00000106 br 4e68 + 4e64: 0005883a mov r2,zero + 4e68: e0bffa15 stw r2,-24(fp) + 4e6c: 00000206 br 4e78 + 4e70: 00bffb44 movi r2,-19 + 4e74: e0bffa15 stw r2,-24(fp) + 4e78: e0bffa17 ldw r2,-24(fp) + 4e7c: 1000090e bge r2,zero,4ea4 + 4e80: e13ff917 ldw r4,-28(fp) + 4e84: 00036d80 call 36d8 + 4e88: 0004c380 call 4c38 + 4e8c: 1007883a mov r3,r2 + 4e90: e0bffa17 ldw r2,-24(fp) + 4e94: 0085c83a sub r2,zero,r2 + 4e98: 18800015 stw r2,0(r3) + 4e9c: 00bfffc4 movi r2,-1 + 4ea0: 00000106 br 4ea8 + 4ea4: e0bff917 ldw r2,-28(fp) + 4ea8: e037883a mov sp,fp + 4eac: dfc00117 ldw ra,4(sp) + 4eb0: df000017 ldw fp,0(sp) + 4eb4: dec00204 addi sp,sp,8 + 4eb8: f800283a ret + +00004ebc : + 4ebc: defffa04 addi sp,sp,-24 + 4ec0: df000515 stw fp,20(sp) + 4ec4: df000504 addi fp,sp,20 + 4ec8: e13fff15 stw r4,-4(fp) + 4ecc: 0005303a rdctl r2,status + 4ed0: e0bffc15 stw r2,-16(fp) + 4ed4: e0fffc17 ldw r3,-16(fp) + 4ed8: 00bfff84 movi r2,-2 + 4edc: 1884703a and r2,r3,r2 + 4ee0: 1001703a wrctl status,r2 + 4ee4: e0bffc17 ldw r2,-16(fp) + 4ee8: e0bffb15 stw r2,-20(fp) + 4eec: e0bfff17 ldw r2,-4(fp) + 4ef0: e0bffd15 stw r2,-12(fp) + 4ef4: e0bffd17 ldw r2,-12(fp) + 4ef8: 10800017 ldw r2,0(r2) + 4efc: e0fffd17 ldw r3,-12(fp) + 4f00: 18c00117 ldw r3,4(r3) + 4f04: 10c00115 stw r3,4(r2) + 4f08: e0bffd17 ldw r2,-12(fp) + 4f0c: 10800117 ldw r2,4(r2) + 4f10: e0fffd17 ldw r3,-12(fp) + 4f14: 18c00017 ldw r3,0(r3) + 4f18: 10c00015 stw r3,0(r2) + 4f1c: e0bffd17 ldw r2,-12(fp) + 4f20: e0fffd17 ldw r3,-12(fp) + 4f24: 10c00115 stw r3,4(r2) + 4f28: e0bffd17 ldw r2,-12(fp) + 4f2c: e0fffd17 ldw r3,-12(fp) + 4f30: 10c00015 stw r3,0(r2) + 4f34: e0bffb17 ldw r2,-20(fp) + 4f38: e0bffe15 stw r2,-8(fp) + 4f3c: e0bffe17 ldw r2,-8(fp) + 4f40: 1001703a wrctl status,r2 + 4f44: 0001883a nop + 4f48: e037883a mov sp,fp + 4f4c: df000017 ldw fp,0(sp) + 4f50: dec00104 addi sp,sp,4 + 4f54: f800283a ret + +00004f58 : + 4f58: defffb04 addi sp,sp,-20 + 4f5c: dfc00415 stw ra,16(sp) + 4f60: df000315 stw fp,12(sp) + 4f64: df000304 addi fp,sp,12 + 4f68: d0a00c17 ldw r2,-32720(gp) + 4f6c: e0bffd15 stw r2,-12(fp) + 4f70: d0a01717 ldw r2,-32676(gp) + 4f74: 10800044 addi r2,r2,1 + 4f78: d0a01715 stw r2,-32676(gp) + 4f7c: 00002e06 br 5038 + 4f80: e0bffd17 ldw r2,-12(fp) + 4f84: 10800017 ldw r2,0(r2) + 4f88: e0bffe15 stw r2,-8(fp) + 4f8c: e0bffd17 ldw r2,-12(fp) + 4f90: 10800403 ldbu r2,16(r2) + 4f94: 10803fcc andi r2,r2,255 + 4f98: 10000426 beq r2,zero,4fac + 4f9c: d0a01717 ldw r2,-32676(gp) + 4fa0: 1000021e bne r2,zero,4fac + 4fa4: e0bffd17 ldw r2,-12(fp) + 4fa8: 10000405 stb zero,16(r2) + 4fac: e0bffd17 ldw r2,-12(fp) + 4fb0: 10800217 ldw r2,8(r2) + 4fb4: d0e01717 ldw r3,-32676(gp) + 4fb8: 18801d36 bltu r3,r2,5030 + 4fbc: e0bffd17 ldw r2,-12(fp) + 4fc0: 10800403 ldbu r2,16(r2) + 4fc4: 10803fcc andi r2,r2,255 + 4fc8: 1000191e bne r2,zero,5030 + 4fcc: e0bffd17 ldw r2,-12(fp) + 4fd0: 10800317 ldw r2,12(r2) + 4fd4: e0fffd17 ldw r3,-12(fp) + 4fd8: 18c00517 ldw r3,20(r3) + 4fdc: 1809883a mov r4,r3 + 4fe0: 103ee83a callr r2 + 4fe4: e0bfff15 stw r2,-4(fp) + 4fe8: e0bfff17 ldw r2,-4(fp) + 4fec: 1000031e bne r2,zero,4ffc + 4ff0: e13ffd17 ldw r4,-12(fp) + 4ff4: 0004ebc0 call 4ebc + 4ff8: 00000d06 br 5030 + 4ffc: e0bffd17 ldw r2,-12(fp) + 5000: 10c00217 ldw r3,8(r2) + 5004: e0bfff17 ldw r2,-4(fp) + 5008: 1887883a add r3,r3,r2 + 500c: e0bffd17 ldw r2,-12(fp) + 5010: 10c00215 stw r3,8(r2) + 5014: e0bffd17 ldw r2,-12(fp) + 5018: 10c00217 ldw r3,8(r2) + 501c: d0a01717 ldw r2,-32676(gp) + 5020: 1880032e bgeu r3,r2,5030 + 5024: e0bffd17 ldw r2,-12(fp) + 5028: 00c00044 movi r3,1 + 502c: 10c00405 stb r3,16(r2) + 5030: e0bffe17 ldw r2,-8(fp) + 5034: e0bffd15 stw r2,-12(fp) + 5038: e0fffd17 ldw r3,-12(fp) + 503c: d0a00c04 addi r2,gp,-32720 + 5040: 18bfcf1e bne r3,r2,4f80 + 5044: 0001883a nop + 5048: 0001883a nop + 504c: e037883a mov sp,fp + 5050: dfc00117 ldw ra,4(sp) + 5054: df000017 ldw fp,0(sp) + 5058: dec00204 addi sp,sp,8 + 505c: f800283a ret + +00005060 : + 5060: deffff04 addi sp,sp,-4 + 5064: df000015 stw fp,0(sp) + 5068: d839883a mov fp,sp + 506c: 000170fa wrctl ienable,zero + 5070: 0001883a nop + 5074: e037883a mov sp,fp + 5078: df000017 ldw fp,0(sp) + 507c: dec00104 addi sp,sp,4 + 5080: f800283a ret + +00005084 : + 5084: defffa04 addi sp,sp,-24 + 5088: dfc00515 stw ra,20(sp) + 508c: df000415 stw fp,16(sp) + 5090: df000404 addi fp,sp,16 + 5094: e13ffe15 stw r4,-8(fp) + 5098: e17fff15 stw r5,-4(fp) + 509c: e0bfff17 ldw r2,-4(fp) + 50a0: 10800017 ldw r2,0(r2) + 50a4: e0bffc15 stw r2,-16(fp) + 50a8: e13ffe17 ldw r4,-8(fp) + 50ac: 00003680 call 368 + 50b0: 10800044 addi r2,r2,1 + 50b4: e0bffd15 stw r2,-12(fp) + 50b8: 00000d06 br 50f0 + 50bc: e0bffc17 ldw r2,-16(fp) + 50c0: 10800217 ldw r2,8(r2) + 50c4: e0fffd17 ldw r3,-12(fp) + 50c8: 180d883a mov r6,r3 + 50cc: e17ffe17 ldw r5,-8(fp) + 50d0: 1009883a mov r4,r2 + 50d4: 00053c80 call 53c8 + 50d8: 1000021e bne r2,zero,50e4 + 50dc: e0bffc17 ldw r2,-16(fp) + 50e0: 00000706 br 5100 + 50e4: e0bffc17 ldw r2,-16(fp) + 50e8: 10800017 ldw r2,0(r2) + 50ec: e0bffc15 stw r2,-16(fp) + 50f0: e0fffc17 ldw r3,-16(fp) + 50f4: e0bfff17 ldw r2,-4(fp) + 50f8: 18bff01e bne r3,r2,50bc + 50fc: 0005883a mov r2,zero + 5100: e037883a mov sp,fp + 5104: dfc00117 ldw ra,4(sp) + 5108: df000017 ldw fp,0(sp) + 510c: dec00204 addi sp,sp,8 + 5110: f800283a ret + +00005114 : + 5114: defffb04 addi sp,sp,-20 + 5118: dfc00415 stw ra,16(sp) + 511c: df000315 stw fp,12(sp) + 5120: df000304 addi fp,sp,12 + 5124: e13fff15 stw r4,-4(fp) + 5128: d0a00417 ldw r2,-32752(gp) + 512c: e0bffd15 stw r2,-12(fp) + 5130: 00003106 br 51f8 + 5134: e0bffd17 ldw r2,-12(fp) + 5138: 10800217 ldw r2,8(r2) + 513c: 1009883a mov r4,r2 + 5140: 00003680 call 368 + 5144: e0bffe15 stw r2,-8(fp) + 5148: e0bffd17 ldw r2,-12(fp) + 514c: 10c00217 ldw r3,8(r2) + 5150: e0bffe17 ldw r2,-8(fp) + 5154: 10bfffc4 addi r2,r2,-1 + 5158: 1885883a add r2,r3,r2 + 515c: 10800003 ldbu r2,0(r2) + 5160: 10803fcc andi r2,r2,255 + 5164: 1080201c xori r2,r2,128 + 5168: 10bfe004 addi r2,r2,-128 + 516c: 10800bd8 cmpnei r2,r2,47 + 5170: 1000031e bne r2,zero,5180 + 5174: e0bffe17 ldw r2,-8(fp) + 5178: 10bfffc4 addi r2,r2,-1 + 517c: e0bffe15 stw r2,-8(fp) + 5180: e0bffe17 ldw r2,-8(fp) + 5184: e0ffff17 ldw r3,-4(fp) + 5188: 1885883a add r2,r3,r2 + 518c: 10800003 ldbu r2,0(r2) + 5190: 10803fcc andi r2,r2,255 + 5194: 1080201c xori r2,r2,128 + 5198: 10bfe004 addi r2,r2,-128 + 519c: 10800be0 cmpeqi r2,r2,47 + 51a0: 1000081e bne r2,zero,51c4 + 51a4: e0bffe17 ldw r2,-8(fp) + 51a8: e0ffff17 ldw r3,-4(fp) + 51ac: 1885883a add r2,r3,r2 + 51b0: 10800003 ldbu r2,0(r2) + 51b4: 10803fcc andi r2,r2,255 + 51b8: 1080201c xori r2,r2,128 + 51bc: 10bfe004 addi r2,r2,-128 + 51c0: 10000a1e bne r2,zero,51ec + 51c4: e0bffd17 ldw r2,-12(fp) + 51c8: 10800217 ldw r2,8(r2) + 51cc: e0fffe17 ldw r3,-8(fp) + 51d0: 180d883a mov r6,r3 + 51d4: e17fff17 ldw r5,-4(fp) + 51d8: 1009883a mov r4,r2 + 51dc: 00053c80 call 53c8 + 51e0: 1000021e bne r2,zero,51ec + 51e4: e0bffd17 ldw r2,-12(fp) + 51e8: 00000706 br 5208 + 51ec: e0bffd17 ldw r2,-12(fp) + 51f0: 10800017 ldw r2,0(r2) + 51f4: e0bffd15 stw r2,-12(fp) + 51f8: e0fffd17 ldw r3,-12(fp) + 51fc: d0a00404 addi r2,gp,-32752 + 5200: 18bfcc1e bne r3,r2,5134 + 5204: 0005883a mov r2,zero + 5208: e037883a mov sp,fp + 520c: dfc00117 ldw ra,4(sp) + 5210: df000017 ldw fp,0(sp) + 5214: dec00204 addi sp,sp,8 + 5218: f800283a ret + +0000521c : + 521c: defffa04 addi sp,sp,-24 + 5220: dfc00515 stw ra,20(sp) + 5224: df000415 stw fp,16(sp) + 5228: dc000315 stw r16,12(sp) + 522c: df000404 addi fp,sp,16 + 5230: e13ffe15 stw r4,-8(fp) + 5234: 00bffa04 movi r2,-24 + 5238: e0bffd15 stw r2,-12(fp) + 523c: e03ffc15 stw zero,-16(fp) + 5240: 00001d06 br 52b8 + 5244: 04000034 movhi r16,0 + 5248: 8417e804 addi r16,r16,24480 + 524c: e0bffc17 ldw r2,-16(fp) + 5250: 01400304 movi r5,12 + 5254: 1009883a mov r4,r2 + 5258: 00030780 call 3078 <__mulsi3> + 525c: 8085883a add r2,r16,r2 + 5260: 10800017 ldw r2,0(r2) + 5264: 1000111e bne r2,zero,52ac + 5268: 04000034 movhi r16,0 + 526c: 8417e804 addi r16,r16,24480 + 5270: e0bffc17 ldw r2,-16(fp) + 5274: 01400304 movi r5,12 + 5278: 1009883a mov r4,r2 + 527c: 00030780 call 3078 <__mulsi3> + 5280: 8085883a add r2,r16,r2 + 5284: e0fffe17 ldw r3,-8(fp) + 5288: 10c00015 stw r3,0(r2) + 528c: d0e00817 ldw r3,-32736(gp) + 5290: e0bffc17 ldw r2,-16(fp) + 5294: 1880020e bge r3,r2,52a0 + 5298: e0bffc17 ldw r2,-16(fp) + 529c: d0a00815 stw r2,-32736(gp) + 52a0: e0bffc17 ldw r2,-16(fp) + 52a4: e0bffd15 stw r2,-12(fp) + 52a8: 00000606 br 52c4 + 52ac: e0bffc17 ldw r2,-16(fp) + 52b0: 10800044 addi r2,r2,1 + 52b4: e0bffc15 stw r2,-16(fp) + 52b8: e0bffc17 ldw r2,-16(fp) + 52bc: 10800810 cmplti r2,r2,32 + 52c0: 103fe01e bne r2,zero,5244 + 52c4: e0bffd17 ldw r2,-12(fp) + 52c8: e6ffff04 addi sp,fp,-4 + 52cc: dfc00217 ldw ra,8(sp) + 52d0: df000117 ldw fp,4(sp) + 52d4: dc000017 ldw r16,0(sp) + 52d8: dec00304 addi sp,sp,12 + 52dc: f800283a ret + +000052e0 : + 52e0: defffe04 addi sp,sp,-8 + 52e4: df000115 stw fp,4(sp) + 52e8: df000104 addi fp,sp,4 + 52ec: e13fff15 stw r4,-4(fp) + 52f0: e0bfff17 ldw r2,-4(fp) + 52f4: 10bffe84 addi r2,r2,-6 + 52f8: 10c00428 cmpgeui r3,r2,16 + 52fc: 18001a1e bne r3,zero,5368 + 5300: 100690ba slli r3,r2,2 + 5304: 00800034 movhi r2,0 + 5308: 1094c604 addi r2,r2,21272 + 530c: 1885883a add r2,r3,r2 + 5310: 10800017 ldw r2,0(r2) + 5314: 1000683a jmp r2 + 5318: 00005358 cmpnei zero,zero,333 + 531c: 00005358 cmpnei zero,zero,333 + 5320: 00005368 cmpgeui zero,zero,333 + 5324: 00005368 cmpgeui zero,zero,333 + 5328: 00005368 cmpgeui zero,zero,333 + 532c: 00005358 cmpnei zero,zero,333 + 5330: 00005360 cmpeqi zero,zero,333 + 5334: 00005368 cmpgeui zero,zero,333 + 5338: 00005358 cmpnei zero,zero,333 + 533c: 00005358 cmpnei zero,zero,333 + 5340: 00005368 cmpgeui zero,zero,333 + 5344: 00005358 cmpnei zero,zero,333 + 5348: 00005360 cmpeqi zero,zero,333 + 534c: 00005368 cmpgeui zero,zero,333 + 5350: 00005368 cmpgeui zero,zero,333 + 5354: 00005358 cmpnei zero,zero,333 + 5358: 00800044 movi r2,1 + 535c: 00000306 br 536c + 5360: 0005883a mov r2,zero + 5364: 00000106 br 536c + 5368: 0005883a mov r2,zero + 536c: e037883a mov sp,fp + 5370: df000017 ldw fp,0(sp) + 5374: dec00104 addi sp,sp,4 + 5378: f800283a ret + +0000537c : + 537c: 200b883a mov r5,r4 + 5380: 000f883a mov r7,zero + 5384: 000d883a mov r6,zero + 5388: 0009883a mov r4,zero + 538c: 00054441 jmpi 5444 <__register_exitproc> + +00005390 : + 5390: defffe04 addi sp,sp,-8 + 5394: 000b883a mov r5,zero + 5398: dc000015 stw r16,0(sp) + 539c: dfc00115 stw ra,4(sp) + 53a0: 2021883a mov r16,r4 + 53a4: 000555c0 call 555c <__call_exitprocs> + 53a8: 00800034 movhi r2,0 + 53ac: 109c6004 addi r2,r2,29056 + 53b0: 11000017 ldw r4,0(r2) + 53b4: 20800f17 ldw r2,60(r4) + 53b8: 10000126 beq r2,zero,53c0 + 53bc: 103ee83a callr r2 + 53c0: 8009883a mov r4,r16 + 53c4: 00056dc0 call 56dc <_exit> + +000053c8 : + 53c8: 01c000c4 movi r7,3 + 53cc: 3980192e bgeu r7,r6,5434 + 53d0: 2144b03a or r2,r4,r5 + 53d4: 11c4703a and r2,r2,r7 + 53d8: 10000f26 beq r2,zero,5418 + 53dc: 20800003 ldbu r2,0(r4) + 53e0: 28c00003 ldbu r3,0(r5) + 53e4: 10c0151e bne r2,r3,543c + 53e8: 31bfff84 addi r6,r6,-2 + 53ec: 01ffffc4 movi r7,-1 + 53f0: 00000406 br 5404 + 53f4: 20800003 ldbu r2,0(r4) + 53f8: 28c00003 ldbu r3,0(r5) + 53fc: 31bfffc4 addi r6,r6,-1 + 5400: 10c00e1e bne r2,r3,543c + 5404: 21000044 addi r4,r4,1 + 5408: 29400044 addi r5,r5,1 + 540c: 31fff91e bne r6,r7,53f4 + 5410: 0005883a mov r2,zero + 5414: f800283a ret + 5418: 20c00017 ldw r3,0(r4) + 541c: 28800017 ldw r2,0(r5) + 5420: 18bfee1e bne r3,r2,53dc + 5424: 31bfff04 addi r6,r6,-4 + 5428: 21000104 addi r4,r4,4 + 542c: 29400104 addi r5,r5,4 + 5430: 39bff936 bltu r7,r6,5418 + 5434: 303fe91e bne r6,zero,53dc + 5438: 003ff506 br 5410 + 543c: 10c5c83a sub r2,r2,r3 + 5440: f800283a ret + +00005444 <__register_exitproc>: + 5444: defffa04 addi sp,sp,-24 + 5448: dc000315 stw r16,12(sp) + 544c: 04000034 movhi r16,0 + 5450: 841c6004 addi r16,r16,29056 + 5454: 80c00017 ldw r3,0(r16) + 5458: dc400415 stw r17,16(sp) + 545c: dfc00515 stw ra,20(sp) + 5460: 18805217 ldw r2,328(r3) + 5464: 2023883a mov r17,r4 + 5468: 10003726 beq r2,zero,5548 <__register_exitproc+0x104> + 546c: 10c00117 ldw r3,4(r2) + 5470: 010007c4 movi r4,31 + 5474: 20c00e16 blt r4,r3,54b0 <__register_exitproc+0x6c> + 5478: 1a000044 addi r8,r3,1 + 547c: 8800221e bne r17,zero,5508 <__register_exitproc+0xc4> + 5480: 18c00084 addi r3,r3,2 + 5484: 18c7883a add r3,r3,r3 + 5488: 18c7883a add r3,r3,r3 + 548c: 12000115 stw r8,4(r2) + 5490: 10c7883a add r3,r2,r3 + 5494: 19400015 stw r5,0(r3) + 5498: 0005883a mov r2,zero + 549c: dfc00517 ldw ra,20(sp) + 54a0: dc400417 ldw r17,16(sp) + 54a4: dc000317 ldw r16,12(sp) + 54a8: dec00604 addi sp,sp,24 + 54ac: f800283a ret + 54b0: 00800034 movhi r2,0 + 54b4: 10800004 addi r2,r2,0 + 54b8: 10002626 beq r2,zero,5554 <__register_exitproc+0x110> + 54bc: 01006404 movi r4,400 + 54c0: d9400015 stw r5,0(sp) + 54c4: d9800115 stw r6,4(sp) + 54c8: d9c00215 stw r7,8(sp) + 54cc: 00000000 call 0 <__alt_mem_mem> + 54d0: d9400017 ldw r5,0(sp) + 54d4: d9800117 ldw r6,4(sp) + 54d8: d9c00217 ldw r7,8(sp) + 54dc: 10001d26 beq r2,zero,5554 <__register_exitproc+0x110> + 54e0: 81000017 ldw r4,0(r16) + 54e4: 10000115 stw zero,4(r2) + 54e8: 02000044 movi r8,1 + 54ec: 22405217 ldw r9,328(r4) + 54f0: 0007883a mov r3,zero + 54f4: 12400015 stw r9,0(r2) + 54f8: 20805215 stw r2,328(r4) + 54fc: 10006215 stw zero,392(r2) + 5500: 10006315 stw zero,396(r2) + 5504: 883fde26 beq r17,zero,5480 <__register_exitproc+0x3c> + 5508: 18c9883a add r4,r3,r3 + 550c: 2109883a add r4,r4,r4 + 5510: 1109883a add r4,r2,r4 + 5514: 21802215 stw r6,136(r4) + 5518: 01800044 movi r6,1 + 551c: 12406217 ldw r9,392(r2) + 5520: 30cc983a sll r6,r6,r3 + 5524: 4992b03a or r9,r9,r6 + 5528: 12406215 stw r9,392(r2) + 552c: 21c04215 stw r7,264(r4) + 5530: 01000084 movi r4,2 + 5534: 893fd21e bne r17,r4,5480 <__register_exitproc+0x3c> + 5538: 11006317 ldw r4,396(r2) + 553c: 218cb03a or r6,r4,r6 + 5540: 11806315 stw r6,396(r2) + 5544: 003fce06 br 5480 <__register_exitproc+0x3c> + 5548: 18805304 addi r2,r3,332 + 554c: 18805215 stw r2,328(r3) + 5550: 003fc606 br 546c <__register_exitproc+0x28> + 5554: 00bfffc4 movi r2,-1 + 5558: 003fd006 br 549c <__register_exitproc+0x58> + +0000555c <__call_exitprocs>: + 555c: defff504 addi sp,sp,-44 + 5560: df000915 stw fp,36(sp) + 5564: dd400615 stw r21,24(sp) + 5568: dc800315 stw r18,12(sp) + 556c: dfc00a15 stw ra,40(sp) + 5570: ddc00815 stw r23,32(sp) + 5574: dd800715 stw r22,28(sp) + 5578: dd000515 stw r20,20(sp) + 557c: dcc00415 stw r19,16(sp) + 5580: dc400215 stw r17,8(sp) + 5584: dc000115 stw r16,4(sp) + 5588: d9000015 stw r4,0(sp) + 558c: 2839883a mov fp,r5 + 5590: 04800044 movi r18,1 + 5594: 057fffc4 movi r21,-1 + 5598: 00800034 movhi r2,0 + 559c: 109c6004 addi r2,r2,29056 + 55a0: 12000017 ldw r8,0(r2) + 55a4: 45005217 ldw r20,328(r8) + 55a8: 44c05204 addi r19,r8,328 + 55ac: a0001c26 beq r20,zero,5620 <__call_exitprocs+0xc4> + 55b0: a0800117 ldw r2,4(r20) + 55b4: 15ffffc4 addi r23,r2,-1 + 55b8: b8000d16 blt r23,zero,55f0 <__call_exitprocs+0x94> + 55bc: 14000044 addi r16,r2,1 + 55c0: 8421883a add r16,r16,r16 + 55c4: 8421883a add r16,r16,r16 + 55c8: 84402004 addi r17,r16,128 + 55cc: a463883a add r17,r20,r17 + 55d0: a421883a add r16,r20,r16 + 55d4: e0001e26 beq fp,zero,5650 <__call_exitprocs+0xf4> + 55d8: 80804017 ldw r2,256(r16) + 55dc: e0801c26 beq fp,r2,5650 <__call_exitprocs+0xf4> + 55e0: bdffffc4 addi r23,r23,-1 + 55e4: 843fff04 addi r16,r16,-4 + 55e8: 8c7fff04 addi r17,r17,-4 + 55ec: bd7ff91e bne r23,r21,55d4 <__call_exitprocs+0x78> + 55f0: 00800034 movhi r2,0 + 55f4: 10800004 addi r2,r2,0 + 55f8: 10000926 beq r2,zero,5620 <__call_exitprocs+0xc4> + 55fc: a0800117 ldw r2,4(r20) + 5600: 1000301e bne r2,zero,56c4 <__call_exitprocs+0x168> + 5604: a0800017 ldw r2,0(r20) + 5608: 10003226 beq r2,zero,56d4 <__call_exitprocs+0x178> + 560c: a009883a mov r4,r20 + 5610: 98800015 stw r2,0(r19) + 5614: 00000000 call 0 <__alt_mem_mem> + 5618: 9d000017 ldw r20,0(r19) + 561c: a03fe41e bne r20,zero,55b0 <__call_exitprocs+0x54> + 5620: dfc00a17 ldw ra,40(sp) + 5624: df000917 ldw fp,36(sp) + 5628: ddc00817 ldw r23,32(sp) + 562c: dd800717 ldw r22,28(sp) + 5630: dd400617 ldw r21,24(sp) + 5634: dd000517 ldw r20,20(sp) + 5638: dcc00417 ldw r19,16(sp) + 563c: dc800317 ldw r18,12(sp) + 5640: dc400217 ldw r17,8(sp) + 5644: dc000117 ldw r16,4(sp) + 5648: dec00b04 addi sp,sp,44 + 564c: f800283a ret + 5650: a0800117 ldw r2,4(r20) + 5654: 80c00017 ldw r3,0(r16) + 5658: 10bfffc4 addi r2,r2,-1 + 565c: 15c01426 beq r2,r23,56b0 <__call_exitprocs+0x154> + 5660: 80000015 stw zero,0(r16) + 5664: 183fde26 beq r3,zero,55e0 <__call_exitprocs+0x84> + 5668: 95c8983a sll r4,r18,r23 + 566c: a0806217 ldw r2,392(r20) + 5670: a5800117 ldw r22,4(r20) + 5674: 2084703a and r2,r4,r2 + 5678: 10000b26 beq r2,zero,56a8 <__call_exitprocs+0x14c> + 567c: a0806317 ldw r2,396(r20) + 5680: 2088703a and r4,r4,r2 + 5684: 20000c1e bne r4,zero,56b8 <__call_exitprocs+0x15c> + 5688: 89400017 ldw r5,0(r17) + 568c: d9000017 ldw r4,0(sp) + 5690: 183ee83a callr r3 + 5694: a0800117 ldw r2,4(r20) + 5698: 15bfbf1e bne r2,r22,5598 <__call_exitprocs+0x3c> + 569c: 98800017 ldw r2,0(r19) + 56a0: 153fcf26 beq r2,r20,55e0 <__call_exitprocs+0x84> + 56a4: 003fbc06 br 5598 <__call_exitprocs+0x3c> + 56a8: 183ee83a callr r3 + 56ac: 003ff906 br 5694 <__call_exitprocs+0x138> + 56b0: a5c00115 stw r23,4(r20) + 56b4: 003feb06 br 5664 <__call_exitprocs+0x108> + 56b8: 89000017 ldw r4,0(r17) + 56bc: 183ee83a callr r3 + 56c0: 003ff406 br 5694 <__call_exitprocs+0x138> + 56c4: a0800017 ldw r2,0(r20) + 56c8: a027883a mov r19,r20 + 56cc: 1029883a mov r20,r2 + 56d0: 003fb606 br 55ac <__call_exitprocs+0x50> + 56d4: 0005883a mov r2,zero + 56d8: 003ffb06 br 56c8 <__call_exitprocs+0x16c> + +000056dc <_exit>: + 56dc: defffd04 addi sp,sp,-12 + 56e0: df000215 stw fp,8(sp) + 56e4: df000204 addi fp,sp,8 + 56e8: e13fff15 stw r4,-4(fp) + 56ec: 0001883a nop + 56f0: e0bfff17 ldw r2,-4(fp) + 56f4: e0bffe15 stw r2,-8(fp) + 56f8: e0bffe17 ldw r2,-8(fp) + 56fc: 10000226 beq r2,zero,5708 <_exit+0x2c> + 5700: 002af070 cmpltui zero,zero,43969 + 5704: 00000106 br 570c <_exit+0x30> + 5708: 002af0b0 cmpltui zero,zero,43970 + 570c: 003fff06 br 570c <_exit+0x30> diff --git a/Top/software/deltasigma/main.c b/Top/software/deltasigma/main.c new file mode 100644 index 0000000..5f18f9d --- /dev/null +++ b/Top/software/deltasigma/main.c @@ -0,0 +1,22 @@ +/* + * main.c + * + * + * Created on: Feb 7, 2023 + * Author: ovchinnikov_ii@RISDE.ru + */ + +#include +#include "alt_types.h" +#include "system.h" +#include "io.h" + +#define IOWR_DELSIG_CTL(base, data) IOWR(base, 0, data) + +int main() { + + IOWR_DELSIG_CTL(SIGDEL_0_BASE, 0x250000); + printf("Ready\n"); + while (1) {} + return 0; +} diff --git a/Top/software/semafor_bsp/.force_rebuild b/Top/software/semafor_bsp/.force_rebuild new file mode 100644 index 0000000..e69de29 diff --git a/Top/software/semafor_bsp/Makefile b/Top/software/semafor_bsp/Makefile index e1b3809..ff47f19 100644 --- a/Top/software/semafor_bsp/Makefile +++ b/Top/software/semafor_bsp/Makefile @@ -19,27 +19,27 @@ SPACE := $(empty) $(empty) #------------------------------------------------------------------------------ # The adjust-path macro +# +# If COMSPEC is defined, Make is launched from Windows through +# Cygwin. This adjust-path macro will call 'cygpath -u' on all +# paths to ensure they are readable by Make. # -# If Make is launched from Windows through -# Windows Subsystem for Linux (WSL). The adjust-path macro converts absolute windows -# paths into unix style paths (Example: c:/dir -> /c/dir). -# The adjust_path_mixed function converts WSL path to Windows path. -# This will ensure paths are readable by GNU Make. +# If COMSPEC is not defined, Make is launched from *nix, and no adjustment +# is necessary #------------------------------------------------------------------------------ -UNAME = $(shell uname -r) -ifeq ($(findstring Microsoft,$(UNAME)),Microsoft) - WINDOWS_EXE = .exe -endif +ifndef COMSPEC +ifdef ComSpec +COMSPEC = $(ComSpec) +endif # ComSpec +endif # !COMSPEC -eq = $(and $(findstring $(1),$(2)),$(findstring $(2),$(1))) - -ifdef WINDOWS_EXE - adjust-path = $(if $1,$(shell wslpath "$1"),) - adjust-path-mixed = $(if $(call eq,$(shell echo $1 | head -c 5),/mnt/),$(shell echo $1 | sed 's/\/mnt\///g;s/\//:\//1'),$1) -else # !WINDOWS_EXE - adjust-path = $1 - adjust-path-mixed = $1 +ifdef COMSPEC + adjust-path = $(subst $(SPACE),\$(SPACE),$(shell cygpath -u "$1")) + adjust-path-mixed = $(subst $(SPACE),\$(SPACE),$(shell cygpath -m "$1")) +else + adjust-path = $(subst $(SPACE),\$(SPACE),$1) + adjust-path-mixed = $(subst $(SPACE),\$(SPACE),$1) endif #------------------------------------------------------------------------------ @@ -62,7 +62,7 @@ all: BSP_ROOT_DIR := . # Define absolute path to the root of the BSP. -ABS_BSP_ROOT := $(shell pwd) +ABS_BSP_ROOT := $(call adjust-path-mixed,$(shell pwd)) # Stash all BSP object files here OBJ_DIR := ./obj @@ -93,12 +93,12 @@ OBJ_DIR := ./obj # This following VERSION comment indicates the version of the tool used to # generate this makefile. A makefile variable is provided for VERSION as well. -# ACDS_VERSION: 20.1 -ACDS_VERSION := 20.1 +# ACDS_VERSION: 18.1 +ACDS_VERSION := 18.1 # This following BUILD_NUMBER comment indicates the build number of the tool # used to generate this makefile. -# BUILD_NUMBER: 720 +# BUILD_NUMBER: 625 SETTINGS_FILE := settings.bsp SOPC_FILE := ../../niosII.sopcinfo @@ -112,10 +112,10 @@ SOPC_FILE := ../../niosII.sopcinfo #------------------------------------------------------------------------------- # Archiver command. Creates library files. -AR = nios2-elf-ar$(WINDOWS_EXE) +AR = nios2-elf-ar # Assembler command. Note that CC is used for .S files. -AS = nios2-elf-gcc$(WINDOWS_EXE) +AS = nios2-elf-gcc # Custom flags only passed to the archiver. This content of this variable is # directly passed to the archiver rather than the more standard "ARFLAGS". The @@ -145,10 +145,10 @@ BSP_CFLAGS_OPTIMIZATION = -O0 BSP_CFLAGS_WARNINGS = -Wall # C compiler command. -CC = nios2-elf-gcc$(WINDOWS_EXE) -xc +CC = nios2-elf-gcc -xc # C++ compiler command. -CXX = nios2-elf-gcc$(WINDOWS_EXE) -xc++ +CXX = nios2-elf-gcc -xc++ # Command used to remove files during 'clean' target. RM = rm -f @@ -516,13 +516,13 @@ build_post_process : # Skip this check when clean is the only target ifneq ($(MAKECMDGOALS),clean) -ifneq ($(wildcard $(call adjust-path,$(SETTINGS_FILE))),$(call adjust-path,$(SETTINGS_FILE))) +ifneq ($(wildcard $(SETTINGS_FILE)),$(SETTINGS_FILE)) $(warning Warning: BSP Settings File $(SETTINGS_FILE) could not be found.) endif -Makefile: $(wildcard $(call adjust-path,$(SETTINGS_FILE))) +Makefile: $(wildcard $(SETTINGS_FILE)) @$(ECHO) Makefile not up to date. - @$(ECHO) $(call adjust-path,$(SETTINGS_FILE)) has been modified since the BSP Makefile was generated. + @$(ECHO) $(SETTINGS_FILE) has been modified since the BSP Makefile was generated. @$(ECHO) @$(ECHO) Generate the BSP to update the Makefile, and then build again. @$(ECHO) @@ -535,13 +535,13 @@ Makefile: $(wildcard $(call adjust-path,$(SETTINGS_FILE))) @$(ECHO) @exit 1 -ifneq ($(wildcard $(call adjust-path,$(SOPC_FILE))),$(call adjust-path,$(SOPC_FILE))) +ifneq ($(wildcard $(SOPC_FILE)),$(SOPC_FILE)) $(warning Warning: SOPC File $(SOPC_FILE) could not be found.) endif -public.mk: $(wildcard $(call adjust-path,$(SOPC_FILE))) +public.mk: $(wildcard $(SOPC_FILE)) @$(ECHO) Makefile not up to date. - @$(ECHO) $(call adjust-path,$(SOPC_FILE)) has been modified since the BSP was generated. + @$(ECHO) $(SOPC_FILE) has been modified since the BSP was generated. @$(ECHO) @$(ECHO) Generate the BSP to update the Makefile, and then build again. @$(ECHO) diff --git a/Top/software/semafor_bsp/libhal_bsp.a b/Top/software/semafor_bsp/libhal_bsp.a index a9a79e9..1ff20d2 100644 Binary files a/Top/software/semafor_bsp/libhal_bsp.a and b/Top/software/semafor_bsp/libhal_bsp.a differ diff --git a/Top/software/semafor_bsp/linker.h b/Top/software/semafor_bsp/linker.h index 48634ed..135c521 100644 --- a/Top/software/semafor_bsp/linker.h +++ b/Top/software/semafor_bsp/linker.h @@ -4,7 +4,7 @@ * Machine generated for CPU 'cpu' in SOPC Builder design 'niosII' * SOPC Builder design path: ../../niosII.sopcinfo * - * Generated: Wed Oct 19 16:14:31 MSK 2022 + * Generated: Tue Feb 07 13:28:19 MSK 2023 */ /* @@ -66,7 +66,7 @@ */ #define MEM_REGION_BASE 0x20 -#define MEM_REGION_SPAN 131040 +#define MEM_REGION_SPAN 32736 #define RESET_REGION_BASE 0x0 #define RESET_REGION_SPAN 32 diff --git a/Top/software/semafor_bsp/linker.x b/Top/software/semafor_bsp/linker.x index 51e815c..391198b 100644 --- a/Top/software/semafor_bsp/linker.x +++ b/Top/software/semafor_bsp/linker.x @@ -4,7 +4,7 @@ * Machine generated for CPU 'cpu' in SOPC Builder design 'niosII' * SOPC Builder design path: ../../niosII.sopcinfo * - * Generated: Wed Oct 19 16:14:31 MSK 2022 + * Generated: Tue Feb 07 13:28:19 MSK 2023 */ /* @@ -51,7 +51,7 @@ MEMORY { reset : ORIGIN = 0x0, LENGTH = 32 - mem : ORIGIN = 0x20, LENGTH = 131040 + mem : ORIGIN = 0x20, LENGTH = 32736 } /* Define symbols for each memory base-address */ @@ -334,7 +334,7 @@ SECTIONS /* * Don't override this, override the __alt_stack_* symbols instead. */ -__alt_data_end = 0x20000; +__alt_data_end = 0x8000; /* * The next two symbols define the location of the default stack. You can @@ -350,4 +350,4 @@ PROVIDE( __alt_stack_limit = __alt_stack_base ); * Override this symbol to put the heap in a different memory. */ PROVIDE( __alt_heap_start = end ); -PROVIDE( __alt_heap_limit = 0x20000 ); +PROVIDE( __alt_heap_limit = 0x8000 ); diff --git a/Top/software/semafor_bsp/mem_init.mk b/Top/software/semafor_bsp/mem_init.mk index 133f943..77f2e41 100644 --- a/Top/software/semafor_bsp/mem_init.mk +++ b/Top/software/semafor_bsp/mem_init.mk @@ -22,32 +22,6 @@ # ######################################################################### -#------------------------------------------------------------------------------ -# The adjust-path macro -# -# If Make is launched from Windows through -# Windows Subsystem for Linux (WSL). The adjust-path macro converts absolute windows -# paths into unix style paths (Example: c:/dir -> /c/dir). -# The adjust_path_mixed function converts WSL path to Windows path. -# This will ensure paths are readable by GNU Make. -#------------------------------------------------------------------------------ - -UNAME = $(shell uname -r) -ifeq ($(findstring Microsoft,$(UNAME)),Microsoft) - WINDOWS_EXE = .exe -endif - -eq = $(and $(findstring $(1),$(2)),$(findstring $(2),$(1))) - -ifdef WINDOWS_EXE - adjust-path = $(if $1,$(shell wslpath "$1"),) - adjust-path-mixed = $(if $(call eq,$(shell echo $1 | head -c 5),/mnt/),$(shell echo $1 | sed 's/\/mnt\///g;s/\//:\//1'),$1) -else # !WINDOWS_EXE - adjust-path = $1 - adjust-path-mixed = $1 -endif - - ifeq ($(MEM_INIT_FILE),) # MEM_INIT_FILE should be set equal to the working relative path to this # mem_init.mk makefile fragment @@ -59,11 +33,11 @@ ELF2DAT := elf2dat endif ifeq ($(ELF2HEX),) -ELF2HEX := elf2hex$(WINDOWS_EXE) +ELF2HEX := elf2hex endif ifeq ($(ELF2FLASH),) -ELF2FLASH := elf2flash$(WINDOWS_EXE) +ELF2FLASH := elf2flash endif ifeq ($(FLASH2DAT),) @@ -71,11 +45,11 @@ FLASH2DAT := flash2dat endif ifeq ($(ALT_FILE_CONVERT),) -ALT_FILE_CONVERT := alt-file-convert$(WINDOWS_EXE) +ALT_FILE_CONVERT := alt-file-convert endif ifeq ($(NM),) -NM := nios2-elf-nm$(WINDOWS_EXE) +NM := nios2-elf-nm endif ifeq ($(MKDIR),) @@ -113,16 +87,9 @@ MEM_INIT_QIP_FILE ?= $(MEM_INIT_DIR)/meminit.qip #------------------------------------- BOOT_LOADER_PATH ?= $(SOPC_KIT_NIOS2)/components/altera_nios2 -BOOT_LOADER_CFI_LOC ?= $(BOOT_LOADER_PATH)/boot_loader_cfi.srec -BOOT_LOADER_CFI_BE_LOC ?= $(BOOT_LOADER_PATH)/boot_loader_cfi_be.srec +BOOT_LOADER_CFI ?= $(BOOT_LOADER_PATH)/boot_loader_cfi.srec +BOOT_LOADER_CFI_BE ?= $(BOOT_LOADER_PATH)/boot_loader_cfi_be.srec -ifdef WINDOWS_EXE - BOOT_LOADER_CFI=$(shell wslpath -w $(BOOT_LOADER_CFI_LOC)) - BOOT_LOADER_CFI_BE=$(shell wslpath -w $(BOOT_LOADER_CFI_BE_LOC)) -else # !WINDOWS_EXE - BOOT_LOADER_CFI=$(BOOT_LOADER_CFI_LOC) - BOOT_LOADER_CFI_BE=$(BOOT_LOADER_CFI_BE_LOC) -endif #------------------------------------- # Default Target @@ -183,12 +150,12 @@ flash2dat_extra_args = $(mem_pad_flag) $(mem_reloc_input_flag) # This following VERSION comment indicates the version of the tool used to # generate this makefile. A makefile variable is provided for VERSION as well. -# ACDS_VERSION: 20.1 -ACDS_VERSION := 20.1 +# ACDS_VERSION: 18.1 +ACDS_VERSION := 18.1 # This following BUILD_NUMBER comment indicates the build number of the tool # used to generate this makefile. -# BUILD_NUMBER: 720 +# BUILD_NUMBER: 625 # Optimize for simulation SIM_OPTIMIZE ?= 0 @@ -214,8 +181,8 @@ HDL_SIM_INSTALL_FILES += $(HDL_SIM_INSTALL_DIR)/$(MEM_0).dat SYM_FILES += $(HDL_SIM_DIR)/$(MEM_0).sym HDL_SIM_INSTALL_FILES += $(HDL_SIM_INSTALL_DIR)/$(MEM_0).sym $(MEM_0)_START := 0x00000000 -$(MEM_0)_END := 0x0001ffff -$(MEM_0)_SPAN := 0x00020000 +$(MEM_0)_END := 0x00007fff +$(MEM_0)_SPAN := 0x00008000 $(MEM_0)_HIERARCHICAL_PATH := mem $(MEM_0)_WIDTH := 32 $(MEM_0)_HEX_DATA_WIDTH := 32 @@ -292,25 +259,25 @@ flash: check_elf_exists $(FLASH_FILES) #------------------------------------- .PHONY: check_elf_exists -check_elf_exists: $(call adjust-path,$(ELF)) +check_elf_exists: $(ELF) ifeq ($(ELF),) $(error ELF var not set in mem_init.mk) endif -$(filter-out $(FLASH_DAT_FILES),$(DAT_FILES)): %.dat: $(call adjust-path,$(ELF)) +$(filter-out $(FLASH_DAT_FILES),$(DAT_FILES)): %.dat: $(ELF) $(post-process-info) @$(MKDIR) $(@D) - $(ELF2DAT) --infile=$(call adjust-path-mixed,$<) --outfile=$@ \ + $(ELF2DAT) --infile=$< --outfile=$@ \ --base=$(mem_start_address) --end=$(mem_end_address) --width=$(mem_width) \ $(mem_endianness) --create-lanes=$(mem_create_lanes) $(elf2dat_extra_args) $(foreach i,0 1 2 3 4 5 6 7,%_lane$(i).dat): %.dat @true -ELF_TO_HEX_CMD_NO_BOOTLOADER = $(ELF2HEX) $(call adjust-path-mixed,$<) $(mem_start_address) $(mem_end_address) --width=$(mem_hex_width) \ +ELF_TO_HEX_CMD_NO_BOOTLOADER = $(ELF2HEX) $< $(mem_start_address) $(mem_end_address) --width=$(mem_hex_width) \ $(mem_endianness) --create-lanes=$(mem_create_lanes) $(elf2hex_extra_args) $@ -ELF_TO_HEX_CMD_WITH_BOOTLOADER = $(ALT_FILE_CONVERT) -I $(NIOS2_ELF_FORMAT) -O hex --input=$(call adjust-path-mixed,$<) --output=$@ \ +ELF_TO_HEX_CMD_WITH_BOOTLOADER = $(ALT_FILE_CONVERT) -I $(NIOS2_ELF_FORMAT) -O hex --input=$< --output=$@ \ --base=$(mem_start_address) --end=$(mem_end_address) --reset=$(RESET_ADDRESS) \ --out-data-width=$(mem_hex_width) $(flash_mem_boot_loader_flag) @@ -319,20 +286,21 @@ ELF_TO_HEX_CMD = $(strip $(if $(flash_mem_boot_loader_flag), \ $(ELF_TO_HEX_CMD_NO_BOOTLOADER) \ )) -$(HEX_FILES): %.hex: $(call adjust-path,$(ELF)) +$(HEX_FILES): %.hex: $(ELF) $(post-process-info) @$(MKDIR) $(@D) $(ELF_TO_HEX_CMD) -$(SYM_FILES): %.sym: $(call adjust-path,$(ELF)) +$(SYM_FILES): %.sym: $(ELF) $(post-process-info) @$(MKDIR) $(@D) - $(NM) -n $(call adjust-path-mixed,$<) > $@ + $(NM) -n $< > $@ -$(FLASH_FILES): %.flash: $(call adjust-path,$(ELF)) +$(FLASH_FILES): %.flash: $(ELF) $(post-process-info) @$(MKDIR) $(@D) - $(ELF2FLASH) --input=$(call adjust-path-mixed,$<) --output=$@ --sim_optimize=$(SIM_OPTIMIZE) $(elf2flash_extra_args) + $(ELF2FLASH) --input=$< --outfile=$@ --sim_optimize=$(SIM_OPTIMIZE) $(mem_endianness) \ + $(elf2flash_extra_args) # # Function generate_spd_entry diff --git a/Top/software/semafor_bsp/memory.gdb b/Top/software/semafor_bsp/memory.gdb index 8044c04..1ef2516 100644 --- a/Top/software/semafor_bsp/memory.gdb +++ b/Top/software/semafor_bsp/memory.gdb @@ -3,7 +3,7 @@ # Machine generated for CPU 'cpu' in SOPC Builder design 'niosII' # SOPC Builder design path: ../../niosII.sopcinfo # -# Generated: Wed Oct 19 15:19:38 MSK 2022 +# Generated: Tue Feb 07 13:28:19 MSK 2023 # DO NOT MODIFY THIS FILE # @@ -47,4 +47,4 @@ # by allowing GDB to cache memory contents on the host. # mem -memory 0x0 0x20000 cache +memory 0x0 0x8000 cache diff --git a/Top/software/semafor_bsp/public.mk b/Top/software/semafor_bsp/public.mk index dedc3d1..d41c376 100644 --- a/Top/software/semafor_bsp/public.mk +++ b/Top/software/semafor_bsp/public.mk @@ -77,12 +77,12 @@ ALT_CPPFLAGS += -pipe # This following VERSION comment indicates the version of the tool used to # generate this makefile. A makefile variable is provided for VERSION as well. -# ACDS_VERSION: 20.1 -ACDS_VERSION := 20.1 +# ACDS_VERSION: 18.1 +ACDS_VERSION := 18.1 # This following BUILD_NUMBER comment indicates the build number of the tool # used to generate this makefile. -# BUILD_NUMBER: 720 +# BUILD_NUMBER: 625 # Qsys--generated SOPCINFO file. Required for resolving node instance ID's with # design component names. diff --git a/Top/software/semafor_bsp/settings.bsp b/Top/software/semafor_bsp/settings.bsp index 2fbba99..15c98c3 100644 --- a/Top/software/semafor_bsp/settings.bsp +++ b/Top/software/semafor_bsp/settings.bsp @@ -2,9 +2,9 @@ hal default - Jan 24, 2023 12:06:33 PM - 1674551193679 - /run/media/user/B225-3235/Lab2/Top/software/semafor_bsp + Feb 7, 2023 2:27:07 PM + 1675765627575 + /home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/Top/software/semafor_bsp settings.bsp ../../niosII.sopcinfo default @@ -900,34 +900,28 @@ mem - 0x00000000 - 0x0001FFFF - 131072 + 0x00000000 - 0x00007FFF + 32768 memory - - sem_ram_slave - 0x00021000 - 0x0002103F - 64 - - sys_clk_timer - 0x00021040 - 0x0002105F + 0x00009000 - 0x0000901F 32 timer - - sem_ctl_slave - 0x00021060 - 0x00021067 - 8 - - jtag_uart - 0x00021068 - 0x0002106F + 0x00009020 - 0x00009027 8 printable + + sigdel_0 + 0x00009028 - 0x0000902B + 4 + + .text mem diff --git a/Top/software/semafor_bsp/summary.html b/Top/software/semafor_bsp/summary.html index e9d5f2c..da57c57 100644 --- a/Top/software/semafor_bsp/summary.html +++ b/Top/software/semafor_bsp/summary.html @@ -22,13 +22,13 @@ BSP Version:default -BSP Generated On:Jan 24, 2023 12:06:33 PM +BSP Generated On:Feb 7, 2023 2:27:07 PM -BSP Generated Timestamp:1674551193679 +BSP Generated Timestamp:1675765627575 -BSP Generated Location:/run/media/user/B225-3235/Lab2/Top/software/semafor_bsp +BSP Generated Location:/home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/Top/software/semafor_bsp
@@ -38,19 +38,16 @@ Slave DescriptorAddress RangeSizeAttributes -jtag_uart0x00021068 - 0x0002106F8printable +sigdel_00x00009028 - 0x0000902B4  -sem_ctl_slave0x00021060 - 0x000210678  +jtag_uart0x00009020 - 0x000090278printable -sys_clk_timer0x00021040 - 0x0002105F32timer +sys_clk_timer0x00009000 - 0x0000901F32timer -sem_ram_slave0x00021000 - 0x0002103F64  - - -mem0x00000000 - 0x0001FFFF131072memory +mem0x00000000 - 0x00007FFF32768memory
diff --git a/Top/software/semafor_bsp/system.h b/Top/software/semafor_bsp/system.h index 5a02033..b753021 100644 --- a/Top/software/semafor_bsp/system.h +++ b/Top/software/semafor_bsp/system.h @@ -4,7 +4,7 @@ * Machine generated for CPU 'cpu' in SOPC Builder design 'niosII' * SOPC Builder design path: ../../niosII.sopcinfo * - * Generated: Thu Dec 22 22:44:18 MSK 2022 + * Generated: Tue Feb 07 13:28:19 MSK 2023 */ /* @@ -62,13 +62,13 @@ #define ALT_CPU_ARCHITECTURE "altera_nios2_gen2" #define ALT_CPU_BIG_ENDIAN 0 -#define ALT_CPU_BREAK_ADDR 0x00020820 +#define ALT_CPU_BREAK_ADDR 0x00008820 #define ALT_CPU_CPU_ARCH_NIOS2_R1 #define ALT_CPU_CPU_FREQ 50000000u #define ALT_CPU_CPU_ID_SIZE 1 #define ALT_CPU_CPU_ID_VALUE 0x00000000 #define ALT_CPU_CPU_IMPLEMENTATION "tiny" -#define ALT_CPU_DATA_ADDR_WIDTH 0x12 +#define ALT_CPU_DATA_ADDR_WIDTH 0x10 #define ALT_CPU_DCACHE_LINE_SIZE 0 #define ALT_CPU_DCACHE_LINE_SIZE_LOG2 0 #define ALT_CPU_DCACHE_SIZE 0 @@ -87,7 +87,7 @@ #define ALT_CPU_ICACHE_LINE_SIZE 0 #define ALT_CPU_ICACHE_LINE_SIZE_LOG2 0 #define ALT_CPU_ICACHE_SIZE 0 -#define ALT_CPU_INST_ADDR_WIDTH 0x12 +#define ALT_CPU_INST_ADDR_WIDTH 0x10 #define ALT_CPU_NAME "cpu" #define ALT_CPU_OCI_VERSION 1 #define ALT_CPU_RESET_ADDR 0x00000000 @@ -99,13 +99,13 @@ */ #define NIOS2_BIG_ENDIAN 0 -#define NIOS2_BREAK_ADDR 0x00020820 +#define NIOS2_BREAK_ADDR 0x00008820 #define NIOS2_CPU_ARCH_NIOS2_R1 #define NIOS2_CPU_FREQ 50000000u #define NIOS2_CPU_ID_SIZE 1 #define NIOS2_CPU_ID_VALUE 0x00000000 #define NIOS2_CPU_IMPLEMENTATION "tiny" -#define NIOS2_DATA_ADDR_WIDTH 0x12 +#define NIOS2_DATA_ADDR_WIDTH 0x10 #define NIOS2_DCACHE_LINE_SIZE 0 #define NIOS2_DCACHE_LINE_SIZE_LOG2 0 #define NIOS2_DCACHE_SIZE 0 @@ -123,7 +123,7 @@ #define NIOS2_ICACHE_LINE_SIZE 0 #define NIOS2_ICACHE_LINE_SIZE_LOG2 0 #define NIOS2_ICACHE_SIZE 0 -#define NIOS2_INST_ADDR_WIDTH 0x12 +#define NIOS2_INST_ADDR_WIDTH 0x10 #define NIOS2_OCI_VERSION 1 #define NIOS2_RESET_ADDR 0x00000000 @@ -137,7 +137,7 @@ #define __ALTERA_AVALON_ONCHIP_MEMORY2 #define __ALTERA_AVALON_TIMER #define __ALTERA_NIOS2_GEN2 -#define __SEM +#define __SIGDEL /* @@ -156,19 +156,19 @@ #define ALT_NUM_INTERNAL_INTERRUPT_CONTROLLERS 1 #define ALT_NUM_INTERRUPT_CONTROLLERS 1 #define ALT_STDERR "/dev/jtag_uart" -#define ALT_STDERR_BASE 0x21068 +#define ALT_STDERR_BASE 0x9020 #define ALT_STDERR_DEV jtag_uart #define ALT_STDERR_IS_JTAG_UART #define ALT_STDERR_PRESENT #define ALT_STDERR_TYPE "altera_avalon_jtag_uart" #define ALT_STDIN "/dev/jtag_uart" -#define ALT_STDIN_BASE 0x21068 +#define ALT_STDIN_BASE 0x9020 #define ALT_STDIN_DEV jtag_uart #define ALT_STDIN_IS_JTAG_UART #define ALT_STDIN_PRESENT #define ALT_STDIN_TYPE "altera_avalon_jtag_uart" #define ALT_STDOUT "/dev/jtag_uart" -#define ALT_STDOUT_BASE 0x21068 +#define ALT_STDOUT_BASE 0x9020 #define ALT_STDOUT_DEV jtag_uart #define ALT_STDOUT_IS_JTAG_UART #define ALT_STDOUT_PRESENT @@ -193,7 +193,7 @@ */ #define ALT_MODULE_CLASS_jtag_uart altera_avalon_jtag_uart -#define JTAG_UART_BASE 0x21068 +#define JTAG_UART_BASE 0x9020 #define JTAG_UART_IRQ 1 #define JTAG_UART_IRQ_INTERRUPT_CONTROLLER_ID 0 #define JTAG_UART_NAME "/dev/jtag_uart" @@ -228,38 +228,24 @@ #define MEM_READ_DURING_WRITE_MODE "DONT_CARE" #define MEM_SINGLE_CLOCK_OP 1 #define MEM_SIZE_MULTIPLE 1 -#define MEM_SIZE_VALUE 131072 -#define MEM_SPAN 131072 +#define MEM_SIZE_VALUE 32768 +#define MEM_SPAN 32768 #define MEM_TYPE "altera_avalon_onchip_memory2" #define MEM_WRITABLE 1 /* - * sem_ctl_slave configuration + * sigdel_0 configuration * */ -#define ALT_MODULE_CLASS_sem_ctl_slave sem -#define SEM_CTL_SLAVE_BASE 0x21060 -#define SEM_CTL_SLAVE_IRQ -1 -#define SEM_CTL_SLAVE_IRQ_INTERRUPT_CONTROLLER_ID -1 -#define SEM_CTL_SLAVE_NAME "/dev/sem_ctl_slave" -#define SEM_CTL_SLAVE_SPAN 8 -#define SEM_CTL_SLAVE_TYPE "sem" - - -/* - * sem_ram_slave configuration - * - */ - -#define ALT_MODULE_CLASS_sem_ram_slave sem -#define SEM_RAM_SLAVE_BASE 0x21000 -#define SEM_RAM_SLAVE_IRQ -1 -#define SEM_RAM_SLAVE_IRQ_INTERRUPT_CONTROLLER_ID -1 -#define SEM_RAM_SLAVE_NAME "/dev/sem_ram_slave" -#define SEM_RAM_SLAVE_SPAN 64 -#define SEM_RAM_SLAVE_TYPE "sem" +#define ALT_MODULE_CLASS_sigdel_0 sigdel +#define SIGDEL_0_BASE 0x9028 +#define SIGDEL_0_IRQ -1 +#define SIGDEL_0_IRQ_INTERRUPT_CONTROLLER_ID -1 +#define SIGDEL_0_NAME "/dev/sigdel_0" +#define SIGDEL_0_SPAN 4 +#define SIGDEL_0_TYPE "sigdel" /* @@ -269,7 +255,7 @@ #define ALT_MODULE_CLASS_sys_clk_timer altera_avalon_timer #define SYS_CLK_TIMER_ALWAYS_RUN 0 -#define SYS_CLK_TIMER_BASE 0x21040 +#define SYS_CLK_TIMER_BASE 0x9000 #define SYS_CLK_TIMER_COUNTER_SIZE 32 #define SYS_CLK_TIMER_FIXED_PERIOD 0 #define SYS_CLK_TIMER_FREQ 50000000