diff --git a/HDL/sdmod.sv b/HDL/sdmod.sv index 2993bec..60fdb70 100644 --- a/HDL/sdmod.sv +++ b/HDL/sdmod.sv @@ -17,7 +17,7 @@ always_ff @(posedge clk, negedge reset) begin end end -assign daco = (un >= $signed(8'd0)) ? 1'd1 : 1'd0; +assign out = (un >= $signed(8'd0)) ? 1'd1 : 1'd0; assign eps = (un >= $signed(8'd0)) ? $signed(8'd126) - un : $signed(-8'd126) - un; - +assign daco = out; endmodule diff --git a/Testbench/sigdel/sigdel.qws b/Testbench/sigdel/sigdel.qws new file mode 100644 index 0000000..51e4133 Binary files /dev/null and b/Testbench/sigdel/sigdel.qws differ diff --git a/Top/#niosII_tb.csv# b/Top/#niosII_tb.csv# deleted file mode 100644 index d1a3955..0000000 --- a/Top/#niosII_tb.csv# +++ /dev/null @@ -1,151 +0,0 @@ -# system info niosII_tb on 2022.10.24.18:26:01 -system_info: -name,value -DEVICE,EP4CE115F29C7 -DEVICE_FAMILY,Cyclone IV E -GENERATION_ID,1666621532 -# -# -# Files generated for niosII_tb on 2022.10.24.18:26:01 -files: -filepath,kind,attributes,module,is_top -niosII/testbench/niosII_tb/simulation/niosII_tb.v,VERILOG,,niosII_tb,true -niosII/testbench/niosII_tb/simulation/submodules/niosII.v,VERILOG,,niosII,false -niosII/testbench/niosII_tb/simulation/submodules/verbosity_pkg.sv,SYSTEM_VERILOG, COMMON_SYSTEMVERILOG_PACKAGE=avalon_vip_verbosity_pkg,altera_avalon_clock_source,false -niosII/testbench/niosII_tb/simulation/submodules/altera_avalon_clock_source.sv,SYSTEM_VERILOG,,altera_avalon_clock_source,false -niosII/testbench/niosII_tb/simulation/submodules/verbosity_pkg.sv,SYSTEM_VERILOG, COMMON_SYSTEMVERILOG_PACKAGE=avalon_vip_verbosity_pkg,altera_avalon_reset_source,false -niosII/testbench/niosII_tb/simulation/submodules/altera_avalon_reset_source.sv,SYSTEM_VERILOG,,altera_avalon_reset_source,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu.v,VERILOG,,niosII_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_jtag_uart.v,VERILOG,,niosII_jtag_uart,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_mem.hex,HEX,,niosII_mem,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_mem.v,VERILOG,,niosII_mem,false -niosII/testbench/niosII_tb/simulation/submodules/dec.sv,SYSTEM_VERILOG,,dec,false -niosII/testbench/niosII_tb/simulation/submodules/periodram.v,VERILOG,,dec,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_sys_clk_timer.v,VERILOG,,niosII_sys_clk_timer,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0.v,VERILOG,,niosII_mm_interconnect_0,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_irq_mapper.sv,SYSTEM_VERILOG,,niosII_irq_mapper,false -niosII/testbench/niosII_tb/simulation/submodules/altera_reset_controller.v,VERILOG,,altera_reset_controller,false -niosII/testbench/niosII_tb/simulation/submodules/altera_reset_synchronizer.v,VERILOG,,altera_reset_controller,false -niosII/testbench/niosII_tb/simulation/submodules/altera_reset_controller.sdc,SDC,,altera_reset_controller,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu.sdc,SDC,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu.v,VERILOG,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_debug_slave_sysclk.v,VERILOG,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_debug_slave_tck.v,VERILOG,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_debug_slave_wrapper.v,VERILOG,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_nios2_waves.do,OTHER,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_ociram_default_contents.dat,DAT,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_ociram_default_contents.hex,HEX,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_ociram_default_contents.mif,MIF,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_a.dat,DAT,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_a.hex,HEX,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_a.mif,MIF,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_b.dat,DAT,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_b.hex,HEX,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_b.mif,MIF,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_test_bench.v,VERILOG,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/altera_merlin_master_translator.sv,SYSTEM_VERILOG,,altera_merlin_master_translator,false -niosII/testbench/niosII_tb/simulation/submodules/altera_merlin_slave_translator.sv,SYSTEM_VERILOG,,altera_merlin_slave_translator,false -niosII/testbench/niosII_tb/simulation/submodules/altera_merlin_master_agent.sv,SYSTEM_VERILOG,,altera_merlin_master_agent,false -niosII/testbench/niosII_tb/simulation/submodules/altera_merlin_slave_agent.sv,SYSTEM_VERILOG,,altera_merlin_slave_agent,false -niosII/testbench/niosII_tb/simulation/submodules/altera_merlin_burst_uncompressor.sv,SYSTEM_VERILOG,,altera_merlin_slave_agent,false -niosII/testbench/niosII_tb/simulation/submodules/altera_avalon_sc_fifo.v,VERILOG,,altera_avalon_sc_fifo,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_router.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_router,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_router_001.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_router_001,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_router_002.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_router_002,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_router_004.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_router_004,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_router_008.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_router_008,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_cmd_demux.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_cmd_demux,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_cmd_demux_001.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_cmd_demux_001,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_cmd_mux.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_cmd_mux,false -niosII/testbench/niosII_tb/simulation/submodules/altera_merlin_arbitrator.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_cmd_mux,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_cmd_mux_002.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_cmd_mux_002,false -niosII/testbench/niosII_tb/simulation/submodules/altera_merlin_arbitrator.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_cmd_mux_002,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_rsp_demux.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_rsp_demux,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_rsp_mux.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_rsp_mux,false -niosII/testbench/niosII_tb/simulation/submodules/altera_merlin_arbitrator.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_rsp_mux,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_rsp_mux_001.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_rsp_mux_001,false -niosII/testbench/niosII_tb/simulation/submodules/altera_merlin_arbitrator.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_rsp_mux_001,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_avalon_st_adapter.v,VERILOG,,niosII_mm_interconnect_0_avalon_st_adapter,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_avalon_st_adapter_error_adapter_0.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_avalon_st_adapter_error_adapter_0,false -# -# Map from instance-path to kind of module -instances: -instancePath,module -niosII_tb.niosII_inst,niosII -niosII_tb.niosII_inst.cpu,niosII_cpu -niosII_tb.niosII_inst.cpu.cpu,niosII_cpu_cpu -niosII_tb.niosII_inst.jtag_uart,niosII_jtag_uart -niosII_tb.niosII_inst.mem,niosII_mem -niosII_tb.niosII_inst.sem,dec -niosII_tb.niosII_inst.sys_clk_timer,niosII_sys_clk_timer -niosII_tb.niosII_inst.mm_interconnect_0,niosII_mm_interconnect_0 -niosII_tb.niosII_inst.mm_interconnect_0.cpu_data_master_translator,altera_merlin_master_translator -niosII_tb.niosII_inst.mm_interconnect_0.cpu_instruction_master_translator,altera_merlin_master_translator -niosII_tb.niosII_inst.mm_interconnect_0.jtag_uart_avalon_jtag_slave_translator,altera_merlin_slave_translator -niosII_tb.niosII_inst.mm_interconnect_0.sem_ctl_slave_translator,altera_merlin_slave_translator -niosII_tb.niosII_inst.mm_interconnect_0.cpu_debug_mem_slave_translator,altera_merlin_slave_translator -niosII_tb.niosII_inst.mm_interconnect_0.sem_ram_slave_translator,altera_merlin_slave_translator -niosII_tb.niosII_inst.mm_interconnect_0.sys_clk_timer_s1_translator,altera_merlin_slave_translator -niosII_tb.niosII_inst.mm_interconnect_0.mem_s2_translator,altera_merlin_slave_translator -niosII_tb.niosII_inst.mm_interconnect_0.mem_s1_translator,altera_merlin_slave_translator -niosII_tb.niosII_inst.mm_interconnect_0.cpu_data_master_agent,altera_merlin_master_agent -niosII_tb.niosII_inst.mm_interconnect_0.cpu_instruction_master_agent,altera_merlin_master_agent -niosII_tb.niosII_inst.mm_interconnect_0.jtag_uart_avalon_jtag_slave_agent,altera_merlin_slave_agent -niosII_tb.niosII_inst.mm_interconnect_0.sem_ctl_slave_agent,altera_merlin_slave_agent -niosII_tb.niosII_inst.mm_interconnect_0.cpu_debug_mem_slave_agent,altera_merlin_slave_agent -niosII_tb.niosII_inst.mm_interconnect_0.sem_ram_slave_agent,altera_merlin_slave_agent -niosII_tb.niosII_inst.mm_interconnect_0.sys_clk_timer_s1_agent,altera_merlin_slave_agent -niosII_tb.niosII_inst.mm_interconnect_0.mem_s2_agent,altera_merlin_slave_agent -niosII_tb.niosII_inst.mm_interconnect_0.mem_s1_agent,altera_merlin_slave_agent -niosII_tb.niosII_inst.mm_interconnect_0.jtag_uart_avalon_jtag_slave_agent_rsp_fifo,altera_avalon_sc_fifo -niosII_tb.niosII_inst.mm_interconnect_0.sem_ctl_slave_agent_rsp_fifo,altera_avalon_sc_fifo -niosII_tb.niosII_inst.mm_interconnect_0.cpu_debug_mem_slave_agent_rsp_fifo,altera_avalon_sc_fifo -niosII_tb.niosII_inst.mm_interconnect_0.sem_ram_slave_agent_rsp_fifo,altera_avalon_sc_fifo -niosII_tb.niosII_inst.mm_interconnect_0.sys_clk_timer_s1_agent_rsp_fifo,altera_avalon_sc_fifo -niosII_tb.niosII_inst.mm_interconnect_0.mem_s2_agent_rsp_fifo,altera_avalon_sc_fifo -niosII_tb.niosII_inst.mm_interconnect_0.mem_s1_agent_rsp_fifo,altera_avalon_sc_fifo -niosII_tb.niosII_inst.mm_interconnect_0.router,niosII_mm_interconnect_0_router -niosII_tb.niosII_inst.mm_interconnect_0.router_001,niosII_mm_interconnect_0_router_001 -niosII_tb.niosII_inst.mm_interconnect_0.router_002,niosII_mm_interconnect_0_router_002 -niosII_tb.niosII_inst.mm_interconnect_0.router_003,niosII_mm_interconnect_0_router_002 -niosII_tb.niosII_inst.mm_interconnect_0.router_005,niosII_mm_interconnect_0_router_002 -niosII_tb.niosII_inst.mm_interconnect_0.router_006,niosII_mm_interconnect_0_router_002 -niosII_tb.niosII_inst.mm_interconnect_0.router_007,niosII_mm_interconnect_0_router_002 -niosII_tb.niosII_inst.mm_interconnect_0.router_004,niosII_mm_interconnect_0_router_004 -niosII_tb.niosII_inst.mm_interconnect_0.router_008,niosII_mm_interconnect_0_router_008 -niosII_tb.niosII_inst.mm_interconnect_0.cmd_demux,niosII_mm_interconnect_0_cmd_demux -niosII_tb.niosII_inst.mm_interconnect_0.cmd_demux_001,niosII_mm_interconnect_0_cmd_demux_001 -niosII_tb.niosII_inst.mm_interconnect_0.rsp_demux_002,niosII_mm_interconnect_0_cmd_demux_001 -niosII_tb.niosII_inst.mm_interconnect_0.cmd_mux,niosII_mm_interconnect_0_cmd_mux -niosII_tb.niosII_inst.mm_interconnect_0.cmd_mux_001,niosII_mm_interconnect_0_cmd_mux -niosII_tb.niosII_inst.mm_interconnect_0.cmd_mux_003,niosII_mm_interconnect_0_cmd_mux -niosII_tb.niosII_inst.mm_interconnect_0.cmd_mux_004,niosII_mm_interconnect_0_cmd_mux -niosII_tb.niosII_inst.mm_interconnect_0.cmd_mux_005,niosII_mm_interconnect_0_cmd_mux -niosII_tb.niosII_inst.mm_interconnect_0.cmd_mux_006,niosII_mm_interconnect_0_cmd_mux -niosII_tb.niosII_inst.mm_interconnect_0.cmd_mux_002,niosII_mm_interconnect_0_cmd_mux_002 -niosII_tb.niosII_inst.mm_interconnect_0.rsp_demux,niosII_mm_interconnect_0_rsp_demux -niosII_tb.niosII_inst.mm_interconnect_0.rsp_demux_001,niosII_mm_interconnect_0_rsp_demux -niosII_tb.niosII_inst.mm_interconnect_0.rsp_demux_003,niosII_mm_interconnect_0_rsp_demux -niosII_tb.niosII_inst.mm_interconnect_0.rsp_demux_004,niosII_mm_interconnect_0_rsp_demux -niosII_tb.niosII_inst.mm_interconnect_0.rsp_demux_005,niosII_mm_interconnect_0_rsp_demux -niosII_tb.niosII_inst.mm_interconnect_0.rsp_demux_006,niosII_mm_interconnect_0_rsp_demux -niosII_tb.niosII_inst.mm_interconnect_0.rsp_mux,niosII_mm_interconnect_0_rsp_mux -niosII_tb.niosII_inst.mm_interconnect_0.rsp_mux_001,niosII_mm_interconnect_0_rsp_mux_001 -niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter,niosII_mm_interconnect_0_avalon_st_adapter -niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter.error_adapter_0,niosII_mm_interconnect_0_avalon_st_adapter_error_adapter_0 -niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter_001,niosII_mm_interconnect_0_avalon_st_adapter -niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter_001.error_adapter_0,niosII_mm_interconnect_0_avalon_st_adapter_error_adapter_0 -niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter_002,niosII_mm_interconnect_0_avalon_st_adapter -niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter_002.error_adapter_0,niosII_mm_interconnect_0_avalon_st_adapter_error_adapter_0 -niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter_003,niosII_mm_interconnect_0_avalon_st_adapter -niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter_003.error_adapter_0,niosII_mm_interconnect_0_avalon_st_adapter_error_adapter_0 -niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter_004,niosII_mm_interconnect_0_avalon_st_adapter -niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter_004.error_adapter_0,niosII_mm_interconnect_0_avalon_st_adapter_error_adapter_0 - niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter_005,niosII_mm_interconnect_0_avalon_st_adapter -niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter_005.error_adapter_0,niosII_mm_interconnect_0_avalon_st_adapter_error_adapter_0 -niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter_006,niosII_mm_interconnect_0_avalon_st_adapter -niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter_006.error_adapter_0,niosII_mm_interconnect_0_avalon_st_adapter_error_adapter_0 -niosII_tb.niosII_inst.irq_mapper,niosII_irq_mapper -niosII_tb.niosII_inst.rst_controller,altera_reset_controller -niosII_tb.niosII_inst_clk_bfm,altera_avalon_clock_source -niosII_tb.niosII_inst_reset_bfm,altera_avalon_reset_source diff --git a/Top/niosII.qsys b/Top/niosII.qsys index c2c790b..af41ca5 100644 --- a/Top/niosII.qsys +++ b/Top/niosII.qsys @@ -45,7 +45,7 @@ { datum baseAddress { - value = "135272"; + value = "135200"; type = "String"; } } @@ -105,7 +105,7 @@ type = "String"; } } - element sem + element sigdel_0 { datum _sortIndex { @@ -113,19 +113,11 @@ type = "int"; } } - element sem.ctl_slave + element sigdel_0.avalon_slave { datum baseAddress { - value = "135264"; - type = "String"; - } - } - element sem.ram_slave - { - datum baseAddress - { - value = "135168"; + value = "135208"; type = "String"; } } @@ -141,7 +133,7 @@ { datum baseAddress { - value = "135232"; + value = "135168"; type = "String"; } } @@ -167,8 +159,12 @@ + - @@ -195,7 +191,7 @@ - ]]> + ]]> @@ -404,8 +400,8 @@ - - + + - + + end="sigdel_0.avalon_slave"> - + - - - - - - + @@ -495,7 +482,7 @@ - + - + + end="sigdel_0.reset_sink" /> diff --git a/Top/niosII.sopcinfo b/Top/niosII.sopcinfo index 9bf8f16..ecfd763 100644 --- a/Top/niosII.sopcinfo +++ b/Top/niosII.sopcinfo @@ -1,11 +1,11 @@ - - + + java.lang.Integer - 1674547753 + 1674831615 false true false @@ -95,7 +95,7 @@ true true - + @@ -148,7 +148,7 @@ the requested settings for a module instance. --> true true - + @@ -213,7 +213,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -262,7 +262,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -356,12 +356,12 @@ parameters are a RESULT of the module parameters. --> false - sem + sigdel_0 clock - sem.clock + sigdel_0.clock - + @@ -423,7 +423,7 @@ parameters are a RESULT of the module parameters. --> - + @@ -2034,7 +2034,7 @@ the requested settings for a module instance. --> java.lang.String - ]]> + ]]> false true false @@ -2213,7 +2213,7 @@ the requested settings for a module instance. --> java.lang.String - COMPILER_SUPPORT 1 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 ANY_QFP 0 ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 HARDCOPY 0 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_HSPICE_WRITER_SUPPORT 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_18_BIT_MULTS 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 IFP_USE_LEGACY_IO_CHECKER 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_BARE_DIE 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_SMI_PART 0 IS_SDM_ONLY_PACKAGE 0 IS_REVE_SILICON 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M144K_MEMORY 0 M10K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_MIGRATABLE 0 NOT_LISTED 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_POF 0 NO_PIN_OUT 0 NO_RPE_SUPPORT 0 NO_TDC_SUPPORT 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORT_HIGH_SPEED_HPS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_CRC 1 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QMAP_IN_DEVELOPMENT 0 QFIT_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_OCT_AUTO_CALIBRATION 1 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 + ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 false true false @@ -2274,7 +2274,7 @@ the requested settings for a module instance. --> true true - + @@ -2335,7 +2335,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -2386,7 +2386,7 @@ parameters are a RESULT of the module parameters. --> reset_req - + @@ -2705,16 +2705,16 @@ parameters are a RESULT of the module parameters. --> jtag_uart avalon_jtag_slave jtag_uart.avalon_jtag_slave - 135272 + 135200 8 false - sem - ctl_slave - sem.ctl_slave - 135264 - 8 + sigdel_0 + avalon_slave + sigdel_0.avalon_slave + 135208 + 4 false @@ -2724,20 +2724,12 @@ parameters are a RESULT of the module parameters. --> 133120 2048 - - false - sem - ram_slave - sem.ram_slave - 135168 - 64 - false sys_clk_timer s1 sys_clk_timer.s1 - 135232 + 135168 32 @@ -2749,7 +2741,7 @@ parameters are a RESULT of the module parameters. --> 131072 - + @@ -3052,7 +3044,7 @@ parameters are a RESULT of the module parameters. --> 131072 - + @@ -3135,7 +3127,7 @@ parameters are a RESULT of the module parameters. --> 1 - + @@ -3196,7 +3188,7 @@ parameters are a RESULT of the module parameters. --> reset - + @@ -3574,7 +3566,7 @@ parameters are a RESULT of the module parameters. --> + version="18.1"> @@ -3663,7 +3655,7 @@ parameters are a RESULT of the module parameters. --> @@ -3846,7 +3838,7 @@ the requested settings for a module instance. --> true true - + @@ -3907,7 +3899,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -3952,7 +3944,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -4329,7 +4321,7 @@ parameters are a RESULT of the module parameters. --> waitrequest - + @@ -4410,7 +4402,7 @@ parameters are a RESULT of the module parameters. --> @@ -4730,7 +4722,7 @@ the requested settings for a module instance. --> java.lang.String - COMPILER_SUPPORT 1 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 ANY_QFP 0 ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 HARDCOPY 0 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_HSPICE_WRITER_SUPPORT 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_18_BIT_MULTS 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 IFP_USE_LEGACY_IO_CHECKER 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_BARE_DIE 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_SMI_PART 0 IS_SDM_ONLY_PACKAGE 0 IS_REVE_SILICON 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M144K_MEMORY 0 M10K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_MIGRATABLE 0 NOT_LISTED 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_POF 0 NO_PIN_OUT 0 NO_RPE_SUPPORT 0 NO_TDC_SUPPORT 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORT_HIGH_SPEED_HPS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_CRC 1 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QMAP_IN_DEVELOPMENT 0 QFIT_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_OCT_AUTO_CALIBRATION 1 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 + ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_REVE_SILICON 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 SUPPORT_HIGH_SPEED_HPS 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 false true false @@ -4801,7 +4793,7 @@ the requested settings for a module instance. --> true true - + @@ -5178,7 +5170,7 @@ parameters are a RESULT of the module parameters. --> byteenable - + @@ -5555,7 +5547,7 @@ parameters are a RESULT of the module parameters. --> byteenable - + @@ -5600,7 +5592,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -5652,12 +5644,12 @@ parameters are a RESULT of the module parameters. --> - + - + int - 32 + 14 false true true @@ -5679,7 +5671,7 @@ the requested settings for a module instance. --> true true - + @@ -5724,372 +5716,7 @@ parameters are a RESULT of the module parameters. --> clk - - - - embeddedsw.configuration.isFlash - 0 - - - embeddedsw.configuration.isMemoryDevice - 0 - - - embeddedsw.configuration.isNonVolatileStorage - 0 - - - embeddedsw.configuration.isPrintableDevice - 0 - - - com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment - DYNAMIC - false - true - false - true - - - int - 0 - false - true - false - true - - - java.math.BigInteger - 8 - true - true - false - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.lang.String - clock - false - true - true - true - - - java.lang.String - reset_n - false - true - true - true - - - int - 8 - false - true - true - true - - - java.math.BigInteger - - false - true - false - true - - - com.altera.entityinterfaces.IConnectionPoint - - false - true - false - true - - - boolean - false - false - true - true - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.math.BigInteger - 0 - false - true - true - true - - - int - 0 - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - true - true - - - int - 0 - false - false - true - true - - - int - 0 - false - false - true - true - - - int - 1 - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - true - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - true - true - - - com.altera.sopcmodel.avalon.TimingUnits - Cycles - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - avalon - false - - ctl_wr - Input - 1 - write - - - ctl_rd - Input - 1 - read - - - ctl_addr - Input - 1 - address - - - ctl_wrdata - Input - 32 - writedata - - - ctl_rddata - Output - 32 - readdata - - - + @@ -6128,13 +5755,58 @@ parameters are a RESULT of the module parameters. --> reset false - clrn + clr_n Input 1 reset_n - + + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit + false + + fout + Output + 1 + writeresponsevalid_n + + + @@ -6172,7 +5844,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 64 + 4 true true false @@ -6204,7 +5876,7 @@ parameters are a RESULT of the module parameters. --> java.lang.String - reset_n + reset_sink false true true @@ -6469,92 +6141,23 @@ parameters are a RESULT of the module parameters. --> avalon false - ram_wr + wr_n Input 1 - write + write_n - ram_addr - Input - 4 - address - - - ram_wrdata + wr_data Input 32 writedata - - - - java.lang.String - - false - true - true - true - - - java.lang.String - reset_n - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - train - Input - 1 - train - - - red - Output - 1 - red - - - yellow - Output - 1 - yellow - - - green - Output - 1 - green - - @@ -6780,7 +6383,7 @@ the requested settings for a module instance. --> true true - + @@ -6841,7 +6444,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -6886,7 +6489,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -7255,7 +6858,7 @@ parameters are a RESULT of the module parameters. --> write_n - + @@ -7336,7 +6939,7 @@ parameters are a RESULT of the module parameters. --> @@ -7349,7 +6952,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x00021068 + 0x00021020 false true true @@ -7385,11 +6988,11 @@ parameters are a RESULT of the module parameters. --> avalon_jtag_slave + end="sigdel_0.avalon_slave"> int 1 @@ -7400,7 +7003,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 0x00021060 + 0x00021028 false true true @@ -7432,13 +7035,13 @@ parameters are a RESULT of the module parameters. --> cpu data_master - sem - ctl_slave + sigdel_0 + avalon_slave @@ -7487,11 +7090,11 @@ parameters are a RESULT of the module parameters. --> debug_mem_slave + end="sys_clk_timer.s1"> int 1 @@ -7534,64 +7137,13 @@ parameters are a RESULT of the module parameters. --> cpu data_master - sem - ram_slave - - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x00021040 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - cpu - data_master sys_clk_timer s1 @@ -7642,7 +7194,7 @@ parameters are a RESULT of the module parameters. --> @@ -7693,7 +7245,7 @@ parameters are a RESULT of the module parameters. --> @@ -7744,7 +7296,7 @@ parameters are a RESULT of the module parameters. --> @@ -7771,7 +7323,7 @@ parameters are a RESULT of the module parameters. --> @@ -7798,7 +7350,7 @@ parameters are a RESULT of the module parameters. --> @@ -7825,7 +7377,7 @@ parameters are a RESULT of the module parameters. --> @@ -7850,11 +7402,11 @@ parameters are a RESULT of the module parameters. --> clk1 + end="sigdel_0.clock"> java.lang.String UNKNOWN @@ -7873,13 +7425,13 @@ parameters are a RESULT of the module parameters. --> clk clk - sem + sigdel_0 clock @@ -7914,7 +7466,7 @@ parameters are a RESULT of the module parameters. --> @@ -7949,7 +7501,7 @@ parameters are a RESULT of the module parameters. --> @@ -7976,7 +7528,7 @@ parameters are a RESULT of the module parameters. --> @@ -8003,7 +7555,7 @@ parameters are a RESULT of the module parameters. --> @@ -8030,7 +7582,7 @@ parameters are a RESULT of the module parameters. --> @@ -8055,11 +7607,11 @@ parameters are a RESULT of the module parameters. --> reset1 + end="sigdel_0.reset_sink"> java.lang.String UNKNOWN @@ -8078,13 +7630,13 @@ parameters are a RESULT of the module parameters. --> clk clk_reset - sem - reset_n + sigdel_0 + reset_sink @@ -8111,7 +7663,7 @@ parameters are a RESULT of the module parameters. --> @@ -8138,7 +7690,7 @@ parameters are a RESULT of the module parameters. --> @@ -8165,7 +7717,7 @@ parameters are a RESULT of the module parameters. --> @@ -8190,11 +7742,11 @@ parameters are a RESULT of the module parameters. --> reset1 + end="sigdel_0.reset_sink"> java.lang.String UNKNOWN @@ -8213,8 +7765,8 @@ parameters are a RESULT of the module parameters. --> cpu debug_reset_request - sem - reset_n + sigdel_0 + reset_sink 1 @@ -8222,7 +7774,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Clock Source - 20.1 + 18.1 1 @@ -8230,7 +7782,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Clock Input - 20.1 + 18.1 1 @@ -8238,7 +7790,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Reset Input - 20.1 + 18.1 1 @@ -8246,7 +7798,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Clock Output - 20.1 + 18.1 1 @@ -8254,7 +7806,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Reset Output - 20.1 + 18.1 1 @@ -8262,7 +7814,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Nios II Processor - 20.1 + 18.1 5 @@ -8270,7 +7822,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Clock Input - 20.1 + 18.1 5 @@ -8278,7 +7830,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Reset Input - 20.1 + 18.1 2 @@ -8286,7 +7838,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Avalon Memory Mapped Master - 20.1 + 18.1 1 @@ -8294,7 +7846,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Interrupt Receiver - 20.1 + 18.1 1 @@ -8302,15 +7854,15 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Reset Output - 20.1 + 18.1 - 7 + 6 avalon_slave com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Avalon Memory Mapped Slave - 20.1 + 18.1 1 @@ -8318,7 +7870,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Custom Instruction Master - 20.1 + 18.1 1 @@ -8326,7 +7878,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule JTAG UART Intel FPGA IP - 20.1 + 18.1 2 @@ -8334,7 +7886,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Interrupt Sender - 20.1 + 18.1 1 @@ -8342,15 +7894,15 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule On-Chip Memory (RAM or ROM) Intel FPGA IP - 20.1 + 18.1 1 - sem + sigdel com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - Semafor - 1.1 + Sigma-Delta Modulator + 1.0 1 @@ -8358,7 +7910,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Conduit - 20.1 + 18.1 1 @@ -8366,15 +7918,15 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Interval Timer Intel FPGA IP - 20.1 + 18.1 - 8 + 7 avalon com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Avalon Memory Mapped Connection - 20.1 + 18.1 5 @@ -8382,7 +7934,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Clock Connection - 20.1 + 18.1 2 @@ -8390,7 +7942,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Interrupt Connection - 20.1 + 18.1 10 @@ -8398,8 +7950,8 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Reset Connection - 20.1 + 18.1 - 20.1 720 + 18.1 625 diff --git a/Top/niosII/niosII.bsf b/Top/niosII/niosII.bsf index 2a53fa4..a34b392 100644 --- a/Top/niosII/niosII.bsf +++ b/Top/niosII/niosII.bsf @@ -1,94 +1,70 @@ -/* -WARNING: Do NOT edit the input and output ports in this file in a text -editor if you plan to continue editing the block that represents it in -the Block Editor! File corruption is VERY likely to occur. -*/ -/* -Copyright (C) 2018 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details. -*/ -(header "symbol" (version "1.1")) -(symbol - (rect 0 0 288 232) - (text "niosII" (rect 130 -1 150 11)(font "Arial" (font_size 10))) - (text "inst" (rect 8 216 20 228)(font "Arial" )) - (port - (pt 0 72) - (input) - (text "clk_clk" (rect 0 0 27 12)(font "Arial" (font_size 8))) - (text "clk_clk" (rect 4 61 46 72)(font "Arial" (font_size 8))) - (line (pt 0 72)(pt 112 72)(line_width 1)) - ) - (port - (pt 0 112) - (input) - (text "reset_reset_n" (rect 0 0 56 12)(font "Arial" (font_size 8))) - (text "reset_reset_n" (rect 4 101 82 112)(font "Arial" (font_size 8))) - (line (pt 0 112)(pt 112 112)(line_width 1)) - ) - (port - (pt 0 152) - (input) - (text "sem_export_train" (rect 0 0 70 12)(font "Arial" (font_size 8))) - (text "sem_export_train" (rect 4 141 100 152)(font "Arial" (font_size 8))) - (line (pt 0 152)(pt 112 152)(line_width 1)) - ) - (port - (pt 0 168) - (output) - (text "sem_export_red" (rect 0 0 67 12)(font "Arial" (font_size 8))) - (text "sem_export_red" (rect 4 157 88 168)(font "Arial" (font_size 8))) - (line (pt 0 168)(pt 112 168)(line_width 1)) - ) - (port - (pt 0 184) - (output) - (text "sem_export_yellow" (rect 0 0 77 12)(font "Arial" (font_size 8))) - (text "sem_export_yellow" (rect 4 173 106 184)(font "Arial" (font_size 8))) - (line (pt 0 184)(pt 112 184)(line_width 1)) - ) - (port - (pt 0 200) - (output) - (text "sem_export_green" (rect 0 0 76 12)(font "Arial" (font_size 8))) - (text "sem_export_green" (rect 4 189 100 200)(font "Arial" (font_size 8))) - (line (pt 0 200)(pt 112 200)(line_width 1)) - ) - (drawing - (text "clk" (rect 97 43 212 99)(font "Arial" (color 128 0 0)(font_size 9))) - (text "clk" (rect 117 67 252 144)(font "Arial" (color 0 0 0))) - (text "reset" (rect 83 83 196 179)(font "Arial" (color 128 0 0)(font_size 9))) - (text "reset_n" (rect 117 107 276 224)(font "Arial" (color 0 0 0))) - (text "sem_export" (rect 44 123 148 259)(font "Arial" (color 128 0 0)(font_size 9))) - (text "train" (rect 117 147 264 304)(font "Arial" (color 0 0 0))) - (text "red" (rect 117 163 252 336)(font "Arial" (color 0 0 0))) - (text "yellow" (rect 117 179 270 368)(font "Arial" (color 0 0 0))) - (text "green" (rect 117 195 264 400)(font "Arial" (color 0 0 0))) - (text " niosII " (rect 262 216 572 442)(font "Arial" )) - (line (pt 112 32)(pt 176 32)(line_width 1)) - (line (pt 176 32)(pt 176 216)(line_width 1)) - (line (pt 112 216)(pt 176 216)(line_width 1)) - (line (pt 112 32)(pt 112 216)(line_width 1)) - (line (pt 113 52)(pt 113 76)(line_width 1)) - (line (pt 114 52)(pt 114 76)(line_width 1)) - (line (pt 113 92)(pt 113 116)(line_width 1)) - (line (pt 114 92)(pt 114 116)(line_width 1)) - (line (pt 113 132)(pt 113 204)(line_width 1)) - (line (pt 114 132)(pt 114 204)(line_width 1)) - (line (pt 0 0)(pt 288 0)(line_width 1)) - (line (pt 288 0)(pt 288 232)(line_width 1)) - (line (pt 0 232)(pt 288 232)(line_width 1)) - (line (pt 0 0)(pt 0 232)(line_width 1)) - ) -) +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2018 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details. +*/ +(header "symbol" (version "1.1")) +(symbol + (rect 0 0 496 184) + (text "niosII" (rect 234 -1 254 11)(font "Arial" (font_size 10))) + (text "inst" (rect 8 168 20 180)(font "Arial" )) + (port + (pt 0 72) + (input) + (text "clk_clk" (rect 0 0 27 12)(font "Arial" (font_size 8))) + (text "clk_clk" (rect 4 61 46 72)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 192 72)(line_width 1)) + ) + (port + (pt 0 152) + (input) + (text "reset_reset_n" (rect 0 0 56 12)(font "Arial" (font_size 8))) + (text "reset_reset_n" (rect 4 141 82 152)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 192 152)(line_width 1)) + ) + (port + (pt 0 112) + (output) + (text "conduit_end_writeresponsevalid_n" (rect 0 0 135 12)(font "Arial" (font_size 8))) + (text "conduit_end_writeresponsevalid_n" (rect 4 101 196 112)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 192 112)(line_width 1)) + ) + (drawing + (text "clk" (rect 177 43 372 99)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 197 67 412 144)(font "Arial" (color 0 0 0))) + (text "conduit_end" (rect 123 83 312 179)(font "Arial" (color 128 0 0)(font_size 9))) + (text "writeresponsevalid_n" (rect 197 107 514 224)(font "Arial" (color 0 0 0))) + (text "reset" (rect 163 123 356 259)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset_n" (rect 197 147 436 304)(font "Arial" (color 0 0 0))) + (text " niosII " (rect 470 168 988 346)(font "Arial" )) + (line (pt 192 32)(pt 304 32)(line_width 1)) + (line (pt 304 32)(pt 304 168)(line_width 1)) + (line (pt 192 168)(pt 304 168)(line_width 1)) + (line (pt 192 32)(pt 192 168)(line_width 1)) + (line (pt 193 52)(pt 193 76)(line_width 1)) + (line (pt 194 52)(pt 194 76)(line_width 1)) + (line (pt 193 92)(pt 193 116)(line_width 1)) + (line (pt 194 92)(pt 194 116)(line_width 1)) + (line (pt 193 132)(pt 193 156)(line_width 1)) + (line (pt 194 132)(pt 194 156)(line_width 1)) + (line (pt 0 0)(pt 496 0)(line_width 1)) + (line (pt 496 0)(pt 496 184)(line_width 1)) + (line (pt 0 184)(pt 496 184)(line_width 1)) + (line (pt 0 0)(pt 0 184)(line_width 1)) + ) +) diff --git a/Top/niosII/niosII.cmp b/Top/niosII/niosII.cmp index 78674b7..c0f7c5a 100644 --- a/Top/niosII/niosII.cmp +++ b/Top/niosII/niosII.cmp @@ -1,11 +1,8 @@ - component niosII is + component niosII is port ( - clk_clk : in std_logic := 'X'; -- clk - reset_reset_n : in std_logic := 'X'; -- reset_n - sem_export_train : in std_logic := 'X'; -- train - sem_export_red : out std_logic; -- red - sem_export_yellow : out std_logic; -- yellow - sem_export_green : out std_logic -- green + clk_clk : in std_logic := 'X'; -- clk + conduit_end_writeresponsevalid_n : out std_logic; -- writeresponsevalid_n + reset_reset_n : in std_logic := 'X' -- reset_n ); - end component niosII; - + end component niosII; + diff --git a/Top/niosII/niosII.html b/Top/niosII/niosII.html index 940f164..4cb0a7b 100644 --- a/Top/niosII/niosII.html +++ b/Top/niosII/niosII.html @@ -67,7 +67,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - +
2023.01.17.19:00:542023.01.27.18:49:31 Datasheet
@@ -100,8 +100,8 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord mem altera_avalon_onchip_memory2 18.1
   - sem - sem 1.1 + sigdel_0 + sigdel 1.0
   sys_clk_timer altera_avalon_timer 18.1 @@ -144,7 +144,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord avalon_jtag_slave  - 0x00021068 + 0x00021020 @@ -167,20 +167,15 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord   - sem + sigdel_0 - ctl_slave  - 0x00021060 - - - - ram_slave  - 0x00021000 + avalon_slave  + 0x00021028 @@ -193,7 +188,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord s1  - 0x00021040 + 0x00021000 @@ -256,7 +251,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord clk clk   - cpu + cpu   clk @@ -307,24 +302,14 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord data_master   - - sem + + sigdel_0 -   ctl_slave - - - - - data_master   - - - - -   ram_slave +   avalon_slave @@ -334,7 +319,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord -   reset_n +   reset_sink @@ -1107,7 +1092,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord dataSlaveMapParam - <address-map><slave name='mem.s2' start='0x0' end='0x20000' type='altera_avalon_onchip_memory2.s2' /><slave name='cpu.debug_mem_slave' start='0x20800' end='0x21000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='sem.ram_slave' start='0x21000' end='0x21040' type='sem.ram_slave' /><slave name='sys_clk_timer.s1' start='0x21040' end='0x21060' type='altera_avalon_timer.s1' /><slave name='sem.ctl_slave' start='0x21060' end='0x21068' type='sem.ctl_slave' /><slave name='jtag_uart.avalon_jtag_slave' start='0x21068' end='0x21070' type='altera_avalon_jtag_uart.avalon_jtag_slave' /></address-map> + <address-map><slave name='mem.s2' start='0x0' end='0x20000' type='altera_avalon_onchip_memory2.s2' /><slave name='cpu.debug_mem_slave' start='0x20800' end='0x21000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='sys_clk_timer.s1' start='0x21000' end='0x21020' type='altera_avalon_timer.s1' /><slave name='jtag_uart.avalon_jtag_slave' start='0x21020' end='0x21028' type='altera_avalon_jtag_uart.avalon_jtag_slave' /><slave name='sigdel_0.avalon_slave' start='0x21028' end='0x2102C' type='sigdel.avalon_slave' /></address-map> tightlyCoupledDataMaster0MapParam @@ -1763,34 +1748,28 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - +

-

sem

sem v1.1 +

sigdel_0

sigdel v1.0
- - + - - - - - - - + - + @@ -1808,7 +1787,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - +
+ cpu data_master  semsigdel_0
  ctl_slave
data_master  
  ram_slave  avalon_slave
debug_reset_request  
  reset_n  reset_sink
clk_reset  
  reset_n  reset_sink
@@ -1820,8 +1799,8 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord

Parameters

- - + + diff --git a/Top/niosII/niosII.xml b/Top/niosII/niosII.xml index 78e2a79..560676e 100644 --- a/Top/niosII/niosII.xml +++ b/Top/niosII/niosII.xml @@ -1,6 +1,6 @@ + + + + + - - - - - - - - - + @@ -144,13 +145,25 @@ type="VERILOG" attributes="" /> + + + - + Transform: CustomInstructionTransform No custom instruction connections, skipping transform - 6 modules, 25 connections]]> + 6 modules, 24 connections]]> Transform: MMTransform Transform: InitialInterconnectTransform - 6 modules, 23 connections]]> + 6 modules, 22 connections]]> Transform: TerminalIdAssignmentUpdateTransform Transform: DefaultSlaveTransform Transform: TranslatorTransform @@ -371,10 +383,7 @@ - - - - 15 modules, 59 connections]]> + 14 modules, 54 connections]]> Transform: IDPadTransform Transform: DomainTransform Transform merlin_domain_transform not run on matched interfaces cpu.data_master and cpu_data_master_translator.avalon_anti_master_0 @@ -439,23 +448,13 @@ - - - - - - - - - Transform merlin_domain_transform not run on matched interfaces jtag_uart_avalon_jtag_slave_translator.avalon_anti_slave_0 and jtag_uart.avalon_jtag_slave - Transform merlin_domain_transform not run on matched interfaces sem_ctl_slave_translator.avalon_anti_slave_0 and sem.ctl_slave + Transform merlin_domain_transform not run on matched interfaces sigdel_0_avalon_slave_translator.avalon_anti_slave_0 and sigdel_0.avalon_slave Transform merlin_domain_transform not run on matched interfaces cpu_debug_mem_slave_translator.avalon_anti_slave_0 and cpu.debug_mem_slave - Transform merlin_domain_transform not run on matched interfaces sem_ram_slave_translator.avalon_anti_slave_0 and sem.ram_slave Transform merlin_domain_transform not run on matched interfaces sys_clk_timer_s1_translator.avalon_anti_slave_0 and sys_clk_timer.s1 Transform merlin_domain_transform not run on matched interfaces mem_s2_translator.avalon_anti_slave_0 and mem.s2 Transform merlin_domain_transform not run on matched interfaces mem_s1_translator.avalon_anti_slave_0 and mem.s1 - 32 modules, 174 connections]]> + 29 modules, 155 connections]]> Transform: RouterTransform @@ -481,10 +480,7 @@ - - - - 41 modules, 210 connections]]> + 37 modules, 187 connections]]> Transform: TrafficLimiterTransform Transform: BurstTransform Transform: TreeTransform @@ -537,13 +533,7 @@ - - - - - - - 58 modules, 253 connections]]> + 52 modules, 225 connections]]> Transform: WidthTransform Transform: RouterTableTransform Transform: ThreadIDMappingTableTransform @@ -559,19 +549,19 @@ - 60 modules, 312 connections]]> + 54 modules, 278 connections]]> Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 7 modules, 29 connections]]> - 7 modules, 29 connections]]> + 7 modules, 28 connections]]> + 7 modules, 28 connections]]> Transform: InterruptMapperTransform - 8 modules, 33 connections]]> + 8 modules, 32 connections]]> Transform: InterruptSyncTransform Transform: InterruptFanoutTransform Transform: AvalonStreamingTransform @@ -582,11 +572,11 @@ - 10 modules, 35 connections]]> + 10 modules, 34 connections]]> niosII" reuses altera_nios2_gen2 "submodules/niosII_cpu"]]> niosII" reuses altera_avalon_jtag_uart "submodules/niosII_jtag_uart"]]> niosII" reuses altera_avalon_onchip_memory2 "submodules/niosII_mem"]]> - niosII" reuses sem "submodules/dec"]]> + niosII" reuses sigdel "submodules/sigdel"]]> niosII" reuses altera_avalon_timer "submodules/niosII_sys_clk_timer"]]> niosII" reuses altera_mm_interconnect "submodules/niosII_mm_interconnect_0"]]> niosII" reuses altera_irq_mapper "submodules/niosII_irq_mapper"]]> @@ -606,38 +596,38 @@ Transform: ResetAdaptation cpu" reuses altera_nios2_gen2_unit "submodules/niosII_cpu_cpu"]]> niosII" instantiated altera_nios2_gen2 "cpu"]]> - queue size: 59 starting:altera_nios2_gen2_unit "submodules/niosII_cpu_cpu" + queue size: 52 starting:altera_nios2_gen2_unit "submodules/niosII_cpu_cpu" Starting RTL generation for module 'niosII_cpu_cpu' - Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//eperlcmd -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=/tmp/alt9374_5498523038764792383.dir/0008_cpu_gen/ --quartus_bindir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/ --verilog --config=/tmp/alt9374_5498523038764792383.dir/0008_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] - # 2023.01.17 18:00:58 (*) Starting Nios II generation - # 2023.01.17 18:00:58 (*) Checking for plaintext license. - # 2023.01.17 18:00:58 (*) Couldn't query license setup in Quartus directory /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/ - # 2023.01.17 18:00:58 (*) Defaulting to contents of LM_LICENSE_FILE environment variable - # 2023.01.17 18:00:58 (*) LM_LICENSE_FILE environment variable is empty - # 2023.01.17 18:00:58 (*) Plaintext license not found. - # 2023.01.17 18:00:58 (*) No license required to generate encrypted Nios II/e. - # 2023.01.17 18:00:58 (*) Elaborating CPU configuration settings - # 2023.01.17 18:00:58 (*) Creating all objects for CPU - # 2023.01.17 18:00:59 (*) Generating RTL from CPU objects - # 2023.01.17 18:00:59 (*) Creating plain-text RTL - # 2023.01.17 18:00:59 (*) Done Nios II generation + Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//eperlcmd -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=/tmp/alt9384_4608439243490427137.dir/0010_cpu_gen/ --quartus_bindir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/ --verilog --config=/tmp/alt9384_4608439243490427137.dir/0010_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] + # 2023.01.27 17:49:34 (*) Starting Nios II generation + # 2023.01.27 17:49:34 (*) Checking for plaintext license. + # 2023.01.27 17:49:34 (*) Couldn't query license setup in Quartus directory /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/ + # 2023.01.27 17:49:34 (*) Defaulting to contents of LM_LICENSE_FILE environment variable + # 2023.01.27 17:49:34 (*) LM_LICENSE_FILE environment variable is empty + # 2023.01.27 17:49:34 (*) Plaintext license not found. + # 2023.01.27 17:49:34 (*) No license required to generate encrypted Nios II/e. + # 2023.01.27 17:49:34 (*) Elaborating CPU configuration settings + # 2023.01.27 17:49:35 (*) Creating all objects for CPU + # 2023.01.27 17:49:35 (*) Generating RTL from CPU objects + # 2023.01.27 17:49:35 (*) Creating plain-text RTL + # 2023.01.27 17:49:36 (*) Done Nios II generation Done RTL generation for module 'niosII_cpu_cpu' cpu" instantiated altera_nios2_gen2_unit "cpu"]]> queue size: 7 starting:altera_avalon_jtag_uart "submodules/niosII_jtag_uart" Starting RTL generation for module 'niosII_jtag_uart' - Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=niosII_jtag_uart --dir=/tmp/alt9374_5498523038764792383.dir/0002_jtag_uart_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9374_5498523038764792383.dir/0002_jtag_uart_gen//niosII_jtag_uart_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=niosII_jtag_uart --dir=/tmp/alt9384_4608439243490427137.dir/0004_jtag_uart_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9384_4608439243490427137.dir/0004_jtag_uart_gen//niosII_jtag_uart_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_jtag_uart' niosII" instantiated altera_avalon_jtag_uart "jtag_uart"]]> queue size: 6 starting:altera_avalon_onchip_memory2 "submodules/niosII_mem" Starting RTL generation for module 'niosII_mem' - Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=niosII_mem --dir=/tmp/alt9374_5498523038764792383.dir/0003_mem_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9374_5498523038764792383.dir/0003_mem_gen//niosII_mem_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=niosII_mem --dir=/tmp/alt9384_4608439243490427137.dir/0005_mem_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9384_4608439243490427137.dir/0005_mem_gen//niosII_mem_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_mem' niosII" instantiated altera_avalon_onchip_memory2 "mem"]]> - queue size: 5 starting:sem "submodules/dec" - niosII" instantiated sem "sem"]]> + queue size: 5 starting:sigdel "submodules/sigdel" + niosII" instantiated sigdel "sigdel_0"]]> queue size: 4 starting:altera_avalon_timer "submodules/niosII_sys_clk_timer" Starting RTL generation for module 'niosII_sys_clk_timer' - Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=niosII_sys_clk_timer --dir=/tmp/alt9374_5498523038764792383.dir/0005_sys_clk_timer_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9374_5498523038764792383.dir/0005_sys_clk_timer_gen//niosII_sys_clk_timer_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=niosII_sys_clk_timer --dir=/tmp/alt9384_4608439243490427137.dir/0007_sys_clk_timer_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9384_4608439243490427137.dir/0007_sys_clk_timer_gen//niosII_sys_clk_timer_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_sys_clk_timer' niosII" instantiated altera_avalon_timer "sys_clk_timer"]]> queue size: 3 starting:altera_mm_interconnect "submodules/niosII_mm_interconnect_0" @@ -646,7 +636,7 @@ Transform: CustomInstructionTransform No custom instruction connections, skipping transform - 54 modules, 178 connections]]> + 48 modules, 157 connections]]> Transform: MMTransform Transform: InitialInterconnectTransform 0 modules, 0 connections]]> @@ -672,7 +662,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 54 modules, 178 connections]]> + 48 modules, 157 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -697,7 +687,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 54 modules, 178 connections]]> + 48 modules, 157 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -722,7 +712,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 54 modules, 178 connections]]> + 48 modules, 157 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -747,7 +737,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 54 modules, 178 connections]]> + 48 modules, 157 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -772,7 +762,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 54 modules, 178 connections]]> + 48 modules, 157 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -797,7 +787,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 54 modules, 178 connections]]> + 48 modules, 157 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -822,7 +812,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 54 modules, 178 connections]]> + 48 modules, 157 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -847,32 +837,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 54 modules, 178 connections]]> - Transform: InitialInterconnectTransform - 0 modules, 0 connections]]> - Transform: TerminalIdAssignmentUpdateTransform - Transform: DefaultSlaveTransform - Transform: TranslatorTransform - No Avalon connections, skipping transform - Transform: IDPadTransform - Transform: DomainTransform - Transform: RouterTransform - Transform: TrafficLimiterTransform - Transform: BurstTransform - Transform: TreeTransform - Transform: NetworkToSwitchTransform - Transform: WidthTransform - Transform: RouterTableTransform - Transform: ThreadIDMappingTableTransform - Transform: ClockCrossingTransform - Transform: PipelineTransform - Transform: SpotPipelineTransform - Transform: PerformanceMonitorTransform - Transform: TrafficLimiterUpdateTransform - Transform: InsertClockAndResetBridgesTransform - Transform: InterconnectConnectionsTagger - Transform: HierarchyTransform - 54 modules, 178 connections]]> + 48 modules, 157 connections]]> Transform: InterruptMapperTransform Transform: InterruptSyncTransform Transform: InterruptFanoutTransform @@ -881,61 +846,53 @@ Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s + Timing: ELA:1/0.001s Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.002s - Timing: COM:3/0.021s/0.034s + Timing: ELA:1/0.003s + Timing: COM:3/0.015s/0.020s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s + Timing: ELA:2/0.000s/0.000s Timing: ELA:1/0.003s - Timing: COM:3/0.008s/0.009s + Timing: COM:3/0.007s/0.008s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s + Timing: ELA:2/0.000s/0.000s Timing: ELA:1/0.003s - Timing: COM:3/0.008s/0.009s + Timing: COM:3/0.007s/0.008s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.002s - Timing: COM:3/0.008s/0.009s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.004s + Timing: COM:3/0.008s/0.008s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.003s - Timing: COM:3/0.008s/0.009s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.002s + Timing: COM:3/0.007s/0.007s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.003s - Timing: COM:3/0.008s/0.009s - - - - Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.003s - Timing: COM:3/0.007s/0.008s + Timing: ELA:1/0.002s + Timing: COM:3/0.007s/0.008s 61 modules, 199 connections]]> + culprit="com_altera_sopcmodel_transforms_avalonst_AvalonStreamingTransform">54 modules, 175 connections]]> Transform: ResetAdaptation mm_interconnect_0" reuses altera_merlin_master_translator "submodules/altera_merlin_master_translator"]]> mm_interconnect_0" reuses altera_merlin_master_translator "submodules/altera_merlin_master_translator"]]> @@ -945,7 +902,6 @@ mm_interconnect_0" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> mm_interconnect_0" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> mm_interconnect_0" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> - mm_interconnect_0" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> mm_interconnect_0" reuses altera_merlin_master_agent "submodules/altera_merlin_master_agent"]]> mm_interconnect_0" reuses altera_merlin_master_agent "submodules/altera_merlin_master_agent"]]> mm_interconnect_0" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]> @@ -960,8 +916,6 @@ mm_interconnect_0" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> mm_interconnect_0" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]> mm_interconnect_0" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> - mm_interconnect_0" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]> - mm_interconnect_0" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> mm_interconnect_0" reuses altera_merlin_router "submodules/niosII_mm_interconnect_0_router"]]> mm_interconnect_0" reuses altera_merlin_router "submodules/niosII_mm_interconnect_0_router_001"]]> mm_interconnect_0" reuses altera_merlin_router "submodules/niosII_mm_interconnect_0_router_002"]]> @@ -969,8 +923,7 @@ mm_interconnect_0" reuses altera_merlin_router "submodules/niosII_mm_interconnect_0_router_004"]]> mm_interconnect_0" reuses altera_merlin_router "submodules/niosII_mm_interconnect_0_router_002"]]> mm_interconnect_0" reuses altera_merlin_router "submodules/niosII_mm_interconnect_0_router_002"]]> - mm_interconnect_0" reuses altera_merlin_router "submodules/niosII_mm_interconnect_0_router_002"]]> - mm_interconnect_0" reuses altera_merlin_router "submodules/niosII_mm_interconnect_0_router_008"]]> + mm_interconnect_0" reuses altera_merlin_router "submodules/niosII_mm_interconnect_0_router_007"]]> mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_cmd_demux"]]> mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_cmd_demux_001"]]> mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_cmd_mux"]]> @@ -979,14 +932,12 @@ mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_cmd_mux"]]> mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_cmd_mux"]]> mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_cmd_mux"]]> - mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_cmd_mux"]]> mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_rsp_demux"]]> mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_rsp_demux"]]> mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_cmd_demux_001"]]> mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_rsp_demux"]]> mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_rsp_demux"]]> mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_rsp_demux"]]> - mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_rsp_demux"]]> mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_rsp_mux"]]> mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_rsp_mux_001"]]> mm_interconnect_0" reuses altera_avalon_st_adapter "submodules/niosII_mm_interconnect_0_avalon_st_adapter"]]> @@ -995,46 +946,45 @@ mm_interconnect_0" reuses altera_avalon_st_adapter "submodules/niosII_mm_interconnect_0_avalon_st_adapter"]]> mm_interconnect_0" reuses altera_avalon_st_adapter "submodules/niosII_mm_interconnect_0_avalon_st_adapter"]]> mm_interconnect_0" reuses altera_avalon_st_adapter "submodules/niosII_mm_interconnect_0_avalon_st_adapter"]]> - mm_interconnect_0" reuses altera_avalon_st_adapter "submodules/niosII_mm_interconnect_0_avalon_st_adapter"]]> niosII" instantiated altera_mm_interconnect "mm_interconnect_0"]]> - queue size: 58 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator" + queue size: 51 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator" mm_interconnect_0" instantiated altera_merlin_master_translator "cpu_data_master_translator"]]> - queue size: 56 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" + queue size: 49 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" mm_interconnect_0" instantiated altera_merlin_slave_translator "jtag_uart_avalon_jtag_slave_translator"]]> - queue size: 49 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent" + queue size: 43 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent" mm_interconnect_0" instantiated altera_merlin_master_agent "cpu_data_master_agent"]]> - queue size: 47 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent" + queue size: 41 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent" mm_interconnect_0" instantiated altera_merlin_slave_agent "jtag_uart_avalon_jtag_slave_agent"]]> - queue size: 46 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo" + queue size: 40 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo" mm_interconnect_0" instantiated altera_avalon_sc_fifo "jtag_uart_avalon_jtag_slave_agent_rsp_fifo"]]> - queue size: 33 starting:altera_merlin_router "submodules/niosII_mm_interconnect_0_router" + queue size: 29 starting:altera_merlin_router "submodules/niosII_mm_interconnect_0_router" mm_interconnect_0" instantiated altera_merlin_router "router"]]> - queue size: 32 starting:altera_merlin_router "submodules/niosII_mm_interconnect_0_router_001" + queue size: 28 starting:altera_merlin_router "submodules/niosII_mm_interconnect_0_router_001" mm_interconnect_0" instantiated altera_merlin_router "router_001"]]> - queue size: 31 starting:altera_merlin_router "submodules/niosII_mm_interconnect_0_router_002" + queue size: 27 starting:altera_merlin_router "submodules/niosII_mm_interconnect_0_router_002" mm_interconnect_0" instantiated altera_merlin_router "router_002"]]> - queue size: 29 starting:altera_merlin_router "submodules/niosII_mm_interconnect_0_router_004" + queue size: 25 starting:altera_merlin_router "submodules/niosII_mm_interconnect_0_router_004" mm_interconnect_0" instantiated altera_merlin_router "router_004"]]> - queue size: 25 starting:altera_merlin_router "submodules/niosII_mm_interconnect_0_router_008" - mm_interconnect_0" instantiated altera_merlin_router "router_008"]]> - queue size: 24 starting:altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_cmd_demux" + queue size: 22 starting:altera_merlin_router "submodules/niosII_mm_interconnect_0_router_007" + mm_interconnect_0" instantiated altera_merlin_router "router_007"]]> + queue size: 21 starting:altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_cmd_demux" mm_interconnect_0" instantiated altera_merlin_demultiplexer "cmd_demux"]]> - queue size: 23 starting:altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_cmd_demux_001" + queue size: 20 starting:altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_cmd_demux_001" mm_interconnect_0" instantiated altera_merlin_demultiplexer "cmd_demux_001"]]> - queue size: 22 starting:altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_cmd_mux" + queue size: 19 starting:altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_cmd_mux" mm_interconnect_0" instantiated altera_merlin_multiplexer "cmd_mux"]]> - queue size: 20 starting:altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_cmd_mux_002" + queue size: 17 starting:altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_cmd_mux_002" mm_interconnect_0" instantiated altera_merlin_multiplexer "cmd_mux_002"]]> /home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/Top/niosII/synthesis/submodules/altera_merlin_arbitrator.sv]]> - queue size: 15 starting:altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_rsp_demux" + queue size: 13 starting:altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_rsp_demux" mm_interconnect_0" instantiated altera_merlin_demultiplexer "rsp_demux"]]> - queue size: 8 starting:altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_rsp_mux" + queue size: 7 starting:altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_rsp_mux" mm_interconnect_0" instantiated altera_merlin_multiplexer "rsp_mux"]]> /home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/Top/niosII/synthesis/submodules/altera_merlin_arbitrator.sv]]> - queue size: 7 starting:altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_rsp_mux_001" + queue size: 6 starting:altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_rsp_mux_001" mm_interconnect_0" instantiated altera_merlin_multiplexer "rsp_mux_001"]]> /home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/Top/niosII/synthesis/submodules/altera_merlin_arbitrator.sv]]> - queue size: 6 starting:altera_avalon_st_adapter "submodules/niosII_mm_interconnect_0_avalon_st_adapter" + queue size: 5 starting:altera_avalon_st_adapter "submodules/niosII_mm_interconnect_0_avalon_st_adapter" @@ -1051,15 +1001,15 @@ mm_interconnect_0" instantiated altera_avalon_st_adapter "avalon_st_adapter"]]> queue size: 0 starting:error_adapter "submodules/niosII_mm_interconnect_0_avalon_st_adapter_error_adapter_0" avalon_st_adapter" instantiated error_adapter "error_adapter_0"]]> - queue size: 61 starting:altera_irq_mapper "submodules/niosII_irq_mapper" + queue size: 54 starting:altera_irq_mapper "submodules/niosII_irq_mapper" niosII" instantiated altera_irq_mapper "irq_mapper"]]> - queue size: 60 starting:altera_reset_controller "submodules/altera_reset_controller" + queue size: 53 starting:altera_reset_controller "submodules/altera_reset_controller" niosII" instantiated altera_reset_controller "rst_controller"]]> + value="<address-map><slave name='mem.s2' start='0x0' end='0x20000' type='altera_avalon_onchip_memory2.s2' /><slave name='cpu.debug_mem_slave' start='0x20800' end='0x21000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='sys_clk_timer.s1' start='0x21000' end='0x21020' type='altera_avalon_timer.s1' /><slave name='jtag_uart.avalon_jtag_slave' start='0x21020' end='0x21028' type='altera_avalon_jtag_uart.avalon_jtag_slave' /><slave name='sigdel_0.avalon_slave' start='0x21028' end='0x2102C' type='sigdel.avalon_slave' /></address-map>" /> @@ -1333,21 +1283,21 @@ Transform: ResetAdaptation cpu" reuses altera_nios2_gen2_unit "submodules/niosII_cpu_cpu"]]> niosII" instantiated altera_nios2_gen2 "cpu"]]> - queue size: 59 starting:altera_nios2_gen2_unit "submodules/niosII_cpu_cpu" + queue size: 52 starting:altera_nios2_gen2_unit "submodules/niosII_cpu_cpu" Starting RTL generation for module 'niosII_cpu_cpu' - Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//eperlcmd -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=/tmp/alt9374_5498523038764792383.dir/0008_cpu_gen/ --quartus_bindir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/ --verilog --config=/tmp/alt9374_5498523038764792383.dir/0008_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] - # 2023.01.17 18:00:58 (*) Starting Nios II generation - # 2023.01.17 18:00:58 (*) Checking for plaintext license. - # 2023.01.17 18:00:58 (*) Couldn't query license setup in Quartus directory /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/ - # 2023.01.17 18:00:58 (*) Defaulting to contents of LM_LICENSE_FILE environment variable - # 2023.01.17 18:00:58 (*) LM_LICENSE_FILE environment variable is empty - # 2023.01.17 18:00:58 (*) Plaintext license not found. - # 2023.01.17 18:00:58 (*) No license required to generate encrypted Nios II/e. - # 2023.01.17 18:00:58 (*) Elaborating CPU configuration settings - # 2023.01.17 18:00:58 (*) Creating all objects for CPU - # 2023.01.17 18:00:59 (*) Generating RTL from CPU objects - # 2023.01.17 18:00:59 (*) Creating plain-text RTL - # 2023.01.17 18:00:59 (*) Done Nios II generation + Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//eperlcmd -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=/tmp/alt9384_4608439243490427137.dir/0010_cpu_gen/ --quartus_bindir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/ --verilog --config=/tmp/alt9384_4608439243490427137.dir/0010_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] + # 2023.01.27 17:49:34 (*) Starting Nios II generation + # 2023.01.27 17:49:34 (*) Checking for plaintext license. + # 2023.01.27 17:49:34 (*) Couldn't query license setup in Quartus directory /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/ + # 2023.01.27 17:49:34 (*) Defaulting to contents of LM_LICENSE_FILE environment variable + # 2023.01.27 17:49:34 (*) LM_LICENSE_FILE environment variable is empty + # 2023.01.27 17:49:34 (*) Plaintext license not found. + # 2023.01.27 17:49:34 (*) No license required to generate encrypted Nios II/e. + # 2023.01.27 17:49:34 (*) Elaborating CPU configuration settings + # 2023.01.27 17:49:35 (*) Creating all objects for CPU + # 2023.01.27 17:49:35 (*) Generating RTL from CPU objects + # 2023.01.27 17:49:35 (*) Creating plain-text RTL + # 2023.01.27 17:49:36 (*) Done Nios II generation Done RTL generation for module 'niosII_cpu_cpu' cpu" instantiated altera_nios2_gen2_unit "cpu"]]> @@ -1391,7 +1341,7 @@ queue size: 7 starting:altera_avalon_jtag_uart "submodules/niosII_jtag_uart" Starting RTL generation for module 'niosII_jtag_uart' - Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=niosII_jtag_uart --dir=/tmp/alt9374_5498523038764792383.dir/0002_jtag_uart_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9374_5498523038764792383.dir/0002_jtag_uart_gen//niosII_jtag_uart_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=niosII_jtag_uart --dir=/tmp/alt9384_4608439243490427137.dir/0004_jtag_uart_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9384_4608439243490427137.dir/0004_jtag_uart_gen//niosII_jtag_uart_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_jtag_uart' niosII" instantiated altera_avalon_jtag_uart "jtag_uart"]]> @@ -1460,39 +1410,50 @@ queue size: 6 starting:altera_avalon_onchip_memory2 "submodules/niosII_mem" Starting RTL generation for module 'niosII_mem' - Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=niosII_mem --dir=/tmp/alt9374_5498523038764792383.dir/0003_mem_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9374_5498523038764792383.dir/0003_mem_gen//niosII_mem_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=niosII_mem --dir=/tmp/alt9384_4608439243490427137.dir/0005_mem_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9384_4608439243490427137.dir/0005_mem_gen//niosII_mem_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_mem' niosII" instantiated altera_avalon_onchip_memory2 "mem"]]> - + parameterizationKey="sigdel:1.0:PHACC_WIDTH=14" + instancePathKey="niosII:.:sigdel_0" + kind="sigdel" + version="1.0" + name="sigdel"> + + + + - + - + - queue size: 5 starting:sem "submodules/dec" - niosII" instantiated sem "sem"]]> + queue size: 5 starting:sigdel "submodules/sigdel" + niosII" instantiated sigdel "sigdel_0"]]> queue size: 4 starting:altera_avalon_timer "submodules/niosII_sys_clk_timer" Starting RTL generation for module 'niosII_sys_clk_timer' - Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=niosII_sys_clk_timer --dir=/tmp/alt9374_5498523038764792383.dir/0005_sys_clk_timer_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9374_5498523038764792383.dir/0005_sys_clk_timer_gen//niosII_sys_clk_timer_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=niosII_sys_clk_timer --dir=/tmp/alt9384_4608439243490427137.dir/0007_sys_clk_timer_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9384_4608439243490427137.dir/0007_sys_clk_timer_gen//niosII_sys_clk_timer_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_sys_clk_timer' niosII" instantiated altera_avalon_timer "sys_clk_timer"]]> +};set_instance_parameter_value {cpu_instruction_master_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {cpu_instruction_master_agent} {ID} {1};set_instance_parameter_value {cpu_instruction_master_agent} {BURSTWRAP_VALUE} {3};set_instance_parameter_value {cpu_instruction_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {cpu_instruction_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {cpu_instruction_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {cpu_instruction_master_agent} {USE_WRITERESPONSE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_DATA_W} {94};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ID} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ECC_ENABLE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sigdel_0_avalon_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {ST_DATA_W} {94};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {ID} {4};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {ECC_ENABLE} {0};add_instance {sigdel_0_avalon_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {cpu_debug_mem_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ST_DATA_W} {94};set_instance_parameter_value {cpu_debug_mem_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {cpu_debug_mem_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {cpu_debug_mem_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {cpu_debug_mem_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ID} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ECC_ENABLE} {0};add_instance {cpu_debug_mem_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sys_clk_timer_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sys_clk_timer_s1_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {sys_clk_timer_s1_agent} {ST_DATA_W} {94};set_instance_parameter_value {sys_clk_timer_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sys_clk_timer_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sys_clk_timer_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sys_clk_timer_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sys_clk_timer_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sys_clk_timer_s1_agent} {ID} {5};set_instance_parameter_value {sys_clk_timer_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {ECC_ENABLE} {0};add_instance {sys_clk_timer_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {mem_s2_agent} {altera_merlin_slave_agent};set_instance_parameter_value {mem_s2_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {mem_s2_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {mem_s2_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {mem_s2_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {mem_s2_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {mem_s2_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {mem_s2_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {mem_s2_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {mem_s2_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {mem_s2_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {mem_s2_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {mem_s2_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {mem_s2_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {mem_s2_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {mem_s2_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {mem_s2_agent} {PKT_DATA_H} {31};set_instance_parameter_value {mem_s2_agent} {PKT_DATA_L} {0};set_instance_parameter_value {mem_s2_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {mem_s2_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {mem_s2_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {mem_s2_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {mem_s2_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {mem_s2_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {mem_s2_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {mem_s2_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {mem_s2_agent} {ST_DATA_W} {94};set_instance_parameter_value {mem_s2_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {mem_s2_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {mem_s2_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {mem_s2_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {mem_s2_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {mem_s2_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {mem_s2_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {mem_s2_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {mem_s2_agent} {ID} {3};set_instance_parameter_value {mem_s2_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {mem_s2_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {mem_s2_agent} {ECC_ENABLE} {0};add_instance {mem_s2_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {mem_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {mem_s1_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {mem_s1_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {mem_s1_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {mem_s1_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {mem_s1_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {mem_s1_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {mem_s1_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {mem_s1_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {mem_s1_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {mem_s1_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {mem_s1_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {mem_s1_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {mem_s1_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {mem_s1_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {mem_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {mem_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {mem_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {mem_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {mem_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {mem_s1_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {mem_s1_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {mem_s1_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {mem_s1_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {mem_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {mem_s1_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {mem_s1_agent} {ST_DATA_W} {94};set_instance_parameter_value {mem_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {mem_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {mem_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {mem_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {mem_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {mem_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {mem_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {mem_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {mem_s1_agent} {ID} {2};set_instance_parameter_value {mem_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {mem_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {mem_s1_agent} {ECC_ENABLE} {0};add_instance {mem_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {router} {altera_merlin_router};set_instance_parameter_value {router} {DESTINATION_ID} {3 0 5 1 4 };set_instance_parameter_value {router} {CHANNEL_ID} {10000 00100 01000 00001 00010 };set_instance_parameter_value {router} {TYPE_OF_TRANSACTION} {both both both both write };set_instance_parameter_value {router} {START_ADDRESS} {0x0 0x20800 0x21000 0x21020 0x21028 };set_instance_parameter_value {router} {END_ADDRESS} {0x20000 0x21000 0x21020 0x21028 0x2102c };set_instance_parameter_value {router} {NON_SECURED_TAG} {1 1 1 1 1 };set_instance_parameter_value {router} {SECURED_RANGE_PAIRS} {0 0 0 0 0 };set_instance_parameter_value {router} {SECURED_RANGE_LIST} {0 0 0 0 0 };set_instance_parameter_value {router} {SPAN_OFFSET} {};set_instance_parameter_value {router} {PKT_ADDR_H} {53};set_instance_parameter_value {router} {PKT_ADDR_L} {36};set_instance_parameter_value {router} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router} {PKT_TRANS_READ} {57};set_instance_parameter_value {router} {ST_DATA_W} {94};set_instance_parameter_value {router} {ST_CHANNEL_W} {6};set_instance_parameter_value {router} {DECODER_TYPE} {0};set_instance_parameter_value {router} {DEFAULT_CHANNEL} {4};set_instance_parameter_value {router} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_DESTID} {3};set_instance_parameter_value {router} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router} {MEMORY_ALIASING_DECODE} {0};add_instance {router_001} {altera_merlin_router};set_instance_parameter_value {router_001} {DESTINATION_ID} {2 0 };set_instance_parameter_value {router_001} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router_001} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router_001} {START_ADDRESS} {0x0 0x20800 };set_instance_parameter_value {router_001} {END_ADDRESS} {0x20000 0x21000 };set_instance_parameter_value {router_001} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_001} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_001} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_001} {SPAN_OFFSET} {};set_instance_parameter_value {router_001} {PKT_ADDR_H} {53};set_instance_parameter_value {router_001} {PKT_ADDR_L} {36};set_instance_parameter_value {router_001} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_001} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_001} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_001} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_001} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_001} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_001} {ST_DATA_W} {94};set_instance_parameter_value {router_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_001} {DECODER_TYPE} {0};set_instance_parameter_value {router_001} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router_001} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_DESTID} {2};set_instance_parameter_value {router_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_001} {MEMORY_ALIASING_DECODE} {0};add_instance {router_002} {altera_merlin_router};set_instance_parameter_value {router_002} {DESTINATION_ID} {0 };set_instance_parameter_value {router_002} {CHANNEL_ID} {1 };set_instance_parameter_value {router_002} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_002} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_002} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_002} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_002} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_002} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_002} {SPAN_OFFSET} {};set_instance_parameter_value {router_002} {PKT_ADDR_H} {53};set_instance_parameter_value {router_002} {PKT_ADDR_L} {36};set_instance_parameter_value {router_002} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_002} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_002} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_002} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_002} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_002} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_002} {ST_DATA_W} {94};set_instance_parameter_value {router_002} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_002} {DECODER_TYPE} {1};set_instance_parameter_value {router_002} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_002} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_002} {MEMORY_ALIASING_DECODE} {0};add_instance {router_003} {altera_merlin_router};set_instance_parameter_value {router_003} {DESTINATION_ID} {0 };set_instance_parameter_value {router_003} {CHANNEL_ID} {1 };set_instance_parameter_value {router_003} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_003} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_003} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_003} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_003} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_003} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_003} {SPAN_OFFSET} {};set_instance_parameter_value {router_003} {PKT_ADDR_H} {53};set_instance_parameter_value {router_003} {PKT_ADDR_L} {36};set_instance_parameter_value {router_003} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_003} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_003} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_003} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_003} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_003} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_003} {ST_DATA_W} {94};set_instance_parameter_value {router_003} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_003} {DECODER_TYPE} {1};set_instance_parameter_value {router_003} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_003} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_003} {MEMORY_ALIASING_DECODE} {0};add_instance {router_004} {altera_merlin_router};set_instance_parameter_value {router_004} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_004} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_004} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_004} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_004} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_004} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_004} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_004} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_004} {SPAN_OFFSET} {};set_instance_parameter_value {router_004} {PKT_ADDR_H} {53};set_instance_parameter_value {router_004} {PKT_ADDR_L} {36};set_instance_parameter_value {router_004} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_004} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_004} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_004} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_004} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_004} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_004} {ST_DATA_W} {94};set_instance_parameter_value {router_004} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_004} {DECODER_TYPE} {1};set_instance_parameter_value {router_004} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_004} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_004} {MEMORY_ALIASING_DECODE} {0};add_instance {router_005} {altera_merlin_router};set_instance_parameter_value {router_005} {DESTINATION_ID} {0 };set_instance_parameter_value {router_005} {CHANNEL_ID} {1 };set_instance_parameter_value {router_005} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_005} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_005} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_005} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_005} {SPAN_OFFSET} {};set_instance_parameter_value {router_005} {PKT_ADDR_H} {53};set_instance_parameter_value {router_005} {PKT_ADDR_L} {36};set_instance_parameter_value {router_005} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_005} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_005} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_005} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_005} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_005} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_005} {ST_DATA_W} {94};set_instance_parameter_value {router_005} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_005} {DECODER_TYPE} {1};set_instance_parameter_value {router_005} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_005} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_005} {MEMORY_ALIASING_DECODE} {0};add_instance {router_006} {altera_merlin_router};set_instance_parameter_value {router_006} {DESTINATION_ID} {0 };set_instance_parameter_value {router_006} {CHANNEL_ID} {1 };set_instance_parameter_value {router_006} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_006} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_006} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_006} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_006} {SPAN_OFFSET} {};set_instance_parameter_value {router_006} {PKT_ADDR_H} {53};set_instance_parameter_value {router_006} {PKT_ADDR_L} {36};set_instance_parameter_value {router_006} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_006} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_006} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_006} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_006} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_006} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_006} {ST_DATA_W} {94};set_instance_parameter_value {router_006} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_006} {DECODER_TYPE} {1};set_instance_parameter_value {router_006} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_006} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_006} {MEMORY_ALIASING_DECODE} {0};add_instance {router_007} {altera_merlin_router};set_instance_parameter_value {router_007} {DESTINATION_ID} {1 };set_instance_parameter_value {router_007} {CHANNEL_ID} {1 };set_instance_parameter_value {router_007} {TYPE_OF_TRANSACTION} {read };set_instance_parameter_value {router_007} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_007} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_007} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_007} {SPAN_OFFSET} {};set_instance_parameter_value {router_007} {PKT_ADDR_H} {53};set_instance_parameter_value {router_007} {PKT_ADDR_L} {36};set_instance_parameter_value {router_007} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_007} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_007} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_007} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_007} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_007} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_007} {ST_DATA_W} {94};set_instance_parameter_value {router_007} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_007} {DECODER_TYPE} {1};set_instance_parameter_value {router_007} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_007} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_DESTID} {1};set_instance_parameter_value {router_007} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_007} {MEMORY_ALIASING_DECODE} {0};add_instance {cmd_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux} {ST_DATA_W} {94};set_instance_parameter_value {cmd_demux} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_demux} {NUM_OUTPUTS} {5};set_instance_parameter_value {cmd_demux} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_001} {ST_DATA_W} {94};set_instance_parameter_value {cmd_demux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_demux_001} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_001} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_001} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_001} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_001} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_002} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_002} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_002} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_002} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_002} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_002} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_002} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_003} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_003} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_003} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_003} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_003} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_003} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_003} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_004} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_004} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_004} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_004} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_004} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_004} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_004} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_005} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_005} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_005} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_005} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_005} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_005} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_005} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_001} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_001} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_002} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_002} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_002} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_002} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_002} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_003} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_003} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_003} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_003} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_003} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_004} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_004} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_004} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_004} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_004} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_005} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_005} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_005} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_005} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_005} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_mux} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux} {ST_DATA_W} {94};set_instance_parameter_value {rsp_mux} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_mux} {NUM_INPUTS} {5};set_instance_parameter_value {rsp_mux} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {rsp_mux} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux} {ARBITRATION_SHARES} {1 1 1 1 1 };set_instance_parameter_value {rsp_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_001} {ST_DATA_W} {94};set_instance_parameter_value {rsp_mux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_mux_001} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux_001} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_001} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cpu_reset_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {cpu_reset_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {cpu_reset_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {cpu_reset_reset_bridge} {NUM_RESET_OUTPUTS} {1};set_instance_parameter_value {cpu_reset_reset_bridge} {USE_RESET_REQUEST} {0};add_instance {clk_clk_clock_bridge} {altera_clock_bridge};set_instance_parameter_value {clk_clk_clock_bridge} {EXPLICIT_CLOCK_RATE} {50000000};set_instance_parameter_value {clk_clk_clock_bridge} {NUM_CLOCK_OUTPUTS} {1};add_connection {cpu_data_master_translator.avalon_universal_master_0} {cpu_data_master_agent.av} {avalon};set_connection_parameter_value {cpu_data_master_translator.avalon_universal_master_0/cpu_data_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {cpu_data_master_translator.avalon_universal_master_0/cpu_data_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {cpu_data_master_translator.avalon_universal_master_0/cpu_data_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux.src} {cpu_data_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux.src/cpu_data_master_agent.rp} {qsys_mm.response};add_connection {cpu_instruction_master_translator.avalon_universal_master_0} {cpu_instruction_master_agent.av} {avalon};set_connection_parameter_value {cpu_instruction_master_translator.avalon_universal_master_0/cpu_instruction_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {cpu_instruction_master_translator.avalon_universal_master_0/cpu_instruction_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {cpu_instruction_master_translator.avalon_universal_master_0/cpu_instruction_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux_001.src} {cpu_instruction_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux_001.src/cpu_instruction_master_agent.rp} {qsys_mm.response};add_connection {jtag_uart_avalon_jtag_slave_agent.m0} {jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {jtag_uart_avalon_jtag_slave_agent.rf_source} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.out} {jtag_uart_avalon_jtag_slave_agent.rf_sink} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_src} {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux.src} {jtag_uart_avalon_jtag_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux.src/jtag_uart_avalon_jtag_slave_agent.cp} {qsys_mm.command};add_connection {sigdel_0_avalon_slave_agent.m0} {sigdel_0_avalon_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sigdel_0_avalon_slave_agent.m0/sigdel_0_avalon_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sigdel_0_avalon_slave_agent.m0/sigdel_0_avalon_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sigdel_0_avalon_slave_agent.m0/sigdel_0_avalon_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sigdel_0_avalon_slave_agent.rf_source} {sigdel_0_avalon_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {sigdel_0_avalon_slave_agent_rsp_fifo.out} {sigdel_0_avalon_slave_agent.rf_sink} {avalon_streaming};add_connection {sigdel_0_avalon_slave_agent.rdata_fifo_src} {sigdel_0_avalon_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_001.src} {sigdel_0_avalon_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_001.src/sigdel_0_avalon_slave_agent.cp} {qsys_mm.command};add_connection {cpu_debug_mem_slave_agent.m0} {cpu_debug_mem_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {cpu_debug_mem_slave_agent.m0/cpu_debug_mem_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {cpu_debug_mem_slave_agent.m0/cpu_debug_mem_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {cpu_debug_mem_slave_agent.m0/cpu_debug_mem_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {cpu_debug_mem_slave_agent.rf_source} {cpu_debug_mem_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {cpu_debug_mem_slave_agent_rsp_fifo.out} {cpu_debug_mem_slave_agent.rf_sink} {avalon_streaming};add_connection {cpu_debug_mem_slave_agent.rdata_fifo_src} {cpu_debug_mem_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_002.src} {cpu_debug_mem_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_002.src/cpu_debug_mem_slave_agent.cp} {qsys_mm.command};add_connection {sys_clk_timer_s1_agent.m0} {sys_clk_timer_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sys_clk_timer_s1_agent.m0/sys_clk_timer_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sys_clk_timer_s1_agent.m0/sys_clk_timer_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sys_clk_timer_s1_agent.m0/sys_clk_timer_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sys_clk_timer_s1_agent.rf_source} {sys_clk_timer_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {sys_clk_timer_s1_agent_rsp_fifo.out} {sys_clk_timer_s1_agent.rf_sink} {avalon_streaming};add_connection {sys_clk_timer_s1_agent.rdata_fifo_src} {sys_clk_timer_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_003.src} {sys_clk_timer_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_003.src/sys_clk_timer_s1_agent.cp} {qsys_mm.command};add_connection {mem_s2_agent.m0} {mem_s2_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {mem_s2_agent.m0/mem_s2_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {mem_s2_agent.m0/mem_s2_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {mem_s2_agent.m0/mem_s2_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {mem_s2_agent.rf_source} {mem_s2_agent_rsp_fifo.in} {avalon_streaming};add_connection {mem_s2_agent_rsp_fifo.out} {mem_s2_agent.rf_sink} {avalon_streaming};add_connection {mem_s2_agent.rdata_fifo_src} {mem_s2_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_004.src} {mem_s2_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_004.src/mem_s2_agent.cp} {qsys_mm.command};add_connection {mem_s1_agent.m0} {mem_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {mem_s1_agent.m0/mem_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {mem_s1_agent.m0/mem_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {mem_s1_agent.m0/mem_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {mem_s1_agent.rf_source} {mem_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {mem_s1_agent_rsp_fifo.out} {mem_s1_agent.rf_sink} {avalon_streaming};add_connection {mem_s1_agent.rdata_fifo_src} {mem_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_005.src} {mem_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_005.src/mem_s1_agent.cp} {qsys_mm.command};add_connection {cpu_data_master_agent.cp} {router.sink} {avalon_streaming};preview_set_connection_tag {cpu_data_master_agent.cp/router.sink} {qsys_mm.command};add_connection {router.src} {cmd_demux.sink} {avalon_streaming};preview_set_connection_tag {router.src/cmd_demux.sink} {qsys_mm.command};add_connection {cpu_instruction_master_agent.cp} {router_001.sink} {avalon_streaming};preview_set_connection_tag {cpu_instruction_master_agent.cp/router_001.sink} {qsys_mm.command};add_connection {router_001.src} {cmd_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_001.src/cmd_demux_001.sink} {qsys_mm.command};add_connection {jtag_uart_avalon_jtag_slave_agent.rp} {router_002.sink} {avalon_streaming};preview_set_connection_tag {jtag_uart_avalon_jtag_slave_agent.rp/router_002.sink} {qsys_mm.response};add_connection {router_002.src} {rsp_demux.sink} {avalon_streaming};preview_set_connection_tag {router_002.src/rsp_demux.sink} {qsys_mm.response};add_connection {sigdel_0_avalon_slave_agent.rp} {router_003.sink} {avalon_streaming};preview_set_connection_tag {sigdel_0_avalon_slave_agent.rp/router_003.sink} {qsys_mm.response};add_connection {router_003.src} {rsp_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_003.src/rsp_demux_001.sink} {qsys_mm.response};add_connection {cpu_debug_mem_slave_agent.rp} {router_004.sink} {avalon_streaming};preview_set_connection_tag {cpu_debug_mem_slave_agent.rp/router_004.sink} {qsys_mm.response};add_connection {router_004.src} {rsp_demux_002.sink} {avalon_streaming};preview_set_connection_tag {router_004.src/rsp_demux_002.sink} {qsys_mm.response};add_connection {sys_clk_timer_s1_agent.rp} {router_005.sink} {avalon_streaming};preview_set_connection_tag {sys_clk_timer_s1_agent.rp/router_005.sink} {qsys_mm.response};add_connection {router_005.src} {rsp_demux_003.sink} {avalon_streaming};preview_set_connection_tag {router_005.src/rsp_demux_003.sink} {qsys_mm.response};add_connection {mem_s2_agent.rp} {router_006.sink} {avalon_streaming};preview_set_connection_tag {mem_s2_agent.rp/router_006.sink} {qsys_mm.response};add_connection {router_006.src} {rsp_demux_004.sink} {avalon_streaming};preview_set_connection_tag {router_006.src/rsp_demux_004.sink} {qsys_mm.response};add_connection {mem_s1_agent.rp} {router_007.sink} {avalon_streaming};preview_set_connection_tag {mem_s1_agent.rp/router_007.sink} {qsys_mm.response};add_connection {router_007.src} {rsp_demux_005.sink} {avalon_streaming};preview_set_connection_tag {router_007.src/rsp_demux_005.sink} {qsys_mm.response};add_connection {cmd_demux.src0} {cmd_mux.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src0/cmd_mux.sink0} {qsys_mm.command};add_connection {cmd_demux.src1} {cmd_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src1/cmd_mux_001.sink0} {qsys_mm.command};add_connection {cmd_demux.src2} {cmd_mux_002.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src2/cmd_mux_002.sink0} {qsys_mm.command};add_connection {cmd_demux.src3} {cmd_mux_003.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src3/cmd_mux_003.sink0} {qsys_mm.command};add_connection {cmd_demux.src4} {cmd_mux_004.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src4/cmd_mux_004.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src0} {cmd_mux_002.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src0/cmd_mux_002.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src1} {cmd_mux_005.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src1/cmd_mux_005.sink0} {qsys_mm.command};add_connection {rsp_demux.src0} {rsp_mux.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src0/rsp_mux.sink0} {qsys_mm.response};add_connection {rsp_demux_001.src0} {rsp_mux.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src0/rsp_mux.sink1} {qsys_mm.response};add_connection {rsp_demux_002.src0} {rsp_mux.sink2} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src0/rsp_mux.sink2} {qsys_mm.response};add_connection {rsp_demux_002.src1} {rsp_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src1/rsp_mux_001.sink0} {qsys_mm.response};add_connection {rsp_demux_003.src0} {rsp_mux.sink3} {avalon_streaming};preview_set_connection_tag {rsp_demux_003.src0/rsp_mux.sink3} {qsys_mm.response};add_connection {rsp_demux_004.src0} {rsp_mux.sink4} {avalon_streaming};preview_set_connection_tag {rsp_demux_004.src0/rsp_mux.sink4} {qsys_mm.response};add_connection {rsp_demux_005.src0} {rsp_mux_001.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_005.src0/rsp_mux_001.sink1} {qsys_mm.response};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_data_master_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_instruction_master_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sigdel_0_avalon_slave_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_debug_mem_slave_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sys_clk_timer_s1_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s2_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s1_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_data_master_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_instruction_master_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sigdel_0_avalon_slave_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sigdel_0_avalon_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_debug_mem_slave_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_debug_mem_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sys_clk_timer_s1_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sys_clk_timer_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s2_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s2_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s1_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_002.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_003.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_004.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_005.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_006.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_007.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_demux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_demux_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_002.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_003.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_004.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_005.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_002.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_003.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_004.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_005.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_mux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_mux_001.clk_reset} {reset};add_connection {clk_clk_clock_bridge.out_clk} {cpu_data_master_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_instruction_master_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sigdel_0_avalon_slave_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_debug_mem_slave_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sys_clk_timer_s1_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s2_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s1_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_data_master_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_instruction_master_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sigdel_0_avalon_slave_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sigdel_0_avalon_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_debug_mem_slave_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_debug_mem_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sys_clk_timer_s1_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sys_clk_timer_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s2_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s2_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s1_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_002.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_003.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_004.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_005.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_006.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_007.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_demux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_mux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_demux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_mux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_002.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_002.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_003.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_003.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_004.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_004.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_005.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_005.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_reset_reset_bridge.clk} {clock};add_interface {clk_clk} {clock} {slave};set_interface_property {clk_clk} {EXPORT_OF} {clk_clk_clock_bridge.in_clk};add_interface {cpu_reset_reset_bridge_in_reset} {reset} {slave};set_interface_property {cpu_reset_reset_bridge_in_reset} {EXPORT_OF} {cpu_reset_reset_bridge.in_reset};add_interface {cpu_data_master} {avalon} {slave};set_interface_property {cpu_data_master} {EXPORT_OF} {cpu_data_master_translator.avalon_anti_master_0};add_interface {cpu_instruction_master} {avalon} {slave};set_interface_property {cpu_instruction_master} {EXPORT_OF} {cpu_instruction_master_translator.avalon_anti_master_0};add_interface {cpu_debug_mem_slave} {avalon} {master};set_interface_property {cpu_debug_mem_slave} {EXPORT_OF} {cpu_debug_mem_slave_translator.avalon_anti_slave_0};add_interface {jtag_uart_avalon_jtag_slave} {avalon} {master};set_interface_property {jtag_uart_avalon_jtag_slave} {EXPORT_OF} {jtag_uart_avalon_jtag_slave_translator.avalon_anti_slave_0};add_interface {mem_s1} {avalon} {master};set_interface_property {mem_s1} {EXPORT_OF} {mem_s1_translator.avalon_anti_slave_0};add_interface {mem_s2} {avalon} {master};set_interface_property {mem_s2} {EXPORT_OF} {mem_s2_translator.avalon_anti_slave_0};add_interface {sigdel_0_avalon_slave} {avalon} {master};set_interface_property {sigdel_0_avalon_slave} {EXPORT_OF} {sigdel_0_avalon_slave_translator.avalon_anti_slave_0};add_interface {sys_clk_timer_s1} {avalon} {master};set_interface_property {sys_clk_timer_s1} {EXPORT_OF} {sys_clk_timer_s1_translator.avalon_anti_slave_0};set_module_assignment {interconnect_id.cpu.data_master} {0};set_module_assignment {interconnect_id.cpu.debug_mem_slave} {0};set_module_assignment {interconnect_id.cpu.instruction_master} {1};set_module_assignment {interconnect_id.jtag_uart.avalon_jtag_slave} {1};set_module_assignment {interconnect_id.mem.s1} {2};set_module_assignment {interconnect_id.mem.s2} {3};set_module_assignment {interconnect_id.sigdel_0.avalon_slave} {4};set_module_assignment {interconnect_id.sys_clk_timer.s1} {5};" /> Transform: CustomInstructionTransform No custom instruction connections, skipping transform - 54 modules, 178 connections]]> + 48 modules, 157 connections]]> Transform: MMTransform Transform: InitialInterconnectTransform 0 modules, 0 connections]]> @@ -1921,7 +1861,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 54 modules, 178 connections]]> + 48 modules, 157 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -1946,7 +1886,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 54 modules, 178 connections]]> + 48 modules, 157 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -1971,7 +1911,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 54 modules, 178 connections]]> + 48 modules, 157 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -1996,7 +1936,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 54 modules, 178 connections]]> + 48 modules, 157 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -2021,7 +1961,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 54 modules, 178 connections]]> + 48 modules, 157 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -2046,7 +1986,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 54 modules, 178 connections]]> + 48 modules, 157 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -2071,7 +2011,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 54 modules, 178 connections]]> + 48 modules, 157 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -2096,32 +2036,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 54 modules, 178 connections]]> - Transform: InitialInterconnectTransform - 0 modules, 0 connections]]> - Transform: TerminalIdAssignmentUpdateTransform - Transform: DefaultSlaveTransform - Transform: TranslatorTransform - No Avalon connections, skipping transform - Transform: IDPadTransform - Transform: DomainTransform - Transform: RouterTransform - Transform: TrafficLimiterTransform - Transform: BurstTransform - Transform: TreeTransform - Transform: NetworkToSwitchTransform - Transform: WidthTransform - Transform: RouterTableTransform - Transform: ThreadIDMappingTableTransform - Transform: ClockCrossingTransform - Transform: PipelineTransform - Transform: SpotPipelineTransform - Transform: PerformanceMonitorTransform - Transform: TrafficLimiterUpdateTransform - Transform: InsertClockAndResetBridgesTransform - Transform: InterconnectConnectionsTagger - Transform: HierarchyTransform - 54 modules, 178 connections]]> + 48 modules, 157 connections]]> Transform: InterruptMapperTransform Transform: InterruptSyncTransform Transform: InterruptFanoutTransform @@ -2130,61 +2045,53 @@ Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s + Timing: ELA:1/0.001s Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.002s - Timing: COM:3/0.021s/0.034s + Timing: ELA:1/0.003s + Timing: COM:3/0.015s/0.020s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s + Timing: ELA:2/0.000s/0.000s Timing: ELA:1/0.003s - Timing: COM:3/0.008s/0.009s + Timing: COM:3/0.007s/0.008s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s + Timing: ELA:2/0.000s/0.000s Timing: ELA:1/0.003s - Timing: COM:3/0.008s/0.009s + Timing: COM:3/0.007s/0.008s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.002s - Timing: COM:3/0.008s/0.009s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.004s + Timing: COM:3/0.008s/0.008s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.003s - Timing: COM:3/0.008s/0.009s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.002s + Timing: COM:3/0.007s/0.007s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.003s - Timing: COM:3/0.008s/0.009s - - - - Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.003s - Timing: COM:3/0.007s/0.008s + Timing: ELA:1/0.002s + Timing: COM:3/0.007s/0.008s 61 modules, 199 connections]]> + culprit="com_altera_sopcmodel_transforms_avalonst_AvalonStreamingTransform">54 modules, 175 connections]]> Transform: ResetAdaptation mm_interconnect_0" reuses altera_merlin_master_translator "submodules/altera_merlin_master_translator"]]> mm_interconnect_0" reuses altera_merlin_master_translator "submodules/altera_merlin_master_translator"]]> @@ -2194,7 +2101,6 @@ mm_interconnect_0" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> mm_interconnect_0" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> mm_interconnect_0" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> - mm_interconnect_0" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> mm_interconnect_0" reuses altera_merlin_master_agent "submodules/altera_merlin_master_agent"]]> mm_interconnect_0" reuses altera_merlin_master_agent "submodules/altera_merlin_master_agent"]]> mm_interconnect_0" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]> @@ -2209,8 +2115,6 @@ mm_interconnect_0" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> mm_interconnect_0" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]> mm_interconnect_0" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> - mm_interconnect_0" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]> - mm_interconnect_0" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> mm_interconnect_0" reuses altera_merlin_router "submodules/niosII_mm_interconnect_0_router"]]> mm_interconnect_0" reuses altera_merlin_router "submodules/niosII_mm_interconnect_0_router_001"]]> mm_interconnect_0" reuses altera_merlin_router "submodules/niosII_mm_interconnect_0_router_002"]]> @@ -2218,8 +2122,7 @@ mm_interconnect_0" reuses altera_merlin_router "submodules/niosII_mm_interconnect_0_router_004"]]> mm_interconnect_0" reuses altera_merlin_router "submodules/niosII_mm_interconnect_0_router_002"]]> mm_interconnect_0" reuses altera_merlin_router "submodules/niosII_mm_interconnect_0_router_002"]]> - mm_interconnect_0" reuses altera_merlin_router "submodules/niosII_mm_interconnect_0_router_002"]]> - mm_interconnect_0" reuses altera_merlin_router "submodules/niosII_mm_interconnect_0_router_008"]]> + mm_interconnect_0" reuses altera_merlin_router "submodules/niosII_mm_interconnect_0_router_007"]]> mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_cmd_demux"]]> mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_cmd_demux_001"]]> mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_cmd_mux"]]> @@ -2228,14 +2131,12 @@ mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_cmd_mux"]]> mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_cmd_mux"]]> mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_cmd_mux"]]> - mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_cmd_mux"]]> mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_rsp_demux"]]> mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_rsp_demux"]]> mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_cmd_demux_001"]]> mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_rsp_demux"]]> mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_rsp_demux"]]> mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_rsp_demux"]]> - mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_rsp_demux"]]> mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_rsp_mux"]]> mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_rsp_mux_001"]]> mm_interconnect_0" reuses altera_avalon_st_adapter "submodules/niosII_mm_interconnect_0_avalon_st_adapter"]]> @@ -2244,46 +2145,45 @@ mm_interconnect_0" reuses altera_avalon_st_adapter "submodules/niosII_mm_interconnect_0_avalon_st_adapter"]]> mm_interconnect_0" reuses altera_avalon_st_adapter "submodules/niosII_mm_interconnect_0_avalon_st_adapter"]]> mm_interconnect_0" reuses altera_avalon_st_adapter "submodules/niosII_mm_interconnect_0_avalon_st_adapter"]]> - mm_interconnect_0" reuses altera_avalon_st_adapter "submodules/niosII_mm_interconnect_0_avalon_st_adapter"]]> niosII" instantiated altera_mm_interconnect "mm_interconnect_0"]]> - queue size: 58 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator" + queue size: 51 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator" mm_interconnect_0" instantiated altera_merlin_master_translator "cpu_data_master_translator"]]> - queue size: 56 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" + queue size: 49 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" mm_interconnect_0" instantiated altera_merlin_slave_translator "jtag_uart_avalon_jtag_slave_translator"]]> - queue size: 49 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent" + queue size: 43 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent" mm_interconnect_0" instantiated altera_merlin_master_agent "cpu_data_master_agent"]]> - queue size: 47 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent" + queue size: 41 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent" mm_interconnect_0" instantiated altera_merlin_slave_agent "jtag_uart_avalon_jtag_slave_agent"]]> - queue size: 46 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo" + queue size: 40 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo" mm_interconnect_0" instantiated altera_avalon_sc_fifo "jtag_uart_avalon_jtag_slave_agent_rsp_fifo"]]> - queue size: 33 starting:altera_merlin_router "submodules/niosII_mm_interconnect_0_router" + queue size: 29 starting:altera_merlin_router "submodules/niosII_mm_interconnect_0_router" mm_interconnect_0" instantiated altera_merlin_router "router"]]> - queue size: 32 starting:altera_merlin_router "submodules/niosII_mm_interconnect_0_router_001" + queue size: 28 starting:altera_merlin_router "submodules/niosII_mm_interconnect_0_router_001" mm_interconnect_0" instantiated altera_merlin_router "router_001"]]> - queue size: 31 starting:altera_merlin_router "submodules/niosII_mm_interconnect_0_router_002" + queue size: 27 starting:altera_merlin_router "submodules/niosII_mm_interconnect_0_router_002" mm_interconnect_0" instantiated altera_merlin_router "router_002"]]> - queue size: 29 starting:altera_merlin_router "submodules/niosII_mm_interconnect_0_router_004" + queue size: 25 starting:altera_merlin_router "submodules/niosII_mm_interconnect_0_router_004" mm_interconnect_0" instantiated altera_merlin_router "router_004"]]> - queue size: 25 starting:altera_merlin_router "submodules/niosII_mm_interconnect_0_router_008" - mm_interconnect_0" instantiated altera_merlin_router "router_008"]]> - queue size: 24 starting:altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_cmd_demux" + queue size: 22 starting:altera_merlin_router "submodules/niosII_mm_interconnect_0_router_007" + mm_interconnect_0" instantiated altera_merlin_router "router_007"]]> + queue size: 21 starting:altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_cmd_demux" mm_interconnect_0" instantiated altera_merlin_demultiplexer "cmd_demux"]]> - queue size: 23 starting:altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_cmd_demux_001" + queue size: 20 starting:altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_cmd_demux_001" mm_interconnect_0" instantiated altera_merlin_demultiplexer "cmd_demux_001"]]> - queue size: 22 starting:altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_cmd_mux" + queue size: 19 starting:altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_cmd_mux" mm_interconnect_0" instantiated altera_merlin_multiplexer "cmd_mux"]]> - queue size: 20 starting:altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_cmd_mux_002" + queue size: 17 starting:altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_cmd_mux_002" mm_interconnect_0" instantiated altera_merlin_multiplexer "cmd_mux_002"]]> /home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/Top/niosII/synthesis/submodules/altera_merlin_arbitrator.sv]]> - queue size: 15 starting:altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_rsp_demux" + queue size: 13 starting:altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_rsp_demux" mm_interconnect_0" instantiated altera_merlin_demultiplexer "rsp_demux"]]> - queue size: 8 starting:altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_rsp_mux" + queue size: 7 starting:altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_rsp_mux" mm_interconnect_0" instantiated altera_merlin_multiplexer "rsp_mux"]]> /home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/Top/niosII/synthesis/submodules/altera_merlin_arbitrator.sv]]> - queue size: 7 starting:altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_rsp_mux_001" + queue size: 6 starting:altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_rsp_mux_001" mm_interconnect_0" instantiated altera_merlin_multiplexer "rsp_mux_001"]]> /home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/Top/niosII/synthesis/submodules/altera_merlin_arbitrator.sv]]> - queue size: 6 starting:altera_avalon_st_adapter "submodules/niosII_mm_interconnect_0_avalon_st_adapter" + queue size: 5 starting:altera_avalon_st_adapter "submodules/niosII_mm_interconnect_0_avalon_st_adapter" @@ -2327,7 +2227,7 @@ - queue size: 61 starting:altera_irq_mapper "submodules/niosII_irq_mapper" + queue size: 54 starting:altera_irq_mapper "submodules/niosII_irq_mapper" niosII" instantiated altera_irq_mapper "irq_mapper"]]> @@ -2360,13 +2260,13 @@ - queue size: 60 starting:altera_reset_controller "submodules/altera_reset_controller" + queue size: 53 starting:altera_reset_controller "submodules/altera_reset_controller" niosII" instantiated altera_reset_controller "rst_controller"]]> + value="<address-map><slave name='mem.s2' start='0x0' end='0x20000' type='altera_avalon_onchip_memory2.s2' /><slave name='cpu.debug_mem_slave' start='0x20800' end='0x21000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='sys_clk_timer.s1' start='0x21000' end='0x21020' type='altera_avalon_timer.s1' /><slave name='jtag_uart.avalon_jtag_slave' start='0x21020' end='0x21028' type='altera_avalon_jtag_uart.avalon_jtag_slave' /><slave name='sigdel_0.avalon_slave' start='0x21028' end='0x2102C' type='sigdel.avalon_slave' /></address-map>" /> @@ -2605,21 +2505,21 @@ - queue size: 59 starting:altera_nios2_gen2_unit "submodules/niosII_cpu_cpu" + queue size: 52 starting:altera_nios2_gen2_unit "submodules/niosII_cpu_cpu" Starting RTL generation for module 'niosII_cpu_cpu' - Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//eperlcmd -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=/tmp/alt9374_5498523038764792383.dir/0008_cpu_gen/ --quartus_bindir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/ --verilog --config=/tmp/alt9374_5498523038764792383.dir/0008_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] - # 2023.01.17 18:00:58 (*) Starting Nios II generation - # 2023.01.17 18:00:58 (*) Checking for plaintext license. - # 2023.01.17 18:00:58 (*) Couldn't query license setup in Quartus directory /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/ - # 2023.01.17 18:00:58 (*) Defaulting to contents of LM_LICENSE_FILE environment variable - # 2023.01.17 18:00:58 (*) LM_LICENSE_FILE environment variable is empty - # 2023.01.17 18:00:58 (*) Plaintext license not found. - # 2023.01.17 18:00:58 (*) No license required to generate encrypted Nios II/e. - # 2023.01.17 18:00:58 (*) Elaborating CPU configuration settings - # 2023.01.17 18:00:58 (*) Creating all objects for CPU - # 2023.01.17 18:00:59 (*) Generating RTL from CPU objects - # 2023.01.17 18:00:59 (*) Creating plain-text RTL - # 2023.01.17 18:00:59 (*) Done Nios II generation + Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//eperlcmd -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=/tmp/alt9384_4608439243490427137.dir/0010_cpu_gen/ --quartus_bindir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/ --verilog --config=/tmp/alt9384_4608439243490427137.dir/0010_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] + # 2023.01.27 17:49:34 (*) Starting Nios II generation + # 2023.01.27 17:49:34 (*) Checking for plaintext license. + # 2023.01.27 17:49:34 (*) Couldn't query license setup in Quartus directory /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/ + # 2023.01.27 17:49:34 (*) Defaulting to contents of LM_LICENSE_FILE environment variable + # 2023.01.27 17:49:34 (*) LM_LICENSE_FILE environment variable is empty + # 2023.01.27 17:49:34 (*) Plaintext license not found. + # 2023.01.27 17:49:34 (*) No license required to generate encrypted Nios II/e. + # 2023.01.27 17:49:34 (*) Elaborating CPU configuration settings + # 2023.01.27 17:49:35 (*) Creating all objects for CPU + # 2023.01.27 17:49:35 (*) Generating RTL from CPU objects + # 2023.01.27 17:49:35 (*) Creating plain-text RTL + # 2023.01.27 17:49:36 (*) Done Nios II generation Done RTL generation for module 'niosII_cpu_cpu' cpu" instantiated altera_nios2_gen2_unit "cpu"]]> @@ -2648,7 +2548,7 @@ instantiator="niosII_mm_interconnect_0" as="cpu_data_master_translator,cpu_instruction_master_translator" /> - queue size: 58 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator" + queue size: 51 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator" mm_interconnect_0" instantiated altera_merlin_master_translator "cpu_data_master_translator"]]> @@ -2673,9 +2573,9 @@ + as="jtag_uart_avalon_jtag_slave_translator,sigdel_0_avalon_slave_translator,cpu_debug_mem_slave_translator,sys_clk_timer_s1_translator,mem_s2_translator,mem_s1_translator" /> - queue size: 56 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" + queue size: 49 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" mm_interconnect_0" instantiated altera_merlin_slave_translator "jtag_uart_avalon_jtag_slave_translator"]]> @@ -2686,16 +2586,16 @@ <slave id="1" name="jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0" - start="0x0000000000021068" - end="0x00000000000021070" + start="0x0000000000021020" + end="0x00000000000021028" responds="1" user_default="0" /> <slave id="4" - name="sem_ctl_slave_translator.avalon_universal_slave_0" - start="0x0000000000021060" - end="0x00000000000021068" - responds="1" + name="sigdel_0_avalon_slave_translator.avalon_universal_slave_0" + start="0x0000000000021028" + end="0x0000000000002102c" + responds="0" user_default="0" /> <slave id="0" @@ -2706,16 +2606,9 @@ user_default="0" /> <slave id="5" - name="sem_ram_slave_translator.avalon_universal_slave_0" - start="0x0000000000021000" - end="0x00000000000021040" - responds="0" - user_default="0" /> - <slave - id="6" name="sys_clk_timer_s1_translator.avalon_universal_slave_0" - start="0x0000000000021040" - end="0x00000000000021060" + start="0x0000000000021000" + end="0x00000000000021020" responds="1" user_default="0" /> <slave @@ -2726,7 +2619,7 @@ responds="1" user_default="0" /> </address_map> -,AV_BURSTBOUNDARIES=1,AV_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,BURSTWRAP_VALUE=7,CACHE_VALUE=0,ID=0,MERLIN_PACKET_FORMAT=ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0),PKT_ADDR_H=53,PKT_ADDR_L=36,PKT_ADDR_SIDEBAND_H=71,PKT_ADDR_SIDEBAND_L=71,PKT_BEGIN_BURST=73,PKT_BURSTWRAP_H=65,PKT_BURSTWRAP_L=63,PKT_BURST_SIZE_H=68,PKT_BURST_SIZE_L=66,PKT_BURST_TYPE_H=70,PKT_BURST_TYPE_L=69,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=62,PKT_BYTE_CNT_L=60,PKT_CACHE_H=88,PKT_CACHE_L=85,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DATA_SIDEBAND_H=72,PKT_DATA_SIDEBAND_L=72,PKT_DEST_ID_H=80,PKT_DEST_ID_L=78,PKT_ORI_BURST_SIZE_H=93,PKT_ORI_BURST_SIZE_L=91,PKT_PROTECTION_H=84,PKT_PROTECTION_L=82,PKT_QOS_H=74,PKT_QOS_L=74,PKT_RESPONSE_STATUS_H=90,PKT_RESPONSE_STATUS_L=89,PKT_SRC_ID_H=77,PKT_SRC_ID_L=75,PKT_THREAD_ID_H=81,PKT_THREAD_ID_L=81,PKT_TRANS_COMPRESSED_READ=54,PKT_TRANS_EXCLUSIVE=59,PKT_TRANS_LOCK=58,PKT_TRANS_POSTED=55,PKT_TRANS_READ=57,PKT_TRANS_WRITE=56,SECURE_ACCESS_BIT=1,ST_CHANNEL_W=7,ST_DATA_W=94,SUPPRESS_0_BYTEEN_RSP=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0" +,AV_BURSTBOUNDARIES=1,AV_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,BURSTWRAP_VALUE=7,CACHE_VALUE=0,ID=0,MERLIN_PACKET_FORMAT=ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0),PKT_ADDR_H=53,PKT_ADDR_L=36,PKT_ADDR_SIDEBAND_H=71,PKT_ADDR_SIDEBAND_L=71,PKT_BEGIN_BURST=73,PKT_BURSTWRAP_H=65,PKT_BURSTWRAP_L=63,PKT_BURST_SIZE_H=68,PKT_BURST_SIZE_L=66,PKT_BURST_TYPE_H=70,PKT_BURST_TYPE_L=69,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=62,PKT_BYTE_CNT_L=60,PKT_CACHE_H=88,PKT_CACHE_L=85,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DATA_SIDEBAND_H=72,PKT_DATA_SIDEBAND_L=72,PKT_DEST_ID_H=80,PKT_DEST_ID_L=78,PKT_ORI_BURST_SIZE_H=93,PKT_ORI_BURST_SIZE_L=91,PKT_PROTECTION_H=84,PKT_PROTECTION_L=82,PKT_QOS_H=74,PKT_QOS_L=74,PKT_RESPONSE_STATUS_H=90,PKT_RESPONSE_STATUS_L=89,PKT_SRC_ID_H=77,PKT_SRC_ID_L=75,PKT_THREAD_ID_H=81,PKT_THREAD_ID_L=81,PKT_TRANS_COMPRESSED_READ=54,PKT_TRANS_EXCLUSIVE=59,PKT_TRANS_LOCK=58,PKT_TRANS_POSTED=55,PKT_TRANS_READ=57,PKT_TRANS_WRITE=56,SECURE_ACCESS_BIT=1,ST_CHANNEL_W=6,ST_DATA_W=94,SUPPRESS_0_BYTEEN_RSP=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0" instancePathKey="niosII:.:mm_interconnect_0:.:cpu_data_master_agent" kind="altera_merlin_master_agent" version="18.1" @@ -2747,13 +2640,13 @@ instantiator="niosII_mm_interconnect_0" as="cpu_data_master_agent,cpu_instruction_master_agent" /> - queue size: 49 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent" + queue size: 43 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent" mm_interconnect_0" instantiated altera_merlin_master_agent "cpu_data_master_agent"]]> + as="jtag_uart_avalon_jtag_slave_agent,sigdel_0_avalon_slave_agent,cpu_debug_mem_slave_agent,sys_clk_timer_s1_agent,mem_s2_agent,mem_s1_agent" /> - queue size: 47 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent" + queue size: 41 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent" mm_interconnect_0" instantiated altera_merlin_slave_agent "jtag_uart_avalon_jtag_slave_agent"]]> @@ -2807,30 +2700,28 @@ + as="jtag_uart_avalon_jtag_slave_agent_rsp_fifo,sigdel_0_avalon_slave_agent_rsp_fifo,cpu_debug_mem_slave_agent_rsp_fifo,sys_clk_timer_s1_agent_rsp_fifo,mem_s2_agent_rsp_fifo,mem_s1_agent_rsp_fifo" /> - queue size: 46 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo" + queue size: 40 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo" mm_interconnect_0" instantiated altera_avalon_sc_fifo "jtag_uart_avalon_jtag_slave_agent_rsp_fifo"]]> - + - - + + + value="3:10000:0x0:0x20000:both:1:0:0:1,0:00100:0x20800:0x21000:both:1:0:0:1,5:01000:0x21000:0x21020:both:1:0:0:1,1:00001:0x21020:0x21028:both:1:0:0:1,4:00010:0x21028:0x2102c:write:1:0:0:1" /> @@ -2839,22 +2730,20 @@ - - - + + + - + - + - - + + - queue size: 33 starting:altera_merlin_router "submodules/niosII_mm_interconnect_0_router" + queue size: 29 starting:altera_merlin_router "submodules/niosII_mm_interconnect_0_router" mm_interconnect_0" instantiated altera_merlin_router "router"]]> - + @@ -2925,18 +2814,18 @@ - queue size: 32 starting:altera_merlin_router "submodules/niosII_mm_interconnect_0_router_001" + queue size: 28 starting:altera_merlin_router "submodules/niosII_mm_interconnect_0_router_001" mm_interconnect_0" instantiated altera_merlin_router "router_001"]]> - + @@ -2979,20 +2868,20 @@ + as="router_002,router_003,router_005,router_006" /> - queue size: 31 starting:altera_merlin_router "submodules/niosII_mm_interconnect_0_router_002" + queue size: 27 starting:altera_merlin_router "submodules/niosII_mm_interconnect_0_router_002" mm_interconnect_0" instantiated altera_merlin_router "router_002"]]> - + @@ -3037,18 +2926,18 @@ - queue size: 29 starting:altera_merlin_router "submodules/niosII_mm_interconnect_0_router_004" + queue size: 25 starting:altera_merlin_router "submodules/niosII_mm_interconnect_0_router_004" mm_interconnect_0" instantiated altera_merlin_router "router_004"]]> - + name="niosII_mm_interconnect_0_router_007"> + @@ -3079,7 +2968,7 @@ @@ -3089,15 +2978,15 @@ path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> - + - queue size: 25 starting:altera_merlin_router "submodules/niosII_mm_interconnect_0_router_008" - mm_interconnect_0" instantiated altera_merlin_router "router_008"]]> + queue size: 22 starting:altera_merlin_router "submodules/niosII_mm_interconnect_0_router_007" + mm_interconnect_0" instantiated altera_merlin_router "router_007"]]> - + - + - queue size: 24 starting:altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_cmd_demux" + queue size: 21 starting:altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_cmd_demux" mm_interconnect_0" instantiated altera_merlin_demultiplexer "cmd_demux"]]> - + @@ -3161,13 +3050,13 @@ instantiator="niosII_mm_interconnect_0" as="cmd_demux_001,rsp_demux_002" /> - queue size: 23 starting:altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_cmd_demux_001" + queue size: 20 starting:altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_cmd_demux_001" mm_interconnect_0" instantiated altera_merlin_demultiplexer "cmd_demux_001"]]> - + @@ -3201,15 +3090,15 @@ + as="cmd_mux,cmd_mux_001,cmd_mux_003,cmd_mux_004,cmd_mux_005" /> - queue size: 22 starting:altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_cmd_mux" + queue size: 19 starting:altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_cmd_mux" mm_interconnect_0" instantiated altera_merlin_multiplexer "cmd_mux"]]> - + @@ -3243,14 +3132,14 @@ - queue size: 20 starting:altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_cmd_mux_002" + queue size: 17 starting:altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_cmd_mux_002" mm_interconnect_0" instantiated altera_merlin_multiplexer "cmd_mux_002"]]> /home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/Top/niosII/synthesis/submodules/altera_merlin_arbitrator.sv]]> - + @@ -3278,15 +3167,15 @@ + as="rsp_demux,rsp_demux_001,rsp_demux_003,rsp_demux_004,rsp_demux_005" /> - queue size: 15 starting:altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_rsp_demux" + queue size: 13 starting:altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_rsp_demux" mm_interconnect_0" instantiated altera_merlin_demultiplexer "rsp_demux"]]> - - - + + + @@ -3320,14 +3209,14 @@ - queue size: 8 starting:altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_rsp_mux" + queue size: 7 starting:altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_rsp_mux" mm_interconnect_0" instantiated altera_merlin_multiplexer "rsp_mux"]]> /home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/Top/niosII/synthesis/submodules/altera_merlin_arbitrator.sv]]> - + @@ -3361,7 +3250,7 @@ - queue size: 7 starting:altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_rsp_mux_001" + queue size: 6 starting:altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_rsp_mux_001" mm_interconnect_0" instantiated altera_merlin_multiplexer "rsp_mux_001"]]> /home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/Top/niosII/synthesis/submodules/altera_merlin_arbitrator.sv]]> @@ -3419,9 +3308,9 @@ + as="avalon_st_adapter,avalon_st_adapter_001,avalon_st_adapter_002,avalon_st_adapter_003,avalon_st_adapter_004,avalon_st_adapter_005" /> - queue size: 6 starting:altera_avalon_st_adapter "submodules/niosII_mm_interconnect_0_avalon_st_adapter" + queue size: 5 starting:altera_avalon_st_adapter "submodules/niosII_mm_interconnect_0_avalon_st_adapter" diff --git a/Top/niosII/niosII_bb.v b/Top/niosII/niosII_bb.v index 6d92710..21edf58 100644 --- a/Top/niosII/niosII_bb.v +++ b/Top/niosII/niosII_bb.v @@ -1,16 +1,10 @@ module niosII ( clk_clk, - reset_reset_n, - sem_export_train, - sem_export_red, - sem_export_yellow, - sem_export_green); + conduit_end_writeresponsevalid_n, + reset_reset_n); input clk_clk; + output conduit_end_writeresponsevalid_n; input reset_reset_n; - input sem_export_train; - output sem_export_red; - output sem_export_yellow; - output sem_export_green; endmodule diff --git a/Top/niosII/niosII_inst.v b/Top/niosII/niosII_inst.v index 5b4ee5e..568f573 100644 --- a/Top/niosII/niosII_inst.v +++ b/Top/niosII/niosII_inst.v @@ -1,9 +1,6 @@ niosII u0 ( - .clk_clk (), // clk.clk - .reset_reset_n (), // reset.reset_n - .sem_export_train (), // sem_export.train - .sem_export_red (), // .red - .sem_export_yellow (), // .yellow - .sem_export_green () // .green - ); - + .clk_clk (), // clk.clk + .conduit_end_writeresponsevalid_n (), // conduit_end.writeresponsevalid_n + .reset_reset_n () // reset.reset_n + ); + diff --git a/Top/niosII/niosII_inst.vhd b/Top/niosII/niosII_inst.vhd index 1e56e3e..5112f1c 100644 --- a/Top/niosII/niosII_inst.vhd +++ b/Top/niosII/niosII_inst.vhd @@ -1,21 +1,15 @@ - component niosII is + component niosII is port ( - clk_clk : in std_logic := 'X'; -- clk - reset_reset_n : in std_logic := 'X'; -- reset_n - sem_export_train : in std_logic := 'X'; -- train - sem_export_red : out std_logic; -- red - sem_export_yellow : out std_logic; -- yellow - sem_export_green : out std_logic -- green + clk_clk : in std_logic := 'X'; -- clk + conduit_end_writeresponsevalid_n : out std_logic; -- writeresponsevalid_n + reset_reset_n : in std_logic := 'X' -- reset_n ); - end component niosII; - - u0 : component niosII + end component niosII; + + u0 : component niosII port map ( - clk_clk => CONNECTED_TO_clk_clk, -- clk.clk - reset_reset_n => CONNECTED_TO_reset_reset_n, -- reset.reset_n - sem_export_train => CONNECTED_TO_sem_export_train, -- sem_export.train - sem_export_red => CONNECTED_TO_sem_export_red, -- .red - sem_export_yellow => CONNECTED_TO_sem_export_yellow, -- .yellow - sem_export_green => CONNECTED_TO_sem_export_green -- .green + clk_clk => CONNECTED_TO_clk_clk, -- clk.clk + conduit_end_writeresponsevalid_n => CONNECTED_TO_conduit_end_writeresponsevalid_n, -- conduit_end.writeresponsevalid_n + reset_reset_n => CONNECTED_TO_reset_reset_n -- reset.reset_n ); - + diff --git a/Top/niosII/synthesis/niosII.debuginfo b/Top/niosII/synthesis/niosII.debuginfo index efba7fb..052164a 100644 --- a/Top/niosII/synthesis/niosII.debuginfo +++ b/Top/niosII/synthesis/niosII.debuginfo @@ -1,7 +1,7 @@ - + com.altera.sopcmodel.ensemble.EClockAdapter @@ -53,7 +53,7 @@ int - 1673967654 + 1674830971 false true true @@ -408,9 +408,9 @@ parameters are a RESULT of the module parameters. --> false - sem + sigdel_0 clock - sem.clock + sigdel_0.clock false @@ -2110,7 +2110,7 @@ the requested settings for a module instance. --> java.lang.String - ]]> + ]]> false true false @@ -5673,12 +5673,12 @@ parameters are a RESULT of the module parameters. --> - + - + int - 32 + 14 false true true @@ -5745,372 +5745,7 @@ parameters are a RESULT of the module parameters. --> clk - - - - embeddedsw.configuration.isFlash - 0 - - - embeddedsw.configuration.isMemoryDevice - 0 - - - embeddedsw.configuration.isNonVolatileStorage - 0 - - - embeddedsw.configuration.isPrintableDevice - 0 - - - com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment - DYNAMIC - false - true - true - true - - - int - 0 - false - true - false - true - - - java.math.BigInteger - 8 - true - true - false - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.lang.String - clock - false - true - true - true - - - java.lang.String - reset_n - false - true - false - true - - - int - 8 - false - true - false - true - - - java.math.BigInteger - - false - true - false - true - - - com.altera.entityinterfaces.IConnectionPoint - - false - true - false - true - - - boolean - false - false - true - true - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - false - true - - - boolean - false - false - true - false - true - - - java.math.BigInteger - 0 - false - true - true - true - - - int - 0 - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - true - true - - - boolean - false - false - true - true - true - - - boolean - false - false - true - true - true - - - int - 0 - false - false - true - true - - - int - 0 - false - false - true - true - - - int - 1 - false - true - false - true - - - boolean - false - false - true - true - true - - - int - 0 - false - true - true - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - true - true - - - com.altera.sopcmodel.avalon.TimingUnits - Cycles - false - true - true - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - avalon - false - - ctl_wr - Input - 1 - write - - - ctl_rd - Input - 1 - read - - - ctl_addr - Input - 1 - address - - - ctl_wrdata - Input - 32 - writedata - - - ctl_rddata - Output - 32 - readdata - - - + @@ -6149,13 +5784,58 @@ parameters are a RESULT of the module parameters. --> reset false - clrn + clr_n Input 1 reset_n - + + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit + false + + fout + Output + 1 + writeresponsevalid_n + + + @@ -6193,7 +5873,7 @@ parameters are a RESULT of the module parameters. --> java.math.BigInteger - 64 + 4 true true false @@ -6225,7 +5905,7 @@ parameters are a RESULT of the module parameters. --> java.lang.String - reset_n + reset_sink false true false @@ -6490,87 +6170,18 @@ parameters are a RESULT of the module parameters. --> avalon false - ram_wr + wr_n Input 1 - write + write_n - ram_addr - Input - 4 - address - - - ram_wrdata + wr_data Input 32 writedata - - - - java.lang.String - - false - true - true - true - - - java.lang.String - reset_n - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - conduit - false - - train - Input - 1 - train - - - red - Output - 1 - red - - - yellow - Output - 1 - yellow - - - green - Output - 1 - green - - 3 - interconnect_id.sem.ctl_slave + interconnect_id.sigdel_0.avalon_slave 4 - - interconnect_id.sem.ram_slave - 5 - interconnect_id.sys_clk_timer.s1 - 6 + 5 java.lang.String - + user_default="0" /> - responds="1" user_default="0" /> -};set_instance_parameter_value {cpu_data_master_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {cpu_data_master_agent} {ID} {0};set_instance_parameter_value {cpu_data_master_agent} {BURSTWRAP_VALUE} {7};set_instance_parameter_value {cpu_data_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {cpu_data_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {cpu_data_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {cpu_data_master_agent} {USE_WRITERESPONSE} {0};add_instance {cpu_instruction_master_agent} {altera_merlin_master_agent};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_QOS_H} {74};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_QOS_L} {74};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_DATA_SIDEBAND_H} {72};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_DATA_SIDEBAND_L} {72};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_ADDR_SIDEBAND_H} {71};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_ADDR_SIDEBAND_L} {71};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BURST_TYPE_H} {70};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BURST_TYPE_L} {69};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_CACHE_H} {88};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_CACHE_L} {85};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_THREAD_ID_H} {81};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_THREAD_ID_L} {81};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_TRANS_EXCLUSIVE} {59};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_DATA_H} {31};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_DATA_L} {0};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {cpu_instruction_master_agent} {ST_DATA_W} {94};set_instance_parameter_value {cpu_instruction_master_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {cpu_instruction_master_agent} {AV_BURSTCOUNT_W} {3};set_instance_parameter_value {cpu_instruction_master_agent} {AV_LINEWRAPBURSTS} {1};set_instance_parameter_value {cpu_instruction_master_agent} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {cpu_instruction_master_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {cpu_instruction_master_agent} {ADDR_MAP} { +};set_instance_parameter_value {cpu_data_master_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {cpu_data_master_agent} {ID} {0};set_instance_parameter_value {cpu_data_master_agent} {BURSTWRAP_VALUE} {7};set_instance_parameter_value {cpu_data_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {cpu_data_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {cpu_data_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {cpu_data_master_agent} {USE_WRITERESPONSE} {0};add_instance {cpu_instruction_master_agent} {altera_merlin_master_agent};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_QOS_H} {74};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_QOS_L} {74};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_DATA_SIDEBAND_H} {72};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_DATA_SIDEBAND_L} {72};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_ADDR_SIDEBAND_H} {71};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_ADDR_SIDEBAND_L} {71};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BURST_TYPE_H} {70};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BURST_TYPE_L} {69};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_CACHE_H} {88};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_CACHE_L} {85};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_THREAD_ID_H} {81};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_THREAD_ID_L} {81};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_TRANS_EXCLUSIVE} {59};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_DATA_H} {31};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_DATA_L} {0};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {cpu_instruction_master_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {cpu_instruction_master_agent} {ST_DATA_W} {94};set_instance_parameter_value {cpu_instruction_master_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {cpu_instruction_master_agent} {AV_BURSTCOUNT_W} {3};set_instance_parameter_value {cpu_instruction_master_agent} {AV_LINEWRAPBURSTS} {1};set_instance_parameter_value {cpu_instruction_master_agent} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {cpu_instruction_master_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {cpu_instruction_master_agent} {ADDR_MAP} { responds="1" user_default="0" /> -};set_instance_parameter_value {cpu_instruction_master_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {cpu_instruction_master_agent} {ID} {1};set_instance_parameter_value {cpu_instruction_master_agent} {BURSTWRAP_VALUE} {3};set_instance_parameter_value {cpu_instruction_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {cpu_instruction_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {cpu_instruction_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {cpu_instruction_master_agent} {USE_WRITERESPONSE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_DATA_W} {94};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ID} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ECC_ENABLE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sem_ctl_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sem_ctl_slave_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {sem_ctl_slave_agent} {ST_DATA_W} {94};set_instance_parameter_value {sem_ctl_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sem_ctl_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sem_ctl_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sem_ctl_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sem_ctl_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sem_ctl_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sem_ctl_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sem_ctl_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sem_ctl_slave_agent} {ID} {4};set_instance_parameter_value {sem_ctl_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sem_ctl_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sem_ctl_slave_agent} {ECC_ENABLE} {0};add_instance {sem_ctl_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {cpu_debug_mem_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ST_DATA_W} {94};set_instance_parameter_value {cpu_debug_mem_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {cpu_debug_mem_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {cpu_debug_mem_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {cpu_debug_mem_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ID} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ECC_ENABLE} {0};add_instance {cpu_debug_mem_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sem_ram_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sem_ram_slave_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {sem_ram_slave_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {sem_ram_slave_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {sem_ram_slave_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {sem_ram_slave_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {sem_ram_slave_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {sem_ram_slave_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {sem_ram_slave_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {sem_ram_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sem_ram_slave_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {sem_ram_slave_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {sem_ram_slave_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {sem_ram_slave_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {sem_ram_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sem_ram_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sem_ram_slave_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {sem_ram_slave_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {sem_ram_slave_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {sem_ram_slave_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {sem_ram_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sem_ram_slave_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {sem_ram_slave_agent} {ST_DATA_W} {94};set_instance_parameter_value {sem_ram_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sem_ram_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sem_ram_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sem_ram_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sem_ram_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sem_ram_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sem_ram_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sem_ram_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sem_ram_slave_agent} {ID} {5};set_instance_parameter_value {sem_ram_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sem_ram_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sem_ram_slave_agent} {ECC_ENABLE} {0};add_instance {sem_ram_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sys_clk_timer_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sys_clk_timer_s1_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {sys_clk_timer_s1_agent} {ST_DATA_W} {94};set_instance_parameter_value {sys_clk_timer_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sys_clk_timer_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sys_clk_timer_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sys_clk_timer_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sys_clk_timer_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sys_clk_timer_s1_agent} {ID} {6};set_instance_parameter_value {sys_clk_timer_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {ECC_ENABLE} {0};add_instance {sys_clk_timer_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {mem_s2_agent} {altera_merlin_slave_agent};set_instance_parameter_value {mem_s2_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {mem_s2_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {mem_s2_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {mem_s2_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {mem_s2_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {mem_s2_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {mem_s2_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {mem_s2_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {mem_s2_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {mem_s2_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {mem_s2_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {mem_s2_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {mem_s2_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {mem_s2_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {mem_s2_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {mem_s2_agent} {PKT_DATA_H} {31};set_instance_parameter_value {mem_s2_agent} {PKT_DATA_L} {0};set_instance_parameter_value {mem_s2_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {mem_s2_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {mem_s2_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {mem_s2_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {mem_s2_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {mem_s2_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {mem_s2_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {mem_s2_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {mem_s2_agent} {ST_DATA_W} {94};set_instance_parameter_value {mem_s2_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {mem_s2_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {mem_s2_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {mem_s2_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {mem_s2_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {mem_s2_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {mem_s2_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {mem_s2_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {mem_s2_agent} {ID} {3};set_instance_parameter_value {mem_s2_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {mem_s2_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {mem_s2_agent} {ECC_ENABLE} {0};add_instance {mem_s2_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {mem_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {mem_s1_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {mem_s1_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {mem_s1_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {mem_s1_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {mem_s1_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {mem_s1_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {mem_s1_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {mem_s1_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {mem_s1_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {mem_s1_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {mem_s1_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {mem_s1_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {mem_s1_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {mem_s1_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {mem_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {mem_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {mem_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {mem_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {mem_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {mem_s1_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {mem_s1_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {mem_s1_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {mem_s1_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {mem_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {mem_s1_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {mem_s1_agent} {ST_DATA_W} {94};set_instance_parameter_value {mem_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {mem_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {mem_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {mem_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {mem_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {mem_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {mem_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {mem_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {mem_s1_agent} {ID} {2};set_instance_parameter_value {mem_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {mem_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {mem_s1_agent} {ECC_ENABLE} {0};add_instance {mem_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {router} {altera_merlin_router};set_instance_parameter_value {router} {DESTINATION_ID} {3 0 5 6 4 1 };set_instance_parameter_value {router} {CHANNEL_ID} {100000 000100 001000 010000 000010 000001 };set_instance_parameter_value {router} {TYPE_OF_TRANSACTION} {both both write both both both };set_instance_parameter_value {router} {START_ADDRESS} {0x0 0x20800 0x21000 0x21040 0x21060 0x21068 };set_instance_parameter_value {router} {END_ADDRESS} {0x20000 0x21000 0x21040 0x21060 0x21068 0x21070 };set_instance_parameter_value {router} {NON_SECURED_TAG} {1 1 1 1 1 1 };set_instance_parameter_value {router} {SECURED_RANGE_PAIRS} {0 0 0 0 0 0 };set_instance_parameter_value {router} {SECURED_RANGE_LIST} {0 0 0 0 0 0 };set_instance_parameter_value {router} {SPAN_OFFSET} {};set_instance_parameter_value {router} {PKT_ADDR_H} {53};set_instance_parameter_value {router} {PKT_ADDR_L} {36};set_instance_parameter_value {router} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router} {PKT_TRANS_READ} {57};set_instance_parameter_value {router} {ST_DATA_W} {94};set_instance_parameter_value {router} {ST_CHANNEL_W} {7};set_instance_parameter_value {router} {DECODER_TYPE} {0};set_instance_parameter_value {router} {DEFAULT_CHANNEL} {5};set_instance_parameter_value {router} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_DESTID} {3};set_instance_parameter_value {router} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router} {MEMORY_ALIASING_DECODE} {0};add_instance {router_001} {altera_merlin_router};set_instance_parameter_value {router_001} {DESTINATION_ID} {2 0 };set_instance_parameter_value {router_001} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router_001} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router_001} {START_ADDRESS} {0x0 0x20800 };set_instance_parameter_value {router_001} {END_ADDRESS} {0x20000 0x21000 };set_instance_parameter_value {router_001} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_001} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_001} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_001} {SPAN_OFFSET} {};set_instance_parameter_value {router_001} {PKT_ADDR_H} {53};set_instance_parameter_value {router_001} {PKT_ADDR_L} {36};set_instance_parameter_value {router_001} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_001} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_001} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_001} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_001} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_001} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_001} {ST_DATA_W} {94};set_instance_parameter_value {router_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_001} {DECODER_TYPE} {0};set_instance_parameter_value {router_001} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router_001} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_DESTID} {2};set_instance_parameter_value {router_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_001} {MEMORY_ALIASING_DECODE} {0};add_instance {router_002} {altera_merlin_router};set_instance_parameter_value {router_002} {DESTINATION_ID} {0 };set_instance_parameter_value {router_002} {CHANNEL_ID} {1 };set_instance_parameter_value {router_002} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_002} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_002} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_002} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_002} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_002} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_002} {SPAN_OFFSET} {};set_instance_parameter_value {router_002} {PKT_ADDR_H} {53};set_instance_parameter_value {router_002} {PKT_ADDR_L} {36};set_instance_parameter_value {router_002} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_002} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_002} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_002} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_002} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_002} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_002} {ST_DATA_W} {94};set_instance_parameter_value {router_002} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_002} {DECODER_TYPE} {1};set_instance_parameter_value {router_002} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_002} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_002} {MEMORY_ALIASING_DECODE} {0};add_instance {router_003} {altera_merlin_router};set_instance_parameter_value {router_003} {DESTINATION_ID} {0 };set_instance_parameter_value {router_003} {CHANNEL_ID} {1 };set_instance_parameter_value {router_003} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_003} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_003} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_003} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_003} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_003} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_003} {SPAN_OFFSET} {};set_instance_parameter_value {router_003} {PKT_ADDR_H} {53};set_instance_parameter_value {router_003} {PKT_ADDR_L} {36};set_instance_parameter_value {router_003} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_003} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_003} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_003} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_003} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_003} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_003} {ST_DATA_W} {94};set_instance_parameter_value {router_003} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_003} {DECODER_TYPE} {1};set_instance_parameter_value {router_003} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_003} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_003} {MEMORY_ALIASING_DECODE} {0};add_instance {router_004} {altera_merlin_router};set_instance_parameter_value {router_004} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_004} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_004} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_004} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_004} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_004} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_004} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_004} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_004} {SPAN_OFFSET} {};set_instance_parameter_value {router_004} {PKT_ADDR_H} {53};set_instance_parameter_value {router_004} {PKT_ADDR_L} {36};set_instance_parameter_value {router_004} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_004} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_004} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_004} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_004} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_004} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_004} {ST_DATA_W} {94};set_instance_parameter_value {router_004} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_004} {DECODER_TYPE} {1};set_instance_parameter_value {router_004} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_004} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_004} {MEMORY_ALIASING_DECODE} {0};add_instance {router_005} {altera_merlin_router};set_instance_parameter_value {router_005} {DESTINATION_ID} {0 };set_instance_parameter_value {router_005} {CHANNEL_ID} {1 };set_instance_parameter_value {router_005} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_005} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_005} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_005} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_005} {SPAN_OFFSET} {};set_instance_parameter_value {router_005} {PKT_ADDR_H} {53};set_instance_parameter_value {router_005} {PKT_ADDR_L} {36};set_instance_parameter_value {router_005} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_005} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_005} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_005} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_005} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_005} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_005} {ST_DATA_W} {94};set_instance_parameter_value {router_005} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_005} {DECODER_TYPE} {1};set_instance_parameter_value {router_005} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_005} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_005} {MEMORY_ALIASING_DECODE} {0};add_instance {router_006} {altera_merlin_router};set_instance_parameter_value {router_006} {DESTINATION_ID} {0 };set_instance_parameter_value {router_006} {CHANNEL_ID} {1 };set_instance_parameter_value {router_006} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_006} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_006} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_006} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_006} {SPAN_OFFSET} {};set_instance_parameter_value {router_006} {PKT_ADDR_H} {53};set_instance_parameter_value {router_006} {PKT_ADDR_L} {36};set_instance_parameter_value {router_006} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_006} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_006} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_006} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_006} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_006} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_006} {ST_DATA_W} {94};set_instance_parameter_value {router_006} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_006} {DECODER_TYPE} {1};set_instance_parameter_value {router_006} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_006} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_006} {MEMORY_ALIASING_DECODE} {0};add_instance {router_007} {altera_merlin_router};set_instance_parameter_value {router_007} {DESTINATION_ID} {0 };set_instance_parameter_value {router_007} {CHANNEL_ID} {1 };set_instance_parameter_value {router_007} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_007} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_007} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_007} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_007} {SPAN_OFFSET} {};set_instance_parameter_value {router_007} {PKT_ADDR_H} {53};set_instance_parameter_value {router_007} {PKT_ADDR_L} {36};set_instance_parameter_value {router_007} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_007} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_007} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_007} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_007} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_007} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_007} {ST_DATA_W} {94};set_instance_parameter_value {router_007} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_007} {DECODER_TYPE} {1};set_instance_parameter_value {router_007} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_007} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_007} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_007} {MEMORY_ALIASING_DECODE} {0};add_instance {router_008} {altera_merlin_router};set_instance_parameter_value {router_008} {DESTINATION_ID} {1 };set_instance_parameter_value {router_008} {CHANNEL_ID} {1 };set_instance_parameter_value {router_008} {TYPE_OF_TRANSACTION} {read };set_instance_parameter_value {router_008} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_008} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_008} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_008} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_008} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_008} {SPAN_OFFSET} {};set_instance_parameter_value {router_008} {PKT_ADDR_H} {53};set_instance_parameter_value {router_008} {PKT_ADDR_L} {36};set_instance_parameter_value {router_008} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_008} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_008} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_008} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_008} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_008} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_008} {ST_DATA_W} {94};set_instance_parameter_value {router_008} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_008} {DECODER_TYPE} {1};set_instance_parameter_value {router_008} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_008} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_008} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_008} {DEFAULT_DESTID} {1};set_instance_parameter_value {router_008} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_008} {MEMORY_ALIASING_DECODE} {0};add_instance {cmd_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux} {ST_DATA_W} {94};set_instance_parameter_value {cmd_demux} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_demux} {NUM_OUTPUTS} {6};set_instance_parameter_value {cmd_demux} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_001} {ST_DATA_W} {94};set_instance_parameter_value {cmd_demux_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_demux_001} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_001} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_001} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_001} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_001} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_002} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_002} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_002} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_002} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_002} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_002} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_002} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_003} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_003} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_003} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_003} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_003} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_003} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_003} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_004} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_004} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_004} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_004} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_004} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_004} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_004} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_005} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_005} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_005} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_005} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_005} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_005} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_005} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_006} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_006} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_006} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_006} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_006} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_006} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_006} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_006} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_006} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_001} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_001} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_002} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_002} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_002} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_002} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_002} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_003} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_003} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_003} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_003} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_003} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_004} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_004} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_004} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_004} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_004} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_005} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_005} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_005} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_005} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_005} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_006} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_006} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_006} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_006} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_006} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_mux} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux} {ST_DATA_W} {94};set_instance_parameter_value {rsp_mux} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_mux} {NUM_INPUTS} {6};set_instance_parameter_value {rsp_mux} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {rsp_mux} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux} {ARBITRATION_SHARES} {1 1 1 1 1 1 };set_instance_parameter_value {rsp_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_001} {ST_DATA_W} {94};set_instance_parameter_value {rsp_mux_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_mux_001} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux_001} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_001} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cpu_reset_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {cpu_reset_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {cpu_reset_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {cpu_reset_reset_bridge} {NUM_RESET_OUTPUTS} {1};set_instance_parameter_value {cpu_reset_reset_bridge} {USE_RESET_REQUEST} {0};add_instance {clk_clk_clock_bridge} {altera_clock_bridge};set_instance_parameter_value {clk_clk_clock_bridge} {EXPLICIT_CLOCK_RATE} {50000000};set_instance_parameter_value {clk_clk_clock_bridge} {NUM_CLOCK_OUTPUTS} {1};add_connection {cpu_data_master_translator.avalon_universal_master_0} {cpu_data_master_agent.av} {avalon};set_connection_parameter_value {cpu_data_master_translator.avalon_universal_master_0/cpu_data_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {cpu_data_master_translator.avalon_universal_master_0/cpu_data_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {cpu_data_master_translator.avalon_universal_master_0/cpu_data_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux.src} {cpu_data_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux.src/cpu_data_master_agent.rp} {qsys_mm.response};add_connection {cpu_instruction_master_translator.avalon_universal_master_0} {cpu_instruction_master_agent.av} {avalon};set_connection_parameter_value {cpu_instruction_master_translator.avalon_universal_master_0/cpu_instruction_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {cpu_instruction_master_translator.avalon_universal_master_0/cpu_instruction_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {cpu_instruction_master_translator.avalon_universal_master_0/cpu_instruction_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux_001.src} {cpu_instruction_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux_001.src/cpu_instruction_master_agent.rp} {qsys_mm.response};add_connection {jtag_uart_avalon_jtag_slave_agent.m0} {jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {jtag_uart_avalon_jtag_slave_agent.rf_source} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.out} {jtag_uart_avalon_jtag_slave_agent.rf_sink} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_src} {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux.src} {jtag_uart_avalon_jtag_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux.src/jtag_uart_avalon_jtag_slave_agent.cp} {qsys_mm.command};add_connection {sem_ctl_slave_agent.m0} {sem_ctl_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sem_ctl_slave_agent.m0/sem_ctl_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sem_ctl_slave_agent.m0/sem_ctl_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sem_ctl_slave_agent.m0/sem_ctl_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sem_ctl_slave_agent.rf_source} {sem_ctl_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {sem_ctl_slave_agent_rsp_fifo.out} {sem_ctl_slave_agent.rf_sink} {avalon_streaming};add_connection {sem_ctl_slave_agent.rdata_fifo_src} {sem_ctl_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_001.src} {sem_ctl_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_001.src/sem_ctl_slave_agent.cp} {qsys_mm.command};add_connection {cpu_debug_mem_slave_agent.m0} {cpu_debug_mem_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {cpu_debug_mem_slave_agent.m0/cpu_debug_mem_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {cpu_debug_mem_slave_agent.m0/cpu_debug_mem_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {cpu_debug_mem_slave_agent.m0/cpu_debug_mem_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {cpu_debug_mem_slave_agent.rf_source} {cpu_debug_mem_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {cpu_debug_mem_slave_agent_rsp_fifo.out} {cpu_debug_mem_slave_agent.rf_sink} {avalon_streaming};add_connection {cpu_debug_mem_slave_agent.rdata_fifo_src} {cpu_debug_mem_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_002.src} {cpu_debug_mem_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_002.src/cpu_debug_mem_slave_agent.cp} {qsys_mm.command};add_connection {sem_ram_slave_agent.m0} {sem_ram_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sem_ram_slave_agent.m0/sem_ram_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sem_ram_slave_agent.m0/sem_ram_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sem_ram_slave_agent.m0/sem_ram_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sem_ram_slave_agent.rf_source} {sem_ram_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {sem_ram_slave_agent_rsp_fifo.out} {sem_ram_slave_agent.rf_sink} {avalon_streaming};add_connection {sem_ram_slave_agent.rdata_fifo_src} {sem_ram_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_003.src} {sem_ram_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_003.src/sem_ram_slave_agent.cp} {qsys_mm.command};add_connection {sys_clk_timer_s1_agent.m0} {sys_clk_timer_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sys_clk_timer_s1_agent.m0/sys_clk_timer_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sys_clk_timer_s1_agent.m0/sys_clk_timer_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sys_clk_timer_s1_agent.m0/sys_clk_timer_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sys_clk_timer_s1_agent.rf_source} {sys_clk_timer_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {sys_clk_timer_s1_agent_rsp_fifo.out} {sys_clk_timer_s1_agent.rf_sink} {avalon_streaming};add_connection {sys_clk_timer_s1_agent.rdata_fifo_src} {sys_clk_timer_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_004.src} {sys_clk_timer_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_004.src/sys_clk_timer_s1_agent.cp} {qsys_mm.command};add_connection {mem_s2_agent.m0} {mem_s2_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {mem_s2_agent.m0/mem_s2_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {mem_s2_agent.m0/mem_s2_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {mem_s2_agent.m0/mem_s2_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {mem_s2_agent.rf_source} {mem_s2_agent_rsp_fifo.in} {avalon_streaming};add_connection {mem_s2_agent_rsp_fifo.out} {mem_s2_agent.rf_sink} {avalon_streaming};add_connection {mem_s2_agent.rdata_fifo_src} {mem_s2_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_005.src} {mem_s2_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_005.src/mem_s2_agent.cp} {qsys_mm.command};add_connection {mem_s1_agent.m0} {mem_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {mem_s1_agent.m0/mem_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {mem_s1_agent.m0/mem_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {mem_s1_agent.m0/mem_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {mem_s1_agent.rf_source} {mem_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {mem_s1_agent_rsp_fifo.out} {mem_s1_agent.rf_sink} {avalon_streaming};add_connection {mem_s1_agent.rdata_fifo_src} {mem_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_006.src} {mem_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_006.src/mem_s1_agent.cp} {qsys_mm.command};add_connection {cpu_data_master_agent.cp} {router.sink} {avalon_streaming};preview_set_connection_tag {cpu_data_master_agent.cp/router.sink} {qsys_mm.command};add_connection {router.src} {cmd_demux.sink} {avalon_streaming};preview_set_connection_tag {router.src/cmd_demux.sink} {qsys_mm.command};add_connection {cpu_instruction_master_agent.cp} {router_001.sink} {avalon_streaming};preview_set_connection_tag {cpu_instruction_master_agent.cp/router_001.sink} {qsys_mm.command};add_connection {router_001.src} {cmd_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_001.src/cmd_demux_001.sink} {qsys_mm.command};add_connection {jtag_uart_avalon_jtag_slave_agent.rp} {router_002.sink} {avalon_streaming};preview_set_connection_tag {jtag_uart_avalon_jtag_slave_agent.rp/router_002.sink} {qsys_mm.response};add_connection {router_002.src} {rsp_demux.sink} {avalon_streaming};preview_set_connection_tag {router_002.src/rsp_demux.sink} {qsys_mm.response};add_connection {sem_ctl_slave_agent.rp} {router_003.sink} {avalon_streaming};preview_set_connection_tag {sem_ctl_slave_agent.rp/router_003.sink} {qsys_mm.response};add_connection {router_003.src} {rsp_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_003.src/rsp_demux_001.sink} {qsys_mm.response};add_connection {cpu_debug_mem_slave_agent.rp} {router_004.sink} {avalon_streaming};preview_set_connection_tag {cpu_debug_mem_slave_agent.rp/router_004.sink} {qsys_mm.response};add_connection {router_004.src} {rsp_demux_002.sink} {avalon_streaming};preview_set_connection_tag {router_004.src/rsp_demux_002.sink} {qsys_mm.response};add_connection {sem_ram_slave_agent.rp} {router_005.sink} {avalon_streaming};preview_set_connection_tag {sem_ram_slave_agent.rp/router_005.sink} {qsys_mm.response};add_connection {router_005.src} {rsp_demux_003.sink} {avalon_streaming};preview_set_connection_tag {router_005.src/rsp_demux_003.sink} {qsys_mm.response};add_connection {sys_clk_timer_s1_agent.rp} {router_006.sink} {avalon_streaming};preview_set_connection_tag {sys_clk_timer_s1_agent.rp/router_006.sink} {qsys_mm.response};add_connection {router_006.src} {rsp_demux_004.sink} {avalon_streaming};preview_set_connection_tag {router_006.src/rsp_demux_004.sink} {qsys_mm.response};add_connection {mem_s2_agent.rp} {router_007.sink} {avalon_streaming};preview_set_connection_tag {mem_s2_agent.rp/router_007.sink} {qsys_mm.response};add_connection {router_007.src} {rsp_demux_005.sink} {avalon_streaming};preview_set_connection_tag {router_007.src/rsp_demux_005.sink} {qsys_mm.response};add_connection {mem_s1_agent.rp} {router_008.sink} {avalon_streaming};preview_set_connection_tag {mem_s1_agent.rp/router_008.sink} {qsys_mm.response};add_connection {router_008.src} {rsp_demux_006.sink} {avalon_streaming};preview_set_connection_tag {router_008.src/rsp_demux_006.sink} {qsys_mm.response};add_connection {cmd_demux.src0} {cmd_mux.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src0/cmd_mux.sink0} {qsys_mm.command};add_connection {cmd_demux.src1} {cmd_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src1/cmd_mux_001.sink0} {qsys_mm.command};add_connection {cmd_demux.src2} {cmd_mux_002.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src2/cmd_mux_002.sink0} {qsys_mm.command};add_connection {cmd_demux.src3} {cmd_mux_003.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src3/cmd_mux_003.sink0} {qsys_mm.command};add_connection {cmd_demux.src4} {cmd_mux_004.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src4/cmd_mux_004.sink0} {qsys_mm.command};add_connection {cmd_demux.src5} {cmd_mux_005.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src5/cmd_mux_005.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src0} {cmd_mux_002.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src0/cmd_mux_002.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src1} {cmd_mux_006.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src1/cmd_mux_006.sink0} {qsys_mm.command};add_connection {rsp_demux.src0} {rsp_mux.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src0/rsp_mux.sink0} {qsys_mm.response};add_connection {rsp_demux_001.src0} {rsp_mux.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src0/rsp_mux.sink1} {qsys_mm.response};add_connection {rsp_demux_002.src0} {rsp_mux.sink2} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src0/rsp_mux.sink2} {qsys_mm.response};add_connection {rsp_demux_002.src1} {rsp_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src1/rsp_mux_001.sink0} {qsys_mm.response};add_connection {rsp_demux_003.src0} {rsp_mux.sink3} {avalon_streaming};preview_set_connection_tag {rsp_demux_003.src0/rsp_mux.sink3} {qsys_mm.response};add_connection {rsp_demux_004.src0} {rsp_mux.sink4} {avalon_streaming};preview_set_connection_tag {rsp_demux_004.src0/rsp_mux.sink4} {qsys_mm.response};add_connection {rsp_demux_005.src0} {rsp_mux.sink5} {avalon_streaming};preview_set_connection_tag {rsp_demux_005.src0/rsp_mux.sink5} {qsys_mm.response};add_connection {rsp_demux_006.src0} {rsp_mux_001.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_006.src0/rsp_mux_001.sink1} {qsys_mm.response};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_data_master_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_instruction_master_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sem_ctl_slave_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_debug_mem_slave_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sem_ram_slave_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sys_clk_timer_s1_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s2_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s1_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_data_master_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_instruction_master_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sem_ctl_slave_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sem_ctl_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_debug_mem_slave_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_debug_mem_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sem_ram_slave_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sem_ram_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sys_clk_timer_s1_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sys_clk_timer_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s2_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s2_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s1_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_002.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_003.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_004.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_005.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_006.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_007.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_008.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_demux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_demux_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_002.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_003.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_004.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_005.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_006.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_002.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_003.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_004.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_005.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_006.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_mux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_mux_001.clk_reset} {reset};add_connection {clk_clk_clock_bridge.out_clk} {cpu_data_master_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_instruction_master_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sem_ctl_slave_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_debug_mem_slave_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sem_ram_slave_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sys_clk_timer_s1_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s2_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s1_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_data_master_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_instruction_master_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sem_ctl_slave_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sem_ctl_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_debug_mem_slave_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_debug_mem_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sem_ram_slave_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sem_ram_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sys_clk_timer_s1_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sys_clk_timer_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s2_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s2_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s1_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_002.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_003.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_004.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_005.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_006.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_007.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_008.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_demux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_mux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_demux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_mux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_002.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_002.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_003.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_003.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_004.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_004.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_005.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_005.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_006.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_006.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_reset_reset_bridge.clk} {clock};add_interface {clk_clk} {clock} {slave};set_interface_property {clk_clk} {EXPORT_OF} {clk_clk_clock_bridge.in_clk};add_interface {cpu_reset_reset_bridge_in_reset} {reset} {slave};set_interface_property {cpu_reset_reset_bridge_in_reset} {EXPORT_OF} {cpu_reset_reset_bridge.in_reset};add_interface {cpu_data_master} {avalon} {slave};set_interface_property {cpu_data_master} {EXPORT_OF} {cpu_data_master_translator.avalon_anti_master_0};add_interface {cpu_instruction_master} {avalon} {slave};set_interface_property {cpu_instruction_master} {EXPORT_OF} {cpu_instruction_master_translator.avalon_anti_master_0};add_interface {cpu_debug_mem_slave} {avalon} {master};set_interface_property {cpu_debug_mem_slave} {EXPORT_OF} {cpu_debug_mem_slave_translator.avalon_anti_slave_0};add_interface {jtag_uart_avalon_jtag_slave} {avalon} {master};set_interface_property {jtag_uart_avalon_jtag_slave} {EXPORT_OF} {jtag_uart_avalon_jtag_slave_translator.avalon_anti_slave_0};add_interface {mem_s1} {avalon} {master};set_interface_property {mem_s1} {EXPORT_OF} {mem_s1_translator.avalon_anti_slave_0};add_interface {mem_s2} {avalon} {master};set_interface_property {mem_s2} {EXPORT_OF} {mem_s2_translator.avalon_anti_slave_0};add_interface {sem_ctl_slave} {avalon} {master};set_interface_property {sem_ctl_slave} {EXPORT_OF} {sem_ctl_slave_translator.avalon_anti_slave_0};add_interface {sem_ram_slave} {avalon} {master};set_interface_property {sem_ram_slave} {EXPORT_OF} {sem_ram_slave_translator.avalon_anti_slave_0};add_interface {sys_clk_timer_s1} {avalon} {master};set_interface_property {sys_clk_timer_s1} {EXPORT_OF} {sys_clk_timer_s1_translator.avalon_anti_slave_0};set_module_assignment {interconnect_id.cpu.data_master} {0};set_module_assignment {interconnect_id.cpu.debug_mem_slave} {0};set_module_assignment {interconnect_id.cpu.instruction_master} {1};set_module_assignment {interconnect_id.jtag_uart.avalon_jtag_slave} {1};set_module_assignment {interconnect_id.mem.s1} {2};set_module_assignment {interconnect_id.mem.s2} {3};set_module_assignment {interconnect_id.sem.ctl_slave} {4};set_module_assignment {interconnect_id.sem.ram_slave} {5};set_module_assignment {interconnect_id.sys_clk_timer.s1} {6};]]> +};set_instance_parameter_value {cpu_instruction_master_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {cpu_instruction_master_agent} {ID} {1};set_instance_parameter_value {cpu_instruction_master_agent} {BURSTWRAP_VALUE} {3};set_instance_parameter_value {cpu_instruction_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {cpu_instruction_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {cpu_instruction_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {cpu_instruction_master_agent} {USE_WRITERESPONSE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_DATA_W} {94};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ID} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ECC_ENABLE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sigdel_0_avalon_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {ST_DATA_W} {94};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {ID} {4};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent} {ECC_ENABLE} {0};add_instance {sigdel_0_avalon_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sigdel_0_avalon_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {cpu_debug_mem_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ST_DATA_W} {94};set_instance_parameter_value {cpu_debug_mem_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {cpu_debug_mem_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {cpu_debug_mem_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {cpu_debug_mem_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ID} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ECC_ENABLE} {0};add_instance {cpu_debug_mem_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sys_clk_timer_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sys_clk_timer_s1_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {sys_clk_timer_s1_agent} {ST_DATA_W} {94};set_instance_parameter_value {sys_clk_timer_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sys_clk_timer_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sys_clk_timer_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sys_clk_timer_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sys_clk_timer_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sys_clk_timer_s1_agent} {ID} {5};set_instance_parameter_value {sys_clk_timer_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {ECC_ENABLE} {0};add_instance {sys_clk_timer_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {mem_s2_agent} {altera_merlin_slave_agent};set_instance_parameter_value {mem_s2_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {mem_s2_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {mem_s2_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {mem_s2_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {mem_s2_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {mem_s2_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {mem_s2_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {mem_s2_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {mem_s2_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {mem_s2_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {mem_s2_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {mem_s2_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {mem_s2_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {mem_s2_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {mem_s2_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {mem_s2_agent} {PKT_DATA_H} {31};set_instance_parameter_value {mem_s2_agent} {PKT_DATA_L} {0};set_instance_parameter_value {mem_s2_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {mem_s2_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {mem_s2_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {mem_s2_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {mem_s2_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {mem_s2_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {mem_s2_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {mem_s2_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {mem_s2_agent} {ST_DATA_W} {94};set_instance_parameter_value {mem_s2_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {mem_s2_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {mem_s2_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {mem_s2_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {mem_s2_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {mem_s2_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {mem_s2_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {mem_s2_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {mem_s2_agent} {ID} {3};set_instance_parameter_value {mem_s2_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {mem_s2_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {mem_s2_agent} {ECC_ENABLE} {0};add_instance {mem_s2_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {mem_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {mem_s1_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {mem_s1_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {mem_s1_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {mem_s1_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {mem_s1_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {mem_s1_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {mem_s1_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {mem_s1_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {mem_s1_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {mem_s1_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {mem_s1_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {mem_s1_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {mem_s1_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {mem_s1_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {mem_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {mem_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {mem_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {mem_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {mem_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {mem_s1_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {mem_s1_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {mem_s1_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {mem_s1_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {mem_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {mem_s1_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {mem_s1_agent} {ST_DATA_W} {94};set_instance_parameter_value {mem_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {mem_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {mem_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {mem_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {mem_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {mem_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {mem_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {mem_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {mem_s1_agent} {ID} {2};set_instance_parameter_value {mem_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {mem_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {mem_s1_agent} {ECC_ENABLE} {0};add_instance {mem_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {router} {altera_merlin_router};set_instance_parameter_value {router} {DESTINATION_ID} {3 0 5 1 4 };set_instance_parameter_value {router} {CHANNEL_ID} {10000 00100 01000 00001 00010 };set_instance_parameter_value {router} {TYPE_OF_TRANSACTION} {both both both both write };set_instance_parameter_value {router} {START_ADDRESS} {0x0 0x20800 0x21000 0x21020 0x21028 };set_instance_parameter_value {router} {END_ADDRESS} {0x20000 0x21000 0x21020 0x21028 0x2102c };set_instance_parameter_value {router} {NON_SECURED_TAG} {1 1 1 1 1 };set_instance_parameter_value {router} {SECURED_RANGE_PAIRS} {0 0 0 0 0 };set_instance_parameter_value {router} {SECURED_RANGE_LIST} {0 0 0 0 0 };set_instance_parameter_value {router} {SPAN_OFFSET} {};set_instance_parameter_value {router} {PKT_ADDR_H} {53};set_instance_parameter_value {router} {PKT_ADDR_L} {36};set_instance_parameter_value {router} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router} {PKT_TRANS_READ} {57};set_instance_parameter_value {router} {ST_DATA_W} {94};set_instance_parameter_value {router} {ST_CHANNEL_W} {6};set_instance_parameter_value {router} {DECODER_TYPE} {0};set_instance_parameter_value {router} {DEFAULT_CHANNEL} {4};set_instance_parameter_value {router} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_DESTID} {3};set_instance_parameter_value {router} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router} {MEMORY_ALIASING_DECODE} {0};add_instance {router_001} {altera_merlin_router};set_instance_parameter_value {router_001} {DESTINATION_ID} {2 0 };set_instance_parameter_value {router_001} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router_001} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router_001} {START_ADDRESS} {0x0 0x20800 };set_instance_parameter_value {router_001} {END_ADDRESS} {0x20000 0x21000 };set_instance_parameter_value {router_001} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_001} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_001} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_001} {SPAN_OFFSET} {};set_instance_parameter_value {router_001} {PKT_ADDR_H} {53};set_instance_parameter_value {router_001} {PKT_ADDR_L} {36};set_instance_parameter_value {router_001} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_001} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_001} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_001} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_001} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_001} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_001} {ST_DATA_W} {94};set_instance_parameter_value {router_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_001} {DECODER_TYPE} {0};set_instance_parameter_value {router_001} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router_001} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_DESTID} {2};set_instance_parameter_value {router_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_001} {MEMORY_ALIASING_DECODE} {0};add_instance {router_002} {altera_merlin_router};set_instance_parameter_value {router_002} {DESTINATION_ID} {0 };set_instance_parameter_value {router_002} {CHANNEL_ID} {1 };set_instance_parameter_value {router_002} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_002} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_002} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_002} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_002} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_002} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_002} {SPAN_OFFSET} {};set_instance_parameter_value {router_002} {PKT_ADDR_H} {53};set_instance_parameter_value {router_002} {PKT_ADDR_L} {36};set_instance_parameter_value {router_002} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_002} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_002} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_002} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_002} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_002} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_002} {ST_DATA_W} {94};set_instance_parameter_value {router_002} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_002} {DECODER_TYPE} {1};set_instance_parameter_value {router_002} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_002} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_002} {MEMORY_ALIASING_DECODE} {0};add_instance {router_003} {altera_merlin_router};set_instance_parameter_value {router_003} {DESTINATION_ID} {0 };set_instance_parameter_value {router_003} {CHANNEL_ID} {1 };set_instance_parameter_value {router_003} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_003} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_003} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_003} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_003} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_003} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_003} {SPAN_OFFSET} {};set_instance_parameter_value {router_003} {PKT_ADDR_H} {53};set_instance_parameter_value {router_003} {PKT_ADDR_L} {36};set_instance_parameter_value {router_003} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_003} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_003} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_003} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_003} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_003} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_003} {ST_DATA_W} {94};set_instance_parameter_value {router_003} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_003} {DECODER_TYPE} {1};set_instance_parameter_value {router_003} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_003} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_003} {MEMORY_ALIASING_DECODE} {0};add_instance {router_004} {altera_merlin_router};set_instance_parameter_value {router_004} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_004} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_004} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_004} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_004} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_004} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_004} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_004} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_004} {SPAN_OFFSET} {};set_instance_parameter_value {router_004} {PKT_ADDR_H} {53};set_instance_parameter_value {router_004} {PKT_ADDR_L} {36};set_instance_parameter_value {router_004} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_004} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_004} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_004} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_004} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_004} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_004} {ST_DATA_W} {94};set_instance_parameter_value {router_004} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_004} {DECODER_TYPE} {1};set_instance_parameter_value {router_004} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_004} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_004} {MEMORY_ALIASING_DECODE} {0};add_instance {router_005} {altera_merlin_router};set_instance_parameter_value {router_005} {DESTINATION_ID} {0 };set_instance_parameter_value {router_005} {CHANNEL_ID} {1 };set_instance_parameter_value {router_005} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_005} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_005} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_005} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_005} {SPAN_OFFSET} {};set_instance_parameter_value {router_005} {PKT_ADDR_H} {53};set_instance_parameter_value {router_005} {PKT_ADDR_L} {36};set_instance_parameter_value {router_005} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_005} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_005} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_005} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_005} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_005} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_005} {ST_DATA_W} {94};set_instance_parameter_value {router_005} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_005} {DECODER_TYPE} {1};set_instance_parameter_value {router_005} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_005} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_005} {MEMORY_ALIASING_DECODE} {0};add_instance {router_006} {altera_merlin_router};set_instance_parameter_value {router_006} {DESTINATION_ID} {0 };set_instance_parameter_value {router_006} {CHANNEL_ID} {1 };set_instance_parameter_value {router_006} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_006} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_006} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_006} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_006} {SPAN_OFFSET} {};set_instance_parameter_value {router_006} {PKT_ADDR_H} {53};set_instance_parameter_value {router_006} {PKT_ADDR_L} {36};set_instance_parameter_value {router_006} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_006} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_006} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_006} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_006} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_006} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_006} {ST_DATA_W} {94};set_instance_parameter_value {router_006} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_006} {DECODER_TYPE} {1};set_instance_parameter_value {router_006} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_006} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_006} {MEMORY_ALIASING_DECODE} {0};add_instance {router_007} {altera_merlin_router};set_instance_parameter_value {router_007} {DESTINATION_ID} {1 };set_instance_parameter_value {router_007} {CHANNEL_ID} {1 };set_instance_parameter_value {router_007} {TYPE_OF_TRANSACTION} {read };set_instance_parameter_value {router_007} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_007} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_007} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_007} {SPAN_OFFSET} {};set_instance_parameter_value {router_007} {PKT_ADDR_H} {53};set_instance_parameter_value {router_007} {PKT_ADDR_L} {36};set_instance_parameter_value {router_007} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_007} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_007} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_007} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_007} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_007} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_007} {ST_DATA_W} {94};set_instance_parameter_value {router_007} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_007} {DECODER_TYPE} {1};set_instance_parameter_value {router_007} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_007} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_DESTID} {1};set_instance_parameter_value {router_007} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_007} {MEMORY_ALIASING_DECODE} {0};add_instance {cmd_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux} {ST_DATA_W} {94};set_instance_parameter_value {cmd_demux} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_demux} {NUM_OUTPUTS} {5};set_instance_parameter_value {cmd_demux} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_001} {ST_DATA_W} {94};set_instance_parameter_value {cmd_demux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_demux_001} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_001} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_001} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_001} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_001} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_002} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_002} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_002} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_002} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_002} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_002} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_002} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_003} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_003} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_003} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_003} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_003} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_003} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_003} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_004} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_004} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_004} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_004} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_004} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_004} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_004} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_005} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_005} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_005} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_005} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_005} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_005} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_005} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_001} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_001} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_002} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_002} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_002} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_002} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_002} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_003} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_003} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_003} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_003} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_003} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_004} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_004} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_004} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_004} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_004} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_005} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_005} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_005} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_005} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_005} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_mux} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux} {ST_DATA_W} {94};set_instance_parameter_value {rsp_mux} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_mux} {NUM_INPUTS} {5};set_instance_parameter_value {rsp_mux} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {rsp_mux} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux} {ARBITRATION_SHARES} {1 1 1 1 1 };set_instance_parameter_value {rsp_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_001} {ST_DATA_W} {94};set_instance_parameter_value {rsp_mux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_mux_001} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux_001} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_001} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cpu_reset_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {cpu_reset_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {cpu_reset_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {cpu_reset_reset_bridge} {NUM_RESET_OUTPUTS} {1};set_instance_parameter_value {cpu_reset_reset_bridge} {USE_RESET_REQUEST} {0};add_instance {clk_clk_clock_bridge} {altera_clock_bridge};set_instance_parameter_value {clk_clk_clock_bridge} {EXPLICIT_CLOCK_RATE} {50000000};set_instance_parameter_value {clk_clk_clock_bridge} {NUM_CLOCK_OUTPUTS} {1};add_connection {cpu_data_master_translator.avalon_universal_master_0} {cpu_data_master_agent.av} {avalon};set_connection_parameter_value {cpu_data_master_translator.avalon_universal_master_0/cpu_data_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {cpu_data_master_translator.avalon_universal_master_0/cpu_data_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {cpu_data_master_translator.avalon_universal_master_0/cpu_data_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux.src} {cpu_data_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux.src/cpu_data_master_agent.rp} {qsys_mm.response};add_connection {cpu_instruction_master_translator.avalon_universal_master_0} {cpu_instruction_master_agent.av} {avalon};set_connection_parameter_value {cpu_instruction_master_translator.avalon_universal_master_0/cpu_instruction_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {cpu_instruction_master_translator.avalon_universal_master_0/cpu_instruction_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {cpu_instruction_master_translator.avalon_universal_master_0/cpu_instruction_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux_001.src} {cpu_instruction_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux_001.src/cpu_instruction_master_agent.rp} {qsys_mm.response};add_connection {jtag_uart_avalon_jtag_slave_agent.m0} {jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {jtag_uart_avalon_jtag_slave_agent.rf_source} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.out} {jtag_uart_avalon_jtag_slave_agent.rf_sink} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_src} {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux.src} {jtag_uart_avalon_jtag_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux.src/jtag_uart_avalon_jtag_slave_agent.cp} {qsys_mm.command};add_connection {sigdel_0_avalon_slave_agent.m0} {sigdel_0_avalon_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sigdel_0_avalon_slave_agent.m0/sigdel_0_avalon_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sigdel_0_avalon_slave_agent.m0/sigdel_0_avalon_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sigdel_0_avalon_slave_agent.m0/sigdel_0_avalon_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sigdel_0_avalon_slave_agent.rf_source} {sigdel_0_avalon_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {sigdel_0_avalon_slave_agent_rsp_fifo.out} {sigdel_0_avalon_slave_agent.rf_sink} {avalon_streaming};add_connection {sigdel_0_avalon_slave_agent.rdata_fifo_src} {sigdel_0_avalon_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_001.src} {sigdel_0_avalon_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_001.src/sigdel_0_avalon_slave_agent.cp} {qsys_mm.command};add_connection {cpu_debug_mem_slave_agent.m0} {cpu_debug_mem_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {cpu_debug_mem_slave_agent.m0/cpu_debug_mem_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {cpu_debug_mem_slave_agent.m0/cpu_debug_mem_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {cpu_debug_mem_slave_agent.m0/cpu_debug_mem_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {cpu_debug_mem_slave_agent.rf_source} {cpu_debug_mem_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {cpu_debug_mem_slave_agent_rsp_fifo.out} {cpu_debug_mem_slave_agent.rf_sink} {avalon_streaming};add_connection {cpu_debug_mem_slave_agent.rdata_fifo_src} {cpu_debug_mem_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_002.src} {cpu_debug_mem_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_002.src/cpu_debug_mem_slave_agent.cp} {qsys_mm.command};add_connection {sys_clk_timer_s1_agent.m0} {sys_clk_timer_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sys_clk_timer_s1_agent.m0/sys_clk_timer_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sys_clk_timer_s1_agent.m0/sys_clk_timer_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sys_clk_timer_s1_agent.m0/sys_clk_timer_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sys_clk_timer_s1_agent.rf_source} {sys_clk_timer_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {sys_clk_timer_s1_agent_rsp_fifo.out} {sys_clk_timer_s1_agent.rf_sink} {avalon_streaming};add_connection {sys_clk_timer_s1_agent.rdata_fifo_src} {sys_clk_timer_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_003.src} {sys_clk_timer_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_003.src/sys_clk_timer_s1_agent.cp} {qsys_mm.command};add_connection {mem_s2_agent.m0} {mem_s2_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {mem_s2_agent.m0/mem_s2_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {mem_s2_agent.m0/mem_s2_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {mem_s2_agent.m0/mem_s2_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {mem_s2_agent.rf_source} {mem_s2_agent_rsp_fifo.in} {avalon_streaming};add_connection {mem_s2_agent_rsp_fifo.out} {mem_s2_agent.rf_sink} {avalon_streaming};add_connection {mem_s2_agent.rdata_fifo_src} {mem_s2_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_004.src} {mem_s2_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_004.src/mem_s2_agent.cp} {qsys_mm.command};add_connection {mem_s1_agent.m0} {mem_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {mem_s1_agent.m0/mem_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {mem_s1_agent.m0/mem_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {mem_s1_agent.m0/mem_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {mem_s1_agent.rf_source} {mem_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {mem_s1_agent_rsp_fifo.out} {mem_s1_agent.rf_sink} {avalon_streaming};add_connection {mem_s1_agent.rdata_fifo_src} {mem_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_005.src} {mem_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_005.src/mem_s1_agent.cp} {qsys_mm.command};add_connection {cpu_data_master_agent.cp} {router.sink} {avalon_streaming};preview_set_connection_tag {cpu_data_master_agent.cp/router.sink} {qsys_mm.command};add_connection {router.src} {cmd_demux.sink} {avalon_streaming};preview_set_connection_tag {router.src/cmd_demux.sink} {qsys_mm.command};add_connection {cpu_instruction_master_agent.cp} {router_001.sink} {avalon_streaming};preview_set_connection_tag {cpu_instruction_master_agent.cp/router_001.sink} {qsys_mm.command};add_connection {router_001.src} {cmd_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_001.src/cmd_demux_001.sink} {qsys_mm.command};add_connection {jtag_uart_avalon_jtag_slave_agent.rp} {router_002.sink} {avalon_streaming};preview_set_connection_tag {jtag_uart_avalon_jtag_slave_agent.rp/router_002.sink} {qsys_mm.response};add_connection {router_002.src} {rsp_demux.sink} {avalon_streaming};preview_set_connection_tag {router_002.src/rsp_demux.sink} {qsys_mm.response};add_connection {sigdel_0_avalon_slave_agent.rp} {router_003.sink} {avalon_streaming};preview_set_connection_tag {sigdel_0_avalon_slave_agent.rp/router_003.sink} {qsys_mm.response};add_connection {router_003.src} {rsp_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_003.src/rsp_demux_001.sink} {qsys_mm.response};add_connection {cpu_debug_mem_slave_agent.rp} {router_004.sink} {avalon_streaming};preview_set_connection_tag {cpu_debug_mem_slave_agent.rp/router_004.sink} {qsys_mm.response};add_connection {router_004.src} {rsp_demux_002.sink} {avalon_streaming};preview_set_connection_tag {router_004.src/rsp_demux_002.sink} {qsys_mm.response};add_connection {sys_clk_timer_s1_agent.rp} {router_005.sink} {avalon_streaming};preview_set_connection_tag {sys_clk_timer_s1_agent.rp/router_005.sink} {qsys_mm.response};add_connection {router_005.src} {rsp_demux_003.sink} {avalon_streaming};preview_set_connection_tag {router_005.src/rsp_demux_003.sink} {qsys_mm.response};add_connection {mem_s2_agent.rp} {router_006.sink} {avalon_streaming};preview_set_connection_tag {mem_s2_agent.rp/router_006.sink} {qsys_mm.response};add_connection {router_006.src} {rsp_demux_004.sink} {avalon_streaming};preview_set_connection_tag {router_006.src/rsp_demux_004.sink} {qsys_mm.response};add_connection {mem_s1_agent.rp} {router_007.sink} {avalon_streaming};preview_set_connection_tag {mem_s1_agent.rp/router_007.sink} {qsys_mm.response};add_connection {router_007.src} {rsp_demux_005.sink} {avalon_streaming};preview_set_connection_tag {router_007.src/rsp_demux_005.sink} {qsys_mm.response};add_connection {cmd_demux.src0} {cmd_mux.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src0/cmd_mux.sink0} {qsys_mm.command};add_connection {cmd_demux.src1} {cmd_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src1/cmd_mux_001.sink0} {qsys_mm.command};add_connection {cmd_demux.src2} {cmd_mux_002.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src2/cmd_mux_002.sink0} {qsys_mm.command};add_connection {cmd_demux.src3} {cmd_mux_003.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src3/cmd_mux_003.sink0} {qsys_mm.command};add_connection {cmd_demux.src4} {cmd_mux_004.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src4/cmd_mux_004.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src0} {cmd_mux_002.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src0/cmd_mux_002.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src1} {cmd_mux_005.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src1/cmd_mux_005.sink0} {qsys_mm.command};add_connection {rsp_demux.src0} {rsp_mux.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src0/rsp_mux.sink0} {qsys_mm.response};add_connection {rsp_demux_001.src0} {rsp_mux.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src0/rsp_mux.sink1} {qsys_mm.response};add_connection {rsp_demux_002.src0} {rsp_mux.sink2} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src0/rsp_mux.sink2} {qsys_mm.response};add_connection {rsp_demux_002.src1} {rsp_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src1/rsp_mux_001.sink0} {qsys_mm.response};add_connection {rsp_demux_003.src0} {rsp_mux.sink3} {avalon_streaming};preview_set_connection_tag {rsp_demux_003.src0/rsp_mux.sink3} {qsys_mm.response};add_connection {rsp_demux_004.src0} {rsp_mux.sink4} {avalon_streaming};preview_set_connection_tag {rsp_demux_004.src0/rsp_mux.sink4} {qsys_mm.response};add_connection {rsp_demux_005.src0} {rsp_mux_001.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_005.src0/rsp_mux_001.sink1} {qsys_mm.response};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_data_master_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_instruction_master_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sigdel_0_avalon_slave_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_debug_mem_slave_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sys_clk_timer_s1_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s2_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s1_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_data_master_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_instruction_master_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sigdel_0_avalon_slave_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sigdel_0_avalon_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_debug_mem_slave_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_debug_mem_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sys_clk_timer_s1_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sys_clk_timer_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s2_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s2_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s1_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_002.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_003.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_004.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_005.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_006.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_007.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_demux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_demux_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_002.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_003.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_004.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_005.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_002.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_003.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_004.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_005.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_mux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_mux_001.clk_reset} {reset};add_connection {clk_clk_clock_bridge.out_clk} {cpu_data_master_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_instruction_master_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sigdel_0_avalon_slave_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_debug_mem_slave_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sys_clk_timer_s1_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s2_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s1_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_data_master_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_instruction_master_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sigdel_0_avalon_slave_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sigdel_0_avalon_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_debug_mem_slave_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_debug_mem_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sys_clk_timer_s1_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sys_clk_timer_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s2_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s2_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s1_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_002.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_003.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_004.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_005.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_006.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_007.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_demux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_mux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_demux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_mux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_002.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_002.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_003.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_003.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_004.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_004.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_005.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_005.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_reset_reset_bridge.clk} {clock};add_interface {clk_clk} {clock} {slave};set_interface_property {clk_clk} {EXPORT_OF} {clk_clk_clock_bridge.in_clk};add_interface {cpu_reset_reset_bridge_in_reset} {reset} {slave};set_interface_property {cpu_reset_reset_bridge_in_reset} {EXPORT_OF} {cpu_reset_reset_bridge.in_reset};add_interface {cpu_data_master} {avalon} {slave};set_interface_property {cpu_data_master} {EXPORT_OF} {cpu_data_master_translator.avalon_anti_master_0};add_interface {cpu_instruction_master} {avalon} {slave};set_interface_property {cpu_instruction_master} {EXPORT_OF} {cpu_instruction_master_translator.avalon_anti_master_0};add_interface {cpu_debug_mem_slave} {avalon} {master};set_interface_property {cpu_debug_mem_slave} {EXPORT_OF} {cpu_debug_mem_slave_translator.avalon_anti_slave_0};add_interface {jtag_uart_avalon_jtag_slave} {avalon} {master};set_interface_property {jtag_uart_avalon_jtag_slave} {EXPORT_OF} {jtag_uart_avalon_jtag_slave_translator.avalon_anti_slave_0};add_interface {mem_s1} {avalon} {master};set_interface_property {mem_s1} {EXPORT_OF} {mem_s1_translator.avalon_anti_slave_0};add_interface {mem_s2} {avalon} {master};set_interface_property {mem_s2} {EXPORT_OF} {mem_s2_translator.avalon_anti_slave_0};add_interface {sigdel_0_avalon_slave} {avalon} {master};set_interface_property {sigdel_0_avalon_slave} {EXPORT_OF} {sigdel_0_avalon_slave_translator.avalon_anti_slave_0};add_interface {sys_clk_timer_s1} {avalon} {master};set_interface_property {sys_clk_timer_s1} {EXPORT_OF} {sys_clk_timer_s1_translator.avalon_anti_slave_0};set_module_assignment {interconnect_id.cpu.data_master} {0};set_module_assignment {interconnect_id.cpu.debug_mem_slave} {0};set_module_assignment {interconnect_id.cpu.instruction_master} {1};set_module_assignment {interconnect_id.jtag_uart.avalon_jtag_slave} {1};set_module_assignment {interconnect_id.mem.s1} {2};set_module_assignment {interconnect_id.mem.s2} {3};set_module_assignment {interconnect_id.sigdel_0.avalon_slave} {4};set_module_assignment {interconnect_id.sys_clk_timer.s1} {5};]]> false true true @@ -9615,308 +9215,7 @@ parameters are a RESULT of the module parameters. --> 131072 - - - - com.altera.entityinterfaces.IConnectionPoint - - false - true - false - true - - - int - 0 - false - true - false - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - true - true - - - boolean - false - false - true - false - true - - - java.lang.String - clk_clk - false - true - true - true - - - java.lang.String - cpu_reset_reset_bridge_in_reset - false - true - true - true - - - int - 8 - false - true - false - true - - - boolean - false - false - true - true - true - - - com.altera.sopcmodel.avalon.EAddrBurstUnits - WORDS - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - true - true - - - boolean - false - false - true - true - true - - - int - 0 - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - true - true - - - int - 32 - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - false - true - - - int - 0 - false - true - true - true - - - int - 0 - false - true - false - true - - - boolean - false - false - true - false - true - - - boolean - false - false - true - false - true - - - int - 0 - false - true - false - true - - - com.altera.sopcmodel.avalon.TimingUnits - Cycles - false - true - false - true - - - int - 0 - false - true - false - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - avalon - true - - sem_ctl_slave_address - Output - 1 - address - - - sem_ctl_slave_write - Output - 1 - write - - - sem_ctl_slave_read - Output - 1 - read - - - sem_ctl_slave_readdata - Input - 32 - readdata - - - sem_ctl_slave_writedata - Output - 32 - writedata - - - false - sem - ctl_slave - sem.ctl_slave - 0 - 8 - - - + @@ -10179,30 +9478,24 @@ parameters are a RESULT of the module parameters. --> avalon true - sem_ram_slave_address - Output - 4 - address - - - sem_ram_slave_write + sigdel_0_avalon_slave_write Output 1 write - sem_ram_slave_writedata + sigdel_0_avalon_slave_writedata Output 32 writedata false - sem - ram_slave - sem.ram_slave + sigdel_0 + avalon_slave + sigdel_0.avalon_slave 0 - 64 + 4 @@ -11624,11 +10917,11 @@ parameters are a RESULT of the module parameters. --> clk1 + end="sigdel_0.clock"> java.lang.String UNKNOWN @@ -11647,7 +10940,7 @@ parameters are a RESULT of the module parameters. --> clk clk - sem + sigdel_0 clock avalon_jtag_slave + start="mm_interconnect_0.sigdel_0_avalon_slave" + end="sigdel_0.avalon_slave"> int 1 @@ -11877,9 +11170,9 @@ parameters are a RESULT of the module parameters. --> true mm_interconnect_0 - sem_ctl_slave - sem - ctl_slave + sigdel_0_avalon_slave + sigdel_0 + avalon_slave cpu debug_mem_slave - - - int - 1 - false - true - true - true - - - java.math.BigInteger - 0x0000 - false - true - true - true - - - boolean - false - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - mm_interconnect_0 - sem_ram_slave - sem - ram_slave - in_reset + end="sigdel_0.reset_sink"> java.lang.String UNKNOWN @@ -12427,8 +11669,8 @@ parameters are a RESULT of the module parameters. --> rst_translator out_reset - sem - reset_n + sigdel_0 + reset_sink 18.1 - 9 + 8 avalon_master com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -12789,7 +12031,7 @@ parameters are a RESULT of the module parameters. --> 18.1 - 9 + 8 avalon_slave com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -12830,11 +12072,11 @@ parameters are a RESULT of the module parameters. --> 1 - sem + sigdel com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule - Semafor - 1.1 + Sigma-Delta Modulator + 1.0 1 @@ -12893,7 +12135,7 @@ parameters are a RESULT of the module parameters. --> 18.1 - 9 + 8 avalon com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection @@ -12925,5 +12167,5 @@ parameters are a RESULT of the module parameters. --> 18.1 18.1 625 - 024262A031A800000185C03F5C6B + 0242F22A657800000185F3B486F7 diff --git a/Top/niosII/synthesis/niosII.qip b/Top/niosII/synthesis/niosII.qip index 2b1228d..ffbb09c 100644 --- a/Top/niosII/synthesis/niosII.qip +++ b/Top/niosII/synthesis/niosII.qip @@ -2,7 +2,7 @@ set_global_assignment -entity "niosII" -library "niosII" -name IP_TOOL_NAME "Qsy set_global_assignment -entity "niosII" -library "niosII" -name IP_TOOL_VERSION "18.1" set_global_assignment -entity "niosII" -library "niosII" -name IP_TOOL_ENV "Qsys" set_global_assignment -library "niosII" -name SOPCINFO_FILE [file join $::quartus(qip_path) "../../niosII.sopcinfo"] -set_global_assignment -entity "niosII" -library "niosII" -name SLD_INFO "QSYS_NAME niosII HAS_SOPCINFO 1 GENERATION_ID 1673967654" +set_global_assignment -entity "niosII" -library "niosII" -name SLD_INFO "QSYS_NAME niosII HAS_SOPCINFO 1 GENERATION_ID 1674830971" set_global_assignment -library "niosII" -name MISC_FILE [file join $::quartus(qip_path) "../niosII.cmp"] set_global_assignment -library "niosII" -name SLD_FILE [file join $::quartus(qip_path) "niosII.regmap"] set_global_assignment -library "niosII" -name SLD_FILE [file join $::quartus(qip_path) "niosII.debuginfo"] @@ -16,7 +16,7 @@ set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_DISP set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_REPORT_HIERARCHY "On" set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_INTERNAL "Off" set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_VERSION "MS4w" -set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19HRU5FUkFUSU9OX0lE::MTY3Mzk2NzY1NA==::QXV0byBHRU5FUkFUSU9OX0lE" +set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19HRU5FUkFUSU9OX0lE::MTY3NDgzMDk3MQ==::QXV0byBHRU5FUkFUSU9OX0lE" set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBJViBF::QXV0byBERVZJQ0VfRkFNSUxZ" set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::RVA0Q0UxMTVGMjlDNw==::QXV0byBERVZJQ0U=" set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::Nw==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ==" @@ -128,7 +128,7 @@ set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux_001" -library "n set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux_001" -library "niosII" -name IP_COMPONENT_VERSION "MTguMQ==" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux_001" -library "niosII" -name IP_COMPONENT_DESCRIPTION "QXJiaXRyYXRlcyBiZXR3ZWVuIHJlcXVlc3RpbmcgbWFzdGVycyB1c2luZyBhbiBlcXVhbCBzaGFyZSwgcm91bmQtcm9iaW4gYWxnb3JpdGhtLiBUaGUgYXJiaXRyYXRpb24gc2NoZW1lIGNhbiBiZSBjaGFuZ2VkIHRvIHdlaWdodGVkIHJvdW5kLXJvYmluIGJ5IHNwZWNpZnlpbmcgYSByZWxhdGl2ZSBudW1iZXIgb2YgYXJiaXRyYXRpb24gc2hhcmVzIHRvIHRoZSBtYXN0ZXJzIHRoYXQgYWNjZXNzIGEgcGFydGljdWxhciBzbGF2ZS4=" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux_001" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTQ=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" -set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux_001" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Nw==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" +set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux_001" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux_001" -library "niosII" -name IP_COMPONENT_PARAMETER "TlVNX0lOUFVUUw==::Mg==::TnVtYmVyIG9mIG11eCBpbnB1dHM=" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux_001" -library "niosII" -name IP_COMPONENT_PARAMETER "UElQRUxJTkVfQVJC::MA==::UGlwZWxpbmVkIGFyYml0cmF0aW9u" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux_001" -library "niosII" -name IP_COMPONENT_PARAMETER "VVNFX0VYVEVSTkFMX0FSQg==::MA==::VXNlIGV4dGVybmFsIGFyYml0cmF0aW9u" @@ -144,13 +144,13 @@ set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux" -library "niosI set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux" -library "niosII" -name IP_COMPONENT_VERSION "MTguMQ==" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux" -library "niosII" -name IP_COMPONENT_DESCRIPTION "QXJiaXRyYXRlcyBiZXR3ZWVuIHJlcXVlc3RpbmcgbWFzdGVycyB1c2luZyBhbiBlcXVhbCBzaGFyZSwgcm91bmQtcm9iaW4gYWxnb3JpdGhtLiBUaGUgYXJiaXRyYXRpb24gc2NoZW1lIGNhbiBiZSBjaGFuZ2VkIHRvIHdlaWdodGVkIHJvdW5kLXJvYmluIGJ5IHNwZWNpZnlpbmcgYSByZWxhdGl2ZSBudW1iZXIgb2YgYXJiaXRyYXRpb24gc2hhcmVzIHRvIHRoZSBtYXN0ZXJzIHRoYXQgYWNjZXNzIGEgcGFydGljdWxhciBzbGF2ZS4=" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTQ=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" -set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Nw==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" -set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "TlVNX0lOUFVUUw==::Ng==::TnVtYmVyIG9mIG11eCBpbnB1dHM=" +set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" +set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "TlVNX0lOUFVUUw==::NQ==::TnVtYmVyIG9mIG11eCBpbnB1dHM=" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "UElQRUxJTkVfQVJC::MA==::UGlwZWxpbmVkIGFyYml0cmF0aW9u" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "VVNFX0VYVEVSTkFMX0FSQg==::MA==::VXNlIGV4dGVybmFsIGFyYml0cmF0aW9u" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::NTg=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0NIRU1F::bm8tYXJi::QXJiaXRyYXRpb24gc2NoZW1l" -set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0hBUkVT::MSwxLDEsMSwxLDE=::QXJiaXRyYXRpb24gc2hhcmVz" +set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0hBUkVT::MSwxLDEsMSwx::QXJiaXRyYXRpb24gc2hhcmVz" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTM6OTEpIHJlc3BvbnNlX3N0YXR1cyg5MDo4OSkgY2FjaGUoODg6ODUpIHByb3RlY3Rpb24oODQ6ODIpIHRocmVhZF9pZCg4MSkgZGVzdF9pZCg4MDo3OCkgc3JjX2lkKDc3Ojc1KSBxb3MoNzQpIGJlZ2luX2J1cnN0KDczKSBkYXRhX3NpZGViYW5kKDcyKSBhZGRyX3NpZGViYW5kKDcxKSBidXJzdF90eXBlKDcwOjY5KSBidXJzdF9zaXplKDY4OjY2KSBidXJzdHdyYXAoNjU6NjMpIGJ5dGVfY250KDYyOjYwKSB0cmFuc19leGNsdXNpdmUoNTkpIHRyYW5zX2xvY2soNTgpIHRyYW5zX3JlYWQoNTcpIHRyYW5zX3dyaXRlKDU2KSB0cmFuc19wb3N0ZWQoNTUpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1NCkgYWRkcig1MzozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_demux" -library "niosII" -name IP_COMPONENT_NAME "bmlvc0lJX21tX2ludGVyY29ubmVjdF8wX3JzcF9kZW11eA==" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_demux" -library "niosII" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBEZW11bHRpcGxleGVy" @@ -160,7 +160,7 @@ set_global_assignment -entity "niosII_mm_interconnect_0_rsp_demux" -library "nio set_global_assignment -entity "niosII_mm_interconnect_0_rsp_demux" -library "niosII" -name IP_COMPONENT_VERSION "MTguMQ==" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_demux" -library "niosII" -name IP_COMPONENT_DESCRIPTION "QWNjZXB0cyBjaGFubmVsaXplZCBkYXRhIG9uIGl0cyBzaW5rIGludGVyZmFjZSBhbmQgdHJhbnNtaXRzIHRoZSBkYXRhIG9uIG9uZSBvZiBpdHMgc291cmNlIGludGVyZmFjZXMu" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_demux" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTQ=::UGFja2V0IGRhdGEgd2lkdGg=" -set_global_assignment -entity "niosII_mm_interconnect_0_rsp_demux" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Nw==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" +set_global_assignment -entity "niosII_mm_interconnect_0_rsp_demux" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_demux" -library "niosII" -name IP_COMPONENT_PARAMETER "TlVNX09VVFBVVFM=::MQ==::TnVtYmVyIG9mIGRlbXV4IG91dHB1dHM=" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_demux" -library "niosII" -name IP_COMPONENT_PARAMETER "VkFMSURfV0lEVEg=::MQ==::VmFsaWQgd2lkdGg=" set_global_assignment -entity "niosII_mm_interconnect_0_rsp_demux" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTM6OTEpIHJlc3BvbnNlX3N0YXR1cyg5MDo4OSkgY2FjaGUoODg6ODUpIHByb3RlY3Rpb24oODQ6ODIpIHRocmVhZF9pZCg4MSkgZGVzdF9pZCg4MDo3OCkgc3JjX2lkKDc3Ojc1KSBxb3MoNzQpIGJlZ2luX2J1cnN0KDczKSBkYXRhX3NpZGViYW5kKDcyKSBhZGRyX3NpZGViYW5kKDcxKSBidXJzdF90eXBlKDcwOjY5KSBidXJzdF9zaXplKDY4OjY2KSBidXJzdHdyYXAoNjU6NjMpIGJ5dGVfY250KDYyOjYwKSB0cmFuc19leGNsdXNpdmUoNTkpIHRyYW5zX2xvY2soNTgpIHRyYW5zX3JlYWQoNTcpIHRyYW5zX3dyaXRlKDU2KSB0cmFuc19wb3N0ZWQoNTUpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1NCkgYWRkcig1MzozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" @@ -174,7 +174,7 @@ set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux_002" -library "n set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux_002" -library "niosII" -name IP_COMPONENT_VERSION "MTguMQ==" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux_002" -library "niosII" -name IP_COMPONENT_DESCRIPTION "QXJiaXRyYXRlcyBiZXR3ZWVuIHJlcXVlc3RpbmcgbWFzdGVycyB1c2luZyBhbiBlcXVhbCBzaGFyZSwgcm91bmQtcm9iaW4gYWxnb3JpdGhtLiBUaGUgYXJiaXRyYXRpb24gc2NoZW1lIGNhbiBiZSBjaGFuZ2VkIHRvIHdlaWdodGVkIHJvdW5kLXJvYmluIGJ5IHNwZWNpZnlpbmcgYSByZWxhdGl2ZSBudW1iZXIgb2YgYXJiaXRyYXRpb24gc2hhcmVzIHRvIHRoZSBtYXN0ZXJzIHRoYXQgYWNjZXNzIGEgcGFydGljdWxhciBzbGF2ZS4=" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux_002" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTQ=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" -set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux_002" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Nw==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" +set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux_002" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux_002" -library "niosII" -name IP_COMPONENT_PARAMETER "TlVNX0lOUFVUUw==::Mg==::TnVtYmVyIG9mIG11eCBpbnB1dHM=" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux_002" -library "niosII" -name IP_COMPONENT_PARAMETER "UElQRUxJTkVfQVJC::MQ==::UGlwZWxpbmVkIGFyYml0cmF0aW9u" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux_002" -library "niosII" -name IP_COMPONENT_PARAMETER "VVNFX0VYVEVSTkFMX0FSQg==::MA==::VXNlIGV4dGVybmFsIGFyYml0cmF0aW9u" @@ -190,7 +190,7 @@ set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux" -library "niosI set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux" -library "niosII" -name IP_COMPONENT_VERSION "MTguMQ==" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux" -library "niosII" -name IP_COMPONENT_DESCRIPTION "QXJiaXRyYXRlcyBiZXR3ZWVuIHJlcXVlc3RpbmcgbWFzdGVycyB1c2luZyBhbiBlcXVhbCBzaGFyZSwgcm91bmQtcm9iaW4gYWxnb3JpdGhtLiBUaGUgYXJiaXRyYXRpb24gc2NoZW1lIGNhbiBiZSBjaGFuZ2VkIHRvIHdlaWdodGVkIHJvdW5kLXJvYmluIGJ5IHNwZWNpZnlpbmcgYSByZWxhdGl2ZSBudW1iZXIgb2YgYXJiaXRyYXRpb24gc2hhcmVzIHRvIHRoZSBtYXN0ZXJzIHRoYXQgYWNjZXNzIGEgcGFydGljdWxhciBzbGF2ZS4=" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTQ=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" -set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Nw==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" +set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "TlVNX0lOUFVUUw==::MQ==::TnVtYmVyIG9mIG11eCBpbnB1dHM=" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "UElQRUxJTkVfQVJC::MQ==::UGlwZWxpbmVkIGFyYml0cmF0aW9u" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_mux" -library "niosII" -name IP_COMPONENT_PARAMETER "VVNFX0VYVEVSTkFMX0FSQg==::MA==::VXNlIGV4dGVybmFsIGFyYml0cmF0aW9u" @@ -206,7 +206,7 @@ set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux_001" -library set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux_001" -library "niosII" -name IP_COMPONENT_VERSION "MTguMQ==" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux_001" -library "niosII" -name IP_COMPONENT_DESCRIPTION "QWNjZXB0cyBjaGFubmVsaXplZCBkYXRhIG9uIGl0cyBzaW5rIGludGVyZmFjZSBhbmQgdHJhbnNtaXRzIHRoZSBkYXRhIG9uIG9uZSBvZiBpdHMgc291cmNlIGludGVyZmFjZXMu" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux_001" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTQ=::UGFja2V0IGRhdGEgd2lkdGg=" -set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux_001" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Nw==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" +set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux_001" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux_001" -library "niosII" -name IP_COMPONENT_PARAMETER "TlVNX09VVFBVVFM=::Mg==::TnVtYmVyIG9mIGRlbXV4IG91dHB1dHM=" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux_001" -library "niosII" -name IP_COMPONENT_PARAMETER "VkFMSURfV0lEVEg=::MQ==::VmFsaWQgd2lkdGg=" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux_001" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTM6OTEpIHJlc3BvbnNlX3N0YXR1cyg5MDo4OSkgY2FjaGUoODg6ODUpIHByb3RlY3Rpb24oODQ6ODIpIHRocmVhZF9pZCg4MSkgZGVzdF9pZCg4MDo3OCkgc3JjX2lkKDc3Ojc1KSBxb3MoNzQpIGJlZ2luX2J1cnN0KDczKSBkYXRhX3NpZGViYW5kKDcyKSBhZGRyX3NpZGViYW5kKDcxKSBidXJzdF90eXBlKDcwOjY5KSBidXJzdF9zaXplKDY4OjY2KSBidXJzdHdyYXAoNjU6NjMpIGJ5dGVfY250KDYyOjYwKSB0cmFuc19leGNsdXNpdmUoNTkpIHRyYW5zX2xvY2soNTgpIHRyYW5zX3JlYWQoNTcpIHRyYW5zX3dyaXRlKDU2KSB0cmFuc19wb3N0ZWQoNTUpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1NCkgYWRkcig1MzozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" @@ -220,45 +220,45 @@ set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux" -library "nio set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux" -library "niosII" -name IP_COMPONENT_VERSION "MTguMQ==" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux" -library "niosII" -name IP_COMPONENT_DESCRIPTION "QWNjZXB0cyBjaGFubmVsaXplZCBkYXRhIG9uIGl0cyBzaW5rIGludGVyZmFjZSBhbmQgdHJhbnNtaXRzIHRoZSBkYXRhIG9uIG9uZSBvZiBpdHMgc291cmNlIGludGVyZmFjZXMu" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTQ=::UGFja2V0IGRhdGEgd2lkdGg=" -set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Nw==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" -set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux" -library "niosII" -name IP_COMPONENT_PARAMETER "TlVNX09VVFBVVFM=::Ng==::TnVtYmVyIG9mIGRlbXV4IG91dHB1dHM=" +set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" +set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux" -library "niosII" -name IP_COMPONENT_PARAMETER "TlVNX09VVFBVVFM=::NQ==::TnVtYmVyIG9mIGRlbXV4IG91dHB1dHM=" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux" -library "niosII" -name IP_COMPONENT_PARAMETER "VkFMSURfV0lEVEg=::MQ==::VmFsaWQgd2lkdGg=" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTM6OTEpIHJlc3BvbnNlX3N0YXR1cyg5MDo4OSkgY2FjaGUoODg6ODUpIHByb3RlY3Rpb24oODQ6ODIpIHRocmVhZF9pZCg4MSkgZGVzdF9pZCg4MDo3OCkgc3JjX2lkKDc3Ojc1KSBxb3MoNzQpIGJlZ2luX2J1cnN0KDczKSBkYXRhX3NpZGViYW5kKDcyKSBhZGRyX3NpZGViYW5kKDcxKSBidXJzdF90eXBlKDcwOjY5KSBidXJzdF9zaXplKDY4OjY2KSBidXJzdHdyYXAoNjU6NjMpIGJ5dGVfY250KDYyOjYwKSB0cmFuc19leGNsdXNpdmUoNTkpIHRyYW5zX2xvY2soNTgpIHRyYW5zX3JlYWQoNTcpIHRyYW5zX3dyaXRlKDU2KSB0cmFuc19wb3N0ZWQoNTUpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1NCkgYWRkcig1MzozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBJViBF::QXV0byBERVZJQ0VfRkFNSUxZ" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::NTAwMDAwMDA=::QXV0byBDTE9DS19SQVRF" -set_global_assignment -entity "niosII_mm_interconnect_0_router_008" -library "niosII" -name IP_COMPONENT_NAME "bmlvc0lJX21tX2ludGVyY29ubmVjdF8wX3JvdXRlcl8wMDg=" -set_global_assignment -entity "niosII_mm_interconnect_0_router_008" -library "niosII" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBSb3V0ZXI=" -set_global_assignment -entity "niosII_mm_interconnect_0_router_008" -library "niosII" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "niosII_mm_interconnect_0_router_008" -library "niosII" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "niosII_mm_interconnect_0_router_008" -library "niosII" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" -set_global_assignment -entity "niosII_mm_interconnect_0_router_008" -library "niosII" -name IP_COMPONENT_VERSION "MTguMQ==" -set_global_assignment -entity "niosII_mm_interconnect_0_router_008" -library "niosII" -name IP_COMPONENT_DESCRIPTION "Um91dGVzIGNvbW1hbmQgcGFja2V0cyBmcm9tIHRoZSBtYXN0ZXIgdG8gdGhlIHNsYXZlIGFuZCByZXNwb25zZSBwYWNrZXRzIGZyb20gdGhlIHNsYXZlIHRvIHRoZSBtYXN0ZXIu" -set_global_assignment -entity "niosII_mm_interconnect_0_router_008" -library "niosII" -name IP_COMPONENT_PARAMETER "REVTVElOQVRJT05fSUQ=::MQ==::RGVzdGluYXRpb24gSUQ=" -set_global_assignment -entity "niosII_mm_interconnect_0_router_008" -library "niosII" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9JRA==::MQ==::QmluYXJ5IENoYW5uZWwgU3RyaW5n" -set_global_assignment -entity "niosII_mm_interconnect_0_router_008" -library "niosII" -name IP_COMPONENT_PARAMETER "VFlQRV9PRl9UUkFOU0FDVElPTg==::cmVhZA==::VHlwZSBvZiBUcmFuc2FjdGlvbg==" -set_global_assignment -entity "niosII_mm_interconnect_0_router_008" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RBUlRfQUREUkVTUw==::MHgw::U3RhcnQgYWRkcmVzc2VzIChpbmNsdXNpdmUp" -set_global_assignment -entity "niosII_mm_interconnect_0_router_008" -library "niosII" -name IP_COMPONENT_PARAMETER "RU5EX0FERFJFU1M=::MHgw::RW5kIGFkZHJlc3NlcyAoZXhjbHVzaXZlKQ==" -set_global_assignment -entity "niosII_mm_interconnect_0_router_008" -library "niosII" -name IP_COMPONENT_PARAMETER "Tk9OX1NFQ1VSRURfVEFH::MQ==::Tm9uLXNlY3VyZWQgdGFncw==" -set_global_assignment -entity "niosII_mm_interconnect_0_router_008" -library "niosII" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9QQUlSUw==::MA==::TnVtYmVyIG9mIHNlY3VyZWQgcmFuZ2UgcGFpcnM=" -set_global_assignment -entity "niosII_mm_interconnect_0_router_008" -library "niosII" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9MSVNU::MA==::U2VjdXJlZCByYW5nZSBwYWlycw==" -set_global_assignment -entity "niosII_mm_interconnect_0_router_008" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NTM=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo" -set_global_assignment -entity "niosII_mm_interconnect_0_router_008" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c=" -set_global_assignment -entity "niosII_mm_interconnect_0_router_008" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::ODQ=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA==" -set_global_assignment -entity "niosII_mm_interconnect_0_router_008" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::ODI=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gbG93" -set_global_assignment -entity "niosII_mm_interconnect_0_router_008" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::ODA=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA==" -set_global_assignment -entity "niosII_mm_interconnect_0_router_008" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::Nzg=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93" -set_global_assignment -entity "niosII_mm_interconnect_0_router_008" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NTY=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4" -set_global_assignment -entity "niosII_mm_interconnect_0_router_008" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NTc=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" -set_global_assignment -entity "niosII_mm_interconnect_0_router_008" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTQ=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" -set_global_assignment -entity "niosII_mm_interconnect_0_router_008" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Nw==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" -set_global_assignment -entity "niosII_mm_interconnect_0_router_008" -library "niosII" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MToxOjB4MDoweDA6cmVhZDoxOjA6MDox::U0xBVkVTX0lORk8=" -set_global_assignment -entity "niosII_mm_interconnect_0_router_008" -library "niosII" -name IP_COMPONENT_PARAMETER "REVDT0RFUl9UWVBF::MQ==::RGVjb2RlciB0eXBl" -set_global_assignment -entity "niosII_mm_interconnect_0_router_008" -library "niosII" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9DSEFOTkVM::MA==::RGVmYXVsdCBjaGFubmVs" -set_global_assignment -entity "niosII_mm_interconnect_0_router_008" -library "niosII" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9XUl9DSEFOTkVM::LTE=::RGVmYXVsdCB3ciBjaGFubmVs" -set_global_assignment -entity "niosII_mm_interconnect_0_router_008" -library "niosII" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9SRF9DSEFOTkVM::LTE=::RGVmYXVsdCByZCBjaGFubmVs" -set_global_assignment -entity "niosII_mm_interconnect_0_router_008" -library "niosII" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9ERVNUSUQ=::MQ==::RGVmYXVsdCBkZXN0aW5hdGlvbiBJRA==" -set_global_assignment -entity "niosII_mm_interconnect_0_router_008" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTM6OTEpIHJlc3BvbnNlX3N0YXR1cyg5MDo4OSkgY2FjaGUoODg6ODUpIHByb3RlY3Rpb24oODQ6ODIpIHRocmVhZF9pZCg4MSkgZGVzdF9pZCg4MDo3OCkgc3JjX2lkKDc3Ojc1KSBxb3MoNzQpIGJlZ2luX2J1cnN0KDczKSBkYXRhX3NpZGViYW5kKDcyKSBhZGRyX3NpZGViYW5kKDcxKSBidXJzdF90eXBlKDcwOjY5KSBidXJzdF9zaXplKDY4OjY2KSBidXJzdHdyYXAoNjU6NjMpIGJ5dGVfY250KDYyOjYwKSB0cmFuc19leGNsdXNpdmUoNTkpIHRyYW5zX2xvY2soNTgpIHRyYW5zX3JlYWQoNTcpIHRyYW5zX3dyaXRlKDU2KSB0cmFuc19wb3N0ZWQoNTUpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1NCkgYWRkcig1MzozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" -set_global_assignment -entity "niosII_mm_interconnect_0_router_008" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVNT1JZX0FMSUFTSU5HX0RFQ09ERQ==::MA==::TWVtb3J5IEFsaWFzaW5nIERlY29kZQ==" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_NAME "bmlvc0lJX21tX2ludGVyY29ubmVjdF8wX3JvdXRlcl8wMDc=" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBSb3V0ZXI=" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_VERSION "MTguMQ==" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_DESCRIPTION "Um91dGVzIGNvbW1hbmQgcGFja2V0cyBmcm9tIHRoZSBtYXN0ZXIgdG8gdGhlIHNsYXZlIGFuZCByZXNwb25zZSBwYWNrZXRzIGZyb20gdGhlIHNsYXZlIHRvIHRoZSBtYXN0ZXIu" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "REVTVElOQVRJT05fSUQ=::MQ==::RGVzdGluYXRpb24gSUQ=" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9JRA==::MQ==::QmluYXJ5IENoYW5uZWwgU3RyaW5n" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "VFlQRV9PRl9UUkFOU0FDVElPTg==::cmVhZA==::VHlwZSBvZiBUcmFuc2FjdGlvbg==" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RBUlRfQUREUkVTUw==::MHgw::U3RhcnQgYWRkcmVzc2VzIChpbmNsdXNpdmUp" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "RU5EX0FERFJFU1M=::MHgw::RW5kIGFkZHJlc3NlcyAoZXhjbHVzaXZlKQ==" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "Tk9OX1NFQ1VSRURfVEFH::MQ==::Tm9uLXNlY3VyZWQgdGFncw==" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9QQUlSUw==::MA==::TnVtYmVyIG9mIHNlY3VyZWQgcmFuZ2UgcGFpcnM=" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9MSVNU::MA==::U2VjdXJlZCByYW5nZSBwYWlycw==" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NTM=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c=" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::ODQ=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA==" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::ODI=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gbG93" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::ODA=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA==" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::Nzg=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NTY=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NTc=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTQ=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MToxOjB4MDoweDA6cmVhZDoxOjA6MDox::U0xBVkVTX0lORk8=" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "REVDT0RFUl9UWVBF::MQ==::RGVjb2RlciB0eXBl" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9DSEFOTkVM::MA==::RGVmYXVsdCBjaGFubmVs" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9XUl9DSEFOTkVM::LTE=::RGVmYXVsdCB3ciBjaGFubmVs" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9SRF9DSEFOTkVM::LTE=::RGVmYXVsdCByZCBjaGFubmVs" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9ERVNUSUQ=::MQ==::RGVmYXVsdCBkZXN0aW5hdGlvbiBJRA==" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTM6OTEpIHJlc3BvbnNlX3N0YXR1cyg5MDo4OSkgY2FjaGUoODg6ODUpIHByb3RlY3Rpb24oODQ6ODIpIHRocmVhZF9pZCg4MSkgZGVzdF9pZCg4MDo3OCkgc3JjX2lkKDc3Ojc1KSBxb3MoNzQpIGJlZ2luX2J1cnN0KDczKSBkYXRhX3NpZGViYW5kKDcyKSBhZGRyX3NpZGViYW5kKDcxKSBidXJzdF90eXBlKDcwOjY5KSBidXJzdF9zaXplKDY4OjY2KSBidXJzdHdyYXAoNjU6NjMpIGJ5dGVfY250KDYyOjYwKSB0cmFuc19leGNsdXNpdmUoNTkpIHRyYW5zX2xvY2soNTgpIHRyYW5zX3JlYWQoNTcpIHRyYW5zX3dyaXRlKDU2KSB0cmFuc19wb3N0ZWQoNTUpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1NCkgYWRkcig1MzozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_COMPONENT_PARAMETER "TUVNT1JZX0FMSUFTSU5HX0RFQ09ERQ==::MA==::TWVtb3J5IEFsaWFzaW5nIERlY29kZQ==" set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_NAME "bmlvc0lJX21tX2ludGVyY29ubmVjdF8wX3JvdXRlcl8wMDQ=" set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBSb3V0ZXI=" set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_REPORT_HIERARCHY "Off" @@ -283,7 +283,7 @@ set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "ni set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NTY=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4" set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NTc=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTQ=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" -set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Nw==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" +set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MDowMToweDA6MHgwOmJvdGg6MTowOjA6MSwxOjEwOjB4MDoweDA6cmVhZDoxOjA6MDox::U0xBVkVTX0lORk8=" set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "REVDT0RFUl9UWVBF::MQ==::RGVjb2RlciB0eXBl" set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9DSEFOTkVM::MA==::RGVmYXVsdCBjaGFubmVs" @@ -316,7 +316,7 @@ set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "ni set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NTY=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4" set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NTc=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTQ=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" -set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Nw==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" +set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MDoxOjB4MDoweDA6Ym90aDoxOjA6MDox::U0xBVkVTX0lORk8=" set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "REVDT0RFUl9UWVBF::MQ==::RGVjb2RlciB0eXBl" set_global_assignment -entity "niosII_mm_interconnect_0_router_002" -library "niosII" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9DSEFOTkVM::MA==::RGVmYXVsdCBjaGFubmVs" @@ -349,7 +349,7 @@ set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "ni set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NTY=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4" set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NTc=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTQ=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" -set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Nw==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" +set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MjoxMDoweDA6MHgyMDAwMDpib3RoOjE6MDowOjEsMDowMToweDIwODAwOjB4MjEwMDA6Ym90aDoxOjA6MDox::U0xBVkVTX0lORk8=" set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "REVDT0RFUl9UWVBF::MA==::RGVjb2RlciB0eXBl" set_global_assignment -entity "niosII_mm_interconnect_0_router_001" -library "niosII" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9DSEFOTkVM::MQ==::RGVmYXVsdCBjaGFubmVs" @@ -365,14 +365,14 @@ set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_VERSION "MTguMQ==" set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_DESCRIPTION "Um91dGVzIGNvbW1hbmQgcGFja2V0cyBmcm9tIHRoZSBtYXN0ZXIgdG8gdGhlIHNsYXZlIGFuZCByZXNwb25zZSBwYWNrZXRzIGZyb20gdGhlIHNsYXZlIHRvIHRoZSBtYXN0ZXIu" -set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "REVTVElOQVRJT05fSUQ=::MywwLDUsNiw0LDE=::RGVzdGluYXRpb24gSUQ=" -set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9JRA==::MTAwMDAwLDAwMDEwMCwwMDEwMDAsMDEwMDAwLDAwMDAxMCwwMDAwMDE=::QmluYXJ5IENoYW5uZWwgU3RyaW5n" -set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "VFlQRV9PRl9UUkFOU0FDVElPTg==::Ym90aCxib3RoLHdyaXRlLGJvdGgsYm90aCxib3Ro::VHlwZSBvZiBUcmFuc2FjdGlvbg==" -set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RBUlRfQUREUkVTUw==::MHgwLDB4MjA4MDAsMHgyMTAwMCwweDIxMDQwLDB4MjEwNjAsMHgyMTA2OA==::U3RhcnQgYWRkcmVzc2VzIChpbmNsdXNpdmUp" -set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "RU5EX0FERFJFU1M=::MHgyMDAwMCwweDIxMDAwLDB4MjEwNDAsMHgyMTA2MCwweDIxMDY4LDB4MjEwNzA=::RW5kIGFkZHJlc3NlcyAoZXhjbHVzaXZlKQ==" -set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "Tk9OX1NFQ1VSRURfVEFH::MSwxLDEsMSwxLDE=::Tm9uLXNlY3VyZWQgdGFncw==" -set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9QQUlSUw==::MCwwLDAsMCwwLDA=::TnVtYmVyIG9mIHNlY3VyZWQgcmFuZ2UgcGFpcnM=" -set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9MSVNU::MCwwLDAsMCwwLDA=::U2VjdXJlZCByYW5nZSBwYWlycw==" +set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "REVTVElOQVRJT05fSUQ=::MywwLDUsMSw0::RGVzdGluYXRpb24gSUQ=" +set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9JRA==::MTAwMDAsMDAxMDAsMDEwMDAsMDAwMDEsMDAwMTA=::QmluYXJ5IENoYW5uZWwgU3RyaW5n" +set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "VFlQRV9PRl9UUkFOU0FDVElPTg==::Ym90aCxib3RoLGJvdGgsYm90aCx3cml0ZQ==::VHlwZSBvZiBUcmFuc2FjdGlvbg==" +set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RBUlRfQUREUkVTUw==::MHgwLDB4MjA4MDAsMHgyMTAwMCwweDIxMDIwLDB4MjEwMjg=::U3RhcnQgYWRkcmVzc2VzIChpbmNsdXNpdmUp" +set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "RU5EX0FERFJFU1M=::MHgyMDAwMCwweDIxMDAwLDB4MjEwMjAsMHgyMTAyOCwweDIxMDJj::RW5kIGFkZHJlc3NlcyAoZXhjbHVzaXZlKQ==" +set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "Tk9OX1NFQ1VSRURfVEFH::MSwxLDEsMSwx::Tm9uLXNlY3VyZWQgdGFncw==" +set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9QQUlSUw==::MCwwLDAsMCww::TnVtYmVyIG9mIHNlY3VyZWQgcmFuZ2UgcGFpcnM=" +set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9MSVNU::MCwwLDAsMCww::U2VjdXJlZCByYW5nZSBwYWlycw==" set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NTM=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo" set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c=" set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::ODQ=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA==" @@ -382,10 +382,10 @@ set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NTY=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4" set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NTc=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTQ=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" -set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Nw==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" -set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MzoxMDAwMDA6MHgwOjB4MjAwMDA6Ym90aDoxOjA6MDoxLDA6MDAwMTAwOjB4MjA4MDA6MHgyMTAwMDpib3RoOjE6MDowOjEsNTowMDEwMDA6MHgyMTAwMDoweDIxMDQwOndyaXRlOjE6MDowOjEsNjowMTAwMDA6MHgyMTA0MDoweDIxMDYwOmJvdGg6MTowOjA6MSw0OjAwMDAxMDoweDIxMDYwOjB4MjEwNjg6Ym90aDoxOjA6MDoxLDE6MDAwMDAxOjB4MjEwNjg6MHgyMTA3MDpib3RoOjE6MDowOjE=::U0xBVkVTX0lORk8=" +set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" +set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MzoxMDAwMDoweDA6MHgyMDAwMDpib3RoOjE6MDowOjEsMDowMDEwMDoweDIwODAwOjB4MjEwMDA6Ym90aDoxOjA6MDoxLDU6MDEwMDA6MHgyMTAwMDoweDIxMDIwOmJvdGg6MTowOjA6MSwxOjAwMDAxOjB4MjEwMjA6MHgyMTAyODpib3RoOjE6MDowOjEsNDowMDAxMDoweDIxMDI4OjB4MjEwMmM6d3JpdGU6MTowOjA6MQ==::U0xBVkVTX0lORk8=" set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "REVDT0RFUl9UWVBF::MA==::RGVjb2RlciB0eXBl" -set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9DSEFOTkVM::NQ==::RGVmYXVsdCBjaGFubmVs" +set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9DSEFOTkVM::NA==::RGVmYXVsdCBjaGFubmVs" set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9XUl9DSEFOTkVM::LTE=::RGVmYXVsdCB3ciBjaGFubmVs" set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9SRF9DSEFOTkVM::LTE=::RGVmYXVsdCByZCBjaGFubmVs" set_global_assignment -entity "niosII_mm_interconnect_0_router" -library "niosII" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9ERVNUSUQ=::Mw==::RGVmYXVsdCBkZXN0aW5hdGlvbiBJRA==" @@ -447,7 +447,7 @@ set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -nam set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::ODA=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA==" set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::Nzg=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93" set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX1NZTUJPTF9X::OA==::UGFja2V0IHN5bWJvbCB3aWR0aA==" -set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Nw==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" +set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTQ=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "QVZTX0JVUlNUQ09VTlRfU1lNQk9MUw==::MA==::YnVyc3Rjb3VudFN5bWJvbHM=" set_global_assignment -entity "altera_merlin_slave_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "QVZTX0JVUlNUQ09VTlRfVw==::Mw==::YnVyc3Rjb3VudCB3aWR0aA==" @@ -510,7 +510,7 @@ set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -na set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::ODA=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA==" set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::Nzg=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93" set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTQ=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" -set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Nw==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" +set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RDT1VOVF9X::Mw==::QXZhbG9uLU1NIGJ1cnN0Y291bnQgd2lkdGg=" set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "QVZfTElORVdSQVBCVVJTVFM=::MA==::bGluZXdyYXBCdXJzdHM=" set_global_assignment -entity "altera_merlin_master_agent" -library "niosII" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RCT1VOREFSSUVT::MQ==::YnVyc3RPbkJ1cnN0Qm91bmRhcmllc09ubHk=" @@ -662,12 +662,12 @@ set_global_assignment -entity "niosII_sys_clk_timer" -library "niosII" -name IP_ set_global_assignment -entity "niosII_sys_clk_timer" -library "niosII" -name IP_COMPONENT_PARAMETER "bXVsdA==::MC4wMDE=::bXVsdA==" set_global_assignment -entity "niosII_sys_clk_timer" -library "niosII" -name IP_COMPONENT_PARAMETER "dGlja3NQZXJTZWM=::MTAwMC4w::dGlja3NQZXJTZWM=" set_global_assignment -entity "niosII_sys_clk_timer" -library "niosII" -name IP_COMPONENT_PARAMETER "c2xhdmVfYWRkcmVzc193aWR0aA==::Mw==::c2xhdmVfYWRkcmVzc193aWR0aA==" -set_global_assignment -entity "dec" -library "niosII" -name IP_COMPONENT_NAME "ZGVj" -set_global_assignment -entity "dec" -library "niosII" -name IP_COMPONENT_DISPLAY_NAME "U2VtYWZvcg==" -set_global_assignment -entity "dec" -library "niosII" -name IP_COMPONENT_REPORT_HIERARCHY "Off" -set_global_assignment -entity "dec" -library "niosII" -name IP_COMPONENT_INTERNAL "Off" -set_global_assignment -entity "dec" -library "niosII" -name IP_COMPONENT_VERSION "MS4x" -set_global_assignment -entity "dec" -library "niosII" -name IP_COMPONENT_PARAMETER "bQ==::MzI=::bQ==" +set_global_assignment -entity "sigdel" -library "niosII" -name IP_COMPONENT_NAME "c2lnZGVs" +set_global_assignment -entity "sigdel" -library "niosII" -name IP_COMPONENT_DISPLAY_NAME "U2lnbWEtRGVsdGEgTW9kdWxhdG9y" +set_global_assignment -entity "sigdel" -library "niosII" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "sigdel" -library "niosII" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "sigdel" -library "niosII" -name IP_COMPONENT_VERSION "MS4w" +set_global_assignment -entity "sigdel" -library "niosII" -name IP_COMPONENT_PARAMETER "UEhBQ0NfV0lEVEg=::MTQ=::UEhBQ0NfV0lEVEg=" set_global_assignment -entity "niosII_mem" -library "niosII" -name IP_COMPONENT_NAME "bmlvc0lJX21lbQ==" set_global_assignment -entity "niosII_mem" -library "niosII" -name IP_COMPONENT_DISPLAY_NAME "T24tQ2hpcCBNZW1vcnkgKFJBTSBvciBST00pIEludGVsIEZQR0EgSVA=" set_global_assignment -entity "niosII_mem" -library "niosII" -name IP_COMPONENT_REPORT_HIERARCHY "Off" @@ -859,7 +859,7 @@ set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_ set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGF0YU1hc3RlckhpZ2hQZXJmb3JtYW5jZUFkZHJXaWR0aA==::MQ==::ZGF0YU1hc3RlckhpZ2hQZXJmb3JtYW5jZUFkZHJXaWR0aA==" set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "aW5zdHJ1Y3Rpb25NYXN0ZXJIaWdoUGVyZm9ybWFuY2VBZGRyV2lkdGg=::MQ==::aW5zdHJ1Y3Rpb25NYXN0ZXJIaWdoUGVyZm9ybWFuY2VBZGRyV2lkdGg=" set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "aW5zdFNsYXZlTWFwUGFyYW0=::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdtZW0uczEnIHN0YXJ0PScweDAnIGVuZD0nMHgyMDAwMCcgdHlwZT0nYWx0ZXJhX2F2YWxvbl9vbmNoaXBfbWVtb3J5Mi5zMScgLz48c2xhdmUgbmFtZT0nY3B1LmRlYnVnX21lbV9zbGF2ZScgc3RhcnQ9JzB4MjA4MDAnIGVuZD0nMHgyMTAwMCcgdHlwZT0nYWx0ZXJhX25pb3MyX2dlbjIuZGVidWdfbWVtX3NsYXZlJyAvPjwvYWRkcmVzcy1tYXA+::aW5zdFNsYXZlTWFwUGFyYW0=" -set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGF0YVNsYXZlTWFwUGFyYW0=::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdtZW0uczInIHN0YXJ0PScweDAnIGVuZD0nMHgyMDAwMCcgdHlwZT0nYWx0ZXJhX2F2YWxvbl9vbmNoaXBfbWVtb3J5Mi5zMicgLz48c2xhdmUgbmFtZT0nY3B1LmRlYnVnX21lbV9zbGF2ZScgc3RhcnQ9JzB4MjA4MDAnIGVuZD0nMHgyMTAwMCcgdHlwZT0nYWx0ZXJhX25pb3MyX2dlbjIuZGVidWdfbWVtX3NsYXZlJyAvPjxzbGF2ZSBuYW1lPSdzZW0ucmFtX3NsYXZlJyBzdGFydD0nMHgyMTAwMCcgZW5kPScweDIxMDQwJyB0eXBlPSdzZW0ucmFtX3NsYXZlJyAvPjxzbGF2ZSBuYW1lPSdzeXNfY2xrX3RpbWVyLnMxJyBzdGFydD0nMHgyMTA0MCcgZW5kPScweDIxMDYwJyB0eXBlPSdhbHRlcmFfYXZhbG9uX3RpbWVyLnMxJyAvPjxzbGF2ZSBuYW1lPSdzZW0uY3RsX3NsYXZlJyBzdGFydD0nMHgyMTA2MCcgZW5kPScweDIxMDY4JyB0eXBlPSdzZW0uY3RsX3NsYXZlJyAvPjxzbGF2ZSBuYW1lPSdqdGFnX3VhcnQuYXZhbG9uX2p0YWdfc2xhdmUnIHN0YXJ0PScweDIxMDY4JyBlbmQ9JzB4MjEwNzAnIHR5cGU9J2FsdGVyYV9hdmFsb25fanRhZ191YXJ0LmF2YWxvbl9qdGFnX3NsYXZlJyAvPjwvYWRkcmVzcy1tYXA+::ZGF0YVNsYXZlTWFwUGFyYW0=" +set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGF0YVNsYXZlTWFwUGFyYW0=::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdtZW0uczInIHN0YXJ0PScweDAnIGVuZD0nMHgyMDAwMCcgdHlwZT0nYWx0ZXJhX2F2YWxvbl9vbmNoaXBfbWVtb3J5Mi5zMicgLz48c2xhdmUgbmFtZT0nY3B1LmRlYnVnX21lbV9zbGF2ZScgc3RhcnQ9JzB4MjA4MDAnIGVuZD0nMHgyMTAwMCcgdHlwZT0nYWx0ZXJhX25pb3MyX2dlbjIuZGVidWdfbWVtX3NsYXZlJyAvPjxzbGF2ZSBuYW1lPSdzeXNfY2xrX3RpbWVyLnMxJyBzdGFydD0nMHgyMTAwMCcgZW5kPScweDIxMDIwJyB0eXBlPSdhbHRlcmFfYXZhbG9uX3RpbWVyLnMxJyAvPjxzbGF2ZSBuYW1lPSdqdGFnX3VhcnQuYXZhbG9uX2p0YWdfc2xhdmUnIHN0YXJ0PScweDIxMDIwJyBlbmQ9JzB4MjEwMjgnIHR5cGU9J2FsdGVyYV9hdmFsb25fanRhZ191YXJ0LmF2YWxvbl9qdGFnX3NsYXZlJyAvPjxzbGF2ZSBuYW1lPSdzaWdkZWxfMC5hdmFsb25fc2xhdmUnIHN0YXJ0PScweDIxMDI4JyBlbmQ9JzB4MjEwMkMnIHR5cGU9J3NpZ2RlbC5hdmFsb25fc2xhdmUnIC8+PC9hZGRyZXNzLW1hcD4=::ZGF0YVNsYXZlTWFwUGFyYW0=" set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "Y2xvY2tGcmVxdWVuY3k=::NTAwMDAwMDA=::Y2xvY2tGcmVxdWVuY3k=" set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGV2aWNlRmFtaWx5TmFtZQ==::Q3ljbG9uZSBJViBF::ZGV2aWNlRmFtaWx5TmFtZQ==" set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "aW50ZXJuYWxJcnFNYXNrU3lzdGVtSW5mbw==::Mw==::aW50ZXJuYWxJcnFNYXNrU3lzdGVtSW5mbw==" @@ -1042,7 +1042,7 @@ set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPON set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGF0YU1hc3RlckhpZ2hQZXJmb3JtYW5jZUFkZHJXaWR0aA==::MQ==::ZGF0YU1hc3RlckhpZ2hQZXJmb3JtYW5jZUFkZHJXaWR0aA==" set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "aW5zdHJ1Y3Rpb25NYXN0ZXJIaWdoUGVyZm9ybWFuY2VBZGRyV2lkdGg=::MQ==::aW5zdHJ1Y3Rpb25NYXN0ZXJIaWdoUGVyZm9ybWFuY2VBZGRyV2lkdGg=" set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "aW5zdFNsYXZlTWFwUGFyYW0=::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdtZW0uczEnIHN0YXJ0PScweDAnIGVuZD0nMHgyMDAwMCcgdHlwZT0nYWx0ZXJhX2F2YWxvbl9vbmNoaXBfbWVtb3J5Mi5zMScgLz48c2xhdmUgbmFtZT0nY3B1LmRlYnVnX21lbV9zbGF2ZScgc3RhcnQ9JzB4MjA4MDAnIGVuZD0nMHgyMTAwMCcgdHlwZT0nYWx0ZXJhX25pb3MyX2dlbjIuZGVidWdfbWVtX3NsYXZlJyAvPjwvYWRkcmVzcy1tYXA+::aW5zdFNsYXZlTWFwUGFyYW0=" -set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGF0YVNsYXZlTWFwUGFyYW0=::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdtZW0uczInIHN0YXJ0PScweDAnIGVuZD0nMHgyMDAwMCcgdHlwZT0nYWx0ZXJhX2F2YWxvbl9vbmNoaXBfbWVtb3J5Mi5zMicgLz48c2xhdmUgbmFtZT0nY3B1LmRlYnVnX21lbV9zbGF2ZScgc3RhcnQ9JzB4MjA4MDAnIGVuZD0nMHgyMTAwMCcgdHlwZT0nYWx0ZXJhX25pb3MyX2dlbjIuZGVidWdfbWVtX3NsYXZlJyAvPjxzbGF2ZSBuYW1lPSdzZW0ucmFtX3NsYXZlJyBzdGFydD0nMHgyMTAwMCcgZW5kPScweDIxMDQwJyB0eXBlPSdzZW0ucmFtX3NsYXZlJyAvPjxzbGF2ZSBuYW1lPSdzeXNfY2xrX3RpbWVyLnMxJyBzdGFydD0nMHgyMTA0MCcgZW5kPScweDIxMDYwJyB0eXBlPSdhbHRlcmFfYXZhbG9uX3RpbWVyLnMxJyAvPjxzbGF2ZSBuYW1lPSdzZW0uY3RsX3NsYXZlJyBzdGFydD0nMHgyMTA2MCcgZW5kPScweDIxMDY4JyB0eXBlPSdzZW0uY3RsX3NsYXZlJyAvPjxzbGF2ZSBuYW1lPSdqdGFnX3VhcnQuYXZhbG9uX2p0YWdfc2xhdmUnIHN0YXJ0PScweDIxMDY4JyBlbmQ9JzB4MjEwNzAnIHR5cGU9J2FsdGVyYV9hdmFsb25fanRhZ191YXJ0LmF2YWxvbl9qdGFnX3NsYXZlJyAvPjwvYWRkcmVzcy1tYXA+::ZGF0YVNsYXZlTWFwUGFyYW0=" +set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGF0YVNsYXZlTWFwUGFyYW0=::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdtZW0uczInIHN0YXJ0PScweDAnIGVuZD0nMHgyMDAwMCcgdHlwZT0nYWx0ZXJhX2F2YWxvbl9vbmNoaXBfbWVtb3J5Mi5zMicgLz48c2xhdmUgbmFtZT0nY3B1LmRlYnVnX21lbV9zbGF2ZScgc3RhcnQ9JzB4MjA4MDAnIGVuZD0nMHgyMTAwMCcgdHlwZT0nYWx0ZXJhX25pb3MyX2dlbjIuZGVidWdfbWVtX3NsYXZlJyAvPjxzbGF2ZSBuYW1lPSdzeXNfY2xrX3RpbWVyLnMxJyBzdGFydD0nMHgyMTAwMCcgZW5kPScweDIxMDIwJyB0eXBlPSdhbHRlcmFfYXZhbG9uX3RpbWVyLnMxJyAvPjxzbGF2ZSBuYW1lPSdqdGFnX3VhcnQuYXZhbG9uX2p0YWdfc2xhdmUnIHN0YXJ0PScweDIxMDIwJyBlbmQ9JzB4MjEwMjgnIHR5cGU9J2FsdGVyYV9hdmFsb25fanRhZ191YXJ0LmF2YWxvbl9qdGFnX3NsYXZlJyAvPjxzbGF2ZSBuYW1lPSdzaWdkZWxfMC5hdmFsb25fc2xhdmUnIHN0YXJ0PScweDIxMDI4JyBlbmQ9JzB4MjEwMkMnIHR5cGU9J3NpZ2RlbC5hdmFsb25fc2xhdmUnIC8+PC9hZGRyZXNzLW1hcD4=::ZGF0YVNsYXZlTWFwUGFyYW0=" set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "Y2xvY2tGcmVxdWVuY3k=::NTAwMDAwMDA=::Y2xvY2tGcmVxdWVuY3k=" set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGV2aWNlRmFtaWx5TmFtZQ==::Q3ljbG9uZSBJViBF::ZGV2aWNlRmFtaWx5TmFtZQ==" set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "aW50ZXJuYWxJcnFNYXNrU3lzdGVtSW5mbw==::Mw==::aW50ZXJuYWxJcnFNYXNrU3lzdGVtSW5mbw==" @@ -1065,7 +1065,7 @@ set_global_assignment -library "niosII" -name SYSTEMVERILOG_FILE [file join $::q set_global_assignment -library "niosII" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/niosII_mm_interconnect_0_cmd_mux.sv"] set_global_assignment -library "niosII" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/niosII_mm_interconnect_0_cmd_demux_001.sv"] set_global_assignment -library "niosII" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/niosII_mm_interconnect_0_cmd_demux.sv"] -set_global_assignment -library "niosII" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/niosII_mm_interconnect_0_router_008.sv"] +set_global_assignment -library "niosII" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/niosII_mm_interconnect_0_router_007.sv"] set_global_assignment -library "niosII" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/niosII_mm_interconnect_0_router_004.sv"] set_global_assignment -library "niosII" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/niosII_mm_interconnect_0_router_002.sv"] set_global_assignment -library "niosII" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/niosII_mm_interconnect_0_router_001.sv"] @@ -1077,8 +1077,11 @@ set_global_assignment -library "niosII" -name SYSTEMVERILOG_FILE [file join $::q set_global_assignment -library "niosII" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_slave_translator.sv"] set_global_assignment -library "niosII" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_master_translator.sv"] set_global_assignment -library "niosII" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/niosII_sys_clk_timer.v"] -set_global_assignment -library "niosII" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/dec.sv"] -set_global_assignment -library "niosII" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/periodram.v"] +set_global_assignment -library "niosII" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/phacc.sv"] +set_global_assignment -library "niosII" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/sdmod.sv"] +set_global_assignment -library "niosII" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/sigdel.sv"] +set_global_assignment -library "niosII" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/sinelut.v"] +set_global_assignment -library "niosII" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/sine256.mif"] set_global_assignment -library "niosII" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/niosII_mem.hex"] set_global_assignment -library "niosII" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/niosII_mem.v"] set_global_assignment -library "niosII" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/niosII_jtag_uart.v"] @@ -1129,9 +1132,9 @@ set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux_001" -library set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux" -library "niosII" -name IP_TOOL_NAME "altera_merlin_demultiplexer" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux" -library "niosII" -name IP_TOOL_VERSION "18.1" set_global_assignment -entity "niosII_mm_interconnect_0_cmd_demux" -library "niosII" -name IP_TOOL_ENV "Qsys" -set_global_assignment -entity "niosII_mm_interconnect_0_router_008" -library "niosII" -name IP_TOOL_NAME "altera_merlin_router" -set_global_assignment -entity "niosII_mm_interconnect_0_router_008" -library "niosII" -name IP_TOOL_VERSION "18.1" -set_global_assignment -entity "niosII_mm_interconnect_0_router_008" -library "niosII" -name IP_TOOL_ENV "Qsys" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_TOOL_NAME "altera_merlin_router" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_TOOL_VERSION "18.1" +set_global_assignment -entity "niosII_mm_interconnect_0_router_007" -library "niosII" -name IP_TOOL_ENV "Qsys" set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_TOOL_NAME "altera_merlin_router" set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_TOOL_VERSION "18.1" set_global_assignment -entity "niosII_mm_interconnect_0_router_004" -library "niosII" -name IP_TOOL_ENV "Qsys" diff --git a/Top/niosII/synthesis/niosII.v b/Top/niosII/synthesis/niosII.v index 5ac864a..c4776d8 100644 --- a/Top/niosII/synthesis/niosII.v +++ b/Top/niosII/synthesis/niosII.v @@ -4,12 +4,9 @@ `timescale 1 ps / 1 ps module niosII ( - input wire clk_clk, // clk.clk - input wire reset_reset_n, // reset.reset_n - input wire sem_export_train, // sem_export.train - output wire sem_export_red, // .red - output wire sem_export_yellow, // .yellow - output wire sem_export_green // .green + input wire clk_clk, // clk.clk + output wire conduit_end_writeresponsevalid_n, // conduit_end.writeresponsevalid_n + input wire reset_reset_n // reset.reset_n ); wire [31:0] cpu_data_master_readdata; // mm_interconnect_0:cpu_data_master_readdata -> cpu:d_readdata @@ -31,11 +28,8 @@ module niosII ( wire mm_interconnect_0_jtag_uart_avalon_jtag_slave_read; // mm_interconnect_0:jtag_uart_avalon_jtag_slave_read -> jtag_uart:av_read_n wire mm_interconnect_0_jtag_uart_avalon_jtag_slave_write; // mm_interconnect_0:jtag_uart_avalon_jtag_slave_write -> jtag_uart:av_write_n wire [31:0] mm_interconnect_0_jtag_uart_avalon_jtag_slave_writedata; // mm_interconnect_0:jtag_uart_avalon_jtag_slave_writedata -> jtag_uart:av_writedata - wire [31:0] mm_interconnect_0_sem_ctl_slave_readdata; // sem:ctl_rddata -> mm_interconnect_0:sem_ctl_slave_readdata - wire [0:0] mm_interconnect_0_sem_ctl_slave_address; // mm_interconnect_0:sem_ctl_slave_address -> sem:ctl_addr - wire mm_interconnect_0_sem_ctl_slave_read; // mm_interconnect_0:sem_ctl_slave_read -> sem:ctl_rd - wire mm_interconnect_0_sem_ctl_slave_write; // mm_interconnect_0:sem_ctl_slave_write -> sem:ctl_wr - wire [31:0] mm_interconnect_0_sem_ctl_slave_writedata; // mm_interconnect_0:sem_ctl_slave_writedata -> sem:ctl_wrdata + wire mm_interconnect_0_sigdel_0_avalon_slave_write; // mm_interconnect_0:sigdel_0_avalon_slave_write -> sigdel_0:wr_n + wire [31:0] mm_interconnect_0_sigdel_0_avalon_slave_writedata; // mm_interconnect_0:sigdel_0_avalon_slave_writedata -> sigdel_0:wr_data wire [31:0] mm_interconnect_0_cpu_debug_mem_slave_readdata; // cpu:debug_mem_slave_readdata -> mm_interconnect_0:cpu_debug_mem_slave_readdata wire mm_interconnect_0_cpu_debug_mem_slave_waitrequest; // cpu:debug_mem_slave_waitrequest -> mm_interconnect_0:cpu_debug_mem_slave_waitrequest wire mm_interconnect_0_cpu_debug_mem_slave_debugaccess; // mm_interconnect_0:cpu_debug_mem_slave_debugaccess -> cpu:debug_mem_slave_debugaccess @@ -44,9 +38,6 @@ module niosII ( wire [3:0] mm_interconnect_0_cpu_debug_mem_slave_byteenable; // mm_interconnect_0:cpu_debug_mem_slave_byteenable -> cpu:debug_mem_slave_byteenable wire mm_interconnect_0_cpu_debug_mem_slave_write; // mm_interconnect_0:cpu_debug_mem_slave_write -> cpu:debug_mem_slave_write wire [31:0] mm_interconnect_0_cpu_debug_mem_slave_writedata; // mm_interconnect_0:cpu_debug_mem_slave_writedata -> cpu:debug_mem_slave_writedata - wire [3:0] mm_interconnect_0_sem_ram_slave_address; // mm_interconnect_0:sem_ram_slave_address -> sem:ram_addr - wire mm_interconnect_0_sem_ram_slave_write; // mm_interconnect_0:sem_ram_slave_write -> sem:ram_wr - wire [31:0] mm_interconnect_0_sem_ram_slave_writedata; // mm_interconnect_0:sem_ram_slave_writedata -> sem:ram_wrdata wire mm_interconnect_0_sys_clk_timer_s1_chipselect; // mm_interconnect_0:sys_clk_timer_s1_chipselect -> sys_clk_timer:chipselect wire [15:0] mm_interconnect_0_sys_clk_timer_s1_readdata; // sys_clk_timer:readdata -> mm_interconnect_0:sys_clk_timer_s1_readdata wire [2:0] mm_interconnect_0_sys_clk_timer_s1_address; // mm_interconnect_0:sys_clk_timer_s1_address -> sys_clk_timer:address @@ -69,7 +60,7 @@ module niosII ( wire irq_mapper_receiver0_irq; // sys_clk_timer:irq -> irq_mapper:receiver0_irq wire irq_mapper_receiver1_irq; // jtag_uart:av_irq -> irq_mapper:receiver1_irq wire [31:0] cpu_irq_irq; // irq_mapper:sender_irq -> cpu:irq - wire rst_controller_reset_out_reset; // rst_controller:reset_out -> [cpu:reset_n, irq_mapper:reset, jtag_uart:rst_n, mem:reset, mm_interconnect_0:cpu_reset_reset_bridge_in_reset_reset, rst_translator:in_reset, sem:clrn, sys_clk_timer:reset_n] + wire rst_controller_reset_out_reset; // rst_controller:reset_out -> [cpu:reset_n, irq_mapper:reset, jtag_uart:rst_n, mem:reset, mm_interconnect_0:cpu_reset_reset_bridge_in_reset_reset, rst_translator:in_reset, sigdel_0:clr_n, sys_clk_timer:reset_n] wire rst_controller_reset_out_reset_req; // rst_controller:reset_req -> [cpu:reset_req, mem:reset_req, rst_translator:reset_req_in] wire cpu_debug_reset_request_reset; // cpu:debug_reset_request -> rst_controller:reset_in1 @@ -136,23 +127,14 @@ module niosII ( .freeze (1'b0) // (terminated) ); - dec #( - .m (32) - ) sem ( - .clk (clk_clk), // clock.clk - .ctl_wr (mm_interconnect_0_sem_ctl_slave_write), // ctl_slave.write - .ctl_rd (mm_interconnect_0_sem_ctl_slave_read), // .read - .ctl_addr (mm_interconnect_0_sem_ctl_slave_address), // .address - .ctl_wrdata (mm_interconnect_0_sem_ctl_slave_writedata), // .writedata - .ctl_rddata (mm_interconnect_0_sem_ctl_slave_readdata), // .readdata - .clrn (~rst_controller_reset_out_reset), // reset_n.reset_n - .ram_wr (mm_interconnect_0_sem_ram_slave_write), // ram_slave.write - .ram_addr (mm_interconnect_0_sem_ram_slave_address), // .address - .ram_wrdata (mm_interconnect_0_sem_ram_slave_writedata), // .writedata - .train (sem_export_train), // sem.train - .red (sem_export_red), // .red - .yellow (sem_export_yellow), // .yellow - .green (sem_export_green) // .green + sigdel #( + .PHACC_WIDTH (14) + ) sigdel_0 ( + .clk (clk_clk), // clock.clk + .clr_n (~rst_controller_reset_out_reset), // reset_sink.reset_n + .fout (conduit_end_writeresponsevalid_n), // conduit_end.writeresponsevalid_n + .wr_n (~mm_interconnect_0_sigdel_0_avalon_slave_write), // avalon_slave.write_n + .wr_data (mm_interconnect_0_sigdel_0_avalon_slave_writedata) // .writedata ); niosII_sys_clk_timer sys_clk_timer ( @@ -210,14 +192,8 @@ module niosII ( .mem_s2_byteenable (mm_interconnect_0_mem_s2_byteenable), // .byteenable .mem_s2_chipselect (mm_interconnect_0_mem_s2_chipselect), // .chipselect .mem_s2_clken (mm_interconnect_0_mem_s2_clken), // .clken - .sem_ctl_slave_address (mm_interconnect_0_sem_ctl_slave_address), // sem_ctl_slave.address - .sem_ctl_slave_write (mm_interconnect_0_sem_ctl_slave_write), // .write - .sem_ctl_slave_read (mm_interconnect_0_sem_ctl_slave_read), // .read - .sem_ctl_slave_readdata (mm_interconnect_0_sem_ctl_slave_readdata), // .readdata - .sem_ctl_slave_writedata (mm_interconnect_0_sem_ctl_slave_writedata), // .writedata - .sem_ram_slave_address (mm_interconnect_0_sem_ram_slave_address), // sem_ram_slave.address - .sem_ram_slave_write (mm_interconnect_0_sem_ram_slave_write), // .write - .sem_ram_slave_writedata (mm_interconnect_0_sem_ram_slave_writedata), // .writedata + .sigdel_0_avalon_slave_write (mm_interconnect_0_sigdel_0_avalon_slave_write), // sigdel_0_avalon_slave.write + .sigdel_0_avalon_slave_writedata (mm_interconnect_0_sigdel_0_avalon_slave_writedata), // .writedata .sys_clk_timer_s1_address (mm_interconnect_0_sys_clk_timer_s1_address), // sys_clk_timer_s1.address .sys_clk_timer_s1_write (mm_interconnect_0_sys_clk_timer_s1_write), // .write .sys_clk_timer_s1_readdata (mm_interconnect_0_sys_clk_timer_s1_readdata), // .readdata diff --git a/Top/niosII/synthesis/submodules/altera_avalon_sc_fifo.v b/Top/niosII/synthesis/submodules/altera_avalon_sc_fifo.v index 0d57cc2..cf8576a 100644 --- a/Top/niosII/synthesis/submodules/altera_avalon_sc_fifo.v +++ b/Top/niosII/synthesis/submodules/altera_avalon_sc_fifo.v @@ -1,915 +1,915 @@ -// ----------------------------------------------------------- -// Legal Notice: (C)2007 Altera Corporation. All rights reserved. Your -// use of Altera Corporation's design tools, logic functions and other -// software and tools, and its AMPP partner logic functions, and any -// output files any of the foregoing (including device programming or -// simulation files), and any associated documentation or information are -// expressly subject to the terms and conditions of the Altera Program -// License Subscription Agreement or other applicable license agreement, -// including, without limitation, that your use is for the sole purpose -// of programming logic devices manufactured by Altera and sold by Altera -// or its authorized distributors. Please refer to the applicable -// agreement for further details. -// -// Description: Single clock Avalon-ST FIFO. -// ----------------------------------------------------------- - -`timescale 1 ns / 1 ns - - -//altera message_off 10036 -module altera_avalon_sc_fifo -#( - // -------------------------------------------------- - // Parameters - // -------------------------------------------------- - parameter SYMBOLS_PER_BEAT = 1, - parameter BITS_PER_SYMBOL = 8, - parameter FIFO_DEPTH = 16, - parameter CHANNEL_WIDTH = 0, - parameter ERROR_WIDTH = 0, - parameter USE_PACKETS = 0, - parameter USE_FILL_LEVEL = 0, - parameter USE_STORE_FORWARD = 0, - parameter USE_ALMOST_FULL_IF = 0, - parameter USE_ALMOST_EMPTY_IF = 0, - - // -------------------------------------------------- - // Empty latency is defined as the number of cycles - // required for a write to deassert the empty flag. - // For example, a latency of 1 means that the empty - // flag is deasserted on the cycle after a write. - // - // Another way to think of it is the latency for a - // write to propagate to the output. - // - // An empty latency of 0 implies lookahead, which is - // only implemented for the register-based FIFO. - // -------------------------------------------------- - parameter EMPTY_LATENCY = 3, - parameter USE_MEMORY_BLOCKS = 1, - - // -------------------------------------------------- - // Internal Parameters - // -------------------------------------------------- - parameter DATA_WIDTH = SYMBOLS_PER_BEAT * BITS_PER_SYMBOL, - parameter EMPTY_WIDTH = log2ceil(SYMBOLS_PER_BEAT) -) -( - // -------------------------------------------------- - // Ports - // -------------------------------------------------- - input clk, - input reset, - - input [DATA_WIDTH-1: 0] in_data, - input in_valid, - input in_startofpacket, - input in_endofpacket, - input [((EMPTY_WIDTH>0) ? (EMPTY_WIDTH-1):0) : 0] in_empty, - input [((ERROR_WIDTH>0) ? (ERROR_WIDTH-1):0) : 0] in_error, - input [((CHANNEL_WIDTH>0) ? (CHANNEL_WIDTH-1):0): 0] in_channel, - output in_ready, - - output [DATA_WIDTH-1 : 0] out_data, - output reg out_valid, - output out_startofpacket, - output out_endofpacket, - output [((EMPTY_WIDTH>0) ? (EMPTY_WIDTH-1):0) : 0] out_empty, - output [((ERROR_WIDTH>0) ? (ERROR_WIDTH-1):0) : 0] out_error, - output [((CHANNEL_WIDTH>0) ? (CHANNEL_WIDTH-1):0): 0] out_channel, - input out_ready, - - input [(USE_STORE_FORWARD ? 2 : 1) : 0] csr_address, - input csr_write, - input csr_read, - input [31 : 0] csr_writedata, - output reg [31 : 0] csr_readdata, - - output wire almost_full_data, - output wire almost_empty_data -); - - // -------------------------------------------------- - // Local Parameters - // -------------------------------------------------- - localparam ADDR_WIDTH = log2ceil(FIFO_DEPTH); - localparam DEPTH = FIFO_DEPTH; - localparam PKT_SIGNALS_WIDTH = 2 + EMPTY_WIDTH; - localparam PAYLOAD_WIDTH = (USE_PACKETS == 1) ? - 2 + EMPTY_WIDTH + DATA_WIDTH + ERROR_WIDTH + CHANNEL_WIDTH: - DATA_WIDTH + ERROR_WIDTH + CHANNEL_WIDTH; - - // -------------------------------------------------- - // Internal Signals - // -------------------------------------------------- - genvar i; - - reg [PAYLOAD_WIDTH-1 : 0] mem [DEPTH-1 : 0]; - reg [ADDR_WIDTH-1 : 0] wr_ptr; - reg [ADDR_WIDTH-1 : 0] rd_ptr; - reg [DEPTH-1 : 0] mem_used; - - wire [ADDR_WIDTH-1 : 0] next_wr_ptr; - wire [ADDR_WIDTH-1 : 0] next_rd_ptr; - wire [ADDR_WIDTH-1 : 0] incremented_wr_ptr; - wire [ADDR_WIDTH-1 : 0] incremented_rd_ptr; - - wire [ADDR_WIDTH-1 : 0] mem_rd_ptr; - - wire read; - wire write; - - reg empty; - reg next_empty; - reg full; - reg next_full; - - wire [PKT_SIGNALS_WIDTH-1 : 0] in_packet_signals; - wire [PKT_SIGNALS_WIDTH-1 : 0] out_packet_signals; - wire [PAYLOAD_WIDTH-1 : 0] in_payload; - reg [PAYLOAD_WIDTH-1 : 0] internal_out_payload; - reg [PAYLOAD_WIDTH-1 : 0] out_payload; - - reg internal_out_valid; - wire internal_out_ready; - - reg [ADDR_WIDTH : 0] fifo_fill_level; - reg [ADDR_WIDTH : 0] fill_level; - - reg [ADDR_WIDTH-1 : 0] sop_ptr = 0; - wire [ADDR_WIDTH-1 : 0] curr_sop_ptr; - reg [23:0] almost_full_threshold; - reg [23:0] almost_empty_threshold; - reg [23:0] cut_through_threshold; - reg [15:0] pkt_cnt; - reg drop_on_error_en; - reg error_in_pkt; - reg pkt_has_started; - reg sop_has_left_fifo; - reg fifo_too_small_r; - reg pkt_cnt_eq_zero; - reg pkt_cnt_eq_one; - - wire wait_for_threshold; - reg pkt_mode; - wire wait_for_pkt; - wire ok_to_forward; - wire in_pkt_eop_arrive; - wire out_pkt_leave; - wire in_pkt_start; - wire in_pkt_error; - wire drop_on_error; - wire fifo_too_small; - wire out_pkt_sop_leave; - wire [31:0] max_fifo_size; - reg fifo_fill_level_lt_cut_through_threshold; - - // -------------------------------------------------- - // Define Payload - // - // Icky part where we decide which signals form the - // payload to the FIFO with generate blocks. - // -------------------------------------------------- - generate - if (EMPTY_WIDTH > 0) begin : gen_blk1 - assign in_packet_signals = {in_startofpacket, in_endofpacket, in_empty}; - assign {out_startofpacket, out_endofpacket, out_empty} = out_packet_signals; - end - else begin : gen_blk1_else - assign out_empty = in_error; - assign in_packet_signals = {in_startofpacket, in_endofpacket}; - assign {out_startofpacket, out_endofpacket} = out_packet_signals; - end - endgenerate - - generate - if (USE_PACKETS) begin : gen_blk2 - if (ERROR_WIDTH > 0) begin : gen_blk3 - if (CHANNEL_WIDTH > 0) begin : gen_blk4 - assign in_payload = {in_packet_signals, in_data, in_error, in_channel}; - assign {out_packet_signals, out_data, out_error, out_channel} = out_payload; - end - else begin : gen_blk4_else - assign out_channel = in_channel; - assign in_payload = {in_packet_signals, in_data, in_error}; - assign {out_packet_signals, out_data, out_error} = out_payload; - end - end - else begin : gen_blk3_else - assign out_error = in_error; - if (CHANNEL_WIDTH > 0) begin : gen_blk5 - assign in_payload = {in_packet_signals, in_data, in_channel}; - assign {out_packet_signals, out_data, out_channel} = out_payload; - end - else begin : gen_blk5_else - assign out_channel = in_channel; - assign in_payload = {in_packet_signals, in_data}; - assign {out_packet_signals, out_data} = out_payload; - end - end - end - else begin : gen_blk2_else - assign out_packet_signals = 0; - if (ERROR_WIDTH > 0) begin : gen_blk6 - if (CHANNEL_WIDTH > 0) begin : gen_blk7 - assign in_payload = {in_data, in_error, in_channel}; - assign {out_data, out_error, out_channel} = out_payload; - end - else begin : gen_blk7_else - assign out_channel = in_channel; - assign in_payload = {in_data, in_error}; - assign {out_data, out_error} = out_payload; - end - end - else begin : gen_blk6_else - assign out_error = in_error; - if (CHANNEL_WIDTH > 0) begin : gen_blk8 - assign in_payload = {in_data, in_channel}; - assign {out_data, out_channel} = out_payload; - end - else begin : gen_blk8_else - assign out_channel = in_channel; - assign in_payload = in_data; - assign out_data = out_payload; - end - end - end - endgenerate - - // -------------------------------------------------- - // Memory-based FIFO storage - // - // To allow a ready latency of 0, the read index is - // obtained from the next read pointer and memory - // outputs are unregistered. - // - // If the empty latency is 1, we infer bypass logic - // around the memory so writes propagate to the - // outputs on the next cycle. - // - // Do not change the way this is coded: Quartus needs - // a perfect match to the template, and any attempt to - // refactor the two always blocks into one will break - // memory inference. - // -------------------------------------------------- - generate if (USE_MEMORY_BLOCKS == 1) begin : gen_blk9 - - if (EMPTY_LATENCY == 1) begin : gen_blk10 - - always @(posedge clk) begin - if (in_valid && in_ready) - mem[wr_ptr] = in_payload; - - internal_out_payload = mem[mem_rd_ptr]; - end - - end else begin : gen_blk10_else - - always @(posedge clk) begin - if (in_valid && in_ready) - mem[wr_ptr] <= in_payload; - - internal_out_payload <= mem[mem_rd_ptr]; - end - - end - - assign mem_rd_ptr = next_rd_ptr; - - end else begin : gen_blk9_else - - // -------------------------------------------------- - // Register-based FIFO storage - // - // Uses a shift register as the storage element. Each - // shift register slot has a bit which indicates if - // the slot is occupied (credit to Sam H for the idea). - // The occupancy bits are contiguous and start from the - // lsb, so 0000, 0001, 0011, 0111, 1111 for a 4-deep - // FIFO. - // - // Each slot is enabled during a read or when it - // is unoccupied. New data is always written to every - // going-to-be-empty slot (we keep track of which ones - // are actually useful with the occupancy bits). On a - // read we shift occupied slots. - // - // The exception is the last slot, which always gets - // new data when it is unoccupied. - // -------------------------------------------------- - for (i = 0; i < DEPTH-1; i = i + 1) begin : shift_reg - always @(posedge clk or posedge reset) begin - if (reset) begin - mem[i] <= 0; - end - else if (read || !mem_used[i]) begin - if (!mem_used[i+1]) - mem[i] <= in_payload; - else - mem[i] <= mem[i+1]; - end - end - end - - always @(posedge clk, posedge reset) begin - if (reset) begin - mem[DEPTH-1] <= 0; - end - else begin - if (DEPTH == 1) begin - if (write) - mem[DEPTH-1] <= in_payload; - end - else if (!mem_used[DEPTH-1]) - mem[DEPTH-1] <= in_payload; - end - end - - end - endgenerate - - assign read = internal_out_ready && internal_out_valid && ok_to_forward; - assign write = in_ready && in_valid; - - // -------------------------------------------------- - // Pointer Management - // -------------------------------------------------- - generate if (USE_MEMORY_BLOCKS == 1) begin : gen_blk11 - - assign incremented_wr_ptr = wr_ptr + 1'b1; - assign incremented_rd_ptr = rd_ptr + 1'b1; - assign next_wr_ptr = drop_on_error ? curr_sop_ptr : write ? incremented_wr_ptr : wr_ptr; - assign next_rd_ptr = (read) ? incremented_rd_ptr : rd_ptr; - - always @(posedge clk or posedge reset) begin - if (reset) begin - wr_ptr <= 0; - rd_ptr <= 0; - end - else begin - wr_ptr <= next_wr_ptr; - rd_ptr <= next_rd_ptr; - end - end - - end else begin : gen_blk11_else - - // -------------------------------------------------- - // Shift Register Occupancy Bits - // - // Consider a 4-deep FIFO with 2 entries: 0011 - // On a read and write, do not modify the bits. - // On a write, left-shift the bits to get 0111. - // On a read, right-shift the bits to get 0001. - // - // Also, on a write we set bit0 (the head), while - // clearing the tail on a read. - // -------------------------------------------------- - always @(posedge clk or posedge reset) begin - if (reset) begin - mem_used[0] <= 0; - end - else begin - if (write ^ read) begin - if (write) - mem_used[0] <= 1; - else if (read) begin - if (DEPTH > 1) - mem_used[0] <= mem_used[1]; - else - mem_used[0] <= 0; - end - end - end - end - - if (DEPTH > 1) begin : gen_blk12 - always @(posedge clk or posedge reset) begin - if (reset) begin - mem_used[DEPTH-1] <= 0; - end - else begin - if (write ^ read) begin - mem_used[DEPTH-1] <= 0; - if (write) - mem_used[DEPTH-1] <= mem_used[DEPTH-2]; - end - end - end - end - - for (i = 1; i < DEPTH-1; i = i + 1) begin : storage_logic - always @(posedge clk, posedge reset) begin - if (reset) begin - mem_used[i] <= 0; - end - else begin - if (write ^ read) begin - if (write) - mem_used[i] <= mem_used[i-1]; - else if (read) - mem_used[i] <= mem_used[i+1]; - end - end - end - end - - end - endgenerate - - - // -------------------------------------------------- - // Memory FIFO Status Management - // - // Generates the full and empty signals from the - // pointers. The FIFO is full when the next write - // pointer will be equal to the read pointer after - // a write. Reading from a FIFO clears full. - // - // The FIFO is empty when the next read pointer will - // be equal to the write pointer after a read. Writing - // to a FIFO clears empty. - // - // A simultaneous read and write must not change any of - // the empty or full flags unless there is a drop on error event. - // -------------------------------------------------- - generate if (USE_MEMORY_BLOCKS == 1) begin : gen_blk13 - - always @* begin - next_full = full; - next_empty = empty; - - if (read && !write) begin - next_full = 1'b0; - - if (incremented_rd_ptr == wr_ptr) - next_empty = 1'b1; - end - - if (write && !read) begin - if (!drop_on_error) - next_empty = 1'b0; - else if (curr_sop_ptr == rd_ptr) // drop on error and only 1 pkt in fifo - next_empty = 1'b1; - - if (incremented_wr_ptr == rd_ptr && !drop_on_error) - next_full = 1'b1; - end - - if (write && read && drop_on_error) begin - if (curr_sop_ptr == next_rd_ptr) - next_empty = 1'b1; - end - end - - always @(posedge clk or posedge reset) begin - if (reset) begin - empty <= 1; - full <= 0; - end - else begin - empty <= next_empty; - full <= next_full; - end - end - - end else begin : gen_blk13_else - // -------------------------------------------------- - // Register FIFO Status Management - // - // Full when the tail occupancy bit is 1. Empty when - // the head occupancy bit is 0. - // -------------------------------------------------- - always @* begin - full = mem_used[DEPTH-1]; - empty = !mem_used[0]; - - // ------------------------------------------ - // For a single slot FIFO, reading clears the - // full status immediately. - // ------------------------------------------ - if (DEPTH == 1) - full = mem_used[0] && !read; - - internal_out_payload = mem[0]; - - // ------------------------------------------ - // Writes clear empty immediately for lookahead modes. - // Note that we use in_valid instead of write to avoid - // combinational loops (in lookahead mode, qualifying - // with in_ready is meaningless). - // - // In a 1-deep FIFO, a possible combinational loop runs - // from write -> out_valid -> out_ready -> write - // ------------------------------------------ - if (EMPTY_LATENCY == 0) begin - empty = !mem_used[0] && !in_valid; - - if (!mem_used[0] && in_valid) - internal_out_payload = in_payload; - end - end - - end - endgenerate - - // -------------------------------------------------- - // Avalon-ST Signals - // - // The in_ready signal is straightforward. - // - // To match memory latency when empty latency > 1, - // out_valid assertions must be delayed by one clock - // cycle. - // - // Note: out_valid deassertions must not be delayed or - // the FIFO will underflow. - // -------------------------------------------------- - assign in_ready = !full; - assign internal_out_ready = out_ready || !out_valid; - - generate if (EMPTY_LATENCY > 1) begin : gen_blk14 - always @(posedge clk or posedge reset) begin - if (reset) - internal_out_valid <= 0; - else begin - internal_out_valid <= !empty & ok_to_forward & ~drop_on_error; - - if (read) begin - if (incremented_rd_ptr == wr_ptr) - internal_out_valid <= 1'b0; - end - end - end - end else begin : gen_blk14_else - always @* begin - internal_out_valid = !empty & ok_to_forward; - end - end - endgenerate - - // -------------------------------------------------- - // Single Output Pipeline Stage - // - // This output pipeline stage is enabled if the FIFO's - // empty latency is set to 3 (default). It is disabled - // for all other allowed latencies. - // - // Reason: The memory outputs are unregistered, so we have to - // register the output or fmax will drop if combinatorial - // logic is present on the output datapath. - // - // Q: The Avalon-ST spec says that I have to register my outputs - // But isn't the memory counted as a register? - // A: The path from the address lookup to the memory output is - // slow. Registering the memory outputs is a good idea. - // - // The registers get packed into the memory by the fitter - // which means minimal resources are consumed (the result - // is a altsyncram with registered outputs, available on - // all modern Altera devices). - // - // This output stage acts as an extra slot in the FIFO, - // and complicates the fill level. - // -------------------------------------------------- - generate if (EMPTY_LATENCY == 3) begin : gen_blk15 - always @(posedge clk or posedge reset) begin - if (reset) begin - out_valid <= 0; - out_payload <= 0; - end - else begin - if (internal_out_ready) begin - out_valid <= internal_out_valid & ok_to_forward; - out_payload <= internal_out_payload; - end - end - end - end - else begin : gen_blk15_else - always @* begin - out_valid = internal_out_valid; - out_payload = internal_out_payload; - end - end - endgenerate - - // -------------------------------------------------- - // Fill Level - // - // The fill level is calculated from the next write - // and read pointers to avoid unnecessary latency - // and logic. - // - // However, if the store-and-forward mode of the FIFO - // is enabled, the fill level is an up-down counter - // for fmax optimization reasons. - // - // If the output pipeline is enabled, the fill level - // must account for it, or we'll always be off by one. - // This may, or may not be important depending on the - // application. - // - // For now, we'll always calculate the exact fill level - // at the cost of an extra adder when the output stage - // is enabled. - // -------------------------------------------------- - generate if (USE_FILL_LEVEL) begin : gen_blk16 - wire [31:0] depth32; - assign depth32 = DEPTH; - - if (USE_STORE_FORWARD) begin - - reg [ADDR_WIDTH : 0] curr_packet_len_less_one; - - // -------------------------------------------------- - // We only drop on endofpacket. As long as we don't add to the fill - // level on the dropped endofpacket cycle, we can simply subtract - // (packet length - 1) from the fill level for dropped packets. - // -------------------------------------------------- - always @(posedge clk or posedge reset) begin - if (reset) begin - curr_packet_len_less_one <= 0; - end else begin - if (write) begin - curr_packet_len_less_one <= curr_packet_len_less_one + 1'b1; - if (in_endofpacket) - curr_packet_len_less_one <= 0; - end - end - end - - always @(posedge clk or posedge reset) begin - if (reset) begin - fifo_fill_level <= 0; - end else if (drop_on_error) begin - fifo_fill_level <= fifo_fill_level - curr_packet_len_less_one; - if (read) - fifo_fill_level <= fifo_fill_level - curr_packet_len_less_one - 1'b1; - end else if (write && !read) begin - fifo_fill_level <= fifo_fill_level + 1'b1; - end else if (read && !write) begin - fifo_fill_level <= fifo_fill_level - 1'b1; - end - end - - end else begin - - always @(posedge clk or posedge reset) begin - if (reset) - fifo_fill_level <= 0; - else if (next_full & !drop_on_error) - fifo_fill_level <= depth32[ADDR_WIDTH:0]; - else begin - fifo_fill_level[ADDR_WIDTH] <= 1'b0; - fifo_fill_level[ADDR_WIDTH-1 : 0] <= next_wr_ptr - next_rd_ptr; - end - end - - end - - always @* begin - fill_level = fifo_fill_level; - - if (EMPTY_LATENCY == 3) - fill_level = fifo_fill_level + {{ADDR_WIDTH{1'b0}}, out_valid}; - end - end - else begin : gen_blk16_else - always @* begin - fill_level = 0; - end - end - endgenerate - - generate if (USE_ALMOST_FULL_IF) begin : gen_blk17 - assign almost_full_data = (fill_level >= almost_full_threshold); - end - else - assign almost_full_data = 0; - endgenerate - - generate if (USE_ALMOST_EMPTY_IF) begin : gen_blk18 - assign almost_empty_data = (fill_level <= almost_empty_threshold); - end - else - assign almost_empty_data = 0; - endgenerate - - // -------------------------------------------------- - // Avalon-MM Status & Control Connection Point - // - // Register map: - // - // | Addr | RW | 31 - 0 | - // | 0 | R | Fill level | - // - // The registering of this connection point means - // that there is a cycle of latency between - // reads/writes and the updating of the fill level. - // -------------------------------------------------- - generate if (USE_STORE_FORWARD) begin : gen_blk19 - assign max_fifo_size = FIFO_DEPTH - 1; - always @(posedge clk or posedge reset) begin - if (reset) begin - almost_full_threshold <= max_fifo_size[23 : 0]; - almost_empty_threshold <= 0; - cut_through_threshold <= 0; - drop_on_error_en <= 0; - csr_readdata <= 0; - pkt_mode <= 1'b1; - end - else begin - if (csr_read) begin - csr_readdata <= 32'b0; - if (csr_address == 5) - csr_readdata <= {31'b0, drop_on_error_en}; - else if (csr_address == 4) - csr_readdata <= {8'b0, cut_through_threshold}; - else if (csr_address == 3) - csr_readdata <= {8'b0, almost_empty_threshold}; - else if (csr_address == 2) - csr_readdata <= {8'b0, almost_full_threshold}; - else if (csr_address == 0) - csr_readdata <= {{(31 - ADDR_WIDTH){1'b0}}, fill_level}; - end - else if (csr_write) begin - if(csr_address == 3'b101) - drop_on_error_en <= csr_writedata[0]; - else if(csr_address == 3'b100) begin - cut_through_threshold <= csr_writedata[23:0]; - pkt_mode <= (csr_writedata[23:0] == 0); - end - else if(csr_address == 3'b011) - almost_empty_threshold <= csr_writedata[23:0]; - else if(csr_address == 3'b010) - almost_full_threshold <= csr_writedata[23:0]; - end - end - end - end - else if (USE_ALMOST_FULL_IF || USE_ALMOST_EMPTY_IF) begin : gen_blk19_else1 - assign max_fifo_size = FIFO_DEPTH - 1; - always @(posedge clk or posedge reset) begin - if (reset) begin - almost_full_threshold <= max_fifo_size[23 : 0]; - almost_empty_threshold <= 0; - csr_readdata <= 0; - end - else begin - if (csr_read) begin - csr_readdata <= 32'b0; - if (csr_address == 3) - csr_readdata <= {8'b0, almost_empty_threshold}; - else if (csr_address == 2) - csr_readdata <= {8'b0, almost_full_threshold}; - else if (csr_address == 0) - csr_readdata <= {{(31 - ADDR_WIDTH){1'b0}}, fill_level}; - end - else if (csr_write) begin - if(csr_address == 3'b011) - almost_empty_threshold <= csr_writedata[23:0]; - else if(csr_address == 3'b010) - almost_full_threshold <= csr_writedata[23:0]; - end - end - end - end - else begin : gen_blk19_else2 - always @(posedge clk or posedge reset) begin - if (reset) begin - csr_readdata <= 0; - end - else if (csr_read) begin - csr_readdata <= 0; - - if (csr_address == 0) - csr_readdata <= {{(31 - ADDR_WIDTH){1'b0}}, fill_level}; - end - end - end - endgenerate - - // -------------------------------------------------- - // Store and forward logic - // -------------------------------------------------- - // if the fifo gets full before the entire packet or the - // cut-threshold condition is met then start sending out - // data in order to avoid dead-lock situation - - generate if (USE_STORE_FORWARD) begin : gen_blk20 - assign wait_for_threshold = (fifo_fill_level_lt_cut_through_threshold) & wait_for_pkt ; - assign wait_for_pkt = pkt_cnt_eq_zero | (pkt_cnt_eq_one & out_pkt_leave); - assign ok_to_forward = (pkt_mode ? (~wait_for_pkt | ~pkt_has_started) : - ~wait_for_threshold) | fifo_too_small_r; - assign in_pkt_eop_arrive = in_valid & in_ready & in_endofpacket; - assign in_pkt_start = in_valid & in_ready & in_startofpacket; - assign in_pkt_error = in_valid & in_ready & |in_error; - assign out_pkt_sop_leave = out_valid & out_ready & out_startofpacket; - assign out_pkt_leave = out_valid & out_ready & out_endofpacket; - assign fifo_too_small = (pkt_mode ? wait_for_pkt : wait_for_threshold) & full & out_ready; - - // count packets coming and going into the fifo - always @(posedge clk or posedge reset) begin - if (reset) begin - pkt_cnt <= 0; - pkt_has_started <= 0; - sop_has_left_fifo <= 0; - fifo_too_small_r <= 0; - pkt_cnt_eq_zero <= 1'b1; - pkt_cnt_eq_one <= 1'b0; - fifo_fill_level_lt_cut_through_threshold <= 1'b1; - end - else begin - fifo_fill_level_lt_cut_through_threshold <= fifo_fill_level < cut_through_threshold; - fifo_too_small_r <= fifo_too_small; - - if( in_pkt_eop_arrive ) - sop_has_left_fifo <= 1'b0; - else if (out_pkt_sop_leave & pkt_cnt_eq_zero ) - sop_has_left_fifo <= 1'b1; - - if (in_pkt_eop_arrive & ~out_pkt_leave & ~drop_on_error ) begin - pkt_cnt <= pkt_cnt + 1'b1; - pkt_cnt_eq_zero <= 0; - if (pkt_cnt == 0) - pkt_cnt_eq_one <= 1'b1; - else - pkt_cnt_eq_one <= 1'b0; - end - else if((~in_pkt_eop_arrive | drop_on_error) & out_pkt_leave) begin - pkt_cnt <= pkt_cnt - 1'b1; - if (pkt_cnt == 1) - pkt_cnt_eq_zero <= 1'b1; - else - pkt_cnt_eq_zero <= 1'b0; - if (pkt_cnt == 2) - pkt_cnt_eq_one <= 1'b1; - else - pkt_cnt_eq_one <= 1'b0; - end - - if (in_pkt_start) - pkt_has_started <= 1'b1; - else if (in_pkt_eop_arrive) - pkt_has_started <= 1'b0; - end - end - - // drop on error logic - always @(posedge clk or posedge reset) begin - if (reset) begin - sop_ptr <= 0; - error_in_pkt <= 0; - end - else begin - // save the location of the SOP - if ( in_pkt_start ) - sop_ptr <= wr_ptr; - - // remember if error in pkt - // log error only if packet has already started - if (in_pkt_eop_arrive) - error_in_pkt <= 1'b0; - else if ( in_pkt_error & (pkt_has_started | in_pkt_start)) - error_in_pkt <= 1'b1; - end - end - - assign drop_on_error = drop_on_error_en & (error_in_pkt | in_pkt_error) & in_pkt_eop_arrive & - ~sop_has_left_fifo & ~(out_pkt_sop_leave & pkt_cnt_eq_zero); - - assign curr_sop_ptr = (write && in_startofpacket && in_endofpacket) ? wr_ptr : sop_ptr; - - end - else begin : gen_blk20_else - assign ok_to_forward = 1'b1; - assign drop_on_error = 1'b0; - if (ADDR_WIDTH <= 1) - assign curr_sop_ptr = 1'b0; - else - assign curr_sop_ptr = {ADDR_WIDTH - 1 { 1'b0 }}; - end - endgenerate - - - // -------------------------------------------------- - // Calculates the log2ceil of the input value - // -------------------------------------------------- - function integer log2ceil; - input integer val; - reg[31:0] i; - - begin - i = 1; - log2ceil = 0; - - while (i < val) begin - log2ceil = log2ceil + 1; - i = i[30:0] << 1; - end - end - endfunction - -endmodule +// ----------------------------------------------------------- +// Legal Notice: (C)2007 Altera Corporation. All rights reserved. Your +// use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any +// output files any of the foregoing (including device programming or +// simulation files), and any associated documentation or information are +// expressly subject to the terms and conditions of the Altera Program +// License Subscription Agreement or other applicable license agreement, +// including, without limitation, that your use is for the sole purpose +// of programming logic devices manufactured by Altera and sold by Altera +// or its authorized distributors. Please refer to the applicable +// agreement for further details. +// +// Description: Single clock Avalon-ST FIFO. +// ----------------------------------------------------------- + +`timescale 1 ns / 1 ns + + +//altera message_off 10036 +module altera_avalon_sc_fifo +#( + // -------------------------------------------------- + // Parameters + // -------------------------------------------------- + parameter SYMBOLS_PER_BEAT = 1, + parameter BITS_PER_SYMBOL = 8, + parameter FIFO_DEPTH = 16, + parameter CHANNEL_WIDTH = 0, + parameter ERROR_WIDTH = 0, + parameter USE_PACKETS = 0, + parameter USE_FILL_LEVEL = 0, + parameter USE_STORE_FORWARD = 0, + parameter USE_ALMOST_FULL_IF = 0, + parameter USE_ALMOST_EMPTY_IF = 0, + + // -------------------------------------------------- + // Empty latency is defined as the number of cycles + // required for a write to deassert the empty flag. + // For example, a latency of 1 means that the empty + // flag is deasserted on the cycle after a write. + // + // Another way to think of it is the latency for a + // write to propagate to the output. + // + // An empty latency of 0 implies lookahead, which is + // only implemented for the register-based FIFO. + // -------------------------------------------------- + parameter EMPTY_LATENCY = 3, + parameter USE_MEMORY_BLOCKS = 1, + + // -------------------------------------------------- + // Internal Parameters + // -------------------------------------------------- + parameter DATA_WIDTH = SYMBOLS_PER_BEAT * BITS_PER_SYMBOL, + parameter EMPTY_WIDTH = log2ceil(SYMBOLS_PER_BEAT) +) +( + // -------------------------------------------------- + // Ports + // -------------------------------------------------- + input clk, + input reset, + + input [DATA_WIDTH-1: 0] in_data, + input in_valid, + input in_startofpacket, + input in_endofpacket, + input [((EMPTY_WIDTH>0) ? (EMPTY_WIDTH-1):0) : 0] in_empty, + input [((ERROR_WIDTH>0) ? (ERROR_WIDTH-1):0) : 0] in_error, + input [((CHANNEL_WIDTH>0) ? (CHANNEL_WIDTH-1):0): 0] in_channel, + output in_ready, + + output [DATA_WIDTH-1 : 0] out_data, + output reg out_valid, + output out_startofpacket, + output out_endofpacket, + output [((EMPTY_WIDTH>0) ? (EMPTY_WIDTH-1):0) : 0] out_empty, + output [((ERROR_WIDTH>0) ? (ERROR_WIDTH-1):0) : 0] out_error, + output [((CHANNEL_WIDTH>0) ? (CHANNEL_WIDTH-1):0): 0] out_channel, + input out_ready, + + input [(USE_STORE_FORWARD ? 2 : 1) : 0] csr_address, + input csr_write, + input csr_read, + input [31 : 0] csr_writedata, + output reg [31 : 0] csr_readdata, + + output wire almost_full_data, + output wire almost_empty_data +); + + // -------------------------------------------------- + // Local Parameters + // -------------------------------------------------- + localparam ADDR_WIDTH = log2ceil(FIFO_DEPTH); + localparam DEPTH = FIFO_DEPTH; + localparam PKT_SIGNALS_WIDTH = 2 + EMPTY_WIDTH; + localparam PAYLOAD_WIDTH = (USE_PACKETS == 1) ? + 2 + EMPTY_WIDTH + DATA_WIDTH + ERROR_WIDTH + CHANNEL_WIDTH: + DATA_WIDTH + ERROR_WIDTH + CHANNEL_WIDTH; + + // -------------------------------------------------- + // Internal Signals + // -------------------------------------------------- + genvar i; + + reg [PAYLOAD_WIDTH-1 : 0] mem [DEPTH-1 : 0]; + reg [ADDR_WIDTH-1 : 0] wr_ptr; + reg [ADDR_WIDTH-1 : 0] rd_ptr; + reg [DEPTH-1 : 0] mem_used; + + wire [ADDR_WIDTH-1 : 0] next_wr_ptr; + wire [ADDR_WIDTH-1 : 0] next_rd_ptr; + wire [ADDR_WIDTH-1 : 0] incremented_wr_ptr; + wire [ADDR_WIDTH-1 : 0] incremented_rd_ptr; + + wire [ADDR_WIDTH-1 : 0] mem_rd_ptr; + + wire read; + wire write; + + reg empty; + reg next_empty; + reg full; + reg next_full; + + wire [PKT_SIGNALS_WIDTH-1 : 0] in_packet_signals; + wire [PKT_SIGNALS_WIDTH-1 : 0] out_packet_signals; + wire [PAYLOAD_WIDTH-1 : 0] in_payload; + reg [PAYLOAD_WIDTH-1 : 0] internal_out_payload; + reg [PAYLOAD_WIDTH-1 : 0] out_payload; + + reg internal_out_valid; + wire internal_out_ready; + + reg [ADDR_WIDTH : 0] fifo_fill_level; + reg [ADDR_WIDTH : 0] fill_level; + + reg [ADDR_WIDTH-1 : 0] sop_ptr = 0; + wire [ADDR_WIDTH-1 : 0] curr_sop_ptr; + reg [23:0] almost_full_threshold; + reg [23:0] almost_empty_threshold; + reg [23:0] cut_through_threshold; + reg [15:0] pkt_cnt; + reg drop_on_error_en; + reg error_in_pkt; + reg pkt_has_started; + reg sop_has_left_fifo; + reg fifo_too_small_r; + reg pkt_cnt_eq_zero; + reg pkt_cnt_eq_one; + + wire wait_for_threshold; + reg pkt_mode; + wire wait_for_pkt; + wire ok_to_forward; + wire in_pkt_eop_arrive; + wire out_pkt_leave; + wire in_pkt_start; + wire in_pkt_error; + wire drop_on_error; + wire fifo_too_small; + wire out_pkt_sop_leave; + wire [31:0] max_fifo_size; + reg fifo_fill_level_lt_cut_through_threshold; + + // -------------------------------------------------- + // Define Payload + // + // Icky part where we decide which signals form the + // payload to the FIFO with generate blocks. + // -------------------------------------------------- + generate + if (EMPTY_WIDTH > 0) begin : gen_blk1 + assign in_packet_signals = {in_startofpacket, in_endofpacket, in_empty}; + assign {out_startofpacket, out_endofpacket, out_empty} = out_packet_signals; + end + else begin : gen_blk1_else + assign out_empty = in_error; + assign in_packet_signals = {in_startofpacket, in_endofpacket}; + assign {out_startofpacket, out_endofpacket} = out_packet_signals; + end + endgenerate + + generate + if (USE_PACKETS) begin : gen_blk2 + if (ERROR_WIDTH > 0) begin : gen_blk3 + if (CHANNEL_WIDTH > 0) begin : gen_blk4 + assign in_payload = {in_packet_signals, in_data, in_error, in_channel}; + assign {out_packet_signals, out_data, out_error, out_channel} = out_payload; + end + else begin : gen_blk4_else + assign out_channel = in_channel; + assign in_payload = {in_packet_signals, in_data, in_error}; + assign {out_packet_signals, out_data, out_error} = out_payload; + end + end + else begin : gen_blk3_else + assign out_error = in_error; + if (CHANNEL_WIDTH > 0) begin : gen_blk5 + assign in_payload = {in_packet_signals, in_data, in_channel}; + assign {out_packet_signals, out_data, out_channel} = out_payload; + end + else begin : gen_blk5_else + assign out_channel = in_channel; + assign in_payload = {in_packet_signals, in_data}; + assign {out_packet_signals, out_data} = out_payload; + end + end + end + else begin : gen_blk2_else + assign out_packet_signals = 0; + if (ERROR_WIDTH > 0) begin : gen_blk6 + if (CHANNEL_WIDTH > 0) begin : gen_blk7 + assign in_payload = {in_data, in_error, in_channel}; + assign {out_data, out_error, out_channel} = out_payload; + end + else begin : gen_blk7_else + assign out_channel = in_channel; + assign in_payload = {in_data, in_error}; + assign {out_data, out_error} = out_payload; + end + end + else begin : gen_blk6_else + assign out_error = in_error; + if (CHANNEL_WIDTH > 0) begin : gen_blk8 + assign in_payload = {in_data, in_channel}; + assign {out_data, out_channel} = out_payload; + end + else begin : gen_blk8_else + assign out_channel = in_channel; + assign in_payload = in_data; + assign out_data = out_payload; + end + end + end + endgenerate + + // -------------------------------------------------- + // Memory-based FIFO storage + // + // To allow a ready latency of 0, the read index is + // obtained from the next read pointer and memory + // outputs are unregistered. + // + // If the empty latency is 1, we infer bypass logic + // around the memory so writes propagate to the + // outputs on the next cycle. + // + // Do not change the way this is coded: Quartus needs + // a perfect match to the template, and any attempt to + // refactor the two always blocks into one will break + // memory inference. + // -------------------------------------------------- + generate if (USE_MEMORY_BLOCKS == 1) begin : gen_blk9 + + if (EMPTY_LATENCY == 1) begin : gen_blk10 + + always @(posedge clk) begin + if (in_valid && in_ready) + mem[wr_ptr] = in_payload; + + internal_out_payload = mem[mem_rd_ptr]; + end + + end else begin : gen_blk10_else + + always @(posedge clk) begin + if (in_valid && in_ready) + mem[wr_ptr] <= in_payload; + + internal_out_payload <= mem[mem_rd_ptr]; + end + + end + + assign mem_rd_ptr = next_rd_ptr; + + end else begin : gen_blk9_else + + // -------------------------------------------------- + // Register-based FIFO storage + // + // Uses a shift register as the storage element. Each + // shift register slot has a bit which indicates if + // the slot is occupied (credit to Sam H for the idea). + // The occupancy bits are contiguous and start from the + // lsb, so 0000, 0001, 0011, 0111, 1111 for a 4-deep + // FIFO. + // + // Each slot is enabled during a read or when it + // is unoccupied. New data is always written to every + // going-to-be-empty slot (we keep track of which ones + // are actually useful with the occupancy bits). On a + // read we shift occupied slots. + // + // The exception is the last slot, which always gets + // new data when it is unoccupied. + // -------------------------------------------------- + for (i = 0; i < DEPTH-1; i = i + 1) begin : shift_reg + always @(posedge clk or posedge reset) begin + if (reset) begin + mem[i] <= 0; + end + else if (read || !mem_used[i]) begin + if (!mem_used[i+1]) + mem[i] <= in_payload; + else + mem[i] <= mem[i+1]; + end + end + end + + always @(posedge clk, posedge reset) begin + if (reset) begin + mem[DEPTH-1] <= 0; + end + else begin + if (DEPTH == 1) begin + if (write) + mem[DEPTH-1] <= in_payload; + end + else if (!mem_used[DEPTH-1]) + mem[DEPTH-1] <= in_payload; + end + end + + end + endgenerate + + assign read = internal_out_ready && internal_out_valid && ok_to_forward; + assign write = in_ready && in_valid; + + // -------------------------------------------------- + // Pointer Management + // -------------------------------------------------- + generate if (USE_MEMORY_BLOCKS == 1) begin : gen_blk11 + + assign incremented_wr_ptr = wr_ptr + 1'b1; + assign incremented_rd_ptr = rd_ptr + 1'b1; + assign next_wr_ptr = drop_on_error ? curr_sop_ptr : write ? incremented_wr_ptr : wr_ptr; + assign next_rd_ptr = (read) ? incremented_rd_ptr : rd_ptr; + + always @(posedge clk or posedge reset) begin + if (reset) begin + wr_ptr <= 0; + rd_ptr <= 0; + end + else begin + wr_ptr <= next_wr_ptr; + rd_ptr <= next_rd_ptr; + end + end + + end else begin : gen_blk11_else + + // -------------------------------------------------- + // Shift Register Occupancy Bits + // + // Consider a 4-deep FIFO with 2 entries: 0011 + // On a read and write, do not modify the bits. + // On a write, left-shift the bits to get 0111. + // On a read, right-shift the bits to get 0001. + // + // Also, on a write we set bit0 (the head), while + // clearing the tail on a read. + // -------------------------------------------------- + always @(posedge clk or posedge reset) begin + if (reset) begin + mem_used[0] <= 0; + end + else begin + if (write ^ read) begin + if (write) + mem_used[0] <= 1; + else if (read) begin + if (DEPTH > 1) + mem_used[0] <= mem_used[1]; + else + mem_used[0] <= 0; + end + end + end + end + + if (DEPTH > 1) begin : gen_blk12 + always @(posedge clk or posedge reset) begin + if (reset) begin + mem_used[DEPTH-1] <= 0; + end + else begin + if (write ^ read) begin + mem_used[DEPTH-1] <= 0; + if (write) + mem_used[DEPTH-1] <= mem_used[DEPTH-2]; + end + end + end + end + + for (i = 1; i < DEPTH-1; i = i + 1) begin : storage_logic + always @(posedge clk, posedge reset) begin + if (reset) begin + mem_used[i] <= 0; + end + else begin + if (write ^ read) begin + if (write) + mem_used[i] <= mem_used[i-1]; + else if (read) + mem_used[i] <= mem_used[i+1]; + end + end + end + end + + end + endgenerate + + + // -------------------------------------------------- + // Memory FIFO Status Management + // + // Generates the full and empty signals from the + // pointers. The FIFO is full when the next write + // pointer will be equal to the read pointer after + // a write. Reading from a FIFO clears full. + // + // The FIFO is empty when the next read pointer will + // be equal to the write pointer after a read. Writing + // to a FIFO clears empty. + // + // A simultaneous read and write must not change any of + // the empty or full flags unless there is a drop on error event. + // -------------------------------------------------- + generate if (USE_MEMORY_BLOCKS == 1) begin : gen_blk13 + + always @* begin + next_full = full; + next_empty = empty; + + if (read && !write) begin + next_full = 1'b0; + + if (incremented_rd_ptr == wr_ptr) + next_empty = 1'b1; + end + + if (write && !read) begin + if (!drop_on_error) + next_empty = 1'b0; + else if (curr_sop_ptr == rd_ptr) // drop on error and only 1 pkt in fifo + next_empty = 1'b1; + + if (incremented_wr_ptr == rd_ptr && !drop_on_error) + next_full = 1'b1; + end + + if (write && read && drop_on_error) begin + if (curr_sop_ptr == next_rd_ptr) + next_empty = 1'b1; + end + end + + always @(posedge clk or posedge reset) begin + if (reset) begin + empty <= 1; + full <= 0; + end + else begin + empty <= next_empty; + full <= next_full; + end + end + + end else begin : gen_blk13_else + // -------------------------------------------------- + // Register FIFO Status Management + // + // Full when the tail occupancy bit is 1. Empty when + // the head occupancy bit is 0. + // -------------------------------------------------- + always @* begin + full = mem_used[DEPTH-1]; + empty = !mem_used[0]; + + // ------------------------------------------ + // For a single slot FIFO, reading clears the + // full status immediately. + // ------------------------------------------ + if (DEPTH == 1) + full = mem_used[0] && !read; + + internal_out_payload = mem[0]; + + // ------------------------------------------ + // Writes clear empty immediately for lookahead modes. + // Note that we use in_valid instead of write to avoid + // combinational loops (in lookahead mode, qualifying + // with in_ready is meaningless). + // + // In a 1-deep FIFO, a possible combinational loop runs + // from write -> out_valid -> out_ready -> write + // ------------------------------------------ + if (EMPTY_LATENCY == 0) begin + empty = !mem_used[0] && !in_valid; + + if (!mem_used[0] && in_valid) + internal_out_payload = in_payload; + end + end + + end + endgenerate + + // -------------------------------------------------- + // Avalon-ST Signals + // + // The in_ready signal is straightforward. + // + // To match memory latency when empty latency > 1, + // out_valid assertions must be delayed by one clock + // cycle. + // + // Note: out_valid deassertions must not be delayed or + // the FIFO will underflow. + // -------------------------------------------------- + assign in_ready = !full; + assign internal_out_ready = out_ready || !out_valid; + + generate if (EMPTY_LATENCY > 1) begin : gen_blk14 + always @(posedge clk or posedge reset) begin + if (reset) + internal_out_valid <= 0; + else begin + internal_out_valid <= !empty & ok_to_forward & ~drop_on_error; + + if (read) begin + if (incremented_rd_ptr == wr_ptr) + internal_out_valid <= 1'b0; + end + end + end + end else begin : gen_blk14_else + always @* begin + internal_out_valid = !empty & ok_to_forward; + end + end + endgenerate + + // -------------------------------------------------- + // Single Output Pipeline Stage + // + // This output pipeline stage is enabled if the FIFO's + // empty latency is set to 3 (default). It is disabled + // for all other allowed latencies. + // + // Reason: The memory outputs are unregistered, so we have to + // register the output or fmax will drop if combinatorial + // logic is present on the output datapath. + // + // Q: The Avalon-ST spec says that I have to register my outputs + // But isn't the memory counted as a register? + // A: The path from the address lookup to the memory output is + // slow. Registering the memory outputs is a good idea. + // + // The registers get packed into the memory by the fitter + // which means minimal resources are consumed (the result + // is a altsyncram with registered outputs, available on + // all modern Altera devices). + // + // This output stage acts as an extra slot in the FIFO, + // and complicates the fill level. + // -------------------------------------------------- + generate if (EMPTY_LATENCY == 3) begin : gen_blk15 + always @(posedge clk or posedge reset) begin + if (reset) begin + out_valid <= 0; + out_payload <= 0; + end + else begin + if (internal_out_ready) begin + out_valid <= internal_out_valid & ok_to_forward; + out_payload <= internal_out_payload; + end + end + end + end + else begin : gen_blk15_else + always @* begin + out_valid = internal_out_valid; + out_payload = internal_out_payload; + end + end + endgenerate + + // -------------------------------------------------- + // Fill Level + // + // The fill level is calculated from the next write + // and read pointers to avoid unnecessary latency + // and logic. + // + // However, if the store-and-forward mode of the FIFO + // is enabled, the fill level is an up-down counter + // for fmax optimization reasons. + // + // If the output pipeline is enabled, the fill level + // must account for it, or we'll always be off by one. + // This may, or may not be important depending on the + // application. + // + // For now, we'll always calculate the exact fill level + // at the cost of an extra adder when the output stage + // is enabled. + // -------------------------------------------------- + generate if (USE_FILL_LEVEL) begin : gen_blk16 + wire [31:0] depth32; + assign depth32 = DEPTH; + + if (USE_STORE_FORWARD) begin + + reg [ADDR_WIDTH : 0] curr_packet_len_less_one; + + // -------------------------------------------------- + // We only drop on endofpacket. As long as we don't add to the fill + // level on the dropped endofpacket cycle, we can simply subtract + // (packet length - 1) from the fill level for dropped packets. + // -------------------------------------------------- + always @(posedge clk or posedge reset) begin + if (reset) begin + curr_packet_len_less_one <= 0; + end else begin + if (write) begin + curr_packet_len_less_one <= curr_packet_len_less_one + 1'b1; + if (in_endofpacket) + curr_packet_len_less_one <= 0; + end + end + end + + always @(posedge clk or posedge reset) begin + if (reset) begin + fifo_fill_level <= 0; + end else if (drop_on_error) begin + fifo_fill_level <= fifo_fill_level - curr_packet_len_less_one; + if (read) + fifo_fill_level <= fifo_fill_level - curr_packet_len_less_one - 1'b1; + end else if (write && !read) begin + fifo_fill_level <= fifo_fill_level + 1'b1; + end else if (read && !write) begin + fifo_fill_level <= fifo_fill_level - 1'b1; + end + end + + end else begin + + always @(posedge clk or posedge reset) begin + if (reset) + fifo_fill_level <= 0; + else if (next_full & !drop_on_error) + fifo_fill_level <= depth32[ADDR_WIDTH:0]; + else begin + fifo_fill_level[ADDR_WIDTH] <= 1'b0; + fifo_fill_level[ADDR_WIDTH-1 : 0] <= next_wr_ptr - next_rd_ptr; + end + end + + end + + always @* begin + fill_level = fifo_fill_level; + + if (EMPTY_LATENCY == 3) + fill_level = fifo_fill_level + {{ADDR_WIDTH{1'b0}}, out_valid}; + end + end + else begin : gen_blk16_else + always @* begin + fill_level = 0; + end + end + endgenerate + + generate if (USE_ALMOST_FULL_IF) begin : gen_blk17 + assign almost_full_data = (fill_level >= almost_full_threshold); + end + else + assign almost_full_data = 0; + endgenerate + + generate if (USE_ALMOST_EMPTY_IF) begin : gen_blk18 + assign almost_empty_data = (fill_level <= almost_empty_threshold); + end + else + assign almost_empty_data = 0; + endgenerate + + // -------------------------------------------------- + // Avalon-MM Status & Control Connection Point + // + // Register map: + // + // | Addr | RW | 31 - 0 | + // | 0 | R | Fill level | + // + // The registering of this connection point means + // that there is a cycle of latency between + // reads/writes and the updating of the fill level. + // -------------------------------------------------- + generate if (USE_STORE_FORWARD) begin : gen_blk19 + assign max_fifo_size = FIFO_DEPTH - 1; + always @(posedge clk or posedge reset) begin + if (reset) begin + almost_full_threshold <= max_fifo_size[23 : 0]; + almost_empty_threshold <= 0; + cut_through_threshold <= 0; + drop_on_error_en <= 0; + csr_readdata <= 0; + pkt_mode <= 1'b1; + end + else begin + if (csr_read) begin + csr_readdata <= 32'b0; + if (csr_address == 5) + csr_readdata <= {31'b0, drop_on_error_en}; + else if (csr_address == 4) + csr_readdata <= {8'b0, cut_through_threshold}; + else if (csr_address == 3) + csr_readdata <= {8'b0, almost_empty_threshold}; + else if (csr_address == 2) + csr_readdata <= {8'b0, almost_full_threshold}; + else if (csr_address == 0) + csr_readdata <= {{(31 - ADDR_WIDTH){1'b0}}, fill_level}; + end + else if (csr_write) begin + if(csr_address == 3'b101) + drop_on_error_en <= csr_writedata[0]; + else if(csr_address == 3'b100) begin + cut_through_threshold <= csr_writedata[23:0]; + pkt_mode <= (csr_writedata[23:0] == 0); + end + else if(csr_address == 3'b011) + almost_empty_threshold <= csr_writedata[23:0]; + else if(csr_address == 3'b010) + almost_full_threshold <= csr_writedata[23:0]; + end + end + end + end + else if (USE_ALMOST_FULL_IF || USE_ALMOST_EMPTY_IF) begin : gen_blk19_else1 + assign max_fifo_size = FIFO_DEPTH - 1; + always @(posedge clk or posedge reset) begin + if (reset) begin + almost_full_threshold <= max_fifo_size[23 : 0]; + almost_empty_threshold <= 0; + csr_readdata <= 0; + end + else begin + if (csr_read) begin + csr_readdata <= 32'b0; + if (csr_address == 3) + csr_readdata <= {8'b0, almost_empty_threshold}; + else if (csr_address == 2) + csr_readdata <= {8'b0, almost_full_threshold}; + else if (csr_address == 0) + csr_readdata <= {{(31 - ADDR_WIDTH){1'b0}}, fill_level}; + end + else if (csr_write) begin + if(csr_address == 3'b011) + almost_empty_threshold <= csr_writedata[23:0]; + else if(csr_address == 3'b010) + almost_full_threshold <= csr_writedata[23:0]; + end + end + end + end + else begin : gen_blk19_else2 + always @(posedge clk or posedge reset) begin + if (reset) begin + csr_readdata <= 0; + end + else if (csr_read) begin + csr_readdata <= 0; + + if (csr_address == 0) + csr_readdata <= {{(31 - ADDR_WIDTH){1'b0}}, fill_level}; + end + end + end + endgenerate + + // -------------------------------------------------- + // Store and forward logic + // -------------------------------------------------- + // if the fifo gets full before the entire packet or the + // cut-threshold condition is met then start sending out + // data in order to avoid dead-lock situation + + generate if (USE_STORE_FORWARD) begin : gen_blk20 + assign wait_for_threshold = (fifo_fill_level_lt_cut_through_threshold) & wait_for_pkt ; + assign wait_for_pkt = pkt_cnt_eq_zero | (pkt_cnt_eq_one & out_pkt_leave); + assign ok_to_forward = (pkt_mode ? (~wait_for_pkt | ~pkt_has_started) : + ~wait_for_threshold) | fifo_too_small_r; + assign in_pkt_eop_arrive = in_valid & in_ready & in_endofpacket; + assign in_pkt_start = in_valid & in_ready & in_startofpacket; + assign in_pkt_error = in_valid & in_ready & |in_error; + assign out_pkt_sop_leave = out_valid & out_ready & out_startofpacket; + assign out_pkt_leave = out_valid & out_ready & out_endofpacket; + assign fifo_too_small = (pkt_mode ? wait_for_pkt : wait_for_threshold) & full & out_ready; + + // count packets coming and going into the fifo + always @(posedge clk or posedge reset) begin + if (reset) begin + pkt_cnt <= 0; + pkt_has_started <= 0; + sop_has_left_fifo <= 0; + fifo_too_small_r <= 0; + pkt_cnt_eq_zero <= 1'b1; + pkt_cnt_eq_one <= 1'b0; + fifo_fill_level_lt_cut_through_threshold <= 1'b1; + end + else begin + fifo_fill_level_lt_cut_through_threshold <= fifo_fill_level < cut_through_threshold; + fifo_too_small_r <= fifo_too_small; + + if( in_pkt_eop_arrive ) + sop_has_left_fifo <= 1'b0; + else if (out_pkt_sop_leave & pkt_cnt_eq_zero ) + sop_has_left_fifo <= 1'b1; + + if (in_pkt_eop_arrive & ~out_pkt_leave & ~drop_on_error ) begin + pkt_cnt <= pkt_cnt + 1'b1; + pkt_cnt_eq_zero <= 0; + if (pkt_cnt == 0) + pkt_cnt_eq_one <= 1'b1; + else + pkt_cnt_eq_one <= 1'b0; + end + else if((~in_pkt_eop_arrive | drop_on_error) & out_pkt_leave) begin + pkt_cnt <= pkt_cnt - 1'b1; + if (pkt_cnt == 1) + pkt_cnt_eq_zero <= 1'b1; + else + pkt_cnt_eq_zero <= 1'b0; + if (pkt_cnt == 2) + pkt_cnt_eq_one <= 1'b1; + else + pkt_cnt_eq_one <= 1'b0; + end + + if (in_pkt_start) + pkt_has_started <= 1'b1; + else if (in_pkt_eop_arrive) + pkt_has_started <= 1'b0; + end + end + + // drop on error logic + always @(posedge clk or posedge reset) begin + if (reset) begin + sop_ptr <= 0; + error_in_pkt <= 0; + end + else begin + // save the location of the SOP + if ( in_pkt_start ) + sop_ptr <= wr_ptr; + + // remember if error in pkt + // log error only if packet has already started + if (in_pkt_eop_arrive) + error_in_pkt <= 1'b0; + else if ( in_pkt_error & (pkt_has_started | in_pkt_start)) + error_in_pkt <= 1'b1; + end + end + + assign drop_on_error = drop_on_error_en & (error_in_pkt | in_pkt_error) & in_pkt_eop_arrive & + ~sop_has_left_fifo & ~(out_pkt_sop_leave & pkt_cnt_eq_zero); + + assign curr_sop_ptr = (write && in_startofpacket && in_endofpacket) ? wr_ptr : sop_ptr; + + end + else begin : gen_blk20_else + assign ok_to_forward = 1'b1; + assign drop_on_error = 1'b0; + if (ADDR_WIDTH <= 1) + assign curr_sop_ptr = 1'b0; + else + assign curr_sop_ptr = {ADDR_WIDTH - 1 { 1'b0 }}; + end + endgenerate + + + // -------------------------------------------------- + // Calculates the log2ceil of the input value + // -------------------------------------------------- + function integer log2ceil; + input integer val; + reg[31:0] i; + + begin + i = 1; + log2ceil = 0; + + while (i < val) begin + log2ceil = log2ceil + 1; + i = i[30:0] << 1; + end + end + endfunction + +endmodule diff --git a/Top/niosII/synthesis/submodules/altera_merlin_arbitrator.sv b/Top/niosII/synthesis/submodules/altera_merlin_arbitrator.sv index 7823aad..0ccebc0 100644 --- a/Top/niosII/synthesis/submodules/altera_merlin_arbitrator.sv +++ b/Top/niosII/synthesis/submodules/altera_merlin_arbitrator.sv @@ -11,262 +11,262 @@ // agreement for further details. -// (C) 2001-2010 Altera Corporation. All rights reserved. -// Your use of Altera Corporation's design tools, logic functions and other -// software and tools, and its AMPP partner logic functions, and any output -// files any of the foregoing (including device programming or simulation -// files), and any associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License Subscription -// Agreement, Altera MegaCore Function License Agreement, or other applicable -// license agreement, including, without limitation, that your use is for the -// sole purpose of programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the applicable -// agreement for further details. - - -// $Id: //acds/main/ip/merlin/altera_merlin_std_arbitrator/altera_merlin_std_arbitrator_core.sv#3 $ -// $Revision: #3 $ -// $Date: 2010/07/07 $ -// $Author: jyeap $ - -/* ----------------------------------------------------------------------- -Round-robin/fixed arbitration implementation. - -Q: how do you find the least-significant set-bit in an n-bit binary number, X? - -A: M = X & (~X + 1) - -Example: X = 101000100 - 101000100 & - 010111011 + 1 = - - 101000100 & - 010111100 = - ----------- - 000000100 - -The method can be generalized to find the first set-bit -at a bit index no lower than bit-index N, simply by adding -2**N rather than 1. - - -Q: how does this relate to round-robin arbitration? -A: -Let X be the concatenation of all request signals. -Let the number to be added to X (hereafter called the -top_priority) initialize to 1, and be assigned from the -concatenation of the previous saved-grant, left-rotated -by one position, each time arbitration occurs. The -concatenation of grants is then M. - -Problem: consider this case: - -top_priority = 010000 -request = 001001 -~request + top_priority = 000110 -next_grant = 000000 <- no one is granted! - -There was no "set bit at a bit index no lower than bit-index 4", so -the result was 0. - -We need to propagate the carry out from (~request + top_priority) to the LSB, so -that the sum becomes 000111, and next_grant is 000001. This operation could be -called a "circular add". - -A bit of experimentation on the circular add reveals a significant amount of -delay in exiting and re-entering the carry chain - this will vary with device -family. Quartus also reports a combinational loop warning. Finally, -Modelsim 6.3g has trouble with the expression, evaluating it to 'X'. But -Modelsim _doesn't_ report a combinational loop!) - -An alternate solution: concatenate the request vector with itself, and OR -corresponding bits from the top and bottom halves to determine next_grant. - -Example: - -top_priority = 010000 -{request, request} = 001001 001001 -{~request, ~request} + top_priority = 110111 000110 -result of & operation = 000001 000000 -next_grant = 000001 - -Notice that if request = 0, the sum operation will overflow, but we can ignore -this; the next_grant result is 0 (no one granted), as you might expect. -In the implementation, the last-granted value must be maintained as -a non-zero value - best probably simply not to update it when no requests -occur. - ------------------------------------------------------------------------ */ - -`timescale 1 ns / 1 ns - -module altera_merlin_arbitrator -#( - parameter NUM_REQUESTERS = 8, - // -------------------------------------- - // Implemented schemes - // "round-robin" - // "fixed-priority" - // "no-arb" - // -------------------------------------- - parameter SCHEME = "round-robin", - parameter PIPELINE = 0 -) -( - input clk, - input reset, - - // -------------------------------------- - // Requests - // -------------------------------------- - input [NUM_REQUESTERS-1:0] request, - - // -------------------------------------- - // Grants - // -------------------------------------- - output [NUM_REQUESTERS-1:0] grant, - - // -------------------------------------- - // Control Signals - // -------------------------------------- - input increment_top_priority, - input save_top_priority -); - - // -------------------------------------- - // Signals - // -------------------------------------- - wire [NUM_REQUESTERS-1:0] top_priority; - reg [NUM_REQUESTERS-1:0] top_priority_reg; - reg [NUM_REQUESTERS-1:0] last_grant; - wire [2*NUM_REQUESTERS-1:0] result; - - // -------------------------------------- - // Scheme Selection - // -------------------------------------- - generate - if (SCHEME == "round-robin" && NUM_REQUESTERS > 1) begin - assign top_priority = top_priority_reg; - end - else begin - // Fixed arbitration (or single-requester corner case) - assign top_priority = 1'b1; - end - endgenerate - - // -------------------------------------- - // Decision Logic - // -------------------------------------- - altera_merlin_arb_adder - #( - .WIDTH (2 * NUM_REQUESTERS) - ) - adder - ( - .a ({ ~request, ~request }), - .b ({{NUM_REQUESTERS{1'b0}}, top_priority}), - .sum (result) - ); - - - generate if (SCHEME == "no-arb") begin - - // -------------------------------------- - // No arbitration: just wire request directly to grant - // -------------------------------------- - assign grant = request; - - end else begin - // Do the math in double-vector domain - wire [2*NUM_REQUESTERS-1:0] grant_double_vector; - assign grant_double_vector = {request, request} & result; - - // -------------------------------------- - // Extract grant from the top and bottom halves - // of the double vector. - // -------------------------------------- - assign grant = - grant_double_vector[NUM_REQUESTERS - 1 : 0] | - grant_double_vector[2 * NUM_REQUESTERS - 1 : NUM_REQUESTERS]; - - end - endgenerate - - // -------------------------------------- - // Left-rotate the last grant vector to create top_priority. - // -------------------------------------- - always @(posedge clk or posedge reset) begin - if (reset) begin - top_priority_reg <= 1'b1; - end - else begin - if (PIPELINE) begin - if (increment_top_priority) begin - top_priority_reg <= (|request) ? {grant[NUM_REQUESTERS-2:0], - grant[NUM_REQUESTERS-1]} : top_priority_reg; - end - end else begin - if (increment_top_priority) begin - if (|request) - top_priority_reg <= { grant[NUM_REQUESTERS-2:0], - grant[NUM_REQUESTERS-1] }; - else - top_priority_reg <= { top_priority_reg[NUM_REQUESTERS-2:0], top_priority_reg[NUM_REQUESTERS-1] }; - end - else if (save_top_priority) begin - top_priority_reg <= grant; - end - end - end - end - -endmodule - -// ---------------------------------------------- -// Adder for the standard arbitrator -// ---------------------------------------------- -module altera_merlin_arb_adder -#( - parameter WIDTH = 8 -) -( - input [WIDTH-1:0] a, - input [WIDTH-1:0] b, - - output [WIDTH-1:0] sum -); - - wire [WIDTH:0] sum_lint; - // ---------------------------------------------- - // Benchmarks indicate that for small widths, the full - // adder has higher fmax because synthesis can merge - // it with the mux, allowing partial decisions to be - // made early. - // - // The magic number is 4 requesters, which means an - // 8 bit adder. - // ---------------------------------------------- - genvar i; - generate if (WIDTH <= 8) begin : full_adder - - wire cout[WIDTH-1:0]; - - assign sum[0] = (a[0] ^ b[0]); - assign cout[0] = (a[0] & b[0]); - - for (i = 1; i < WIDTH; i = i+1) begin : arb - - assign sum[i] = (a[i] ^ b[i]) ^ cout[i-1]; - assign cout[i] = (a[i] & b[i]) | (cout[i-1] & (a[i] ^ b[i])); - - end - - end else begin : carry_chain - - assign sum_lint = a + b; - assign sum = sum_lint[WIDTH-1:0]; - - end - endgenerate - -endmodule +// (C) 2001-2010 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/main/ip/merlin/altera_merlin_std_arbitrator/altera_merlin_std_arbitrator_core.sv#3 $ +// $Revision: #3 $ +// $Date: 2010/07/07 $ +// $Author: jyeap $ + +/* ----------------------------------------------------------------------- +Round-robin/fixed arbitration implementation. + +Q: how do you find the least-significant set-bit in an n-bit binary number, X? + +A: M = X & (~X + 1) + +Example: X = 101000100 + 101000100 & + 010111011 + 1 = + + 101000100 & + 010111100 = + ----------- + 000000100 + +The method can be generalized to find the first set-bit +at a bit index no lower than bit-index N, simply by adding +2**N rather than 1. + + +Q: how does this relate to round-robin arbitration? +A: +Let X be the concatenation of all request signals. +Let the number to be added to X (hereafter called the +top_priority) initialize to 1, and be assigned from the +concatenation of the previous saved-grant, left-rotated +by one position, each time arbitration occurs. The +concatenation of grants is then M. + +Problem: consider this case: + +top_priority = 010000 +request = 001001 +~request + top_priority = 000110 +next_grant = 000000 <- no one is granted! + +There was no "set bit at a bit index no lower than bit-index 4", so +the result was 0. + +We need to propagate the carry out from (~request + top_priority) to the LSB, so +that the sum becomes 000111, and next_grant is 000001. This operation could be +called a "circular add". + +A bit of experimentation on the circular add reveals a significant amount of +delay in exiting and re-entering the carry chain - this will vary with device +family. Quartus also reports a combinational loop warning. Finally, +Modelsim 6.3g has trouble with the expression, evaluating it to 'X'. But +Modelsim _doesn't_ report a combinational loop!) + +An alternate solution: concatenate the request vector with itself, and OR +corresponding bits from the top and bottom halves to determine next_grant. + +Example: + +top_priority = 010000 +{request, request} = 001001 001001 +{~request, ~request} + top_priority = 110111 000110 +result of & operation = 000001 000000 +next_grant = 000001 + +Notice that if request = 0, the sum operation will overflow, but we can ignore +this; the next_grant result is 0 (no one granted), as you might expect. +In the implementation, the last-granted value must be maintained as +a non-zero value - best probably simply not to update it when no requests +occur. + +----------------------------------------------------------------------- */ + +`timescale 1 ns / 1 ns + +module altera_merlin_arbitrator +#( + parameter NUM_REQUESTERS = 8, + // -------------------------------------- + // Implemented schemes + // "round-robin" + // "fixed-priority" + // "no-arb" + // -------------------------------------- + parameter SCHEME = "round-robin", + parameter PIPELINE = 0 +) +( + input clk, + input reset, + + // -------------------------------------- + // Requests + // -------------------------------------- + input [NUM_REQUESTERS-1:0] request, + + // -------------------------------------- + // Grants + // -------------------------------------- + output [NUM_REQUESTERS-1:0] grant, + + // -------------------------------------- + // Control Signals + // -------------------------------------- + input increment_top_priority, + input save_top_priority +); + + // -------------------------------------- + // Signals + // -------------------------------------- + wire [NUM_REQUESTERS-1:0] top_priority; + reg [NUM_REQUESTERS-1:0] top_priority_reg; + reg [NUM_REQUESTERS-1:0] last_grant; + wire [2*NUM_REQUESTERS-1:0] result; + + // -------------------------------------- + // Scheme Selection + // -------------------------------------- + generate + if (SCHEME == "round-robin" && NUM_REQUESTERS > 1) begin + assign top_priority = top_priority_reg; + end + else begin + // Fixed arbitration (or single-requester corner case) + assign top_priority = 1'b1; + end + endgenerate + + // -------------------------------------- + // Decision Logic + // -------------------------------------- + altera_merlin_arb_adder + #( + .WIDTH (2 * NUM_REQUESTERS) + ) + adder + ( + .a ({ ~request, ~request }), + .b ({{NUM_REQUESTERS{1'b0}}, top_priority}), + .sum (result) + ); + + + generate if (SCHEME == "no-arb") begin + + // -------------------------------------- + // No arbitration: just wire request directly to grant + // -------------------------------------- + assign grant = request; + + end else begin + // Do the math in double-vector domain + wire [2*NUM_REQUESTERS-1:0] grant_double_vector; + assign grant_double_vector = {request, request} & result; + + // -------------------------------------- + // Extract grant from the top and bottom halves + // of the double vector. + // -------------------------------------- + assign grant = + grant_double_vector[NUM_REQUESTERS - 1 : 0] | + grant_double_vector[2 * NUM_REQUESTERS - 1 : NUM_REQUESTERS]; + + end + endgenerate + + // -------------------------------------- + // Left-rotate the last grant vector to create top_priority. + // -------------------------------------- + always @(posedge clk or posedge reset) begin + if (reset) begin + top_priority_reg <= 1'b1; + end + else begin + if (PIPELINE) begin + if (increment_top_priority) begin + top_priority_reg <= (|request) ? {grant[NUM_REQUESTERS-2:0], + grant[NUM_REQUESTERS-1]} : top_priority_reg; + end + end else begin + if (increment_top_priority) begin + if (|request) + top_priority_reg <= { grant[NUM_REQUESTERS-2:0], + grant[NUM_REQUESTERS-1] }; + else + top_priority_reg <= { top_priority_reg[NUM_REQUESTERS-2:0], top_priority_reg[NUM_REQUESTERS-1] }; + end + else if (save_top_priority) begin + top_priority_reg <= grant; + end + end + end + end + +endmodule + +// ---------------------------------------------- +// Adder for the standard arbitrator +// ---------------------------------------------- +module altera_merlin_arb_adder +#( + parameter WIDTH = 8 +) +( + input [WIDTH-1:0] a, + input [WIDTH-1:0] b, + + output [WIDTH-1:0] sum +); + + wire [WIDTH:0] sum_lint; + // ---------------------------------------------- + // Benchmarks indicate that for small widths, the full + // adder has higher fmax because synthesis can merge + // it with the mux, allowing partial decisions to be + // made early. + // + // The magic number is 4 requesters, which means an + // 8 bit adder. + // ---------------------------------------------- + genvar i; + generate if (WIDTH <= 8) begin : full_adder + + wire cout[WIDTH-1:0]; + + assign sum[0] = (a[0] ^ b[0]); + assign cout[0] = (a[0] & b[0]); + + for (i = 1; i < WIDTH; i = i+1) begin : arb + + assign sum[i] = (a[i] ^ b[i]) ^ cout[i-1]; + assign cout[i] = (a[i] & b[i]) | (cout[i-1] & (a[i] ^ b[i])); + + end + + end else begin : carry_chain + + assign sum_lint = a + b; + assign sum = sum_lint[WIDTH-1:0]; + + end + endgenerate + +endmodule diff --git a/Top/niosII/synthesis/submodules/altera_merlin_burst_uncompressor.sv b/Top/niosII/synthesis/submodules/altera_merlin_burst_uncompressor.sv index fef7f2e..dfa5cac 100644 --- a/Top/niosII/synthesis/submodules/altera_merlin_burst_uncompressor.sv +++ b/Top/niosII/synthesis/submodules/altera_merlin_burst_uncompressor.sv @@ -11,286 +11,286 @@ // agreement for further details. -// (C) 2001-2012 Altera Corporation. All rights reserved. -// Your use of Altera Corporation's design tools, logic functions and other -// software and tools, and its AMPP partner logic functions, and any output -// files any of the foregoing (including device programming or simulation -// files), and any associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License Subscription -// Agreement, Altera MegaCore Function License Agreement, or other applicable -// license agreement, including, without limitation, that your use is for the -// sole purpose of programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the applicable -// agreement for further details. - - -// $Id: //acds/rel/18.1std/ip/merlin/altera_merlin_slave_agent/altera_merlin_burst_uncompressor.sv#1 $ -// $Revision: #1 $ -// $Date: 2018/07/18 $ -// $Author: psgswbuild $ - -// ------------------------------------------ -// Merlin Burst Uncompressor -// -// Compressed read bursts -> uncompressed -// ------------------------------------------ - -`timescale 1 ns / 1 ns - -module altera_merlin_burst_uncompressor -#( - parameter ADDR_W = 16, - parameter BURSTWRAP_W = 3, - parameter BYTE_CNT_W = 4, - parameter PKT_SYMBOLS = 4, - parameter BURST_SIZE_W = 3 -) -( - input clk, - input reset, - - // sink ST signals - input sink_startofpacket, - input sink_endofpacket, - input sink_valid, - output sink_ready, - - // sink ST "data" - input [ADDR_W - 1: 0] sink_addr, - input [BURSTWRAP_W - 1 : 0] sink_burstwrap, - input [BYTE_CNT_W - 1 : 0] sink_byte_cnt, - input sink_is_compressed, - input [BURST_SIZE_W-1 : 0] sink_burstsize, - - // source ST signals - output source_startofpacket, - output source_endofpacket, - output source_valid, - input source_ready, - - // source ST "data" - output [ADDR_W - 1: 0] source_addr, - output [BURSTWRAP_W - 1 : 0] source_burstwrap, - output [BYTE_CNT_W - 1 : 0] source_byte_cnt, - - // Note: in the slave agent, the output should always be uncompressed. In - // other applications, it may be required to leave-compressed or not. How to - // control? Seems like a simple mux - pass-through if no uncompression is - // required. - output source_is_compressed, - output [BURST_SIZE_W-1 : 0] source_burstsize -); - -//---------------------------------------------------- -// AXSIZE decoding -// -// Turns the axsize value into the actual number of bytes -// being transferred. -// --------------------------------------------------- -function reg[63:0] bytes_in_transfer; - input [BURST_SIZE_W-1:0] axsize; - case (axsize) - 4'b0000: bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000000000001; - 4'b0001: bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000000000010; - 4'b0010: bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000000000100; - 4'b0011: bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000000001000; - 4'b0100: bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000000010000; - 4'b0101: bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000000100000; - 4'b0110: bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000001000000; - 4'b0111: bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000010000000; - 4'b1000: bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000100000000; - 4'b1001: bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000001000000000; - default:bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000000000001; - endcase - -endfunction - - // num_symbols is PKT_SYMBOLS, appropriately sized. - wire [31:0] int_num_symbols = PKT_SYMBOLS; - wire [BYTE_CNT_W-1:0] num_symbols = int_num_symbols[BYTE_CNT_W-1:0]; - - // def: Burst Compression. In a merlin network, a compressed burst is one - // which is transmitted in a single beat. Example: read burst. In - // constrast, an uncompressed burst (example: write burst) is transmitted in - // one beat per writedata item. - // - // For compressed bursts which require response packets, burst - // uncompression is required. Concrete example: a read burst of size 8 - // occupies one response-fifo position. When that fifo position reaches the - // front of the FIFO, the slave starts providing the required 8 readdatavalid - // pulses. The 8 return response beats must be provided in a single packet, - // with incrementing address and decrementing byte_cnt fields. Upon receipt - // of the final readdata item of the burst, the response FIFO item is - // retired. - // Burst uncompression logic provides: - // a) 2-state FSM (idle, busy) - // reset to idle state - // transition to busy state for 2nd and subsequent rdv pulses - // - a single-cycle burst (aka non-burst read) causes no transition to - // busy state. - // b) response startofpacket/endofpacket logic. The response FIFO item - // will have sop asserted, and may have eop asserted. (In the case of - // multiple read bursts transmit in the command fabric in a single packet, - // the eop assertion will come in a later FIFO item.) To support packet - // conservation, and emit a well-formed packet on the response fabric, - // i) response fabric startofpacket is asserted only for the first resp. - // beat; - // ii) response fabric endofpacket is asserted only for the last resp. - // beat. - // c) response address field. The response address field contains an - // incrementing sequence, such that each readdata item is associated with - // its slave-map location. N.b. a) computing the address correctly requires - // knowledge of burstwrap behavior b) there may be no clients of the address - // field, which makes this field a good target for optimization. See - // burst_uncompress_address_counter below. - // d) response byte_cnt field. The response byte_cnt field contains a - // decrementing sequence, such that each beat of the response contains the - // count of bytes to follow. In the case of sub-bursts in a single packet, - // the byte_cnt field may decrement down to num_symbols, then back up to - // some value, multiple times in the packet. - - reg burst_uncompress_busy; - reg [BYTE_CNT_W:0] burst_uncompress_byte_counter; - wire [BYTE_CNT_W-1:0] burst_uncompress_byte_counter_lint; - wire first_packet_beat; - wire last_packet_beat; - - assign first_packet_beat = sink_valid & ~burst_uncompress_busy; - assign burst_uncompress_byte_counter_lint = burst_uncompress_byte_counter[BYTE_CNT_W-1:0]; - - // First cycle: burst_uncompress_byte_counter isn't ready yet, mux the input to - // the output. - assign source_byte_cnt = - first_packet_beat ? sink_byte_cnt : burst_uncompress_byte_counter_lint; - assign source_valid = sink_valid; - - // Last packet beat is set throughout receipt of an uncompressed read burst - // from the response FIFO - this forces all the burst uncompression machinery - // idle. - assign last_packet_beat = ~sink_is_compressed | - ( - burst_uncompress_busy ? - (sink_valid & (burst_uncompress_byte_counter_lint == num_symbols)) : - sink_valid & (sink_byte_cnt == num_symbols) - ); - - always @(posedge clk or posedge reset) begin - if (reset) begin - burst_uncompress_busy <= '0; - burst_uncompress_byte_counter <= '0; - end - else begin - if (source_valid & source_ready & sink_valid) begin - // No matter what the current state, last_packet_beat leads to - // idle. - if (last_packet_beat) begin - burst_uncompress_busy <= '0; - burst_uncompress_byte_counter <= '0; - end - else begin - if (burst_uncompress_busy) begin - burst_uncompress_byte_counter <= (burst_uncompress_byte_counter > 0) ? - (burst_uncompress_byte_counter_lint - num_symbols) : - (sink_byte_cnt - num_symbols); - end - else begin // not busy, at least one more beat to go - burst_uncompress_byte_counter <= sink_byte_cnt - num_symbols; - // To do: should busy go true for numsymbols-size compressed - // bursts? - burst_uncompress_busy <= 1'b1; - end - end - end - end - end - - reg [ADDR_W - 1 : 0 ] burst_uncompress_address_base; - reg [ADDR_W - 1 : 0] burst_uncompress_address_offset; - - wire [63:0] decoded_burstsize_wire; - wire [ADDR_W-1:0] decoded_burstsize; - - - localparam ADD_BURSTWRAP_W = (ADDR_W > BURSTWRAP_W) ? ADDR_W : BURSTWRAP_W; - wire [ADD_BURSTWRAP_W-1:0] addr_width_burstwrap; - // The input burstwrap value can be used as a mask against address values, - // but with one caveat: the address width may be (probably is) wider than - // the burstwrap width. The spec says: extend the msb of the burstwrap - // value out over the entire address width (but only if the address width - // actually is wider than the burstwrap width; otherwise it's a 0-width or - // negative range and concatenation multiplier). - generate - if (ADDR_W > BURSTWRAP_W) begin : addr_sign_extend - // Sign-extend, just wires: - assign addr_width_burstwrap[ADDR_W - 1 : BURSTWRAP_W] = - {(ADDR_W - BURSTWRAP_W) {sink_burstwrap[BURSTWRAP_W - 1]}}; - assign addr_width_burstwrap[BURSTWRAP_W-1:0] = sink_burstwrap [BURSTWRAP_W-1:0]; - end - else begin - assign addr_width_burstwrap[BURSTWRAP_W-1 : 0] = sink_burstwrap; - end - endgenerate - - always @(posedge clk or posedge reset) begin - if (reset) begin - burst_uncompress_address_base <= '0; - end - else if (first_packet_beat & source_ready) begin - burst_uncompress_address_base <= sink_addr & ~addr_width_burstwrap[ADDR_W-1:0]; - end - end - - assign decoded_burstsize_wire = bytes_in_transfer(sink_burstsize); //expand it to 64 bits - assign decoded_burstsize = decoded_burstsize_wire[ADDR_W-1:0]; //then take the width that is needed - - wire [ADDR_W : 0] p1_burst_uncompress_address_offset = - ( - (first_packet_beat ? - sink_addr : - burst_uncompress_address_offset) + decoded_burstsize - ) & - addr_width_burstwrap[ADDR_W-1:0]; - wire [ADDR_W-1:0] p1_burst_uncompress_address_offset_lint = p1_burst_uncompress_address_offset [ADDR_W-1:0]; - - always @(posedge clk or posedge reset) begin - if (reset) begin - burst_uncompress_address_offset <= '0; - end - else begin - if (source_ready & source_valid) begin - burst_uncompress_address_offset <= p1_burst_uncompress_address_offset_lint; - // if (first_packet_beat) begin - // burst_uncompress_address_offset <= - // (sink_addr + num_symbols) & addr_width_burstwrap; - // end - // else begin - // burst_uncompress_address_offset <= - // (burst_uncompress_address_offset + num_symbols) & addr_width_burstwrap; - // end - end - end - end - - // On the first packet beat, send the input address out unchanged, - // while values are computed/registered for 2nd and subsequent beats. - assign source_addr = first_packet_beat ? sink_addr : - burst_uncompress_address_base | burst_uncompress_address_offset; - assign source_burstwrap = sink_burstwrap; - assign source_burstsize = sink_burstsize; - - //------------------------------------------------------------------- - // A single (compressed) read burst will have sop/eop in the same beat. - // A sequence of read sub-bursts emitted by a burst adapter in response to a - // single read burst will have sop on the first sub-burst, eop on the last. - // Assert eop only upon (sink_endofpacket & last_packet_beat) to preserve - // packet conservation. - assign source_startofpacket = sink_startofpacket & ~burst_uncompress_busy; - assign source_endofpacket = sink_endofpacket & last_packet_beat; - assign sink_ready = source_valid & source_ready & last_packet_beat; - - // This is correct for the slave agent usage, but won't always be true in the - // width adapter. To do: add an "please uncompress" input, and use it to - // pass-through or modify, and set source_is_compressed accordingly. - assign source_is_compressed = 1'b0; -endmodule - +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/18.1std/ip/merlin/altera_merlin_slave_agent/altera_merlin_burst_uncompressor.sv#1 $ +// $Revision: #1 $ +// $Date: 2018/07/18 $ +// $Author: psgswbuild $ + +// ------------------------------------------ +// Merlin Burst Uncompressor +// +// Compressed read bursts -> uncompressed +// ------------------------------------------ + +`timescale 1 ns / 1 ns + +module altera_merlin_burst_uncompressor +#( + parameter ADDR_W = 16, + parameter BURSTWRAP_W = 3, + parameter BYTE_CNT_W = 4, + parameter PKT_SYMBOLS = 4, + parameter BURST_SIZE_W = 3 +) +( + input clk, + input reset, + + // sink ST signals + input sink_startofpacket, + input sink_endofpacket, + input sink_valid, + output sink_ready, + + // sink ST "data" + input [ADDR_W - 1: 0] sink_addr, + input [BURSTWRAP_W - 1 : 0] sink_burstwrap, + input [BYTE_CNT_W - 1 : 0] sink_byte_cnt, + input sink_is_compressed, + input [BURST_SIZE_W-1 : 0] sink_burstsize, + + // source ST signals + output source_startofpacket, + output source_endofpacket, + output source_valid, + input source_ready, + + // source ST "data" + output [ADDR_W - 1: 0] source_addr, + output [BURSTWRAP_W - 1 : 0] source_burstwrap, + output [BYTE_CNT_W - 1 : 0] source_byte_cnt, + + // Note: in the slave agent, the output should always be uncompressed. In + // other applications, it may be required to leave-compressed or not. How to + // control? Seems like a simple mux - pass-through if no uncompression is + // required. + output source_is_compressed, + output [BURST_SIZE_W-1 : 0] source_burstsize +); + +//---------------------------------------------------- +// AXSIZE decoding +// +// Turns the axsize value into the actual number of bytes +// being transferred. +// --------------------------------------------------- +function reg[63:0] bytes_in_transfer; + input [BURST_SIZE_W-1:0] axsize; + case (axsize) + 4'b0000: bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000000000001; + 4'b0001: bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000000000010; + 4'b0010: bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000000000100; + 4'b0011: bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000000001000; + 4'b0100: bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000000010000; + 4'b0101: bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000000100000; + 4'b0110: bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000001000000; + 4'b0111: bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000010000000; + 4'b1000: bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000100000000; + 4'b1001: bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000001000000000; + default:bytes_in_transfer = 64'b0000000000000000000000000000000000000000000000000000000000000001; + endcase + +endfunction + + // num_symbols is PKT_SYMBOLS, appropriately sized. + wire [31:0] int_num_symbols = PKT_SYMBOLS; + wire [BYTE_CNT_W-1:0] num_symbols = int_num_symbols[BYTE_CNT_W-1:0]; + + // def: Burst Compression. In a merlin network, a compressed burst is one + // which is transmitted in a single beat. Example: read burst. In + // constrast, an uncompressed burst (example: write burst) is transmitted in + // one beat per writedata item. + // + // For compressed bursts which require response packets, burst + // uncompression is required. Concrete example: a read burst of size 8 + // occupies one response-fifo position. When that fifo position reaches the + // front of the FIFO, the slave starts providing the required 8 readdatavalid + // pulses. The 8 return response beats must be provided in a single packet, + // with incrementing address and decrementing byte_cnt fields. Upon receipt + // of the final readdata item of the burst, the response FIFO item is + // retired. + // Burst uncompression logic provides: + // a) 2-state FSM (idle, busy) + // reset to idle state + // transition to busy state for 2nd and subsequent rdv pulses + // - a single-cycle burst (aka non-burst read) causes no transition to + // busy state. + // b) response startofpacket/endofpacket logic. The response FIFO item + // will have sop asserted, and may have eop asserted. (In the case of + // multiple read bursts transmit in the command fabric in a single packet, + // the eop assertion will come in a later FIFO item.) To support packet + // conservation, and emit a well-formed packet on the response fabric, + // i) response fabric startofpacket is asserted only for the first resp. + // beat; + // ii) response fabric endofpacket is asserted only for the last resp. + // beat. + // c) response address field. The response address field contains an + // incrementing sequence, such that each readdata item is associated with + // its slave-map location. N.b. a) computing the address correctly requires + // knowledge of burstwrap behavior b) there may be no clients of the address + // field, which makes this field a good target for optimization. See + // burst_uncompress_address_counter below. + // d) response byte_cnt field. The response byte_cnt field contains a + // decrementing sequence, such that each beat of the response contains the + // count of bytes to follow. In the case of sub-bursts in a single packet, + // the byte_cnt field may decrement down to num_symbols, then back up to + // some value, multiple times in the packet. + + reg burst_uncompress_busy; + reg [BYTE_CNT_W:0] burst_uncompress_byte_counter; + wire [BYTE_CNT_W-1:0] burst_uncompress_byte_counter_lint; + wire first_packet_beat; + wire last_packet_beat; + + assign first_packet_beat = sink_valid & ~burst_uncompress_busy; + assign burst_uncompress_byte_counter_lint = burst_uncompress_byte_counter[BYTE_CNT_W-1:0]; + + // First cycle: burst_uncompress_byte_counter isn't ready yet, mux the input to + // the output. + assign source_byte_cnt = + first_packet_beat ? sink_byte_cnt : burst_uncompress_byte_counter_lint; + assign source_valid = sink_valid; + + // Last packet beat is set throughout receipt of an uncompressed read burst + // from the response FIFO - this forces all the burst uncompression machinery + // idle. + assign last_packet_beat = ~sink_is_compressed | + ( + burst_uncompress_busy ? + (sink_valid & (burst_uncompress_byte_counter_lint == num_symbols)) : + sink_valid & (sink_byte_cnt == num_symbols) + ); + + always @(posedge clk or posedge reset) begin + if (reset) begin + burst_uncompress_busy <= '0; + burst_uncompress_byte_counter <= '0; + end + else begin + if (source_valid & source_ready & sink_valid) begin + // No matter what the current state, last_packet_beat leads to + // idle. + if (last_packet_beat) begin + burst_uncompress_busy <= '0; + burst_uncompress_byte_counter <= '0; + end + else begin + if (burst_uncompress_busy) begin + burst_uncompress_byte_counter <= (burst_uncompress_byte_counter > 0) ? + (burst_uncompress_byte_counter_lint - num_symbols) : + (sink_byte_cnt - num_symbols); + end + else begin // not busy, at least one more beat to go + burst_uncompress_byte_counter <= sink_byte_cnt - num_symbols; + // To do: should busy go true for numsymbols-size compressed + // bursts? + burst_uncompress_busy <= 1'b1; + end + end + end + end + end + + reg [ADDR_W - 1 : 0 ] burst_uncompress_address_base; + reg [ADDR_W - 1 : 0] burst_uncompress_address_offset; + + wire [63:0] decoded_burstsize_wire; + wire [ADDR_W-1:0] decoded_burstsize; + + + localparam ADD_BURSTWRAP_W = (ADDR_W > BURSTWRAP_W) ? ADDR_W : BURSTWRAP_W; + wire [ADD_BURSTWRAP_W-1:0] addr_width_burstwrap; + // The input burstwrap value can be used as a mask against address values, + // but with one caveat: the address width may be (probably is) wider than + // the burstwrap width. The spec says: extend the msb of the burstwrap + // value out over the entire address width (but only if the address width + // actually is wider than the burstwrap width; otherwise it's a 0-width or + // negative range and concatenation multiplier). + generate + if (ADDR_W > BURSTWRAP_W) begin : addr_sign_extend + // Sign-extend, just wires: + assign addr_width_burstwrap[ADDR_W - 1 : BURSTWRAP_W] = + {(ADDR_W - BURSTWRAP_W) {sink_burstwrap[BURSTWRAP_W - 1]}}; + assign addr_width_burstwrap[BURSTWRAP_W-1:0] = sink_burstwrap [BURSTWRAP_W-1:0]; + end + else begin + assign addr_width_burstwrap[BURSTWRAP_W-1 : 0] = sink_burstwrap; + end + endgenerate + + always @(posedge clk or posedge reset) begin + if (reset) begin + burst_uncompress_address_base <= '0; + end + else if (first_packet_beat & source_ready) begin + burst_uncompress_address_base <= sink_addr & ~addr_width_burstwrap[ADDR_W-1:0]; + end + end + + assign decoded_burstsize_wire = bytes_in_transfer(sink_burstsize); //expand it to 64 bits + assign decoded_burstsize = decoded_burstsize_wire[ADDR_W-1:0]; //then take the width that is needed + + wire [ADDR_W : 0] p1_burst_uncompress_address_offset = + ( + (first_packet_beat ? + sink_addr : + burst_uncompress_address_offset) + decoded_burstsize + ) & + addr_width_burstwrap[ADDR_W-1:0]; + wire [ADDR_W-1:0] p1_burst_uncompress_address_offset_lint = p1_burst_uncompress_address_offset [ADDR_W-1:0]; + + always @(posedge clk or posedge reset) begin + if (reset) begin + burst_uncompress_address_offset <= '0; + end + else begin + if (source_ready & source_valid) begin + burst_uncompress_address_offset <= p1_burst_uncompress_address_offset_lint; + // if (first_packet_beat) begin + // burst_uncompress_address_offset <= + // (sink_addr + num_symbols) & addr_width_burstwrap; + // end + // else begin + // burst_uncompress_address_offset <= + // (burst_uncompress_address_offset + num_symbols) & addr_width_burstwrap; + // end + end + end + end + + // On the first packet beat, send the input address out unchanged, + // while values are computed/registered for 2nd and subsequent beats. + assign source_addr = first_packet_beat ? sink_addr : + burst_uncompress_address_base | burst_uncompress_address_offset; + assign source_burstwrap = sink_burstwrap; + assign source_burstsize = sink_burstsize; + + //------------------------------------------------------------------- + // A single (compressed) read burst will have sop/eop in the same beat. + // A sequence of read sub-bursts emitted by a burst adapter in response to a + // single read burst will have sop on the first sub-burst, eop on the last. + // Assert eop only upon (sink_endofpacket & last_packet_beat) to preserve + // packet conservation. + assign source_startofpacket = sink_startofpacket & ~burst_uncompress_busy; + assign source_endofpacket = sink_endofpacket & last_packet_beat; + assign sink_ready = source_valid & source_ready & last_packet_beat; + + // This is correct for the slave agent usage, but won't always be true in the + // width adapter. To do: add an "please uncompress" input, and use it to + // pass-through or modify, and set source_is_compressed accordingly. + assign source_is_compressed = 1'b0; +endmodule + diff --git a/Top/niosII/synthesis/submodules/altera_merlin_master_agent.sv b/Top/niosII/synthesis/submodules/altera_merlin_master_agent.sv index 424ec4e..4cbc92b 100644 --- a/Top/niosII/synthesis/submodules/altera_merlin_master_agent.sv +++ b/Top/niosII/synthesis/submodules/altera_merlin_master_agent.sv @@ -11,293 +11,293 @@ // agreement for further details. -// $Id: //acds/rel/18.1std/ip/merlin/altera_merlin_master_agent/altera_merlin_master_agent.sv#1 $ -// $Revision: #1 $ -// $Date: 2018/07/18 $ -// $Author: psgswbuild $ - -// -------------------------------------- -// Merlin Master Agent -// -// Converts Avalon-MM transactions into -// Merlin network packets. -// -------------------------------------- - -`timescale 1 ns / 1 ns - -module altera_merlin_master_agent -#( - // ------------------- - // Packet Format Parameters - // ------------------- - parameter - PKT_QOS_H = 109, - PKT_QOS_L = 106, - PKT_DATA_SIDEBAND_H = 105, - PKT_DATA_SIDEBAND_L = 98, - PKT_ADDR_SIDEBAND_H = 97, - PKT_ADDR_SIDEBAND_L = 93, - PKT_CACHE_H = 92, - PKT_CACHE_L = 89, - PKT_THREAD_ID_H = 88, - PKT_THREAD_ID_L = 87, - PKT_BEGIN_BURST = 81, - PKT_PROTECTION_H = 80, - PKT_PROTECTION_L = 80, - PKT_BURSTWRAP_H = 79, - PKT_BURSTWRAP_L = 77, - PKT_BYTE_CNT_H = 76, - PKT_BYTE_CNT_L = 74, - PKT_ADDR_H = 73, - PKT_ADDR_L = 42, - PKT_BURST_SIZE_H = 86, - PKT_BURST_SIZE_L = 84, - PKT_BURST_TYPE_H = 94, - PKT_BURST_TYPE_L = 93, - PKT_TRANS_EXCLUSIVE = 83, - PKT_TRANS_LOCK = 82, - PKT_TRANS_COMPRESSED_READ = 41, - PKT_TRANS_POSTED = 40, - PKT_TRANS_WRITE = 39, - PKT_TRANS_READ = 38, - PKT_DATA_H = 37, - PKT_DATA_L = 6, - PKT_BYTEEN_H = 5, - PKT_BYTEEN_L = 2, - PKT_SRC_ID_H = 1, - PKT_SRC_ID_L = 1, - PKT_DEST_ID_H = 0, - PKT_DEST_ID_L = 0, - PKT_RESPONSE_STATUS_L = 110, - PKT_RESPONSE_STATUS_H = 111, - PKT_ORI_BURST_SIZE_L = 112, - PKT_ORI_BURST_SIZE_H = 114, - ST_DATA_W = 115, - ST_CHANNEL_W = 1, - - // ------------------- - // Agent Parameters - // ------------------- - AV_BURSTCOUNT_W = 3, - ID = 1, - SUPPRESS_0_BYTEEN_RSP = 1, - BURSTWRAP_VALUE = 4, - CACHE_VALUE = 0, - SECURE_ACCESS_BIT = 1, - USE_READRESPONSE = 0, - USE_WRITERESPONSE = 0, - - // ------------------- - // Derived Parameters - // ------------------- - PKT_BURSTWRAP_W = PKT_BURSTWRAP_H - PKT_BURSTWRAP_L + 1, - PKT_BYTE_CNT_W = PKT_BYTE_CNT_H - PKT_BYTE_CNT_L + 1, - PKT_PROTECTION_W = PKT_PROTECTION_H - PKT_PROTECTION_L + 1, - PKT_ADDR_W = PKT_ADDR_H - PKT_ADDR_L + 1, - PKT_DATA_W = PKT_DATA_H - PKT_DATA_L + 1, - PKT_BYTEEN_W = PKT_BYTEEN_H - PKT_BYTEEN_L + 1, - PKT_SRC_ID_W = PKT_SRC_ID_H - PKT_SRC_ID_L + 1, - PKT_DEST_ID_W = PKT_DEST_ID_H - PKT_DEST_ID_L + 1, - PKT_BURST_SIZE_W = PKT_BURST_SIZE_H - PKT_BURST_SIZE_L + 1 -) ( - // ------------------- - // Clock & Reset - // ------------------- - input clk, - input reset, - - // ------------------- - // Avalon-MM Anti-Master - // ------------------- - input [PKT_ADDR_W-1 : 0] av_address, - input av_write, - input av_read, - input [PKT_DATA_W-1 : 0] av_writedata, - output reg [PKT_DATA_W-1 : 0] av_readdata, - output reg av_waitrequest, - output reg av_readdatavalid, - input [PKT_BYTEEN_W-1 : 0] av_byteenable, - input [AV_BURSTCOUNT_W-1 : 0] av_burstcount, - input av_debugaccess, - input av_lock, - output reg [1 : 0] av_response, - output reg av_writeresponsevalid, - - // ------------------- - // Command Source - // ------------------- - output reg cp_valid, - output reg [ST_DATA_W-1 : 0] cp_data, - output wire cp_startofpacket, - output wire cp_endofpacket, - input cp_ready, - - // ------------------- - // Response Sink - // ------------------- - input rp_valid, - input [ST_DATA_W-1 : 0] rp_data, - input [ST_CHANNEL_W-1 : 0] rp_channel, - input rp_startofpacket, - input rp_endofpacket, - output reg rp_ready -); - // ------------------------------------------------------------ - // Utility Functions - // ------------------------------------------------------------ - function integer clogb2; - input [31 : 0] value; - begin - for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1) - value = value >> 1; - clogb2 = clogb2 - 1; - end - endfunction // clogb2 - - localparam MAX_BURST = 1 << (AV_BURSTCOUNT_W - 1); - localparam NUMSYMBOLS = PKT_BYTEEN_W; - localparam BURSTING = (MAX_BURST > NUMSYMBOLS); - localparam BITS_TO_ZERO = clogb2(NUMSYMBOLS); - localparam BURST_SIZE = clogb2(NUMSYMBOLS); - - typedef enum bit [1 : 0] - { - FIXED = 2'b00, - INCR = 2'b01, - WRAP = 2'b10, - OTHER_WRAP = 2'b11 - } MerlinBurstType; - - // -------------------------------------- - // Potential optimization: compare in words to save bits? - // -------------------------------------- - wire is_burst; - assign is_burst = (BURSTING) & (av_burstcount > NUMSYMBOLS); - - wire [31 : 0] burstwrap_value_int = BURSTWRAP_VALUE; - wire [31 : 0] id_int = ID; - wire [PKT_BURST_SIZE_W-1 : 0] burstsize_sig = BURST_SIZE[PKT_BURST_SIZE_W-1 : 0]; - wire [1 : 0] bursttype_value = burstwrap_value_int[PKT_BURSTWRAP_W-1] ? INCR : WRAP; - - // -------------------------------------- - // Address alignment - // - // The packet format requires that addresses be aligned to - // the transaction size. - // -------------------------------------- - wire [PKT_ADDR_W-1 : 0] av_address_aligned; - generate - if (NUMSYMBOLS > 1) begin - assign av_address_aligned = - {av_address[PKT_ADDR_W-1 : BITS_TO_ZERO], {BITS_TO_ZERO {1'b0}}}; - end - else begin - assign av_address_aligned = av_address; - end - endgenerate - - // -------------------------------------- - // Command & Response Construction - // -------------------------------------- - always_comb begin - cp_data = '0; - - cp_data[PKT_PROTECTION_L] = av_debugaccess; - cp_data[PKT_PROTECTION_L+1] = SECURE_ACCESS_BIT[0]; // secure cache bit - cp_data[PKT_PROTECTION_L+2] = 1'b0; // instruction/data cache bit - cp_data[PKT_BURSTWRAP_H : PKT_BURSTWRAP_L] = burstwrap_value_int[PKT_BURSTWRAP_W-1 : 0]; - cp_data[PKT_BYTE_CNT_H : PKT_BYTE_CNT_L] = av_burstcount; - cp_data[PKT_ADDR_H : PKT_ADDR_L] = av_address_aligned; - cp_data[PKT_TRANS_EXCLUSIVE] = 1'b0; - cp_data[PKT_TRANS_LOCK] = av_lock; - cp_data[PKT_TRANS_COMPRESSED_READ] = av_read & is_burst; - cp_data[PKT_TRANS_READ] = av_read; - cp_data[PKT_TRANS_WRITE] = av_write; - cp_data[PKT_TRANS_POSTED] = av_write & !USE_WRITERESPONSE; - cp_data[PKT_DATA_H : PKT_DATA_L] = av_writedata; - cp_data[PKT_BYTEEN_H : PKT_BYTEEN_L] = av_byteenable; - cp_data[PKT_BURST_SIZE_H : PKT_BURST_SIZE_L] = burstsize_sig; - cp_data[PKT_ORI_BURST_SIZE_H : PKT_ORI_BURST_SIZE_L] = burstsize_sig; - cp_data[PKT_BURST_TYPE_H : PKT_BURST_TYPE_L] = bursttype_value; - cp_data[PKT_SRC_ID_H : PKT_SRC_ID_L] = id_int[PKT_SRC_ID_W-1 : 0]; - cp_data[PKT_THREAD_ID_H : PKT_THREAD_ID_L] = '0; - cp_data[PKT_CACHE_H : PKT_CACHE_L] = CACHE_VALUE[3 : 0]; - cp_data[PKT_QOS_H : PKT_QOS_L] = '0; - cp_data[PKT_ADDR_SIDEBAND_H : PKT_ADDR_SIDEBAND_L] = '0; - cp_data[PKT_DATA_SIDEBAND_H : PKT_DATA_SIDEBAND_L] = '0; - - av_readdata = rp_data[PKT_DATA_H : PKT_DATA_L]; - if (USE_WRITERESPONSE || USE_READRESPONSE) - av_response = rp_data[PKT_RESPONSE_STATUS_H : PKT_RESPONSE_STATUS_L]; - else - av_response = '0; - end - - // -------------------------------------- - // Command Control - // -------------------------------------- - reg hold_waitrequest; - - always @ (posedge clk, posedge reset) begin - if (reset) - hold_waitrequest <= 1'b1; - else - hold_waitrequest <= 1'b0; - end - - always_comb begin - cp_valid = 0; - - if ((av_write || av_read) && ~hold_waitrequest) - cp_valid = 1; - end - - generate if (BURSTING) begin - reg sop_enable; - - always @(posedge clk, posedge reset) begin - if (reset) begin - sop_enable <= 1'b1; - end - else begin - if (cp_valid && cp_ready) begin - sop_enable <= 1'b0; - if (cp_endofpacket) - sop_enable <= 1'b1; - end - end - end - - assign cp_startofpacket = sop_enable; - assign cp_endofpacket = (av_read) | (av_burstcount == NUMSYMBOLS); - - end - else begin - - assign cp_startofpacket = 1'b1; - assign cp_endofpacket = 1'b1; - - end - endgenerate - - // -------------------------------------- - // Backpressure & Readdatavalid - // -------------------------------------- - always_comb begin - rp_ready = 1; - av_readdatavalid = 0; - av_writeresponsevalid = 0; - av_waitrequest = hold_waitrequest | !cp_ready; - - if (USE_WRITERESPONSE && (rp_data[PKT_TRANS_WRITE] == 1)) - av_writeresponsevalid = rp_valid; - else - av_readdatavalid = rp_valid; - - if (SUPPRESS_0_BYTEEN_RSP) begin - if (rp_data[PKT_BYTEEN_H : PKT_BYTEEN_L] == 0) - av_readdatavalid = 0; - end - end - -endmodule +// $Id: //acds/rel/18.1std/ip/merlin/altera_merlin_master_agent/altera_merlin_master_agent.sv#1 $ +// $Revision: #1 $ +// $Date: 2018/07/18 $ +// $Author: psgswbuild $ + +// -------------------------------------- +// Merlin Master Agent +// +// Converts Avalon-MM transactions into +// Merlin network packets. +// -------------------------------------- + +`timescale 1 ns / 1 ns + +module altera_merlin_master_agent +#( + // ------------------- + // Packet Format Parameters + // ------------------- + parameter + PKT_QOS_H = 109, + PKT_QOS_L = 106, + PKT_DATA_SIDEBAND_H = 105, + PKT_DATA_SIDEBAND_L = 98, + PKT_ADDR_SIDEBAND_H = 97, + PKT_ADDR_SIDEBAND_L = 93, + PKT_CACHE_H = 92, + PKT_CACHE_L = 89, + PKT_THREAD_ID_H = 88, + PKT_THREAD_ID_L = 87, + PKT_BEGIN_BURST = 81, + PKT_PROTECTION_H = 80, + PKT_PROTECTION_L = 80, + PKT_BURSTWRAP_H = 79, + PKT_BURSTWRAP_L = 77, + PKT_BYTE_CNT_H = 76, + PKT_BYTE_CNT_L = 74, + PKT_ADDR_H = 73, + PKT_ADDR_L = 42, + PKT_BURST_SIZE_H = 86, + PKT_BURST_SIZE_L = 84, + PKT_BURST_TYPE_H = 94, + PKT_BURST_TYPE_L = 93, + PKT_TRANS_EXCLUSIVE = 83, + PKT_TRANS_LOCK = 82, + PKT_TRANS_COMPRESSED_READ = 41, + PKT_TRANS_POSTED = 40, + PKT_TRANS_WRITE = 39, + PKT_TRANS_READ = 38, + PKT_DATA_H = 37, + PKT_DATA_L = 6, + PKT_BYTEEN_H = 5, + PKT_BYTEEN_L = 2, + PKT_SRC_ID_H = 1, + PKT_SRC_ID_L = 1, + PKT_DEST_ID_H = 0, + PKT_DEST_ID_L = 0, + PKT_RESPONSE_STATUS_L = 110, + PKT_RESPONSE_STATUS_H = 111, + PKT_ORI_BURST_SIZE_L = 112, + PKT_ORI_BURST_SIZE_H = 114, + ST_DATA_W = 115, + ST_CHANNEL_W = 1, + + // ------------------- + // Agent Parameters + // ------------------- + AV_BURSTCOUNT_W = 3, + ID = 1, + SUPPRESS_0_BYTEEN_RSP = 1, + BURSTWRAP_VALUE = 4, + CACHE_VALUE = 0, + SECURE_ACCESS_BIT = 1, + USE_READRESPONSE = 0, + USE_WRITERESPONSE = 0, + + // ------------------- + // Derived Parameters + // ------------------- + PKT_BURSTWRAP_W = PKT_BURSTWRAP_H - PKT_BURSTWRAP_L + 1, + PKT_BYTE_CNT_W = PKT_BYTE_CNT_H - PKT_BYTE_CNT_L + 1, + PKT_PROTECTION_W = PKT_PROTECTION_H - PKT_PROTECTION_L + 1, + PKT_ADDR_W = PKT_ADDR_H - PKT_ADDR_L + 1, + PKT_DATA_W = PKT_DATA_H - PKT_DATA_L + 1, + PKT_BYTEEN_W = PKT_BYTEEN_H - PKT_BYTEEN_L + 1, + PKT_SRC_ID_W = PKT_SRC_ID_H - PKT_SRC_ID_L + 1, + PKT_DEST_ID_W = PKT_DEST_ID_H - PKT_DEST_ID_L + 1, + PKT_BURST_SIZE_W = PKT_BURST_SIZE_H - PKT_BURST_SIZE_L + 1 +) ( + // ------------------- + // Clock & Reset + // ------------------- + input clk, + input reset, + + // ------------------- + // Avalon-MM Anti-Master + // ------------------- + input [PKT_ADDR_W-1 : 0] av_address, + input av_write, + input av_read, + input [PKT_DATA_W-1 : 0] av_writedata, + output reg [PKT_DATA_W-1 : 0] av_readdata, + output reg av_waitrequest, + output reg av_readdatavalid, + input [PKT_BYTEEN_W-1 : 0] av_byteenable, + input [AV_BURSTCOUNT_W-1 : 0] av_burstcount, + input av_debugaccess, + input av_lock, + output reg [1 : 0] av_response, + output reg av_writeresponsevalid, + + // ------------------- + // Command Source + // ------------------- + output reg cp_valid, + output reg [ST_DATA_W-1 : 0] cp_data, + output wire cp_startofpacket, + output wire cp_endofpacket, + input cp_ready, + + // ------------------- + // Response Sink + // ------------------- + input rp_valid, + input [ST_DATA_W-1 : 0] rp_data, + input [ST_CHANNEL_W-1 : 0] rp_channel, + input rp_startofpacket, + input rp_endofpacket, + output reg rp_ready +); + // ------------------------------------------------------------ + // Utility Functions + // ------------------------------------------------------------ + function integer clogb2; + input [31 : 0] value; + begin + for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1) + value = value >> 1; + clogb2 = clogb2 - 1; + end + endfunction // clogb2 + + localparam MAX_BURST = 1 << (AV_BURSTCOUNT_W - 1); + localparam NUMSYMBOLS = PKT_BYTEEN_W; + localparam BURSTING = (MAX_BURST > NUMSYMBOLS); + localparam BITS_TO_ZERO = clogb2(NUMSYMBOLS); + localparam BURST_SIZE = clogb2(NUMSYMBOLS); + + typedef enum bit [1 : 0] + { + FIXED = 2'b00, + INCR = 2'b01, + WRAP = 2'b10, + OTHER_WRAP = 2'b11 + } MerlinBurstType; + + // -------------------------------------- + // Potential optimization: compare in words to save bits? + // -------------------------------------- + wire is_burst; + assign is_burst = (BURSTING) & (av_burstcount > NUMSYMBOLS); + + wire [31 : 0] burstwrap_value_int = BURSTWRAP_VALUE; + wire [31 : 0] id_int = ID; + wire [PKT_BURST_SIZE_W-1 : 0] burstsize_sig = BURST_SIZE[PKT_BURST_SIZE_W-1 : 0]; + wire [1 : 0] bursttype_value = burstwrap_value_int[PKT_BURSTWRAP_W-1] ? INCR : WRAP; + + // -------------------------------------- + // Address alignment + // + // The packet format requires that addresses be aligned to + // the transaction size. + // -------------------------------------- + wire [PKT_ADDR_W-1 : 0] av_address_aligned; + generate + if (NUMSYMBOLS > 1) begin + assign av_address_aligned = + {av_address[PKT_ADDR_W-1 : BITS_TO_ZERO], {BITS_TO_ZERO {1'b0}}}; + end + else begin + assign av_address_aligned = av_address; + end + endgenerate + + // -------------------------------------- + // Command & Response Construction + // -------------------------------------- + always_comb begin + cp_data = '0; + + cp_data[PKT_PROTECTION_L] = av_debugaccess; + cp_data[PKT_PROTECTION_L+1] = SECURE_ACCESS_BIT[0]; // secure cache bit + cp_data[PKT_PROTECTION_L+2] = 1'b0; // instruction/data cache bit + cp_data[PKT_BURSTWRAP_H : PKT_BURSTWRAP_L] = burstwrap_value_int[PKT_BURSTWRAP_W-1 : 0]; + cp_data[PKT_BYTE_CNT_H : PKT_BYTE_CNT_L] = av_burstcount; + cp_data[PKT_ADDR_H : PKT_ADDR_L] = av_address_aligned; + cp_data[PKT_TRANS_EXCLUSIVE] = 1'b0; + cp_data[PKT_TRANS_LOCK] = av_lock; + cp_data[PKT_TRANS_COMPRESSED_READ] = av_read & is_burst; + cp_data[PKT_TRANS_READ] = av_read; + cp_data[PKT_TRANS_WRITE] = av_write; + cp_data[PKT_TRANS_POSTED] = av_write & !USE_WRITERESPONSE; + cp_data[PKT_DATA_H : PKT_DATA_L] = av_writedata; + cp_data[PKT_BYTEEN_H : PKT_BYTEEN_L] = av_byteenable; + cp_data[PKT_BURST_SIZE_H : PKT_BURST_SIZE_L] = burstsize_sig; + cp_data[PKT_ORI_BURST_SIZE_H : PKT_ORI_BURST_SIZE_L] = burstsize_sig; + cp_data[PKT_BURST_TYPE_H : PKT_BURST_TYPE_L] = bursttype_value; + cp_data[PKT_SRC_ID_H : PKT_SRC_ID_L] = id_int[PKT_SRC_ID_W-1 : 0]; + cp_data[PKT_THREAD_ID_H : PKT_THREAD_ID_L] = '0; + cp_data[PKT_CACHE_H : PKT_CACHE_L] = CACHE_VALUE[3 : 0]; + cp_data[PKT_QOS_H : PKT_QOS_L] = '0; + cp_data[PKT_ADDR_SIDEBAND_H : PKT_ADDR_SIDEBAND_L] = '0; + cp_data[PKT_DATA_SIDEBAND_H : PKT_DATA_SIDEBAND_L] = '0; + + av_readdata = rp_data[PKT_DATA_H : PKT_DATA_L]; + if (USE_WRITERESPONSE || USE_READRESPONSE) + av_response = rp_data[PKT_RESPONSE_STATUS_H : PKT_RESPONSE_STATUS_L]; + else + av_response = '0; + end + + // -------------------------------------- + // Command Control + // -------------------------------------- + reg hold_waitrequest; + + always @ (posedge clk, posedge reset) begin + if (reset) + hold_waitrequest <= 1'b1; + else + hold_waitrequest <= 1'b0; + end + + always_comb begin + cp_valid = 0; + + if ((av_write || av_read) && ~hold_waitrequest) + cp_valid = 1; + end + + generate if (BURSTING) begin + reg sop_enable; + + always @(posedge clk, posedge reset) begin + if (reset) begin + sop_enable <= 1'b1; + end + else begin + if (cp_valid && cp_ready) begin + sop_enable <= 1'b0; + if (cp_endofpacket) + sop_enable <= 1'b1; + end + end + end + + assign cp_startofpacket = sop_enable; + assign cp_endofpacket = (av_read) | (av_burstcount == NUMSYMBOLS); + + end + else begin + + assign cp_startofpacket = 1'b1; + assign cp_endofpacket = 1'b1; + + end + endgenerate + + // -------------------------------------- + // Backpressure & Readdatavalid + // -------------------------------------- + always_comb begin + rp_ready = 1; + av_readdatavalid = 0; + av_writeresponsevalid = 0; + av_waitrequest = hold_waitrequest | !cp_ready; + + if (USE_WRITERESPONSE && (rp_data[PKT_TRANS_WRITE] == 1)) + av_writeresponsevalid = rp_valid; + else + av_readdatavalid = rp_valid; + + if (SUPPRESS_0_BYTEEN_RSP) begin + if (rp_data[PKT_BYTEEN_H : PKT_BYTEEN_L] == 0) + av_readdatavalid = 0; + end + end + +endmodule diff --git a/Top/niosII/synthesis/submodules/altera_merlin_master_translator.sv b/Top/niosII/synthesis/submodules/altera_merlin_master_translator.sv index ec824fc..9bc1226 100644 --- a/Top/niosII/synthesis/submodules/altera_merlin_master_translator.sv +++ b/Top/niosII/synthesis/submodules/altera_merlin_master_translator.sv @@ -11,546 +11,546 @@ // agreement for further details. -// $Id: //acds/rel/18.1std/ip/merlin/altera_merlin_master_translator/altera_merlin_master_translator.sv#1 $ -// $Revision: #1 $ -// $Date: 2018/07/18 $ -// $Author: psgswbuild $ - -// -------------------------------------- -// Merlin Master Translator -// -// Converts an Avalon-MM master interface into an -// Avalon-MM "universal" master interface. -// -// The universal interface is defined as the superset of ports -// and parameters that can represent any legal Avalon -// interface. -// -------------------------------------- - -`timescale 1 ns / 1 ns - -module altera_merlin_master_translator #( - parameter - // widths - AV_ADDRESS_W = 32, - AV_DATA_W = 32, - AV_BURSTCOUNT_W = 4, - AV_BYTEENABLE_W = 4, - - UAV_ADDRESS_W = 38, - UAV_BURSTCOUNT_W = 10, - - // optional ports - USE_BURSTCOUNT = 1, - USE_BEGINBURSTTRANSFER = 0, - USE_BEGINTRANSFER = 0, - USE_CHIPSELECT = 0, - USE_READ = 1, - USE_READDATAVALID = 1, - USE_WRITE = 1, - USE_WAITREQUEST = 1, - USE_WRITERESPONSE = 0, - USE_READRESPONSE = 0, - - AV_REGISTERINCOMINGSIGNALS = 0, - AV_SYMBOLS_PER_WORD = 4, - AV_ADDRESS_SYMBOLS = 0, - // must be enabled for a bursting master - AV_CONSTANT_BURST_BEHAVIOR = 1, - UAV_CONSTANT_BURST_BEHAVIOR = 0, - AV_BURSTCOUNT_SYMBOLS = 0, - AV_LINEWRAPBURSTS = 0 -)( - input wire clk, - input wire reset, - - // Universal Avalon Master - output reg uav_write, - output reg uav_read, - output reg [UAV_ADDRESS_W -1 : 0] uav_address, - output reg [UAV_BURSTCOUNT_W -1 : 0] uav_burstcount, - output wire [AV_BYTEENABLE_W -1 : 0] uav_byteenable, - output wire [AV_DATA_W -1 : 0] uav_writedata, - output wire uav_lock, - output wire uav_debugaccess, - output wire uav_clken, - - input wire [AV_DATA_W -1 : 0] uav_readdata, - input wire uav_readdatavalid, - input wire uav_waitrequest, - input wire [1 : 0] uav_response, - input wire uav_writeresponsevalid, - - // Avalon-MM Anti-master (slave) - input reg av_write, - input reg av_read, - input wire [AV_ADDRESS_W -1 : 0] av_address, - input wire [AV_BYTEENABLE_W -1 : 0] av_byteenable, - input wire [AV_BURSTCOUNT_W -1 : 0] av_burstcount, - input wire [AV_DATA_W -1 : 0] av_writedata, - input wire av_begintransfer, - input wire av_beginbursttransfer, - input wire av_lock, - input wire av_chipselect, - input wire av_debugaccess, - input wire av_clken, - - output wire [AV_DATA_W -1 : 0] av_readdata, - output wire av_readdatavalid, - output reg av_waitrequest, - output reg [1 : 0] av_response, - output reg av_writeresponsevalid -); - - localparam BITS_PER_WORD = clog2(AV_SYMBOLS_PER_WORD); - localparam AV_MAX_SYMBOL_BURST = flog2(pow2(AV_BURSTCOUNT_W - 1) * (AV_BURSTCOUNT_SYMBOLS ? 1 : AV_SYMBOLS_PER_WORD)); - localparam AV_MAX_SYMBOL_BURST_MINUS_ONE = AV_MAX_SYMBOL_BURST ? AV_MAX_SYMBOL_BURST - 1 : 0; - localparam UAV_BURSTCOUNT_H_OR_31 = (UAV_BURSTCOUNT_W > 32) ? 31 : UAV_BURSTCOUNT_W - 1; - localparam UAV_ADDRESS_H_OR_31 = (UAV_ADDRESS_W > 32) ? 31 : UAV_ADDRESS_W - 1; - - localparam BITS_PER_WORD_BURSTCOUNT = (UAV_BURSTCOUNT_W == 1) ? 0 : BITS_PER_WORD; - localparam BITS_PER_WORD_ADDRESS = (UAV_ADDRESS_W == 1) ? 0 : BITS_PER_WORD; - - localparam ADDRESS_LOW = AV_ADDRESS_SYMBOLS ? 0 : BITS_PER_WORD_ADDRESS; - localparam BURSTCOUNT_LOW = AV_BURSTCOUNT_SYMBOLS ? 0 : BITS_PER_WORD_BURSTCOUNT; - - localparam ADDRESS_HIGH = (UAV_ADDRESS_W > AV_ADDRESS_W + ADDRESS_LOW) ? AV_ADDRESS_W : (UAV_ADDRESS_W - ADDRESS_LOW); - localparam BURSTCOUNT_HIGH = (UAV_BURSTCOUNT_W > AV_BURSTCOUNT_W + BURSTCOUNT_LOW) ? AV_BURSTCOUNT_W : (UAV_BURSTCOUNT_W - BURSTCOUNT_LOW); - - function integer flog2; - input [31:0] depth; - integer i; - begin - i = depth; - if ( i <= 0 ) flog2 = 0; - else begin - for (flog2 = -1; i > 0; flog2 = flog2 + 1) - i = i >> 1; - end - end - endfunction // flog2 - - // ------------------------------------------------------------ - // Calculates the ceil(log2()) of the input val. - // - // Limited to a positive 32-bit input value. - // ------------------------------------------------------------ - function integer clog2; - input[31:0] val; - reg[31:0] i; - - begin - i = 1; - clog2 = 0; - - while (i < val) begin - clog2 = clog2 + 1; - i = i[30:0] << 1; - end - end - endfunction - - function integer pow2; - input [31:0] toShift; - begin - pow2 = 1; - pow2 = pow2 << toShift; - end - endfunction // pow2 - - // ------------------------------------------------- - // Assign some constants to appropriately-sized signals to - // avoid synthesis warnings. This also helps some simulators - // with their inferred sensitivity lists. - // - // The symbols per word calculation here rounds non-power of two - // symbols to the next highest power of two, which is what we want - // when calculating the decrementing byte count. - // ------------------------------------------------- - wire [31 : 0] symbols_per_word_int = 2**(clog2(AV_SYMBOLS_PER_WORD[UAV_BURSTCOUNT_H_OR_31 : 0])); - wire [UAV_BURSTCOUNT_H_OR_31 : 0] symbols_per_word = symbols_per_word_int[UAV_BURSTCOUNT_H_OR_31 : 0]; - - reg internal_beginbursttransfer; - reg internal_begintransfer; - reg [UAV_ADDRESS_W -1 : 0] uav_address_pre; - reg [UAV_BURSTCOUNT_W -1 : 0] uav_burstcount_pre; - - reg uav_read_pre; - reg uav_write_pre; - reg read_accepted; - - // ------------------------------------------------- - // Pass through signals that we don't touch - // ------------------------------------------------- - assign uav_writedata = av_writedata; - assign uav_byteenable = av_byteenable; - assign uav_lock = av_lock; - assign uav_debugaccess = av_debugaccess; - assign uav_clken = av_clken; - - assign av_readdata = uav_readdata; - assign av_readdatavalid = uav_readdatavalid; - - // ------------------------------------------------- - // Response signals - // ------------------------------------------------- - always_comb begin - if (!USE_READRESPONSE && !USE_WRITERESPONSE) - av_response = '0; - else - av_response = uav_response; - - if (USE_WRITERESPONSE) begin - av_writeresponsevalid = uav_writeresponsevalid; - end else begin - av_writeresponsevalid = '0; - end - end - - // ------------------------------------------------- - // Convert byte and word addresses into byte addresses - // ------------------------------------------------- - always_comb begin - uav_address_pre = {UAV_ADDRESS_W{1'b0}}; - - if (AV_ADDRESS_SYMBOLS) - uav_address_pre[(ADDRESS_HIGH ? ADDRESS_HIGH - 1 : 0) : 0] = av_address[(ADDRESS_HIGH ? ADDRESS_HIGH - 1 : 0) : 0]; - else begin - uav_address_pre[ADDRESS_LOW + ADDRESS_HIGH - 1 : ADDRESS_LOW] = av_address[(ADDRESS_HIGH ? ADDRESS_HIGH - 1 : 0) : 0]; - end - end - - // ------------------------------------------------- - // Convert burstcount into symbol units - // ------------------------------------------------- - always_comb begin - uav_burstcount_pre = symbols_per_word; // default to a single transfer - - if (USE_BURSTCOUNT) begin - uav_burstcount_pre = {UAV_BURSTCOUNT_W{1'b0}}; - if (AV_BURSTCOUNT_SYMBOLS) - uav_burstcount_pre[(BURSTCOUNT_HIGH ? BURSTCOUNT_HIGH - 1 : 0) :0] = av_burstcount[(BURSTCOUNT_HIGH ? BURSTCOUNT_HIGH - 1 : 0) : 0]; - else begin - uav_burstcount_pre[UAV_BURSTCOUNT_W - 1 : BURSTCOUNT_LOW] = av_burstcount[(BURSTCOUNT_HIGH ? BURSTCOUNT_HIGH - 1 : 0) : 0]; - end - end - end - - // ------------------------------------------------- - // This is where we perform the per-transfer address and burstcount - // calculations that are required by downstream modules. - // ------------------------------------------------- - reg [UAV_ADDRESS_W -1 : 0] address_register; - wire [UAV_BURSTCOUNT_W -1 : 0] burstcount_register; - reg [UAV_BURSTCOUNT_W : 0] burstcount_register_lint; - - assign burstcount_register = burstcount_register_lint[UAV_BURSTCOUNT_W -1 : 0]; - - always_comb begin - uav_address = uav_address_pre; - uav_burstcount = uav_burstcount_pre; - - if (AV_CONSTANT_BURST_BEHAVIOR && !UAV_CONSTANT_BURST_BEHAVIOR && ~internal_beginbursttransfer) begin - uav_address = address_register; - uav_burstcount = burstcount_register; - end - end - - reg first_burst_stalled; - reg burst_stalled; - - wire [UAV_ADDRESS_W -1 : 0] combi_burst_addr_reg; - wire [UAV_ADDRESS_W -1 : 0] combi_addr_reg; - - generate - if (AV_LINEWRAPBURSTS && AV_MAX_SYMBOL_BURST != 0) begin - if (AV_MAX_SYMBOL_BURST > UAV_ADDRESS_W - 1) begin - assign combi_burst_addr_reg = { uav_address_pre[UAV_ADDRESS_W-1:0] + AV_SYMBOLS_PER_WORD[UAV_ADDRESS_W-1:0] }; - assign combi_addr_reg = { address_register[UAV_ADDRESS_W-1:0] + AV_SYMBOLS_PER_WORD[UAV_ADDRESS_W-1:0] }; - end - else begin - assign combi_burst_addr_reg = { uav_address_pre[UAV_ADDRESS_W - 1 : AV_MAX_SYMBOL_BURST], uav_address_pre[AV_MAX_SYMBOL_BURST_MINUS_ONE:0] + AV_SYMBOLS_PER_WORD[AV_MAX_SYMBOL_BURST_MINUS_ONE:0] }; - assign combi_addr_reg = { address_register[UAV_ADDRESS_W - 1 : AV_MAX_SYMBOL_BURST], address_register[AV_MAX_SYMBOL_BURST_MINUS_ONE:0] + AV_SYMBOLS_PER_WORD[AV_MAX_SYMBOL_BURST_MINUS_ONE:0] }; - end - end - else begin - assign combi_burst_addr_reg = uav_address_pre + AV_SYMBOLS_PER_WORD[UAV_ADDRESS_H_OR_31:0]; - assign combi_addr_reg = address_register + AV_SYMBOLS_PER_WORD[UAV_ADDRESS_H_OR_31:0]; - end - endgenerate - - always @(posedge clk, posedge reset) begin - if (reset) begin - address_register <= '0; - burstcount_register_lint <= '0; - end else begin - address_register <= address_register; - burstcount_register_lint <= burstcount_register_lint; - - if (internal_beginbursttransfer || first_burst_stalled) begin - if (av_waitrequest) begin - address_register <= uav_address_pre; - burstcount_register_lint[UAV_BURSTCOUNT_W - 1 : 0] <= uav_burstcount_pre; - end else begin - address_register <= combi_burst_addr_reg; - burstcount_register_lint <= uav_burstcount_pre - symbols_per_word; - end - end else if (internal_begintransfer || burst_stalled) begin - if (~av_waitrequest) begin - address_register <= combi_addr_reg; - burstcount_register_lint <= burstcount_register - symbols_per_word; - end - end - end - end - - always @(posedge clk, posedge reset) begin - if (reset) begin - first_burst_stalled <= 1'b0; - burst_stalled <= 1'b0; - end else begin - if (internal_beginbursttransfer || first_burst_stalled) begin - if (av_waitrequest) begin - first_burst_stalled <= 1'b1; - end else begin - first_burst_stalled <= 1'b0; - end - end else if (internal_begintransfer || burst_stalled) begin - if (~av_waitrequest) begin - burst_stalled <= 1'b0; - end else begin - burst_stalled <= 1'b1; - end - end - end - end - - // ------------------------------------------------- - // Waitrequest translation - // ------------------------------------------------- - always @(posedge clk, posedge reset) begin - if (reset) - read_accepted <= 1'b0; - else begin - read_accepted <= read_accepted; - if (read_accepted == 0) - read_accepted <= av_waitrequest ? uav_read_pre & ~uav_waitrequest : 1'b0; - else if (read_accepted == 1 && uav_readdatavalid == 1) // reset acceptance only when rdv arrives - read_accepted <= 1'b0; - end - - end - - reg write_accepted = 0; - generate if (AV_REGISTERINCOMINGSIGNALS) begin - always @(posedge clk, posedge reset) begin - if (reset) - write_accepted <= 1'b0; - else begin - write_accepted <= - ~av_waitrequest ? 1'b0 : - uav_write & ~uav_waitrequest? 1'b1 : - write_accepted; - end - end - end endgenerate - - always_comb begin - av_waitrequest = uav_waitrequest; - - if (USE_READDATAVALID == 0) begin - av_waitrequest = uav_read_pre ? ~uav_readdatavalid : uav_waitrequest; - end - - if (AV_REGISTERINCOMINGSIGNALS) begin - av_waitrequest = - uav_read_pre ? ~uav_readdatavalid : - uav_write_pre ? (internal_begintransfer | uav_waitrequest) & ~write_accepted : - 1'b1; - end - - if (USE_WAITREQUEST == 0) begin - av_waitrequest = 0; - end - end - - // ------------------------------------------------- - // Determine the output read and write signals from - // the read/write/chipselect input signals. - // ------------------------------------------------- - always_comb begin - uav_write = 1'b0; - uav_write_pre = 1'b0; - uav_read = 1'b0; - uav_read_pre = 1'b0; - - if (!USE_CHIPSELECT) begin - if (USE_READ) begin - uav_read_pre = av_read; - end - - if (USE_WRITE) begin - uav_write_pre = av_write; - end - end else begin - if (!USE_WRITE && USE_READ) begin - uav_write_pre = av_chipselect & ~av_read; - uav_read_pre = av_read; - end else if (!USE_READ && USE_WRITE) begin - uav_write_pre = av_write; - uav_read_pre = av_chipselect & ~av_write; - end else if (USE_READ && USE_WRITE) begin - uav_write_pre = av_write; - uav_read_pre = av_read; - end - end - - if (USE_READDATAVALID == 0) - uav_read = uav_read_pre & ~read_accepted; - else - uav_read = uav_read_pre; - - if (AV_REGISTERINCOMINGSIGNALS == 0) - uav_write = uav_write_pre; - else - uav_write = uav_write_pre & ~write_accepted; - end - - // ------------------------------------------------- - // Begintransfer assignment - // ------------------------------------------------- - reg end_begintransfer; - - always_comb begin - if (USE_BEGINTRANSFER) begin - internal_begintransfer = av_begintransfer; - end else begin - internal_begintransfer = ( uav_write | uav_read ) & ~end_begintransfer; - end - end - - always @(posedge clk or posedge reset) begin - if (reset) begin - end_begintransfer <= 1'b0; - end else begin - if (internal_begintransfer == 1 && uav_waitrequest) - end_begintransfer <= 1'b1; - else if (uav_waitrequest) - end_begintransfer <= end_begintransfer; - else - end_begintransfer <= 1'b0; - end - end - - // ------------------------------------------------- - // Beginbursttransfer assignment - // ------------------------------------------------- - reg end_beginbursttransfer; - wire last_burst_transfer_pre; - wire last_burst_transfer_reg; - wire last_burst_transfer; - - // compare values before the mux to shorten critical path; benchmark before changing - assign last_burst_transfer_pre = (uav_burstcount_pre == symbols_per_word); - assign last_burst_transfer_reg = (burstcount_register == symbols_per_word); - assign last_burst_transfer = (internal_beginbursttransfer) ? last_burst_transfer_pre : last_burst_transfer_reg; - - always_comb begin - if (USE_BEGINBURSTTRANSFER) begin - internal_beginbursttransfer = av_beginbursttransfer; - end else begin - internal_beginbursttransfer = uav_read ? internal_begintransfer : internal_begintransfer && ~end_beginbursttransfer; - end - end - - always @(posedge clk or posedge reset) begin - if (reset) begin - end_beginbursttransfer <= 1'b0; - end else begin - end_beginbursttransfer <= end_beginbursttransfer; - if (last_burst_transfer && internal_begintransfer || uav_read) begin - end_beginbursttransfer <= 1'b0; - end - else if (uav_write && internal_begintransfer) begin - end_beginbursttransfer <= 1'b1; - end - end - end - - // synthesis translate_off - - // ------------------------------------------------ - // check_1 : for waitrequest signal violation - // Ensure that when waitreqeust is asserted, the master is not allowed to change its controls - // Exception : begintransfer / beginbursttransfer - // : previously not in any transaction (idle) - // Note : Not checking clken which is not exactly part of Avalon controls/inputs - // : Not using system verilog assertions (seq/prop) since it is not supported if using Modelsim_SE - // ------------------------------------------------ - - reg av_waitrequest_r; - reg av_write_r, av_read_r, av_lock_r, av_chipselect_r, av_debugaccess_r; - reg [AV_ADDRESS_W-1:0] av_address_r; - reg [AV_BYTEENABLE_W-1:0] av_byteenable_r; - reg [AV_BURSTCOUNT_W-1:0] av_burstcount_r; - reg [AV_DATA_W-1:0] av_writedata_r; - - always @(posedge clk or posedge reset) begin - if (reset) begin - av_waitrequest_r <= '0; - av_write_r <= '0; - av_read_r <= '0; - av_lock_r <= '0; - av_chipselect_r <= '0; - av_debugaccess_r <= '0; - av_address_r <= '0; - av_byteenable_r <= '0; - av_burstcount_r <= '0; - av_writedata_r <= '0; - end else begin - av_waitrequest_r <= av_waitrequest; - av_write_r <= av_write; - av_read_r <= av_read; - av_lock_r <= av_lock; - av_chipselect_r <= av_chipselect; - av_debugaccess_r <= av_debugaccess; - av_address_r <= av_address; - av_byteenable_r <= av_byteenable; - av_burstcount_r <= av_burstcount; - av_writedata_r <= av_writedata; - - if ( - av_waitrequest_r && // When waitrequest is asserted - ( - (av_write != av_write_r) || // Checks that : Input controls/data does not change - (av_read != av_read_r) || - (av_lock != av_lock_r) || - (av_debugaccess != av_debugaccess_r) || - (av_address != av_address_r) || - (av_byteenable != av_byteenable_r) || - (av_burstcount != av_burstcount_r) - ) && - (av_write_r | av_read_r) && // Check only when : previously initiated a write/read - (!USE_CHIPSELECT | av_chipselect_r) // and chipselect was asserted (or unused) - ) begin - $display( "%t: %m: Error: Input controls/data changed while av_waitrequest is asserted.", $time()); - $display("av_address %x --> %x", av_address_r , av_address ); - $display("av_byteenable %x --> %x", av_byteenable_r , av_byteenable ); - $display("av_burstcount %x --> %x", av_burstcount_r , av_burstcount ); - $display("av_writedata %x --> %x", av_writedata_r , av_writedata ); - $display("av_write %x --> %x", av_write_r , av_write ); - $display("av_read %x --> %x", av_read_r , av_read ); - $display("av_lock %x --> %x", av_lock_r , av_lock ); - $display("av_chipselect %x --> %x", av_chipselect_r , av_chipselect ); - $display("av_debugaccess %x --> %x", av_debugaccess_r , av_debugaccess ); - end - end - - // end check_1 - - end - - // synthesis translate_on - - -endmodule +// $Id: //acds/rel/18.1std/ip/merlin/altera_merlin_master_translator/altera_merlin_master_translator.sv#1 $ +// $Revision: #1 $ +// $Date: 2018/07/18 $ +// $Author: psgswbuild $ + +// -------------------------------------- +// Merlin Master Translator +// +// Converts an Avalon-MM master interface into an +// Avalon-MM "universal" master interface. +// +// The universal interface is defined as the superset of ports +// and parameters that can represent any legal Avalon +// interface. +// -------------------------------------- + +`timescale 1 ns / 1 ns + +module altera_merlin_master_translator #( + parameter + // widths + AV_ADDRESS_W = 32, + AV_DATA_W = 32, + AV_BURSTCOUNT_W = 4, + AV_BYTEENABLE_W = 4, + + UAV_ADDRESS_W = 38, + UAV_BURSTCOUNT_W = 10, + + // optional ports + USE_BURSTCOUNT = 1, + USE_BEGINBURSTTRANSFER = 0, + USE_BEGINTRANSFER = 0, + USE_CHIPSELECT = 0, + USE_READ = 1, + USE_READDATAVALID = 1, + USE_WRITE = 1, + USE_WAITREQUEST = 1, + USE_WRITERESPONSE = 0, + USE_READRESPONSE = 0, + + AV_REGISTERINCOMINGSIGNALS = 0, + AV_SYMBOLS_PER_WORD = 4, + AV_ADDRESS_SYMBOLS = 0, + // must be enabled for a bursting master + AV_CONSTANT_BURST_BEHAVIOR = 1, + UAV_CONSTANT_BURST_BEHAVIOR = 0, + AV_BURSTCOUNT_SYMBOLS = 0, + AV_LINEWRAPBURSTS = 0 +)( + input wire clk, + input wire reset, + + // Universal Avalon Master + output reg uav_write, + output reg uav_read, + output reg [UAV_ADDRESS_W -1 : 0] uav_address, + output reg [UAV_BURSTCOUNT_W -1 : 0] uav_burstcount, + output wire [AV_BYTEENABLE_W -1 : 0] uav_byteenable, + output wire [AV_DATA_W -1 : 0] uav_writedata, + output wire uav_lock, + output wire uav_debugaccess, + output wire uav_clken, + + input wire [AV_DATA_W -1 : 0] uav_readdata, + input wire uav_readdatavalid, + input wire uav_waitrequest, + input wire [1 : 0] uav_response, + input wire uav_writeresponsevalid, + + // Avalon-MM Anti-master (slave) + input reg av_write, + input reg av_read, + input wire [AV_ADDRESS_W -1 : 0] av_address, + input wire [AV_BYTEENABLE_W -1 : 0] av_byteenable, + input wire [AV_BURSTCOUNT_W -1 : 0] av_burstcount, + input wire [AV_DATA_W -1 : 0] av_writedata, + input wire av_begintransfer, + input wire av_beginbursttransfer, + input wire av_lock, + input wire av_chipselect, + input wire av_debugaccess, + input wire av_clken, + + output wire [AV_DATA_W -1 : 0] av_readdata, + output wire av_readdatavalid, + output reg av_waitrequest, + output reg [1 : 0] av_response, + output reg av_writeresponsevalid +); + + localparam BITS_PER_WORD = clog2(AV_SYMBOLS_PER_WORD); + localparam AV_MAX_SYMBOL_BURST = flog2(pow2(AV_BURSTCOUNT_W - 1) * (AV_BURSTCOUNT_SYMBOLS ? 1 : AV_SYMBOLS_PER_WORD)); + localparam AV_MAX_SYMBOL_BURST_MINUS_ONE = AV_MAX_SYMBOL_BURST ? AV_MAX_SYMBOL_BURST - 1 : 0; + localparam UAV_BURSTCOUNT_H_OR_31 = (UAV_BURSTCOUNT_W > 32) ? 31 : UAV_BURSTCOUNT_W - 1; + localparam UAV_ADDRESS_H_OR_31 = (UAV_ADDRESS_W > 32) ? 31 : UAV_ADDRESS_W - 1; + + localparam BITS_PER_WORD_BURSTCOUNT = (UAV_BURSTCOUNT_W == 1) ? 0 : BITS_PER_WORD; + localparam BITS_PER_WORD_ADDRESS = (UAV_ADDRESS_W == 1) ? 0 : BITS_PER_WORD; + + localparam ADDRESS_LOW = AV_ADDRESS_SYMBOLS ? 0 : BITS_PER_WORD_ADDRESS; + localparam BURSTCOUNT_LOW = AV_BURSTCOUNT_SYMBOLS ? 0 : BITS_PER_WORD_BURSTCOUNT; + + localparam ADDRESS_HIGH = (UAV_ADDRESS_W > AV_ADDRESS_W + ADDRESS_LOW) ? AV_ADDRESS_W : (UAV_ADDRESS_W - ADDRESS_LOW); + localparam BURSTCOUNT_HIGH = (UAV_BURSTCOUNT_W > AV_BURSTCOUNT_W + BURSTCOUNT_LOW) ? AV_BURSTCOUNT_W : (UAV_BURSTCOUNT_W - BURSTCOUNT_LOW); + + function integer flog2; + input [31:0] depth; + integer i; + begin + i = depth; + if ( i <= 0 ) flog2 = 0; + else begin + for (flog2 = -1; i > 0; flog2 = flog2 + 1) + i = i >> 1; + end + end + endfunction // flog2 + + // ------------------------------------------------------------ + // Calculates the ceil(log2()) of the input val. + // + // Limited to a positive 32-bit input value. + // ------------------------------------------------------------ + function integer clog2; + input[31:0] val; + reg[31:0] i; + + begin + i = 1; + clog2 = 0; + + while (i < val) begin + clog2 = clog2 + 1; + i = i[30:0] << 1; + end + end + endfunction + + function integer pow2; + input [31:0] toShift; + begin + pow2 = 1; + pow2 = pow2 << toShift; + end + endfunction // pow2 + + // ------------------------------------------------- + // Assign some constants to appropriately-sized signals to + // avoid synthesis warnings. This also helps some simulators + // with their inferred sensitivity lists. + // + // The symbols per word calculation here rounds non-power of two + // symbols to the next highest power of two, which is what we want + // when calculating the decrementing byte count. + // ------------------------------------------------- + wire [31 : 0] symbols_per_word_int = 2**(clog2(AV_SYMBOLS_PER_WORD[UAV_BURSTCOUNT_H_OR_31 : 0])); + wire [UAV_BURSTCOUNT_H_OR_31 : 0] symbols_per_word = symbols_per_word_int[UAV_BURSTCOUNT_H_OR_31 : 0]; + + reg internal_beginbursttransfer; + reg internal_begintransfer; + reg [UAV_ADDRESS_W -1 : 0] uav_address_pre; + reg [UAV_BURSTCOUNT_W -1 : 0] uav_burstcount_pre; + + reg uav_read_pre; + reg uav_write_pre; + reg read_accepted; + + // ------------------------------------------------- + // Pass through signals that we don't touch + // ------------------------------------------------- + assign uav_writedata = av_writedata; + assign uav_byteenable = av_byteenable; + assign uav_lock = av_lock; + assign uav_debugaccess = av_debugaccess; + assign uav_clken = av_clken; + + assign av_readdata = uav_readdata; + assign av_readdatavalid = uav_readdatavalid; + + // ------------------------------------------------- + // Response signals + // ------------------------------------------------- + always_comb begin + if (!USE_READRESPONSE && !USE_WRITERESPONSE) + av_response = '0; + else + av_response = uav_response; + + if (USE_WRITERESPONSE) begin + av_writeresponsevalid = uav_writeresponsevalid; + end else begin + av_writeresponsevalid = '0; + end + end + + // ------------------------------------------------- + // Convert byte and word addresses into byte addresses + // ------------------------------------------------- + always_comb begin + uav_address_pre = {UAV_ADDRESS_W{1'b0}}; + + if (AV_ADDRESS_SYMBOLS) + uav_address_pre[(ADDRESS_HIGH ? ADDRESS_HIGH - 1 : 0) : 0] = av_address[(ADDRESS_HIGH ? ADDRESS_HIGH - 1 : 0) : 0]; + else begin + uav_address_pre[ADDRESS_LOW + ADDRESS_HIGH - 1 : ADDRESS_LOW] = av_address[(ADDRESS_HIGH ? ADDRESS_HIGH - 1 : 0) : 0]; + end + end + + // ------------------------------------------------- + // Convert burstcount into symbol units + // ------------------------------------------------- + always_comb begin + uav_burstcount_pre = symbols_per_word; // default to a single transfer + + if (USE_BURSTCOUNT) begin + uav_burstcount_pre = {UAV_BURSTCOUNT_W{1'b0}}; + if (AV_BURSTCOUNT_SYMBOLS) + uav_burstcount_pre[(BURSTCOUNT_HIGH ? BURSTCOUNT_HIGH - 1 : 0) :0] = av_burstcount[(BURSTCOUNT_HIGH ? BURSTCOUNT_HIGH - 1 : 0) : 0]; + else begin + uav_burstcount_pre[UAV_BURSTCOUNT_W - 1 : BURSTCOUNT_LOW] = av_burstcount[(BURSTCOUNT_HIGH ? BURSTCOUNT_HIGH - 1 : 0) : 0]; + end + end + end + + // ------------------------------------------------- + // This is where we perform the per-transfer address and burstcount + // calculations that are required by downstream modules. + // ------------------------------------------------- + reg [UAV_ADDRESS_W -1 : 0] address_register; + wire [UAV_BURSTCOUNT_W -1 : 0] burstcount_register; + reg [UAV_BURSTCOUNT_W : 0] burstcount_register_lint; + + assign burstcount_register = burstcount_register_lint[UAV_BURSTCOUNT_W -1 : 0]; + + always_comb begin + uav_address = uav_address_pre; + uav_burstcount = uav_burstcount_pre; + + if (AV_CONSTANT_BURST_BEHAVIOR && !UAV_CONSTANT_BURST_BEHAVIOR && ~internal_beginbursttransfer) begin + uav_address = address_register; + uav_burstcount = burstcount_register; + end + end + + reg first_burst_stalled; + reg burst_stalled; + + wire [UAV_ADDRESS_W -1 : 0] combi_burst_addr_reg; + wire [UAV_ADDRESS_W -1 : 0] combi_addr_reg; + + generate + if (AV_LINEWRAPBURSTS && AV_MAX_SYMBOL_BURST != 0) begin + if (AV_MAX_SYMBOL_BURST > UAV_ADDRESS_W - 1) begin + assign combi_burst_addr_reg = { uav_address_pre[UAV_ADDRESS_W-1:0] + AV_SYMBOLS_PER_WORD[UAV_ADDRESS_W-1:0] }; + assign combi_addr_reg = { address_register[UAV_ADDRESS_W-1:0] + AV_SYMBOLS_PER_WORD[UAV_ADDRESS_W-1:0] }; + end + else begin + assign combi_burst_addr_reg = { uav_address_pre[UAV_ADDRESS_W - 1 : AV_MAX_SYMBOL_BURST], uav_address_pre[AV_MAX_SYMBOL_BURST_MINUS_ONE:0] + AV_SYMBOLS_PER_WORD[AV_MAX_SYMBOL_BURST_MINUS_ONE:0] }; + assign combi_addr_reg = { address_register[UAV_ADDRESS_W - 1 : AV_MAX_SYMBOL_BURST], address_register[AV_MAX_SYMBOL_BURST_MINUS_ONE:0] + AV_SYMBOLS_PER_WORD[AV_MAX_SYMBOL_BURST_MINUS_ONE:0] }; + end + end + else begin + assign combi_burst_addr_reg = uav_address_pre + AV_SYMBOLS_PER_WORD[UAV_ADDRESS_H_OR_31:0]; + assign combi_addr_reg = address_register + AV_SYMBOLS_PER_WORD[UAV_ADDRESS_H_OR_31:0]; + end + endgenerate + + always @(posedge clk, posedge reset) begin + if (reset) begin + address_register <= '0; + burstcount_register_lint <= '0; + end else begin + address_register <= address_register; + burstcount_register_lint <= burstcount_register_lint; + + if (internal_beginbursttransfer || first_burst_stalled) begin + if (av_waitrequest) begin + address_register <= uav_address_pre; + burstcount_register_lint[UAV_BURSTCOUNT_W - 1 : 0] <= uav_burstcount_pre; + end else begin + address_register <= combi_burst_addr_reg; + burstcount_register_lint <= uav_burstcount_pre - symbols_per_word; + end + end else if (internal_begintransfer || burst_stalled) begin + if (~av_waitrequest) begin + address_register <= combi_addr_reg; + burstcount_register_lint <= burstcount_register - symbols_per_word; + end + end + end + end + + always @(posedge clk, posedge reset) begin + if (reset) begin + first_burst_stalled <= 1'b0; + burst_stalled <= 1'b0; + end else begin + if (internal_beginbursttransfer || first_burst_stalled) begin + if (av_waitrequest) begin + first_burst_stalled <= 1'b1; + end else begin + first_burst_stalled <= 1'b0; + end + end else if (internal_begintransfer || burst_stalled) begin + if (~av_waitrequest) begin + burst_stalled <= 1'b0; + end else begin + burst_stalled <= 1'b1; + end + end + end + end + + // ------------------------------------------------- + // Waitrequest translation + // ------------------------------------------------- + always @(posedge clk, posedge reset) begin + if (reset) + read_accepted <= 1'b0; + else begin + read_accepted <= read_accepted; + if (read_accepted == 0) + read_accepted <= av_waitrequest ? uav_read_pre & ~uav_waitrequest : 1'b0; + else if (read_accepted == 1 && uav_readdatavalid == 1) // reset acceptance only when rdv arrives + read_accepted <= 1'b0; + end + + end + + reg write_accepted = 0; + generate if (AV_REGISTERINCOMINGSIGNALS) begin + always @(posedge clk, posedge reset) begin + if (reset) + write_accepted <= 1'b0; + else begin + write_accepted <= + ~av_waitrequest ? 1'b0 : + uav_write & ~uav_waitrequest? 1'b1 : + write_accepted; + end + end + end endgenerate + + always_comb begin + av_waitrequest = uav_waitrequest; + + if (USE_READDATAVALID == 0) begin + av_waitrequest = uav_read_pre ? ~uav_readdatavalid : uav_waitrequest; + end + + if (AV_REGISTERINCOMINGSIGNALS) begin + av_waitrequest = + uav_read_pre ? ~uav_readdatavalid : + uav_write_pre ? (internal_begintransfer | uav_waitrequest) & ~write_accepted : + 1'b1; + end + + if (USE_WAITREQUEST == 0) begin + av_waitrequest = 0; + end + end + + // ------------------------------------------------- + // Determine the output read and write signals from + // the read/write/chipselect input signals. + // ------------------------------------------------- + always_comb begin + uav_write = 1'b0; + uav_write_pre = 1'b0; + uav_read = 1'b0; + uav_read_pre = 1'b0; + + if (!USE_CHIPSELECT) begin + if (USE_READ) begin + uav_read_pre = av_read; + end + + if (USE_WRITE) begin + uav_write_pre = av_write; + end + end else begin + if (!USE_WRITE && USE_READ) begin + uav_write_pre = av_chipselect & ~av_read; + uav_read_pre = av_read; + end else if (!USE_READ && USE_WRITE) begin + uav_write_pre = av_write; + uav_read_pre = av_chipselect & ~av_write; + end else if (USE_READ && USE_WRITE) begin + uav_write_pre = av_write; + uav_read_pre = av_read; + end + end + + if (USE_READDATAVALID == 0) + uav_read = uav_read_pre & ~read_accepted; + else + uav_read = uav_read_pre; + + if (AV_REGISTERINCOMINGSIGNALS == 0) + uav_write = uav_write_pre; + else + uav_write = uav_write_pre & ~write_accepted; + end + + // ------------------------------------------------- + // Begintransfer assignment + // ------------------------------------------------- + reg end_begintransfer; + + always_comb begin + if (USE_BEGINTRANSFER) begin + internal_begintransfer = av_begintransfer; + end else begin + internal_begintransfer = ( uav_write | uav_read ) & ~end_begintransfer; + end + end + + always @(posedge clk or posedge reset) begin + if (reset) begin + end_begintransfer <= 1'b0; + end else begin + if (internal_begintransfer == 1 && uav_waitrequest) + end_begintransfer <= 1'b1; + else if (uav_waitrequest) + end_begintransfer <= end_begintransfer; + else + end_begintransfer <= 1'b0; + end + end + + // ------------------------------------------------- + // Beginbursttransfer assignment + // ------------------------------------------------- + reg end_beginbursttransfer; + wire last_burst_transfer_pre; + wire last_burst_transfer_reg; + wire last_burst_transfer; + + // compare values before the mux to shorten critical path; benchmark before changing + assign last_burst_transfer_pre = (uav_burstcount_pre == symbols_per_word); + assign last_burst_transfer_reg = (burstcount_register == symbols_per_word); + assign last_burst_transfer = (internal_beginbursttransfer) ? last_burst_transfer_pre : last_burst_transfer_reg; + + always_comb begin + if (USE_BEGINBURSTTRANSFER) begin + internal_beginbursttransfer = av_beginbursttransfer; + end else begin + internal_beginbursttransfer = uav_read ? internal_begintransfer : internal_begintransfer && ~end_beginbursttransfer; + end + end + + always @(posedge clk or posedge reset) begin + if (reset) begin + end_beginbursttransfer <= 1'b0; + end else begin + end_beginbursttransfer <= end_beginbursttransfer; + if (last_burst_transfer && internal_begintransfer || uav_read) begin + end_beginbursttransfer <= 1'b0; + end + else if (uav_write && internal_begintransfer) begin + end_beginbursttransfer <= 1'b1; + end + end + end + + // synthesis translate_off + + // ------------------------------------------------ + // check_1 : for waitrequest signal violation + // Ensure that when waitreqeust is asserted, the master is not allowed to change its controls + // Exception : begintransfer / beginbursttransfer + // : previously not in any transaction (idle) + // Note : Not checking clken which is not exactly part of Avalon controls/inputs + // : Not using system verilog assertions (seq/prop) since it is not supported if using Modelsim_SE + // ------------------------------------------------ + + reg av_waitrequest_r; + reg av_write_r, av_read_r, av_lock_r, av_chipselect_r, av_debugaccess_r; + reg [AV_ADDRESS_W-1:0] av_address_r; + reg [AV_BYTEENABLE_W-1:0] av_byteenable_r; + reg [AV_BURSTCOUNT_W-1:0] av_burstcount_r; + reg [AV_DATA_W-1:0] av_writedata_r; + + always @(posedge clk or posedge reset) begin + if (reset) begin + av_waitrequest_r <= '0; + av_write_r <= '0; + av_read_r <= '0; + av_lock_r <= '0; + av_chipselect_r <= '0; + av_debugaccess_r <= '0; + av_address_r <= '0; + av_byteenable_r <= '0; + av_burstcount_r <= '0; + av_writedata_r <= '0; + end else begin + av_waitrequest_r <= av_waitrequest; + av_write_r <= av_write; + av_read_r <= av_read; + av_lock_r <= av_lock; + av_chipselect_r <= av_chipselect; + av_debugaccess_r <= av_debugaccess; + av_address_r <= av_address; + av_byteenable_r <= av_byteenable; + av_burstcount_r <= av_burstcount; + av_writedata_r <= av_writedata; + + if ( + av_waitrequest_r && // When waitrequest is asserted + ( + (av_write != av_write_r) || // Checks that : Input controls/data does not change + (av_read != av_read_r) || + (av_lock != av_lock_r) || + (av_debugaccess != av_debugaccess_r) || + (av_address != av_address_r) || + (av_byteenable != av_byteenable_r) || + (av_burstcount != av_burstcount_r) + ) && + (av_write_r | av_read_r) && // Check only when : previously initiated a write/read + (!USE_CHIPSELECT | av_chipselect_r) // and chipselect was asserted (or unused) + ) begin + $display( "%t: %m: Error: Input controls/data changed while av_waitrequest is asserted.", $time()); + $display("av_address %x --> %x", av_address_r , av_address ); + $display("av_byteenable %x --> %x", av_byteenable_r , av_byteenable ); + $display("av_burstcount %x --> %x", av_burstcount_r , av_burstcount ); + $display("av_writedata %x --> %x", av_writedata_r , av_writedata ); + $display("av_write %x --> %x", av_write_r , av_write ); + $display("av_read %x --> %x", av_read_r , av_read ); + $display("av_lock %x --> %x", av_lock_r , av_lock ); + $display("av_chipselect %x --> %x", av_chipselect_r , av_chipselect ); + $display("av_debugaccess %x --> %x", av_debugaccess_r , av_debugaccess ); + end + end + + // end check_1 + + end + + // synthesis translate_on + + +endmodule diff --git a/Top/niosII/synthesis/submodules/altera_merlin_slave_agent.sv b/Top/niosII/synthesis/submodules/altera_merlin_slave_agent.sv index 7c6929c..48bb1b4 100644 --- a/Top/niosII/synthesis/submodules/altera_merlin_slave_agent.sv +++ b/Top/niosII/synthesis/submodules/altera_merlin_slave_agent.sv @@ -11,612 +11,612 @@ // agreement for further details. -// (C) 2001-2011 Altera Corporation. All rights reserved. -// Your use of Altera Corporation's design tools, logic functions and other -// software and tools, and its AMPP partner logic functions, and any output -// files any of the foregoing (including device programming or simulation -// files), and any associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License Subscription -// Agreement, Altera MegaCore Function License Agreement, or other applicable -// license agreement, including, without limitation, that your use is for the -// sole purpose of programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the applicable -// agreement for further details. - - -// $Id: //acds/rel/18.1std/ip/merlin/altera_merlin_slave_agent/altera_merlin_slave_agent.sv#1 $ -// $Revision: #1 $ -// $Date: 2018/07/18 $ -// $Author: psgswbuild $ - -`timescale 1 ns / 1 ns - -module altera_merlin_slave_agent -#( - // Packet parameters - parameter PKT_BEGIN_BURST = 81, - parameter PKT_DATA_H = 31, - parameter PKT_DATA_L = 0, - parameter PKT_SYMBOL_W = 8, - parameter PKT_BYTEEN_H = 71, - parameter PKT_BYTEEN_L = 68, - parameter PKT_ADDR_H = 63, - parameter PKT_ADDR_L = 32, - parameter PKT_TRANS_LOCK = 87, - parameter PKT_TRANS_COMPRESSED_READ = 67, - parameter PKT_TRANS_POSTED = 66, - parameter PKT_TRANS_WRITE = 65, - parameter PKT_TRANS_READ = 64, - parameter PKT_SRC_ID_H = 74, - parameter PKT_SRC_ID_L = 72, - parameter PKT_DEST_ID_H = 77, - parameter PKT_DEST_ID_L = 75, - parameter PKT_BURSTWRAP_H = 85, - parameter PKT_BURSTWRAP_L = 82, - parameter PKT_BYTE_CNT_H = 81, - parameter PKT_BYTE_CNT_L = 78, - parameter PKT_PROTECTION_H = 86, - parameter PKT_PROTECTION_L = 86, - parameter PKT_RESPONSE_STATUS_H = 89, - parameter PKT_RESPONSE_STATUS_L = 88, - parameter PKT_BURST_SIZE_H = 92, - parameter PKT_BURST_SIZE_L = 90, - parameter PKT_ORI_BURST_SIZE_L = 93, - parameter PKT_ORI_BURST_SIZE_H = 95, - parameter ST_DATA_W = 96, - parameter ST_CHANNEL_W = 32, - - // Slave parameters - parameter ADDR_W = PKT_ADDR_H - PKT_ADDR_L + 1, - parameter AVS_DATA_W = PKT_DATA_H - PKT_DATA_L + 1, - parameter AVS_BURSTCOUNT_W = 4, - parameter PKT_SYMBOLS = AVS_DATA_W / PKT_SYMBOL_W, - - // Slave agent parameters - parameter PREVENT_FIFO_OVERFLOW = 0, - parameter SUPPRESS_0_BYTEEN_CMD = 1, - parameter USE_READRESPONSE = 0, - parameter USE_WRITERESPONSE = 0, - - // Derived slave parameters - parameter AVS_BE_W = PKT_BYTEEN_H - PKT_BYTEEN_L + 1, - parameter BURST_SIZE_W = 3, - - // Derived FIFO width - parameter FIFO_DATA_W = ST_DATA_W + 1, - - // ECC parameter - parameter ECC_ENABLE = 0 -) ( - input clk, - input reset, - - // Universal-Avalon anti-slave - output [ADDR_W-1:0] m0_address, - output [AVS_BURSTCOUNT_W-1:0] m0_burstcount, - output [AVS_BE_W-1:0] m0_byteenable, - output m0_read, - input [AVS_DATA_W-1:0] m0_readdata, - input m0_waitrequest, - output m0_write, - output [AVS_DATA_W-1:0] m0_writedata, - input m0_readdatavalid, - output m0_debugaccess, - output m0_lock, - input [1:0] m0_response, - input m0_writeresponsevalid, - - // Avalon-ST FIFO interfaces. - // Note: there's no need to include the "data" field here, at least for - // reads, since readdata is filled in from slave info. To keep life - // simple, have a data field, but fill it with 0s. - // Av-st response fifo source interface - output reg [FIFO_DATA_W-1:0] rf_source_data, - output rf_source_valid, - output rf_source_startofpacket, - output rf_source_endofpacket, - input rf_source_ready, - - // Av-st response fifo sink interface - input [FIFO_DATA_W-1:0] rf_sink_data, - input rf_sink_valid, - input rf_sink_startofpacket, - input rf_sink_endofpacket, - output rf_sink_ready, - - // Av-st readdata fifo src interface, data and response - // extra 2 bits for storing RESPONSE STATUS - output [AVS_DATA_W+1:0] rdata_fifo_src_data, - output rdata_fifo_src_valid, - input rdata_fifo_src_ready, - - // Av-st readdata fifo sink interface - input [AVS_DATA_W+1:0] rdata_fifo_sink_data, - input rdata_fifo_sink_valid, - output rdata_fifo_sink_ready, - input rdata_fifo_sink_error, - - // Av-st sink command packet interface - output cp_ready, - input cp_valid, - input [ST_DATA_W-1:0] cp_data, - input [ST_CHANNEL_W-1:0] cp_channel, - input cp_startofpacket, - input cp_endofpacket, - - // Av-st source response packet interface - input rp_ready, - output reg rp_valid, - output reg [ST_DATA_W-1:0] rp_data, - output rp_startofpacket, - output rp_endofpacket -); - - // -------------------------------------------------- - // Ceil(log2()) function log2ceil of 4 = 2 - // -------------------------------------------------- - function integer log2ceil; - input reg[63:0] val; - reg [63:0] i; - - begin - i = 1; - log2ceil = 0; - - while (i < val) begin - log2ceil = log2ceil + 1; - i = i << 1; - end - end - endfunction - - // ------------------------------------------------ - // Local Parameters - // ------------------------------------------------ - localparam DATA_W = PKT_DATA_H - PKT_DATA_L + 1; - localparam BE_W = PKT_BYTEEN_H - PKT_BYTEEN_L + 1; - localparam MID_W = PKT_SRC_ID_H - PKT_SRC_ID_L + 1; - localparam SID_W = PKT_DEST_ID_H - PKT_DEST_ID_L + 1; - localparam BYTE_CNT_W = PKT_BYTE_CNT_H - PKT_BYTE_CNT_L + 1; - localparam BURSTWRAP_W = PKT_BURSTWRAP_H - PKT_BURSTWRAP_L + 1; - localparam BURSTSIZE_W = PKT_BURST_SIZE_H - PKT_BURST_SIZE_L + 1; - localparam BITS_TO_MASK = log2ceil(PKT_SYMBOLS); - localparam MAX_BURST = 1 << (AVS_BURSTCOUNT_W - 1); - localparam BURSTING = (MAX_BURST > PKT_SYMBOLS); - - // ------------------------------------------------ - // Signals - // ------------------------------------------------ - wire [DATA_W-1:0] cmd_data; - wire [BE_W-1:0] cmd_byteen; - wire [ADDR_W-1:0] cmd_addr; - wire [MID_W-1:0] cmd_mid; - wire [SID_W-1:0] cmd_sid; - wire cmd_read; - wire cmd_write; - wire cmd_compressed; - wire cmd_posted; - wire [BYTE_CNT_W-1:0] cmd_byte_cnt; - wire [BURSTWRAP_W-1:0] cmd_burstwrap; - wire [BURSTSIZE_W-1:0] cmd_burstsize; - wire cmd_debugaccess; - - wire suppress_cmd; - wire byteen_asserted; - wire suppress_read; - wire suppress_write; - wire needs_response_synthesis; - wire generate_response; - - // Assign command fields - assign cmd_data = cp_data[PKT_DATA_H :PKT_DATA_L ]; - assign cmd_byteen = cp_data[PKT_BYTEEN_H:PKT_BYTEEN_L]; - assign cmd_addr = cp_data[PKT_ADDR_H :PKT_ADDR_L ]; - assign cmd_compressed = cp_data[PKT_TRANS_COMPRESSED_READ]; - assign cmd_posted = cp_data[PKT_TRANS_POSTED]; - assign cmd_write = cp_data[PKT_TRANS_WRITE]; - assign cmd_read = cp_data[PKT_TRANS_READ]; - assign cmd_mid = cp_data[PKT_SRC_ID_H :PKT_SRC_ID_L]; - assign cmd_sid = cp_data[PKT_DEST_ID_H:PKT_DEST_ID_L]; - assign cmd_byte_cnt = cp_data[PKT_BYTE_CNT_H:PKT_BYTE_CNT_L]; - assign cmd_burstwrap = cp_data[PKT_BURSTWRAP_H:PKT_BURSTWRAP_L]; - assign cmd_burstsize = cp_data[PKT_BURST_SIZE_H:PKT_BURST_SIZE_L]; - assign cmd_debugaccess = cp_data[PKT_PROTECTION_L]; - - // Local "ready_for_command" signal: deasserted when the agent is unable to accept - // another command, e.g. rdv FIFO is full, (local readdata storage is full && - // ~rp_ready), ... - // Say, this could depend on the type of command, for example, even if the - // rdv FIFO is full, a write request can be accepted. For later. - wire ready_for_command; - - wire local_lock = cp_valid & cp_data[PKT_TRANS_LOCK]; - wire local_write = cp_valid & cp_data[PKT_TRANS_WRITE]; - wire local_read = cp_valid & cp_data[PKT_TRANS_READ]; - wire local_compressed_read = cp_valid & cp_data[PKT_TRANS_COMPRESSED_READ]; - wire nonposted_write_endofpacket = ~cp_data[PKT_TRANS_POSTED] & local_write & cp_endofpacket; - - // num_symbols is PKT_SYMBOLS, appropriately sized. - wire [31:0] int_num_symbols = PKT_SYMBOLS; - wire [BYTE_CNT_W-1:0] num_symbols = int_num_symbols[BYTE_CNT_W-1:0]; - - generate - if (PREVENT_FIFO_OVERFLOW) begin : prevent_fifo_overflow_block - // --------------------------------------------------- - // Backpressure if the slave says to, or if FIFO overflow may occur. - // - // All commands are backpressured once the FIFO is full - // even if they don't need storage. This breaks a long - // combinatorial path from the master read/write through - // this logic and back to the master via the backpressure - // path. - // - // To avoid a loss of throughput the FIFO will be parameterized - // one slot deeper. The extra slot should never be used in normal - // operation, but should a slave misbehave and accept one more - // read than it should then backpressure will kick in. - // - // An example: assume a slave with MPRT = 2. It can accept a - // command sequence RRWW without backpressuring. If the FIFO is - // only 2 deep, we'd backpressure the writes leading to loss of - // throughput. If the FIFO is 3 deep, we'll only backpressure when - // RRR... which is an illegal condition anyway. - // --------------------------------------------------- - - assign ready_for_command = rf_source_ready; - assign cp_ready = (~m0_waitrequest | suppress_cmd) && ready_for_command; - - end else begin : no_prevent_fifo_overflow_block - - // Do not suppress the command or the slave will - // not be able to waitrequest - assign ready_for_command = 1'b1; - // Backpressure only if the slave says to. - assign cp_ready = ~m0_waitrequest | suppress_cmd; - - end - endgenerate - - generate if (SUPPRESS_0_BYTEEN_CMD && !BURSTING) begin : suppress_0_byteen_cmd_non_bursting - assign byteen_asserted = |cmd_byteen; - assign suppress_read = ~byteen_asserted; - assign suppress_write = ~byteen_asserted; - assign suppress_cmd = ~byteen_asserted; - end else if (SUPPRESS_0_BYTEEN_CMD && BURSTING) begin: suppress_0_byteen_cmd_bursting - assign byteen_asserted = |cmd_byteen; - assign suppress_read = ~byteen_asserted; - assign suppress_write = 1'b0; - assign suppress_cmd = ~byteen_asserted && cmd_read; - end else begin : no_suppress_0_byteen_cmd - assign suppress_read = 1'b0; - assign suppress_write = 1'b0; - assign suppress_cmd = 1'b0; - end - endgenerate - - // ------------------------------------------------------------------- - // Extract avalon signals from command packet. - // ------------------------------------------------------------------- - // Mask off the lower bits of address. - // The burst adapter before this component will break narrow sized packets - // into sub-bursts of length 1. However, the packet addresses are preserved, - // which means this component may see size-aligned addresses. - // - // Masking ensures that the addresses seen by an Avalon slave are aligned to - // the full data width instead of the size. - // - // Example: - // output from burst adapter (datawidth=4, size=2 bytes): - // subburst1 addr=0, subburst2 addr=2, subburst3 addr=4, subburst4 addr=6 - // expected output from slave agent: - // subburst1 addr=0, subburst2 addr=0, subburst3 addr=4, subburst4 addr=4 - generate - if (BITS_TO_MASK > 0) begin : mask_address - - assign m0_address = { cmd_addr[ADDR_W-1:BITS_TO_MASK], {BITS_TO_MASK{1'b0}} }; - - end else begin : no_mask_address - - assign m0_address = cmd_addr; - - end - endgenerate - - assign m0_byteenable = cmd_byteen; - assign m0_writedata = cmd_data; - - // Note: no Avalon-MM slave in existence accepts uncompressed read bursts - - // this sort of burst exists only in merlin fabric ST packets. What to do - // if we see such a burst? All beats in that burst need to be transmitted - // to the slave so we have enough space-time for byteenable expression. - // - // There can be multiple bursts in a packet, but only one beat per burst - // in cases. The exception is when we've decided not to insert a - // burst adapter for efficiency reasons, in which case this agent is also - // responsible for driving burstcount to 1 on each beat of an uncompressed - // read burst. - - assign m0_read = ready_for_command & !suppress_read & (local_compressed_read | local_read); - - generate - // AVS_BURSTCOUNT_W and BYTE_CNT_W may not be equal. Assign m0_burstcount - // from a sub-range, or 0-pad, as appropriate. - if (AVS_BURSTCOUNT_W > BYTE_CNT_W) begin : m0_burstcount_zero_pad - wire [AVS_BURSTCOUNT_W - BYTE_CNT_W - 1 : 0] zero_pad = {(AVS_BURSTCOUNT_W - BYTE_CNT_W) {1'b0}}; - assign m0_burstcount = (local_read & ~local_compressed_read) ? - {zero_pad, num_symbols} : - {zero_pad, cmd_byte_cnt}; - end - else begin : m0_burstcount_no_pad - assign m0_burstcount = (local_read & ~local_compressed_read) ? - num_symbols[AVS_BURSTCOUNT_W-1:0] : - cmd_byte_cnt[AVS_BURSTCOUNT_W-1:0]; - end - endgenerate - - assign m0_write = ready_for_command & local_write & !suppress_write; - assign m0_lock = ready_for_command & local_lock & (m0_read | m0_write); - assign m0_debugaccess = cmd_debugaccess; - - // ------------------------------------------------------------------- - // Indirection layer for response packet values. Some may always wire - // directly from the slave translator; others will no doubt emerge from - // various FIFOs. - // What to put in resp_data when a write occured? Answer: it does not - // matter, because only response status is needed for non-posted writes, - // and the packet already has a field for that. - // - // We use the rdata_fifo to store write responses as well. This allows us - // to handle backpressure on the response path, and allows write response - // merging. - assign rdata_fifo_src_valid = m0_readdatavalid | m0_writeresponsevalid; - assign rdata_fifo_src_data = {m0_response, m0_readdata}; - - // ------------------------------------------------------------------ - // Generate a token when read commands are suppressed. The token - // is stored in the response FIFO, and will be used to synthesize - // a read response. The same token is used for non-posted write - // response synthesis. - // - // Note: this token is not generated for suppressed uncompressed read cycles; - // the burst uncompression logic at the read side of the response FIFO - // generates the correct number of responses. - // - // When the slave can return the response, let it do its job. Don't - // synthesize a response in that case, unless we've suppressed the - // the last transfer in a write sub-burst. - // ------------------------------------------------------------------ - wire write_end_of_subburst; - assign needs_response_synthesis = ((local_read | local_compressed_read) & suppress_read) || - (!USE_WRITERESPONSE && nonposted_write_endofpacket) || - (USE_WRITERESPONSE && write_end_of_subburst && suppress_write); - - // Avalon-ST interfaces to external response FIFO. - // - // For efficiency, when synthesizing a write response we only store a non-posted write - // transaction at its endofpacket, even if it was split into multiple sub-bursts. - // - // When not synthesizing write responses, we store each sub-burst in the FIFO. - // Each sub-burst to the slave will return a response, which corresponds to one - // entry in the FIFO. We merge all the sub-burst responses on the final - // sub-burst and send it on the response channel. - - wire internal_cp_endofburst; - wire [31:0] minimum_bytecount_wire = PKT_SYMBOLS; // to solve qis warning - wire [AVS_BURSTCOUNT_W-1:0] minimum_bytecount; - - assign minimum_bytecount = minimum_bytecount_wire[AVS_BURSTCOUNT_W-1:0]; - assign internal_cp_endofburst = (cmd_byte_cnt == minimum_bytecount); - assign write_end_of_subburst = local_write & internal_cp_endofburst; - - assign rf_source_valid = (local_read | local_compressed_read | (nonposted_write_endofpacket && !USE_WRITERESPONSE) | (USE_WRITERESPONSE && internal_cp_endofburst && local_write)) - & ready_for_command & cp_ready; - assign rf_source_startofpacket = cp_startofpacket; - assign rf_source_endofpacket = cp_endofpacket; - always @* begin - // default: assign every command packet field to the response FIFO... - rf_source_data = {1'b0, cp_data}; - - // ... and override select fields as needed. - rf_source_data[FIFO_DATA_W-1] = needs_response_synthesis; - rf_source_data[PKT_DATA_H :PKT_DATA_L] = {DATA_W {1'b0}}; - rf_source_data[PKT_BYTEEN_H :PKT_BYTEEN_L] = cmd_byteen; - rf_source_data[PKT_ADDR_H :PKT_ADDR_L] = cmd_addr; - rf_source_data[PKT_TRANS_COMPRESSED_READ] = cmd_compressed; - rf_source_data[PKT_TRANS_POSTED] = cmd_posted; - rf_source_data[PKT_TRANS_WRITE] = cmd_write; - rf_source_data[PKT_TRANS_READ] = cmd_read; - rf_source_data[PKT_SRC_ID_H :PKT_SRC_ID_L] = cmd_mid; - rf_source_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = cmd_sid; - rf_source_data[PKT_BYTE_CNT_H:PKT_BYTE_CNT_L] = cmd_byte_cnt; - rf_source_data[PKT_BURSTWRAP_H:PKT_BURSTWRAP_L] = cmd_burstwrap; - rf_source_data[PKT_BURST_SIZE_H:PKT_BURST_SIZE_L] = cmd_burstsize; - rf_source_data[PKT_PROTECTION_H:PKT_PROTECTION_L] = '0; - rf_source_data[PKT_PROTECTION_L] = cmd_debugaccess; - end - - wire uncompressor_source_valid; - wire [BURSTSIZE_W-1:0] uncompressor_burstsize; - wire last_write_response; - - // last_write_response indicates the last response of the broken-up write burst (sub-bursts). - // At this time, the final merged response is sent, and rp_valid is only asserted - // once for the whole burst. - generate - if (USE_WRITERESPONSE) begin - assign last_write_response = rf_sink_data[PKT_TRANS_WRITE] & rf_sink_endofpacket; - always @* begin - if (rf_sink_data[PKT_TRANS_WRITE] == 1) - rp_valid = (rdata_fifo_sink_valid | generate_response) & last_write_response & !rf_sink_data[PKT_TRANS_POSTED]; - else - rp_valid = rdata_fifo_sink_valid | uncompressor_source_valid; - end - end else begin - assign last_write_response = 1'b0; - always @* begin - rp_valid = rdata_fifo_sink_valid | uncompressor_source_valid; - end - end - endgenerate - - // ------------------------------------------------------------------ - // Response merging - // ------------------------------------------------------------------ - reg [1:0] current_response; - reg [1:0] response_merged; - generate - if (USE_WRITERESPONSE) begin : response_merging_all - reg first_write_response; - reg reset_merged_output; - reg [1:0] previous_response_in; - reg [1:0] previous_response; - - always_ff @(posedge clk, posedge reset) begin - if (reset) begin - first_write_response <= 1'b1; - end - else begin // Merging work for write response, for read: previous_response_in = current_response - if (rf_sink_valid & (rdata_fifo_sink_valid | generate_response) & rf_sink_data[PKT_TRANS_WRITE]) begin - first_write_response <= 1'b0; - if (rf_sink_endofpacket) - first_write_response <= 1'b1; - end - end - end - - always_comb begin - current_response = generate_response ? 2'b00 : rdata_fifo_sink_data[AVS_DATA_W+1:AVS_DATA_W] | {2{rdata_fifo_sink_error}}; - reset_merged_output = first_write_response && (rdata_fifo_sink_valid || generate_response); - previous_response_in = reset_merged_output ? current_response : previous_response; - response_merged = current_response >= previous_response ? current_response: previous_response_in; - end - - always_ff @(posedge clk or posedge reset) begin - if (reset) begin - previous_response <= 2'b00; - end - else begin - if (rf_sink_valid & (rdata_fifo_sink_valid || generate_response)) begin - previous_response <= response_merged; - end - end - end - end else begin : response_merging_read_only - always @* begin - current_response = generate_response ? 2'b00: rdata_fifo_sink_data[AVS_DATA_W+1:AVS_DATA_W] | - {2{rdata_fifo_sink_error}}; - response_merged = current_response; - end - end - endgenerate - - assign generate_response = rf_sink_data[FIFO_DATA_W-1]; - - wire [BYTE_CNT_W-1:0] rf_sink_byte_cnt = rf_sink_data[PKT_BYTE_CNT_H:PKT_BYTE_CNT_L]; - wire rf_sink_compressed = rf_sink_data[PKT_TRANS_COMPRESSED_READ]; - wire [BURSTWRAP_W-1:0] rf_sink_burstwrap = rf_sink_data[PKT_BURSTWRAP_H:PKT_BURSTWRAP_L]; - wire [BURSTSIZE_W-1:0] rf_sink_burstsize = rf_sink_data[PKT_BURST_SIZE_H:PKT_BURST_SIZE_L]; - wire [ADDR_W-1:0] rf_sink_addr = rf_sink_data[PKT_ADDR_H:PKT_ADDR_L]; - // a non posted write response is always completed in 1 cycle. Modify the startofpacket signal to 1'b1 instead of taking whatever is in the rf_fifo - wire rf_sink_startofpacket_wire = rf_sink_data[PKT_TRANS_WRITE] ? 1'b1 : rf_sink_startofpacket; - - wire [BYTE_CNT_W-1:0] burst_byte_cnt; - wire [BURSTWRAP_W-1:0] rp_burstwrap; - wire [ADDR_W-1:0] rp_address; - wire rp_is_compressed; - wire ready_for_response; - - // ------------------------------------------------------------------ - // We're typically ready for a response if the network is ready. There - // is one exception: - // - // If the slave issues write responses, we only issue a merged response on - // the final sub-burst. As a result, we only care about response channel - // availability on the final burst when we send out the merged response. - // ------------------------------------------------------------------ - assign ready_for_response = (USE_WRITERESPONSE) ? - rp_ready || (rf_sink_data[PKT_TRANS_WRITE] && !last_write_response) || rf_sink_data[PKT_TRANS_POSTED]: - rp_ready; - - // ------------------------------------------------------------------ - // Backpressure the readdata fifo if we're supposed to synthesize a response. - // This may be a read response (for suppressed reads) or a write response - // (for non-posted writes). - // ------------------------------------------------------------------ - assign rdata_fifo_sink_ready = rdata_fifo_sink_valid & ready_for_response & ~(rf_sink_valid & generate_response); - - always @* begin - // By default, return all fields... - rp_data = rf_sink_data[ST_DATA_W - 1 : 0]; - - // ... and override specific fields. - rp_data[PKT_DATA_H :PKT_DATA_L] = rdata_fifo_sink_data[AVS_DATA_W-1:0]; - // Assignments directly from the response fifo. - rp_data[PKT_TRANS_POSTED] = rf_sink_data[PKT_TRANS_POSTED]; - rp_data[PKT_TRANS_WRITE] = rf_sink_data[PKT_TRANS_WRITE]; - rp_data[PKT_SRC_ID_H :PKT_SRC_ID_L] = rf_sink_data[PKT_DEST_ID_H : PKT_DEST_ID_L]; - rp_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = rf_sink_data[PKT_SRC_ID_H : PKT_SRC_ID_L]; - rp_data[PKT_BYTEEN_H :PKT_BYTEEN_L] = rf_sink_data[PKT_BYTEEN_H : PKT_BYTEEN_L]; - rp_data[PKT_PROTECTION_H:PKT_PROTECTION_L] = rf_sink_data[PKT_PROTECTION_H:PKT_PROTECTION_L]; - - // Burst uncompressor assignments - rp_data[PKT_ADDR_H :PKT_ADDR_L] = rp_address; - rp_data[PKT_BURSTWRAP_H:PKT_BURSTWRAP_L] = rp_burstwrap; - rp_data[PKT_BYTE_CNT_H:PKT_BYTE_CNT_L] = burst_byte_cnt; - rp_data[PKT_TRANS_READ] = rf_sink_data[PKT_TRANS_READ] | rf_sink_data[PKT_TRANS_COMPRESSED_READ]; - rp_data[PKT_TRANS_COMPRESSED_READ] = rp_is_compressed; - - rp_data[PKT_RESPONSE_STATUS_H:PKT_RESPONSE_STATUS_L] = response_merged; - rp_data[PKT_BURST_SIZE_H:PKT_BURST_SIZE_L] = uncompressor_burstsize; - // bounce the original size back to the master untouched - rp_data[PKT_ORI_BURST_SIZE_H:PKT_ORI_BURST_SIZE_L] = rf_sink_data[PKT_ORI_BURST_SIZE_H:PKT_ORI_BURST_SIZE_L]; - end - - // ------------------------------------------------------------------ - // Note: the burst uncompressor may be asked to generate responses for - // write packets; these are treated the same as single-cycle uncompressed - // reads. - // ------------------------------------------------------------------ - altera_merlin_burst_uncompressor #( - .ADDR_W (ADDR_W), - .BURSTWRAP_W (BURSTWRAP_W), - .BYTE_CNT_W (BYTE_CNT_W), - .PKT_SYMBOLS (PKT_SYMBOLS), - .BURST_SIZE_W (BURSTSIZE_W) - ) uncompressor ( - .clk (clk), - .reset (reset), - .sink_startofpacket (rf_sink_startofpacket_wire), - .sink_endofpacket (rf_sink_endofpacket), - .sink_valid (rf_sink_valid & (rdata_fifo_sink_valid | generate_response)), - .sink_ready (rf_sink_ready), - .sink_addr (rf_sink_addr), - .sink_burstwrap (rf_sink_burstwrap), - .sink_byte_cnt (rf_sink_byte_cnt), - .sink_is_compressed (rf_sink_compressed), - .sink_burstsize (rf_sink_burstsize), - - .source_startofpacket (rp_startofpacket), - .source_endofpacket (rp_endofpacket), - .source_valid (uncompressor_source_valid), - .source_ready (ready_for_response), - .source_addr (rp_address), - .source_burstwrap (rp_burstwrap), - .source_byte_cnt (burst_byte_cnt), - .source_is_compressed (rp_is_compressed), - .source_burstsize (uncompressor_burstsize) - ); - - //-------------------------------------- - // Assertion: In case slave support response. The slave needs return response in order - // Ex: non-posted write followed by a read: write response must complete before read data - //-------------------------------------- - // synthesis translate_off - ERROR_write_response_and_read_response_cannot_happen_same_time: - assert property ( @(posedge clk) - disable iff (reset) !(m0_writeresponsevalid && m0_readdatavalid) - ); - - // synthesis translate_on -endmodule - +// (C) 2001-2011 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/18.1std/ip/merlin/altera_merlin_slave_agent/altera_merlin_slave_agent.sv#1 $ +// $Revision: #1 $ +// $Date: 2018/07/18 $ +// $Author: psgswbuild $ + +`timescale 1 ns / 1 ns + +module altera_merlin_slave_agent +#( + // Packet parameters + parameter PKT_BEGIN_BURST = 81, + parameter PKT_DATA_H = 31, + parameter PKT_DATA_L = 0, + parameter PKT_SYMBOL_W = 8, + parameter PKT_BYTEEN_H = 71, + parameter PKT_BYTEEN_L = 68, + parameter PKT_ADDR_H = 63, + parameter PKT_ADDR_L = 32, + parameter PKT_TRANS_LOCK = 87, + parameter PKT_TRANS_COMPRESSED_READ = 67, + parameter PKT_TRANS_POSTED = 66, + parameter PKT_TRANS_WRITE = 65, + parameter PKT_TRANS_READ = 64, + parameter PKT_SRC_ID_H = 74, + parameter PKT_SRC_ID_L = 72, + parameter PKT_DEST_ID_H = 77, + parameter PKT_DEST_ID_L = 75, + parameter PKT_BURSTWRAP_H = 85, + parameter PKT_BURSTWRAP_L = 82, + parameter PKT_BYTE_CNT_H = 81, + parameter PKT_BYTE_CNT_L = 78, + parameter PKT_PROTECTION_H = 86, + parameter PKT_PROTECTION_L = 86, + parameter PKT_RESPONSE_STATUS_H = 89, + parameter PKT_RESPONSE_STATUS_L = 88, + parameter PKT_BURST_SIZE_H = 92, + parameter PKT_BURST_SIZE_L = 90, + parameter PKT_ORI_BURST_SIZE_L = 93, + parameter PKT_ORI_BURST_SIZE_H = 95, + parameter ST_DATA_W = 96, + parameter ST_CHANNEL_W = 32, + + // Slave parameters + parameter ADDR_W = PKT_ADDR_H - PKT_ADDR_L + 1, + parameter AVS_DATA_W = PKT_DATA_H - PKT_DATA_L + 1, + parameter AVS_BURSTCOUNT_W = 4, + parameter PKT_SYMBOLS = AVS_DATA_W / PKT_SYMBOL_W, + + // Slave agent parameters + parameter PREVENT_FIFO_OVERFLOW = 0, + parameter SUPPRESS_0_BYTEEN_CMD = 1, + parameter USE_READRESPONSE = 0, + parameter USE_WRITERESPONSE = 0, + + // Derived slave parameters + parameter AVS_BE_W = PKT_BYTEEN_H - PKT_BYTEEN_L + 1, + parameter BURST_SIZE_W = 3, + + // Derived FIFO width + parameter FIFO_DATA_W = ST_DATA_W + 1, + + // ECC parameter + parameter ECC_ENABLE = 0 +) ( + input clk, + input reset, + + // Universal-Avalon anti-slave + output [ADDR_W-1:0] m0_address, + output [AVS_BURSTCOUNT_W-1:0] m0_burstcount, + output [AVS_BE_W-1:0] m0_byteenable, + output m0_read, + input [AVS_DATA_W-1:0] m0_readdata, + input m0_waitrequest, + output m0_write, + output [AVS_DATA_W-1:0] m0_writedata, + input m0_readdatavalid, + output m0_debugaccess, + output m0_lock, + input [1:0] m0_response, + input m0_writeresponsevalid, + + // Avalon-ST FIFO interfaces. + // Note: there's no need to include the "data" field here, at least for + // reads, since readdata is filled in from slave info. To keep life + // simple, have a data field, but fill it with 0s. + // Av-st response fifo source interface + output reg [FIFO_DATA_W-1:0] rf_source_data, + output rf_source_valid, + output rf_source_startofpacket, + output rf_source_endofpacket, + input rf_source_ready, + + // Av-st response fifo sink interface + input [FIFO_DATA_W-1:0] rf_sink_data, + input rf_sink_valid, + input rf_sink_startofpacket, + input rf_sink_endofpacket, + output rf_sink_ready, + + // Av-st readdata fifo src interface, data and response + // extra 2 bits for storing RESPONSE STATUS + output [AVS_DATA_W+1:0] rdata_fifo_src_data, + output rdata_fifo_src_valid, + input rdata_fifo_src_ready, + + // Av-st readdata fifo sink interface + input [AVS_DATA_W+1:0] rdata_fifo_sink_data, + input rdata_fifo_sink_valid, + output rdata_fifo_sink_ready, + input rdata_fifo_sink_error, + + // Av-st sink command packet interface + output cp_ready, + input cp_valid, + input [ST_DATA_W-1:0] cp_data, + input [ST_CHANNEL_W-1:0] cp_channel, + input cp_startofpacket, + input cp_endofpacket, + + // Av-st source response packet interface + input rp_ready, + output reg rp_valid, + output reg [ST_DATA_W-1:0] rp_data, + output rp_startofpacket, + output rp_endofpacket +); + + // -------------------------------------------------- + // Ceil(log2()) function log2ceil of 4 = 2 + // -------------------------------------------------- + function integer log2ceil; + input reg[63:0] val; + reg [63:0] i; + + begin + i = 1; + log2ceil = 0; + + while (i < val) begin + log2ceil = log2ceil + 1; + i = i << 1; + end + end + endfunction + + // ------------------------------------------------ + // Local Parameters + // ------------------------------------------------ + localparam DATA_W = PKT_DATA_H - PKT_DATA_L + 1; + localparam BE_W = PKT_BYTEEN_H - PKT_BYTEEN_L + 1; + localparam MID_W = PKT_SRC_ID_H - PKT_SRC_ID_L + 1; + localparam SID_W = PKT_DEST_ID_H - PKT_DEST_ID_L + 1; + localparam BYTE_CNT_W = PKT_BYTE_CNT_H - PKT_BYTE_CNT_L + 1; + localparam BURSTWRAP_W = PKT_BURSTWRAP_H - PKT_BURSTWRAP_L + 1; + localparam BURSTSIZE_W = PKT_BURST_SIZE_H - PKT_BURST_SIZE_L + 1; + localparam BITS_TO_MASK = log2ceil(PKT_SYMBOLS); + localparam MAX_BURST = 1 << (AVS_BURSTCOUNT_W - 1); + localparam BURSTING = (MAX_BURST > PKT_SYMBOLS); + + // ------------------------------------------------ + // Signals + // ------------------------------------------------ + wire [DATA_W-1:0] cmd_data; + wire [BE_W-1:0] cmd_byteen; + wire [ADDR_W-1:0] cmd_addr; + wire [MID_W-1:0] cmd_mid; + wire [SID_W-1:0] cmd_sid; + wire cmd_read; + wire cmd_write; + wire cmd_compressed; + wire cmd_posted; + wire [BYTE_CNT_W-1:0] cmd_byte_cnt; + wire [BURSTWRAP_W-1:0] cmd_burstwrap; + wire [BURSTSIZE_W-1:0] cmd_burstsize; + wire cmd_debugaccess; + + wire suppress_cmd; + wire byteen_asserted; + wire suppress_read; + wire suppress_write; + wire needs_response_synthesis; + wire generate_response; + + // Assign command fields + assign cmd_data = cp_data[PKT_DATA_H :PKT_DATA_L ]; + assign cmd_byteen = cp_data[PKT_BYTEEN_H:PKT_BYTEEN_L]; + assign cmd_addr = cp_data[PKT_ADDR_H :PKT_ADDR_L ]; + assign cmd_compressed = cp_data[PKT_TRANS_COMPRESSED_READ]; + assign cmd_posted = cp_data[PKT_TRANS_POSTED]; + assign cmd_write = cp_data[PKT_TRANS_WRITE]; + assign cmd_read = cp_data[PKT_TRANS_READ]; + assign cmd_mid = cp_data[PKT_SRC_ID_H :PKT_SRC_ID_L]; + assign cmd_sid = cp_data[PKT_DEST_ID_H:PKT_DEST_ID_L]; + assign cmd_byte_cnt = cp_data[PKT_BYTE_CNT_H:PKT_BYTE_CNT_L]; + assign cmd_burstwrap = cp_data[PKT_BURSTWRAP_H:PKT_BURSTWRAP_L]; + assign cmd_burstsize = cp_data[PKT_BURST_SIZE_H:PKT_BURST_SIZE_L]; + assign cmd_debugaccess = cp_data[PKT_PROTECTION_L]; + + // Local "ready_for_command" signal: deasserted when the agent is unable to accept + // another command, e.g. rdv FIFO is full, (local readdata storage is full && + // ~rp_ready), ... + // Say, this could depend on the type of command, for example, even if the + // rdv FIFO is full, a write request can be accepted. For later. + wire ready_for_command; + + wire local_lock = cp_valid & cp_data[PKT_TRANS_LOCK]; + wire local_write = cp_valid & cp_data[PKT_TRANS_WRITE]; + wire local_read = cp_valid & cp_data[PKT_TRANS_READ]; + wire local_compressed_read = cp_valid & cp_data[PKT_TRANS_COMPRESSED_READ]; + wire nonposted_write_endofpacket = ~cp_data[PKT_TRANS_POSTED] & local_write & cp_endofpacket; + + // num_symbols is PKT_SYMBOLS, appropriately sized. + wire [31:0] int_num_symbols = PKT_SYMBOLS; + wire [BYTE_CNT_W-1:0] num_symbols = int_num_symbols[BYTE_CNT_W-1:0]; + + generate + if (PREVENT_FIFO_OVERFLOW) begin : prevent_fifo_overflow_block + // --------------------------------------------------- + // Backpressure if the slave says to, or if FIFO overflow may occur. + // + // All commands are backpressured once the FIFO is full + // even if they don't need storage. This breaks a long + // combinatorial path from the master read/write through + // this logic and back to the master via the backpressure + // path. + // + // To avoid a loss of throughput the FIFO will be parameterized + // one slot deeper. The extra slot should never be used in normal + // operation, but should a slave misbehave and accept one more + // read than it should then backpressure will kick in. + // + // An example: assume a slave with MPRT = 2. It can accept a + // command sequence RRWW without backpressuring. If the FIFO is + // only 2 deep, we'd backpressure the writes leading to loss of + // throughput. If the FIFO is 3 deep, we'll only backpressure when + // RRR... which is an illegal condition anyway. + // --------------------------------------------------- + + assign ready_for_command = rf_source_ready; + assign cp_ready = (~m0_waitrequest | suppress_cmd) && ready_for_command; + + end else begin : no_prevent_fifo_overflow_block + + // Do not suppress the command or the slave will + // not be able to waitrequest + assign ready_for_command = 1'b1; + // Backpressure only if the slave says to. + assign cp_ready = ~m0_waitrequest | suppress_cmd; + + end + endgenerate + + generate if (SUPPRESS_0_BYTEEN_CMD && !BURSTING) begin : suppress_0_byteen_cmd_non_bursting + assign byteen_asserted = |cmd_byteen; + assign suppress_read = ~byteen_asserted; + assign suppress_write = ~byteen_asserted; + assign suppress_cmd = ~byteen_asserted; + end else if (SUPPRESS_0_BYTEEN_CMD && BURSTING) begin: suppress_0_byteen_cmd_bursting + assign byteen_asserted = |cmd_byteen; + assign suppress_read = ~byteen_asserted; + assign suppress_write = 1'b0; + assign suppress_cmd = ~byteen_asserted && cmd_read; + end else begin : no_suppress_0_byteen_cmd + assign suppress_read = 1'b0; + assign suppress_write = 1'b0; + assign suppress_cmd = 1'b0; + end + endgenerate + + // ------------------------------------------------------------------- + // Extract avalon signals from command packet. + // ------------------------------------------------------------------- + // Mask off the lower bits of address. + // The burst adapter before this component will break narrow sized packets + // into sub-bursts of length 1. However, the packet addresses are preserved, + // which means this component may see size-aligned addresses. + // + // Masking ensures that the addresses seen by an Avalon slave are aligned to + // the full data width instead of the size. + // + // Example: + // output from burst adapter (datawidth=4, size=2 bytes): + // subburst1 addr=0, subburst2 addr=2, subburst3 addr=4, subburst4 addr=6 + // expected output from slave agent: + // subburst1 addr=0, subburst2 addr=0, subburst3 addr=4, subburst4 addr=4 + generate + if (BITS_TO_MASK > 0) begin : mask_address + + assign m0_address = { cmd_addr[ADDR_W-1:BITS_TO_MASK], {BITS_TO_MASK{1'b0}} }; + + end else begin : no_mask_address + + assign m0_address = cmd_addr; + + end + endgenerate + + assign m0_byteenable = cmd_byteen; + assign m0_writedata = cmd_data; + + // Note: no Avalon-MM slave in existence accepts uncompressed read bursts - + // this sort of burst exists only in merlin fabric ST packets. What to do + // if we see such a burst? All beats in that burst need to be transmitted + // to the slave so we have enough space-time for byteenable expression. + // + // There can be multiple bursts in a packet, but only one beat per burst + // in cases. The exception is when we've decided not to insert a + // burst adapter for efficiency reasons, in which case this agent is also + // responsible for driving burstcount to 1 on each beat of an uncompressed + // read burst. + + assign m0_read = ready_for_command & !suppress_read & (local_compressed_read | local_read); + + generate + // AVS_BURSTCOUNT_W and BYTE_CNT_W may not be equal. Assign m0_burstcount + // from a sub-range, or 0-pad, as appropriate. + if (AVS_BURSTCOUNT_W > BYTE_CNT_W) begin : m0_burstcount_zero_pad + wire [AVS_BURSTCOUNT_W - BYTE_CNT_W - 1 : 0] zero_pad = {(AVS_BURSTCOUNT_W - BYTE_CNT_W) {1'b0}}; + assign m0_burstcount = (local_read & ~local_compressed_read) ? + {zero_pad, num_symbols} : + {zero_pad, cmd_byte_cnt}; + end + else begin : m0_burstcount_no_pad + assign m0_burstcount = (local_read & ~local_compressed_read) ? + num_symbols[AVS_BURSTCOUNT_W-1:0] : + cmd_byte_cnt[AVS_BURSTCOUNT_W-1:0]; + end + endgenerate + + assign m0_write = ready_for_command & local_write & !suppress_write; + assign m0_lock = ready_for_command & local_lock & (m0_read | m0_write); + assign m0_debugaccess = cmd_debugaccess; + + // ------------------------------------------------------------------- + // Indirection layer for response packet values. Some may always wire + // directly from the slave translator; others will no doubt emerge from + // various FIFOs. + // What to put in resp_data when a write occured? Answer: it does not + // matter, because only response status is needed for non-posted writes, + // and the packet already has a field for that. + // + // We use the rdata_fifo to store write responses as well. This allows us + // to handle backpressure on the response path, and allows write response + // merging. + assign rdata_fifo_src_valid = m0_readdatavalid | m0_writeresponsevalid; + assign rdata_fifo_src_data = {m0_response, m0_readdata}; + + // ------------------------------------------------------------------ + // Generate a token when read commands are suppressed. The token + // is stored in the response FIFO, and will be used to synthesize + // a read response. The same token is used for non-posted write + // response synthesis. + // + // Note: this token is not generated for suppressed uncompressed read cycles; + // the burst uncompression logic at the read side of the response FIFO + // generates the correct number of responses. + // + // When the slave can return the response, let it do its job. Don't + // synthesize a response in that case, unless we've suppressed the + // the last transfer in a write sub-burst. + // ------------------------------------------------------------------ + wire write_end_of_subburst; + assign needs_response_synthesis = ((local_read | local_compressed_read) & suppress_read) || + (!USE_WRITERESPONSE && nonposted_write_endofpacket) || + (USE_WRITERESPONSE && write_end_of_subburst && suppress_write); + + // Avalon-ST interfaces to external response FIFO. + // + // For efficiency, when synthesizing a write response we only store a non-posted write + // transaction at its endofpacket, even if it was split into multiple sub-bursts. + // + // When not synthesizing write responses, we store each sub-burst in the FIFO. + // Each sub-burst to the slave will return a response, which corresponds to one + // entry in the FIFO. We merge all the sub-burst responses on the final + // sub-burst and send it on the response channel. + + wire internal_cp_endofburst; + wire [31:0] minimum_bytecount_wire = PKT_SYMBOLS; // to solve qis warning + wire [AVS_BURSTCOUNT_W-1:0] minimum_bytecount; + + assign minimum_bytecount = minimum_bytecount_wire[AVS_BURSTCOUNT_W-1:0]; + assign internal_cp_endofburst = (cmd_byte_cnt == minimum_bytecount); + assign write_end_of_subburst = local_write & internal_cp_endofburst; + + assign rf_source_valid = (local_read | local_compressed_read | (nonposted_write_endofpacket && !USE_WRITERESPONSE) | (USE_WRITERESPONSE && internal_cp_endofburst && local_write)) + & ready_for_command & cp_ready; + assign rf_source_startofpacket = cp_startofpacket; + assign rf_source_endofpacket = cp_endofpacket; + always @* begin + // default: assign every command packet field to the response FIFO... + rf_source_data = {1'b0, cp_data}; + + // ... and override select fields as needed. + rf_source_data[FIFO_DATA_W-1] = needs_response_synthesis; + rf_source_data[PKT_DATA_H :PKT_DATA_L] = {DATA_W {1'b0}}; + rf_source_data[PKT_BYTEEN_H :PKT_BYTEEN_L] = cmd_byteen; + rf_source_data[PKT_ADDR_H :PKT_ADDR_L] = cmd_addr; + rf_source_data[PKT_TRANS_COMPRESSED_READ] = cmd_compressed; + rf_source_data[PKT_TRANS_POSTED] = cmd_posted; + rf_source_data[PKT_TRANS_WRITE] = cmd_write; + rf_source_data[PKT_TRANS_READ] = cmd_read; + rf_source_data[PKT_SRC_ID_H :PKT_SRC_ID_L] = cmd_mid; + rf_source_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = cmd_sid; + rf_source_data[PKT_BYTE_CNT_H:PKT_BYTE_CNT_L] = cmd_byte_cnt; + rf_source_data[PKT_BURSTWRAP_H:PKT_BURSTWRAP_L] = cmd_burstwrap; + rf_source_data[PKT_BURST_SIZE_H:PKT_BURST_SIZE_L] = cmd_burstsize; + rf_source_data[PKT_PROTECTION_H:PKT_PROTECTION_L] = '0; + rf_source_data[PKT_PROTECTION_L] = cmd_debugaccess; + end + + wire uncompressor_source_valid; + wire [BURSTSIZE_W-1:0] uncompressor_burstsize; + wire last_write_response; + + // last_write_response indicates the last response of the broken-up write burst (sub-bursts). + // At this time, the final merged response is sent, and rp_valid is only asserted + // once for the whole burst. + generate + if (USE_WRITERESPONSE) begin + assign last_write_response = rf_sink_data[PKT_TRANS_WRITE] & rf_sink_endofpacket; + always @* begin + if (rf_sink_data[PKT_TRANS_WRITE] == 1) + rp_valid = (rdata_fifo_sink_valid | generate_response) & last_write_response & !rf_sink_data[PKT_TRANS_POSTED]; + else + rp_valid = rdata_fifo_sink_valid | uncompressor_source_valid; + end + end else begin + assign last_write_response = 1'b0; + always @* begin + rp_valid = rdata_fifo_sink_valid | uncompressor_source_valid; + end + end + endgenerate + + // ------------------------------------------------------------------ + // Response merging + // ------------------------------------------------------------------ + reg [1:0] current_response; + reg [1:0] response_merged; + generate + if (USE_WRITERESPONSE) begin : response_merging_all + reg first_write_response; + reg reset_merged_output; + reg [1:0] previous_response_in; + reg [1:0] previous_response; + + always_ff @(posedge clk, posedge reset) begin + if (reset) begin + first_write_response <= 1'b1; + end + else begin // Merging work for write response, for read: previous_response_in = current_response + if (rf_sink_valid & (rdata_fifo_sink_valid | generate_response) & rf_sink_data[PKT_TRANS_WRITE]) begin + first_write_response <= 1'b0; + if (rf_sink_endofpacket) + first_write_response <= 1'b1; + end + end + end + + always_comb begin + current_response = generate_response ? 2'b00 : rdata_fifo_sink_data[AVS_DATA_W+1:AVS_DATA_W] | {2{rdata_fifo_sink_error}}; + reset_merged_output = first_write_response && (rdata_fifo_sink_valid || generate_response); + previous_response_in = reset_merged_output ? current_response : previous_response; + response_merged = current_response >= previous_response ? current_response: previous_response_in; + end + + always_ff @(posedge clk or posedge reset) begin + if (reset) begin + previous_response <= 2'b00; + end + else begin + if (rf_sink_valid & (rdata_fifo_sink_valid || generate_response)) begin + previous_response <= response_merged; + end + end + end + end else begin : response_merging_read_only + always @* begin + current_response = generate_response ? 2'b00: rdata_fifo_sink_data[AVS_DATA_W+1:AVS_DATA_W] | + {2{rdata_fifo_sink_error}}; + response_merged = current_response; + end + end + endgenerate + + assign generate_response = rf_sink_data[FIFO_DATA_W-1]; + + wire [BYTE_CNT_W-1:0] rf_sink_byte_cnt = rf_sink_data[PKT_BYTE_CNT_H:PKT_BYTE_CNT_L]; + wire rf_sink_compressed = rf_sink_data[PKT_TRANS_COMPRESSED_READ]; + wire [BURSTWRAP_W-1:0] rf_sink_burstwrap = rf_sink_data[PKT_BURSTWRAP_H:PKT_BURSTWRAP_L]; + wire [BURSTSIZE_W-1:0] rf_sink_burstsize = rf_sink_data[PKT_BURST_SIZE_H:PKT_BURST_SIZE_L]; + wire [ADDR_W-1:0] rf_sink_addr = rf_sink_data[PKT_ADDR_H:PKT_ADDR_L]; + // a non posted write response is always completed in 1 cycle. Modify the startofpacket signal to 1'b1 instead of taking whatever is in the rf_fifo + wire rf_sink_startofpacket_wire = rf_sink_data[PKT_TRANS_WRITE] ? 1'b1 : rf_sink_startofpacket; + + wire [BYTE_CNT_W-1:0] burst_byte_cnt; + wire [BURSTWRAP_W-1:0] rp_burstwrap; + wire [ADDR_W-1:0] rp_address; + wire rp_is_compressed; + wire ready_for_response; + + // ------------------------------------------------------------------ + // We're typically ready for a response if the network is ready. There + // is one exception: + // + // If the slave issues write responses, we only issue a merged response on + // the final sub-burst. As a result, we only care about response channel + // availability on the final burst when we send out the merged response. + // ------------------------------------------------------------------ + assign ready_for_response = (USE_WRITERESPONSE) ? + rp_ready || (rf_sink_data[PKT_TRANS_WRITE] && !last_write_response) || rf_sink_data[PKT_TRANS_POSTED]: + rp_ready; + + // ------------------------------------------------------------------ + // Backpressure the readdata fifo if we're supposed to synthesize a response. + // This may be a read response (for suppressed reads) or a write response + // (for non-posted writes). + // ------------------------------------------------------------------ + assign rdata_fifo_sink_ready = rdata_fifo_sink_valid & ready_for_response & ~(rf_sink_valid & generate_response); + + always @* begin + // By default, return all fields... + rp_data = rf_sink_data[ST_DATA_W - 1 : 0]; + + // ... and override specific fields. + rp_data[PKT_DATA_H :PKT_DATA_L] = rdata_fifo_sink_data[AVS_DATA_W-1:0]; + // Assignments directly from the response fifo. + rp_data[PKT_TRANS_POSTED] = rf_sink_data[PKT_TRANS_POSTED]; + rp_data[PKT_TRANS_WRITE] = rf_sink_data[PKT_TRANS_WRITE]; + rp_data[PKT_SRC_ID_H :PKT_SRC_ID_L] = rf_sink_data[PKT_DEST_ID_H : PKT_DEST_ID_L]; + rp_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = rf_sink_data[PKT_SRC_ID_H : PKT_SRC_ID_L]; + rp_data[PKT_BYTEEN_H :PKT_BYTEEN_L] = rf_sink_data[PKT_BYTEEN_H : PKT_BYTEEN_L]; + rp_data[PKT_PROTECTION_H:PKT_PROTECTION_L] = rf_sink_data[PKT_PROTECTION_H:PKT_PROTECTION_L]; + + // Burst uncompressor assignments + rp_data[PKT_ADDR_H :PKT_ADDR_L] = rp_address; + rp_data[PKT_BURSTWRAP_H:PKT_BURSTWRAP_L] = rp_burstwrap; + rp_data[PKT_BYTE_CNT_H:PKT_BYTE_CNT_L] = burst_byte_cnt; + rp_data[PKT_TRANS_READ] = rf_sink_data[PKT_TRANS_READ] | rf_sink_data[PKT_TRANS_COMPRESSED_READ]; + rp_data[PKT_TRANS_COMPRESSED_READ] = rp_is_compressed; + + rp_data[PKT_RESPONSE_STATUS_H:PKT_RESPONSE_STATUS_L] = response_merged; + rp_data[PKT_BURST_SIZE_H:PKT_BURST_SIZE_L] = uncompressor_burstsize; + // bounce the original size back to the master untouched + rp_data[PKT_ORI_BURST_SIZE_H:PKT_ORI_BURST_SIZE_L] = rf_sink_data[PKT_ORI_BURST_SIZE_H:PKT_ORI_BURST_SIZE_L]; + end + + // ------------------------------------------------------------------ + // Note: the burst uncompressor may be asked to generate responses for + // write packets; these are treated the same as single-cycle uncompressed + // reads. + // ------------------------------------------------------------------ + altera_merlin_burst_uncompressor #( + .ADDR_W (ADDR_W), + .BURSTWRAP_W (BURSTWRAP_W), + .BYTE_CNT_W (BYTE_CNT_W), + .PKT_SYMBOLS (PKT_SYMBOLS), + .BURST_SIZE_W (BURSTSIZE_W) + ) uncompressor ( + .clk (clk), + .reset (reset), + .sink_startofpacket (rf_sink_startofpacket_wire), + .sink_endofpacket (rf_sink_endofpacket), + .sink_valid (rf_sink_valid & (rdata_fifo_sink_valid | generate_response)), + .sink_ready (rf_sink_ready), + .sink_addr (rf_sink_addr), + .sink_burstwrap (rf_sink_burstwrap), + .sink_byte_cnt (rf_sink_byte_cnt), + .sink_is_compressed (rf_sink_compressed), + .sink_burstsize (rf_sink_burstsize), + + .source_startofpacket (rp_startofpacket), + .source_endofpacket (rp_endofpacket), + .source_valid (uncompressor_source_valid), + .source_ready (ready_for_response), + .source_addr (rp_address), + .source_burstwrap (rp_burstwrap), + .source_byte_cnt (burst_byte_cnt), + .source_is_compressed (rp_is_compressed), + .source_burstsize (uncompressor_burstsize) + ); + + //-------------------------------------- + // Assertion: In case slave support response. The slave needs return response in order + // Ex: non-posted write followed by a read: write response must complete before read data + //-------------------------------------- + // synthesis translate_off + ERROR_write_response_and_read_response_cannot_happen_same_time: + assert property ( @(posedge clk) + disable iff (reset) !(m0_writeresponsevalid && m0_readdatavalid) + ); + + // synthesis translate_on +endmodule + diff --git a/Top/niosII/synthesis/submodules/altera_merlin_slave_translator.sv b/Top/niosII/synthesis/submodules/altera_merlin_slave_translator.sv index ae64b31..206eed5 100644 --- a/Top/niosII/synthesis/submodules/altera_merlin_slave_translator.sv +++ b/Top/niosII/synthesis/submodules/altera_merlin_slave_translator.sv @@ -11,472 +11,472 @@ // agreement for further details. - -// $Id: //acds/rel/18.1std/ip/merlin/altera_merlin_slave_translator/altera_merlin_slave_translator.sv#1 $ -// $Revision: #1 $ -// $Date: 2018/07/18 $ -// $Author: psgswbuild $ - -// ------------------------------------- -// Merlin Slave Translator -// -// Translates Universal Avalon MM Slave -// to any Avalon MM Slave -// ------------------------------------- -// -//Notable Note: 0 AV_READLATENCY is not allowed and will be converted to a 1 cycle readlatency in all cases but one -//If you declare a slave with fixed read timing requirements, the readlatency of such a slave will be allowed to be zero -//The key feature here is that no same cycle turnaround data is processed through the fabric. - -//import avalon_utilities_pkg::*; - -`timescale 1 ns / 1 ns - -module altera_merlin_slave_translator #( - parameter - //Widths - AV_ADDRESS_W = 32, - AV_DATA_W = 32, - AV_BURSTCOUNT_W = 4, - AV_BYTEENABLE_W = 4, - UAV_BYTEENABLE_W = 4, - - //Read Latency - AV_READLATENCY = 1, - - //Timing - AV_READ_WAIT_CYCLES = 0, - AV_WRITE_WAIT_CYCLES = 0, - AV_SETUP_WAIT_CYCLES = 0, - AV_DATA_HOLD_CYCLES = 0, - - //Optional Port Declarations - USE_READDATAVALID = 1, - USE_WAITREQUEST = 1, - USE_READRESPONSE = 0, - USE_WRITERESPONSE = 0, - - //Variable Addressing - AV_SYMBOLS_PER_WORD = 4, - AV_ADDRESS_SYMBOLS = 0, - AV_BURSTCOUNT_SYMBOLS = 0, - BITS_PER_WORD = clog2_plusone(AV_SYMBOLS_PER_WORD - 1), - UAV_ADDRESS_W = 38, - UAV_BURSTCOUNT_W = 10, - UAV_DATA_W = 32, - - AV_CONSTANT_BURST_BEHAVIOR = 0, - UAV_CONSTANT_BURST_BEHAVIOR = 0, - CHIPSELECT_THROUGH_READLATENCY = 0, - - // Tightly-Coupled Options - USE_UAV_CLKEN = 0, - AV_REQUIRE_UNALIGNED_ADDRESSES = 0 -) ( - - // ------------------- - // Clock & Reset - // ------------------- - input wire clk, - input wire reset, - - // ------------------- - // Universal Avalon Slave - // ------------------- - - input wire [UAV_ADDRESS_W - 1 : 0] uav_address, - input wire [UAV_DATA_W - 1 : 0] uav_writedata, - input wire uav_write, - input wire uav_read, - input wire [UAV_BURSTCOUNT_W - 1 : 0] uav_burstcount, - input wire [UAV_BYTEENABLE_W - 1 : 0] uav_byteenable, - input wire uav_lock, - input wire uav_debugaccess, - input wire uav_clken, - - output logic uav_readdatavalid, - output logic uav_waitrequest, - output logic [UAV_DATA_W - 1 : 0] uav_readdata, - output logic [1:0] uav_response, - // input wire uav_writeresponserequest, - output logic uav_writeresponsevalid, - - // ------------------- - // Customizable Avalon Master - // ------------------- - output logic [AV_ADDRESS_W - 1 : 0] av_address, - output logic [AV_DATA_W - 1 : 0] av_writedata, - output logic av_write, - output logic av_read, - output logic [AV_BURSTCOUNT_W - 1 : 0] av_burstcount, - output logic [AV_BYTEENABLE_W - 1 : 0] av_byteenable, - output logic [AV_BYTEENABLE_W - 1 : 0] av_writebyteenable, - output logic av_begintransfer, - output wire av_chipselect, - output logic av_beginbursttransfer, - output logic av_lock, - output wire av_clken, - output wire av_debugaccess, - output wire av_outputenable, - - input logic [AV_DATA_W - 1 : 0] av_readdata, - input logic av_readdatavalid, - input logic av_waitrequest, - - input logic [1:0] av_response, - // output logic av_writeresponserequest, - input wire av_writeresponsevalid - -); - - function integer clog2_plusone; - input [31:0] Depth; - integer i; - begin - i = Depth; - for(clog2_plusone = 0; i > 0; clog2_plusone = clog2_plusone + 1) - i = i >> 1; - end - endfunction - - function integer max; - //returns the larger of two passed arguments - input [31:0] one; - input [31:0] two; - if(one > two) - max=one; - else - max=two; - endfunction // int - - localparam AV_READ_WAIT_INDEXED = (AV_SETUP_WAIT_CYCLES + AV_READ_WAIT_CYCLES); - localparam AV_WRITE_WAIT_INDEXED = (AV_SETUP_WAIT_CYCLES + AV_WRITE_WAIT_CYCLES); - localparam AV_DATA_HOLD_INDEXED = (AV_WRITE_WAIT_INDEXED + AV_DATA_HOLD_CYCLES); - localparam LOG2_OF_LATENCY_SUM = max(clog2_plusone(AV_READ_WAIT_INDEXED + 1),clog2_plusone(AV_DATA_HOLD_INDEXED + 1)); - localparam BURSTCOUNT_SHIFT_SELECTOR = AV_BURSTCOUNT_SYMBOLS ? 0 : BITS_PER_WORD; - localparam ADDRESS_SHIFT_SELECTOR = AV_ADDRESS_SYMBOLS ? 0 : BITS_PER_WORD; - localparam ADDRESS_HIGH = ( UAV_ADDRESS_W > AV_ADDRESS_W + ADDRESS_SHIFT_SELECTOR ) ? - AV_ADDRESS_W : - UAV_ADDRESS_W - ADDRESS_SHIFT_SELECTOR; - localparam BURSTCOUNT_HIGH = ( UAV_BURSTCOUNT_W > AV_BURSTCOUNT_W + BURSTCOUNT_SHIFT_SELECTOR ) ? - AV_BURSTCOUNT_W : - UAV_BURSTCOUNT_W - BURSTCOUNT_SHIFT_SELECTOR; - localparam BYTEENABLE_ADDRESS_BITS = ( clog2_plusone(UAV_BYTEENABLE_W) - 1 ) >= 1 ? clog2_plusone(UAV_BYTEENABLE_W) - 1 : 1; - - - // Calculate the symbols per word as the power of 2 extended symbols per word - wire [31 : 0] symbols_per_word_int = 2**(clog2_plusone(AV_SYMBOLS_PER_WORD[UAV_BURSTCOUNT_W : 0] - 1)); - wire [UAV_BURSTCOUNT_W-1 : 0] symbols_per_word = symbols_per_word_int[UAV_BURSTCOUNT_W-1 : 0]; - - // +-------------------------------- - // |Backwards Compatibility Signals - // +-------------------------------- - assign av_clken = (USE_UAV_CLKEN) ? uav_clken : 1'b1; - assign av_debugaccess = uav_debugaccess; - - // +------------------- - // |Passthru Signals - // +------------------- - - reg [1 : 0] av_response_delayed; - - always @(posedge clk, posedge reset) begin - if (reset) begin - av_response_delayed <= 2'b0; - end else begin - av_response_delayed <= av_response; - end - end - - always_comb - begin - if (!USE_READRESPONSE && !USE_WRITERESPONSE) begin - uav_response = '0; - end else begin - if (AV_READLATENCY != 0 || USE_READDATAVALID) begin - uav_response = av_response; - end else begin - uav_response = av_response_delayed; - end - end - end - // assign av_writeresponserequest = uav_writeresponserequest; - assign uav_writeresponsevalid = av_writeresponsevalid; - - //------------------------- - //Writedata and Byteenable - //------------------------- - - always@* begin - av_byteenable = '0; - av_byteenable = uav_byteenable[AV_BYTEENABLE_W - 1 : 0]; - end - - always@* begin - av_writedata = '0; - av_writedata = uav_writedata[AV_DATA_W - 1 : 0]; - end - - // +------------------- - // |Calculated Signals - // +------------------- - - logic [UAV_ADDRESS_W - 1 : 0 ] real_uav_address; - - function [BYTEENABLE_ADDRESS_BITS - 1 : 0 ] decode_byteenable; - input [UAV_BYTEENABLE_W - 1 : 0 ] byteenable; - - for(int i = 0 ; i < UAV_BYTEENABLE_W; i++ ) begin - if(byteenable[i] == 1) begin - return i; - end - end - - return '0; - - endfunction - - reg [AV_BURSTCOUNT_W - 1 : 0] burstcount_reg; - reg [AV_ADDRESS_W - 1 : 0] address_reg; - always@(posedge clk, posedge reset) begin - if(reset) begin - burstcount_reg <= '0; - address_reg <= '0; - end else begin - burstcount_reg <= burstcount_reg; - address_reg <= address_reg; - if(av_beginbursttransfer) begin - burstcount_reg <= uav_burstcount [ BURSTCOUNT_HIGH - 1 + BURSTCOUNT_SHIFT_SELECTOR : BURSTCOUNT_SHIFT_SELECTOR ]; - address_reg <= real_uav_address [ ADDRESS_HIGH - 1 + ADDRESS_SHIFT_SELECTOR : ADDRESS_SHIFT_SELECTOR ]; - end - end - end - - logic [BYTEENABLE_ADDRESS_BITS-1:0] temp_wire; - - always@* begin - if( AV_REQUIRE_UNALIGNED_ADDRESSES == 1) begin - temp_wire = decode_byteenable(uav_byteenable); - real_uav_address = { uav_address[UAV_ADDRESS_W - 1 : BYTEENABLE_ADDRESS_BITS ], temp_wire[BYTEENABLE_ADDRESS_BITS - 1 : 0 ] }; - end else begin - real_uav_address = uav_address; - end - - av_address = real_uav_address[ADDRESS_HIGH - 1 + ADDRESS_SHIFT_SELECTOR : ADDRESS_SHIFT_SELECTOR ]; - if( AV_CONSTANT_BURST_BEHAVIOR && !UAV_CONSTANT_BURST_BEHAVIOR && ~av_beginbursttransfer ) - av_address = address_reg; - end - - always@* begin - av_burstcount=uav_burstcount[BURSTCOUNT_HIGH - 1 + BURSTCOUNT_SHIFT_SELECTOR : BURSTCOUNT_SHIFT_SELECTOR ]; - if( AV_CONSTANT_BURST_BEHAVIOR && !UAV_CONSTANT_BURST_BEHAVIOR && ~av_beginbursttransfer ) - av_burstcount = burstcount_reg; - end - - always@* begin - av_lock = uav_lock; - end - - // ------------------- - // Writebyteenable Assignment - // ------------------- - always@* begin - av_writebyteenable = { (AV_BYTEENABLE_W){uav_write} } & uav_byteenable[AV_BYTEENABLE_W - 1 : 0]; - end - - // ------------------- - // Waitrequest Assignment - // ------------------- - - reg av_waitrequest_generated; - reg av_waitrequest_generated_read; - reg av_waitrequest_generated_write; - reg waitrequest_reset_override; - reg [ ( LOG2_OF_LATENCY_SUM ? LOG2_OF_LATENCY_SUM - 1 : 0 ) : 0 ] wait_latency_counter; - - always@(posedge reset, posedge clk) begin - if(reset) begin - wait_latency_counter <= '0; - waitrequest_reset_override <= 1'h1; - end else begin - waitrequest_reset_override <= 1'h0; - wait_latency_counter <= '0; - if( ~uav_waitrequest | waitrequest_reset_override ) - wait_latency_counter <= '0; - else if( uav_read | uav_write ) - wait_latency_counter <= wait_latency_counter + 1'h1; - end - end - - - always @* begin - - av_read = uav_read; - av_write = uav_write; - av_waitrequest_generated = 1'h1; - av_waitrequest_generated_read = 1'h1; - av_waitrequest_generated_write = 1'h1; - - if(LOG2_OF_LATENCY_SUM == 1) - av_waitrequest_generated = 0; - - if(LOG2_OF_LATENCY_SUM > 1 && !USE_WAITREQUEST) begin - av_read = wait_latency_counter >= AV_SETUP_WAIT_CYCLES && uav_read; - av_write = wait_latency_counter >= AV_SETUP_WAIT_CYCLES && uav_write && wait_latency_counter <= AV_WRITE_WAIT_INDEXED; - av_waitrequest_generated_read = wait_latency_counter != AV_READ_WAIT_INDEXED; - av_waitrequest_generated_write = wait_latency_counter != AV_DATA_HOLD_INDEXED; - - if(uav_write) - av_waitrequest_generated = av_waitrequest_generated_write; - else - av_waitrequest_generated = av_waitrequest_generated_read; - - end - - if(USE_WAITREQUEST) begin - uav_waitrequest = av_waitrequest; - end else begin - uav_waitrequest = av_waitrequest_generated | waitrequest_reset_override; - end - - end - - // -------------- - // Readdata Assignment - // -------------- - - reg[(AV_DATA_W ? AV_DATA_W -1 : 0 ): 0] av_readdata_pre; - - always@(posedge clk, posedge reset) begin - if(reset) - av_readdata_pre <= 'b0; - else - av_readdata_pre <= av_readdata; - end - - always@* begin - uav_readdata = {UAV_DATA_W{1'b0}}; - if( AV_READLATENCY != 0 || USE_READDATAVALID ) begin - uav_readdata[AV_DATA_W-1:0] = av_readdata; - end else begin - uav_readdata[AV_DATA_W-1:0] = av_readdata_pre; - end - end - - // ------------------- - // Readdatavalid Assigment - // ------------------- - reg[(AV_READLATENCY>0 ? AV_READLATENCY-1:0) :0] read_latency_shift_reg; - reg top_read_latency_shift_reg; - - always@* begin - uav_readdatavalid=top_read_latency_shift_reg; - if(USE_READDATAVALID) begin - uav_readdatavalid = av_readdatavalid; - end - end - - always@* begin - top_read_latency_shift_reg = uav_read & ~uav_waitrequest & ~waitrequest_reset_override; - if(AV_READLATENCY == 1 || AV_READLATENCY == 0 ) begin - top_read_latency_shift_reg=read_latency_shift_reg; - end - if (AV_READLATENCY > 1) begin - top_read_latency_shift_reg = read_latency_shift_reg[(AV_READLATENCY ? AV_READLATENCY-1 : 0)]; - end - end - - always@(posedge reset, posedge clk) begin - if (reset) begin - read_latency_shift_reg <= '0; - end else if (av_clken) begin - read_latency_shift_reg[0] <= uav_read && ~uav_waitrequest & ~waitrequest_reset_override; - for (int i=0; i+1 < AV_READLATENCY ; i+=1 ) begin - read_latency_shift_reg[i+1] <= read_latency_shift_reg[i]; - end - end - end - - // ------------ - // Chipselect and OutputEnable - // ------------ - reg av_chipselect_pre; - wire cs_extension; - reg av_outputenable_pre; - - assign av_chipselect = (uav_read | uav_write) ? 1'b1 : av_chipselect_pre; - assign cs_extension = ( (^ read_latency_shift_reg) & ~top_read_latency_shift_reg ) | ((| read_latency_shift_reg) & ~(^ read_latency_shift_reg)); - assign av_outputenable = uav_read ? 1'b1 : av_outputenable_pre; - - always@(posedge reset, posedge clk) begin - if(reset) - av_outputenable_pre <= 1'b0; - else if( AV_READLATENCY == 0 && AV_READ_WAIT_INDEXED != 0 ) - av_outputenable_pre <= 0; - else - av_outputenable_pre <= cs_extension | uav_read; - end - - always@(posedge reset, posedge clk) begin - if(reset) begin - av_chipselect_pre <= 1'b0; - end else begin - av_chipselect_pre <= 1'b0; - if(AV_READLATENCY != 0 && CHIPSELECT_THROUGH_READLATENCY == 1) begin - //The AV_READLATENCY term is only here to prevent chipselect from remaining asserted while read and write fall. - //There is no functional impact as 0 cycle transactions are treated as 1 cycle on the other side of the translator. - if(uav_read) begin - av_chipselect_pre <= 1'b1; - end else if(cs_extension == 1) begin - av_chipselect_pre <= 1'b1; - end - end - end - end - - // ------------------- - // Begintransfer Assigment - // ------------------- - reg end_begintransfer; - - always@* begin - av_begintransfer = ( uav_write | uav_read ) & ~end_begintransfer; - end - - always@ ( posedge clk or posedge reset ) begin - if(reset) begin - end_begintransfer <= 1'b0; - end else begin - if(av_begintransfer == 1 && uav_waitrequest && ~waitrequest_reset_override) - end_begintransfer <= 1'b1; - else if(uav_waitrequest) - end_begintransfer <= end_begintransfer; - else - end_begintransfer <= 1'b0; - end - end - - // ------------------- - // Beginbursttransfer Assigment - // ------------------- - reg end_beginbursttransfer; - reg in_transfer; - - always@* begin - av_beginbursttransfer = uav_read ? av_begintransfer : (av_begintransfer && ~end_beginbursttransfer && ~in_transfer); - end - - always@ ( posedge clk or posedge reset ) begin - if(reset) begin - end_beginbursttransfer <= 1'b0; - in_transfer <= 1'b0; - end else begin - end_beginbursttransfer <= uav_write & ( uav_burstcount != symbols_per_word ); - if(uav_write && uav_burstcount == symbols_per_word) - in_transfer <=1'b0; - else if(uav_write) - in_transfer <=1'b1; - end - end - -endmodule + +// $Id: //acds/rel/18.1std/ip/merlin/altera_merlin_slave_translator/altera_merlin_slave_translator.sv#1 $ +// $Revision: #1 $ +// $Date: 2018/07/18 $ +// $Author: psgswbuild $ + +// ------------------------------------- +// Merlin Slave Translator +// +// Translates Universal Avalon MM Slave +// to any Avalon MM Slave +// ------------------------------------- +// +//Notable Note: 0 AV_READLATENCY is not allowed and will be converted to a 1 cycle readlatency in all cases but one +//If you declare a slave with fixed read timing requirements, the readlatency of such a slave will be allowed to be zero +//The key feature here is that no same cycle turnaround data is processed through the fabric. + +//import avalon_utilities_pkg::*; + +`timescale 1 ns / 1 ns + +module altera_merlin_slave_translator #( + parameter + //Widths + AV_ADDRESS_W = 32, + AV_DATA_W = 32, + AV_BURSTCOUNT_W = 4, + AV_BYTEENABLE_W = 4, + UAV_BYTEENABLE_W = 4, + + //Read Latency + AV_READLATENCY = 1, + + //Timing + AV_READ_WAIT_CYCLES = 0, + AV_WRITE_WAIT_CYCLES = 0, + AV_SETUP_WAIT_CYCLES = 0, + AV_DATA_HOLD_CYCLES = 0, + + //Optional Port Declarations + USE_READDATAVALID = 1, + USE_WAITREQUEST = 1, + USE_READRESPONSE = 0, + USE_WRITERESPONSE = 0, + + //Variable Addressing + AV_SYMBOLS_PER_WORD = 4, + AV_ADDRESS_SYMBOLS = 0, + AV_BURSTCOUNT_SYMBOLS = 0, + BITS_PER_WORD = clog2_plusone(AV_SYMBOLS_PER_WORD - 1), + UAV_ADDRESS_W = 38, + UAV_BURSTCOUNT_W = 10, + UAV_DATA_W = 32, + + AV_CONSTANT_BURST_BEHAVIOR = 0, + UAV_CONSTANT_BURST_BEHAVIOR = 0, + CHIPSELECT_THROUGH_READLATENCY = 0, + + // Tightly-Coupled Options + USE_UAV_CLKEN = 0, + AV_REQUIRE_UNALIGNED_ADDRESSES = 0 +) ( + + // ------------------- + // Clock & Reset + // ------------------- + input wire clk, + input wire reset, + + // ------------------- + // Universal Avalon Slave + // ------------------- + + input wire [UAV_ADDRESS_W - 1 : 0] uav_address, + input wire [UAV_DATA_W - 1 : 0] uav_writedata, + input wire uav_write, + input wire uav_read, + input wire [UAV_BURSTCOUNT_W - 1 : 0] uav_burstcount, + input wire [UAV_BYTEENABLE_W - 1 : 0] uav_byteenable, + input wire uav_lock, + input wire uav_debugaccess, + input wire uav_clken, + + output logic uav_readdatavalid, + output logic uav_waitrequest, + output logic [UAV_DATA_W - 1 : 0] uav_readdata, + output logic [1:0] uav_response, + // input wire uav_writeresponserequest, + output logic uav_writeresponsevalid, + + // ------------------- + // Customizable Avalon Master + // ------------------- + output logic [AV_ADDRESS_W - 1 : 0] av_address, + output logic [AV_DATA_W - 1 : 0] av_writedata, + output logic av_write, + output logic av_read, + output logic [AV_BURSTCOUNT_W - 1 : 0] av_burstcount, + output logic [AV_BYTEENABLE_W - 1 : 0] av_byteenable, + output logic [AV_BYTEENABLE_W - 1 : 0] av_writebyteenable, + output logic av_begintransfer, + output wire av_chipselect, + output logic av_beginbursttransfer, + output logic av_lock, + output wire av_clken, + output wire av_debugaccess, + output wire av_outputenable, + + input logic [AV_DATA_W - 1 : 0] av_readdata, + input logic av_readdatavalid, + input logic av_waitrequest, + + input logic [1:0] av_response, + // output logic av_writeresponserequest, + input wire av_writeresponsevalid + +); + + function integer clog2_plusone; + input [31:0] Depth; + integer i; + begin + i = Depth; + for(clog2_plusone = 0; i > 0; clog2_plusone = clog2_plusone + 1) + i = i >> 1; + end + endfunction + + function integer max; + //returns the larger of two passed arguments + input [31:0] one; + input [31:0] two; + if(one > two) + max=one; + else + max=two; + endfunction // int + + localparam AV_READ_WAIT_INDEXED = (AV_SETUP_WAIT_CYCLES + AV_READ_WAIT_CYCLES); + localparam AV_WRITE_WAIT_INDEXED = (AV_SETUP_WAIT_CYCLES + AV_WRITE_WAIT_CYCLES); + localparam AV_DATA_HOLD_INDEXED = (AV_WRITE_WAIT_INDEXED + AV_DATA_HOLD_CYCLES); + localparam LOG2_OF_LATENCY_SUM = max(clog2_plusone(AV_READ_WAIT_INDEXED + 1),clog2_plusone(AV_DATA_HOLD_INDEXED + 1)); + localparam BURSTCOUNT_SHIFT_SELECTOR = AV_BURSTCOUNT_SYMBOLS ? 0 : BITS_PER_WORD; + localparam ADDRESS_SHIFT_SELECTOR = AV_ADDRESS_SYMBOLS ? 0 : BITS_PER_WORD; + localparam ADDRESS_HIGH = ( UAV_ADDRESS_W > AV_ADDRESS_W + ADDRESS_SHIFT_SELECTOR ) ? + AV_ADDRESS_W : + UAV_ADDRESS_W - ADDRESS_SHIFT_SELECTOR; + localparam BURSTCOUNT_HIGH = ( UAV_BURSTCOUNT_W > AV_BURSTCOUNT_W + BURSTCOUNT_SHIFT_SELECTOR ) ? + AV_BURSTCOUNT_W : + UAV_BURSTCOUNT_W - BURSTCOUNT_SHIFT_SELECTOR; + localparam BYTEENABLE_ADDRESS_BITS = ( clog2_plusone(UAV_BYTEENABLE_W) - 1 ) >= 1 ? clog2_plusone(UAV_BYTEENABLE_W) - 1 : 1; + + + // Calculate the symbols per word as the power of 2 extended symbols per word + wire [31 : 0] symbols_per_word_int = 2**(clog2_plusone(AV_SYMBOLS_PER_WORD[UAV_BURSTCOUNT_W : 0] - 1)); + wire [UAV_BURSTCOUNT_W-1 : 0] symbols_per_word = symbols_per_word_int[UAV_BURSTCOUNT_W-1 : 0]; + + // +-------------------------------- + // |Backwards Compatibility Signals + // +-------------------------------- + assign av_clken = (USE_UAV_CLKEN) ? uav_clken : 1'b1; + assign av_debugaccess = uav_debugaccess; + + // +------------------- + // |Passthru Signals + // +------------------- + + reg [1 : 0] av_response_delayed; + + always @(posedge clk, posedge reset) begin + if (reset) begin + av_response_delayed <= 2'b0; + end else begin + av_response_delayed <= av_response; + end + end + + always_comb + begin + if (!USE_READRESPONSE && !USE_WRITERESPONSE) begin + uav_response = '0; + end else begin + if (AV_READLATENCY != 0 || USE_READDATAVALID) begin + uav_response = av_response; + end else begin + uav_response = av_response_delayed; + end + end + end + // assign av_writeresponserequest = uav_writeresponserequest; + assign uav_writeresponsevalid = av_writeresponsevalid; + + //------------------------- + //Writedata and Byteenable + //------------------------- + + always@* begin + av_byteenable = '0; + av_byteenable = uav_byteenable[AV_BYTEENABLE_W - 1 : 0]; + end + + always@* begin + av_writedata = '0; + av_writedata = uav_writedata[AV_DATA_W - 1 : 0]; + end + + // +------------------- + // |Calculated Signals + // +------------------- + + logic [UAV_ADDRESS_W - 1 : 0 ] real_uav_address; + + function [BYTEENABLE_ADDRESS_BITS - 1 : 0 ] decode_byteenable; + input [UAV_BYTEENABLE_W - 1 : 0 ] byteenable; + + for(int i = 0 ; i < UAV_BYTEENABLE_W; i++ ) begin + if(byteenable[i] == 1) begin + return i; + end + end + + return '0; + + endfunction + + reg [AV_BURSTCOUNT_W - 1 : 0] burstcount_reg; + reg [AV_ADDRESS_W - 1 : 0] address_reg; + always@(posedge clk, posedge reset) begin + if(reset) begin + burstcount_reg <= '0; + address_reg <= '0; + end else begin + burstcount_reg <= burstcount_reg; + address_reg <= address_reg; + if(av_beginbursttransfer) begin + burstcount_reg <= uav_burstcount [ BURSTCOUNT_HIGH - 1 + BURSTCOUNT_SHIFT_SELECTOR : BURSTCOUNT_SHIFT_SELECTOR ]; + address_reg <= real_uav_address [ ADDRESS_HIGH - 1 + ADDRESS_SHIFT_SELECTOR : ADDRESS_SHIFT_SELECTOR ]; + end + end + end + + logic [BYTEENABLE_ADDRESS_BITS-1:0] temp_wire; + + always@* begin + if( AV_REQUIRE_UNALIGNED_ADDRESSES == 1) begin + temp_wire = decode_byteenable(uav_byteenable); + real_uav_address = { uav_address[UAV_ADDRESS_W - 1 : BYTEENABLE_ADDRESS_BITS ], temp_wire[BYTEENABLE_ADDRESS_BITS - 1 : 0 ] }; + end else begin + real_uav_address = uav_address; + end + + av_address = real_uav_address[ADDRESS_HIGH - 1 + ADDRESS_SHIFT_SELECTOR : ADDRESS_SHIFT_SELECTOR ]; + if( AV_CONSTANT_BURST_BEHAVIOR && !UAV_CONSTANT_BURST_BEHAVIOR && ~av_beginbursttransfer ) + av_address = address_reg; + end + + always@* begin + av_burstcount=uav_burstcount[BURSTCOUNT_HIGH - 1 + BURSTCOUNT_SHIFT_SELECTOR : BURSTCOUNT_SHIFT_SELECTOR ]; + if( AV_CONSTANT_BURST_BEHAVIOR && !UAV_CONSTANT_BURST_BEHAVIOR && ~av_beginbursttransfer ) + av_burstcount = burstcount_reg; + end + + always@* begin + av_lock = uav_lock; + end + + // ------------------- + // Writebyteenable Assignment + // ------------------- + always@* begin + av_writebyteenable = { (AV_BYTEENABLE_W){uav_write} } & uav_byteenable[AV_BYTEENABLE_W - 1 : 0]; + end + + // ------------------- + // Waitrequest Assignment + // ------------------- + + reg av_waitrequest_generated; + reg av_waitrequest_generated_read; + reg av_waitrequest_generated_write; + reg waitrequest_reset_override; + reg [ ( LOG2_OF_LATENCY_SUM ? LOG2_OF_LATENCY_SUM - 1 : 0 ) : 0 ] wait_latency_counter; + + always@(posedge reset, posedge clk) begin + if(reset) begin + wait_latency_counter <= '0; + waitrequest_reset_override <= 1'h1; + end else begin + waitrequest_reset_override <= 1'h0; + wait_latency_counter <= '0; + if( ~uav_waitrequest | waitrequest_reset_override ) + wait_latency_counter <= '0; + else if( uav_read | uav_write ) + wait_latency_counter <= wait_latency_counter + 1'h1; + end + end + + + always @* begin + + av_read = uav_read; + av_write = uav_write; + av_waitrequest_generated = 1'h1; + av_waitrequest_generated_read = 1'h1; + av_waitrequest_generated_write = 1'h1; + + if(LOG2_OF_LATENCY_SUM == 1) + av_waitrequest_generated = 0; + + if(LOG2_OF_LATENCY_SUM > 1 && !USE_WAITREQUEST) begin + av_read = wait_latency_counter >= AV_SETUP_WAIT_CYCLES && uav_read; + av_write = wait_latency_counter >= AV_SETUP_WAIT_CYCLES && uav_write && wait_latency_counter <= AV_WRITE_WAIT_INDEXED; + av_waitrequest_generated_read = wait_latency_counter != AV_READ_WAIT_INDEXED; + av_waitrequest_generated_write = wait_latency_counter != AV_DATA_HOLD_INDEXED; + + if(uav_write) + av_waitrequest_generated = av_waitrequest_generated_write; + else + av_waitrequest_generated = av_waitrequest_generated_read; + + end + + if(USE_WAITREQUEST) begin + uav_waitrequest = av_waitrequest; + end else begin + uav_waitrequest = av_waitrequest_generated | waitrequest_reset_override; + end + + end + + // -------------- + // Readdata Assignment + // -------------- + + reg[(AV_DATA_W ? AV_DATA_W -1 : 0 ): 0] av_readdata_pre; + + always@(posedge clk, posedge reset) begin + if(reset) + av_readdata_pre <= 'b0; + else + av_readdata_pre <= av_readdata; + end + + always@* begin + uav_readdata = {UAV_DATA_W{1'b0}}; + if( AV_READLATENCY != 0 || USE_READDATAVALID ) begin + uav_readdata[AV_DATA_W-1:0] = av_readdata; + end else begin + uav_readdata[AV_DATA_W-1:0] = av_readdata_pre; + end + end + + // ------------------- + // Readdatavalid Assigment + // ------------------- + reg[(AV_READLATENCY>0 ? AV_READLATENCY-1:0) :0] read_latency_shift_reg; + reg top_read_latency_shift_reg; + + always@* begin + uav_readdatavalid=top_read_latency_shift_reg; + if(USE_READDATAVALID) begin + uav_readdatavalid = av_readdatavalid; + end + end + + always@* begin + top_read_latency_shift_reg = uav_read & ~uav_waitrequest & ~waitrequest_reset_override; + if(AV_READLATENCY == 1 || AV_READLATENCY == 0 ) begin + top_read_latency_shift_reg=read_latency_shift_reg; + end + if (AV_READLATENCY > 1) begin + top_read_latency_shift_reg = read_latency_shift_reg[(AV_READLATENCY ? AV_READLATENCY-1 : 0)]; + end + end + + always@(posedge reset, posedge clk) begin + if (reset) begin + read_latency_shift_reg <= '0; + end else if (av_clken) begin + read_latency_shift_reg[0] <= uav_read && ~uav_waitrequest & ~waitrequest_reset_override; + for (int i=0; i+1 < AV_READLATENCY ; i+=1 ) begin + read_latency_shift_reg[i+1] <= read_latency_shift_reg[i]; + end + end + end + + // ------------ + // Chipselect and OutputEnable + // ------------ + reg av_chipselect_pre; + wire cs_extension; + reg av_outputenable_pre; + + assign av_chipselect = (uav_read | uav_write) ? 1'b1 : av_chipselect_pre; + assign cs_extension = ( (^ read_latency_shift_reg) & ~top_read_latency_shift_reg ) | ((| read_latency_shift_reg) & ~(^ read_latency_shift_reg)); + assign av_outputenable = uav_read ? 1'b1 : av_outputenable_pre; + + always@(posedge reset, posedge clk) begin + if(reset) + av_outputenable_pre <= 1'b0; + else if( AV_READLATENCY == 0 && AV_READ_WAIT_INDEXED != 0 ) + av_outputenable_pre <= 0; + else + av_outputenable_pre <= cs_extension | uav_read; + end + + always@(posedge reset, posedge clk) begin + if(reset) begin + av_chipselect_pre <= 1'b0; + end else begin + av_chipselect_pre <= 1'b0; + if(AV_READLATENCY != 0 && CHIPSELECT_THROUGH_READLATENCY == 1) begin + //The AV_READLATENCY term is only here to prevent chipselect from remaining asserted while read and write fall. + //There is no functional impact as 0 cycle transactions are treated as 1 cycle on the other side of the translator. + if(uav_read) begin + av_chipselect_pre <= 1'b1; + end else if(cs_extension == 1) begin + av_chipselect_pre <= 1'b1; + end + end + end + end + + // ------------------- + // Begintransfer Assigment + // ------------------- + reg end_begintransfer; + + always@* begin + av_begintransfer = ( uav_write | uav_read ) & ~end_begintransfer; + end + + always@ ( posedge clk or posedge reset ) begin + if(reset) begin + end_begintransfer <= 1'b0; + end else begin + if(av_begintransfer == 1 && uav_waitrequest && ~waitrequest_reset_override) + end_begintransfer <= 1'b1; + else if(uav_waitrequest) + end_begintransfer <= end_begintransfer; + else + end_begintransfer <= 1'b0; + end + end + + // ------------------- + // Beginbursttransfer Assigment + // ------------------- + reg end_beginbursttransfer; + reg in_transfer; + + always@* begin + av_beginbursttransfer = uav_read ? av_begintransfer : (av_begintransfer && ~end_beginbursttransfer && ~in_transfer); + end + + always@ ( posedge clk or posedge reset ) begin + if(reset) begin + end_beginbursttransfer <= 1'b0; + in_transfer <= 1'b0; + end else begin + end_beginbursttransfer <= uav_write & ( uav_burstcount != symbols_per_word ); + if(uav_write && uav_burstcount == symbols_per_word) + in_transfer <=1'b0; + else if(uav_write) + in_transfer <=1'b1; + end + end + +endmodule diff --git a/Top/niosII/synthesis/submodules/altera_reset_controller.sdc b/Top/niosII/synthesis/submodules/altera_reset_controller.sdc index 1d9ff12..2217025 100644 --- a/Top/niosII/synthesis/submodules/altera_reset_controller.sdc +++ b/Top/niosII/synthesis/submodules/altera_reset_controller.sdc @@ -11,20 +11,20 @@ # agreement for further details. -# +--------------------------------------------------- -# | Cut the async clear paths -# +--------------------------------------------------- -set aclr_counter 0 -set clrn_counter 0 -set aclr_collection [get_pins -compatibility_mode -nocase -nowarn *|alt_rst_sync_uq1|altera_reset_synchronizer_int_chain*|aclr] -set clrn_collection [get_pins -compatibility_mode -nocase -nowarn *|alt_rst_sync_uq1|altera_reset_synchronizer_int_chain*|clrn] -set aclr_counter [get_collection_size $aclr_collection] -set clrn_counter [get_collection_size $clrn_collection] - -if {$aclr_counter > 0} { - set_false_path -to [get_pins -compatibility_mode -nocase *|alt_rst_sync_uq1|altera_reset_synchronizer_int_chain*|aclr] -} - -if {$clrn_counter > 0} { - set_false_path -to [get_pins -compatibility_mode -nocase *|alt_rst_sync_uq1|altera_reset_synchronizer_int_chain*|clrn] -} +# +--------------------------------------------------- +# | Cut the async clear paths +# +--------------------------------------------------- +set aclr_counter 0 +set clrn_counter 0 +set aclr_collection [get_pins -compatibility_mode -nocase -nowarn *|alt_rst_sync_uq1|altera_reset_synchronizer_int_chain*|aclr] +set clrn_collection [get_pins -compatibility_mode -nocase -nowarn *|alt_rst_sync_uq1|altera_reset_synchronizer_int_chain*|clrn] +set aclr_counter [get_collection_size $aclr_collection] +set clrn_counter [get_collection_size $clrn_collection] + +if {$aclr_counter > 0} { + set_false_path -to [get_pins -compatibility_mode -nocase *|alt_rst_sync_uq1|altera_reset_synchronizer_int_chain*|aclr] +} + +if {$clrn_counter > 0} { + set_false_path -to [get_pins -compatibility_mode -nocase *|alt_rst_sync_uq1|altera_reset_synchronizer_int_chain*|clrn] +} diff --git a/Top/niosII/synthesis/submodules/altera_reset_controller.v b/Top/niosII/synthesis/submodules/altera_reset_controller.v index a7b0cc6..1e44e31 100644 --- a/Top/niosII/synthesis/submodules/altera_reset_controller.v +++ b/Top/niosII/synthesis/submodules/altera_reset_controller.v @@ -11,309 +11,309 @@ // agreement for further details. -// (C) 2001-2013 Altera Corporation. All rights reserved. -// Your use of Altera Corporation's design tools, logic functions and other -// software and tools, and its AMPP partner logic functions, and any output -// files any of the foregoing (including device programming or simulation -// files), and any associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License Subscription -// Agreement, Altera MegaCore Function License Agreement, or other applicable -// license agreement, including, without limitation, that your use is for the -// sole purpose of programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the applicable -// agreement for further details. - - -// $Id: //acds/rel/18.1std/ip/merlin/altera_reset_controller/altera_reset_controller.v#1 $ -// $Revision: #1 $ -// $Date: 2018/07/18 $ -// $Author: psgswbuild $ - -// -------------------------------------- -// Reset controller -// -// Combines all the input resets and synchronizes -// the result to the clk. -// ACDS13.1 - Added reset request as part of reset sequencing -// -------------------------------------- - -`timescale 1 ns / 1 ns - -module altera_reset_controller -#( - parameter NUM_RESET_INPUTS = 6, - parameter USE_RESET_REQUEST_IN0 = 0, - parameter USE_RESET_REQUEST_IN1 = 0, - parameter USE_RESET_REQUEST_IN2 = 0, - parameter USE_RESET_REQUEST_IN3 = 0, - parameter USE_RESET_REQUEST_IN4 = 0, - parameter USE_RESET_REQUEST_IN5 = 0, - parameter USE_RESET_REQUEST_IN6 = 0, - parameter USE_RESET_REQUEST_IN7 = 0, - parameter USE_RESET_REQUEST_IN8 = 0, - parameter USE_RESET_REQUEST_IN9 = 0, - parameter USE_RESET_REQUEST_IN10 = 0, - parameter USE_RESET_REQUEST_IN11 = 0, - parameter USE_RESET_REQUEST_IN12 = 0, - parameter USE_RESET_REQUEST_IN13 = 0, - parameter USE_RESET_REQUEST_IN14 = 0, - parameter USE_RESET_REQUEST_IN15 = 0, - parameter OUTPUT_RESET_SYNC_EDGES = "deassert", - parameter SYNC_DEPTH = 2, - parameter RESET_REQUEST_PRESENT = 0, - parameter RESET_REQ_WAIT_TIME = 3, - parameter MIN_RST_ASSERTION_TIME = 11, - parameter RESET_REQ_EARLY_DSRT_TIME = 4, - parameter ADAPT_RESET_REQUEST = 0 -) -( - // -------------------------------------- - // We support up to 16 reset inputs, for now - // -------------------------------------- - input reset_in0, - input reset_in1, - input reset_in2, - input reset_in3, - input reset_in4, - input reset_in5, - input reset_in6, - input reset_in7, - input reset_in8, - input reset_in9, - input reset_in10, - input reset_in11, - input reset_in12, - input reset_in13, - input reset_in14, - input reset_in15, - input reset_req_in0, - input reset_req_in1, - input reset_req_in2, - input reset_req_in3, - input reset_req_in4, - input reset_req_in5, - input reset_req_in6, - input reset_req_in7, - input reset_req_in8, - input reset_req_in9, - input reset_req_in10, - input reset_req_in11, - input reset_req_in12, - input reset_req_in13, - input reset_req_in14, - input reset_req_in15, - - - input clk, - output reg reset_out, - output reg reset_req -); - - // Always use async reset synchronizer if reset_req is used - localparam ASYNC_RESET = (OUTPUT_RESET_SYNC_EDGES == "deassert"); - - // -------------------------------------- - // Local parameter to control the reset_req and reset_out timing when RESET_REQUEST_PRESENT==1 - // -------------------------------------- - localparam MIN_METASTABLE = 3; - localparam RSTREQ_ASRT_SYNC_TAP = MIN_METASTABLE + RESET_REQ_WAIT_TIME; - - localparam LARGER = RESET_REQ_WAIT_TIME > RESET_REQ_EARLY_DSRT_TIME ? RESET_REQ_WAIT_TIME : RESET_REQ_EARLY_DSRT_TIME; - - localparam ASSERTION_CHAIN_LENGTH = (MIN_METASTABLE > LARGER) ? - MIN_RST_ASSERTION_TIME + 1 : - ( - (MIN_RST_ASSERTION_TIME > LARGER)? - MIN_RST_ASSERTION_TIME + (LARGER - MIN_METASTABLE + 1) + 1 : - MIN_RST_ASSERTION_TIME + RESET_REQ_EARLY_DSRT_TIME + RESET_REQ_WAIT_TIME - MIN_METASTABLE + 2 - ); - - localparam RESET_REQ_DRST_TAP = RESET_REQ_EARLY_DSRT_TIME + 1; - // -------------------------------------- - - wire merged_reset; - wire merged_reset_req_in; - wire reset_out_pre; - wire reset_req_pre; - - // Registers and Interconnect - (*preserve*) reg [RSTREQ_ASRT_SYNC_TAP: 0] altera_reset_synchronizer_int_chain; - reg [ASSERTION_CHAIN_LENGTH-1: 0] r_sync_rst_chain; - reg r_sync_rst; - reg r_early_rst; - - // -------------------------------------- - // "Or" all the input resets together - // -------------------------------------- - assign merged_reset = ( - reset_in0 | - reset_in1 | - reset_in2 | - reset_in3 | - reset_in4 | - reset_in5 | - reset_in6 | - reset_in7 | - reset_in8 | - reset_in9 | - reset_in10 | - reset_in11 | - reset_in12 | - reset_in13 | - reset_in14 | - reset_in15 - ); - - assign merged_reset_req_in = ( - ( (USE_RESET_REQUEST_IN0 == 1) ? reset_req_in0 : 1'b0) | - ( (USE_RESET_REQUEST_IN1 == 1) ? reset_req_in1 : 1'b0) | - ( (USE_RESET_REQUEST_IN2 == 1) ? reset_req_in2 : 1'b0) | - ( (USE_RESET_REQUEST_IN3 == 1) ? reset_req_in3 : 1'b0) | - ( (USE_RESET_REQUEST_IN4 == 1) ? reset_req_in4 : 1'b0) | - ( (USE_RESET_REQUEST_IN5 == 1) ? reset_req_in5 : 1'b0) | - ( (USE_RESET_REQUEST_IN6 == 1) ? reset_req_in6 : 1'b0) | - ( (USE_RESET_REQUEST_IN7 == 1) ? reset_req_in7 : 1'b0) | - ( (USE_RESET_REQUEST_IN8 == 1) ? reset_req_in8 : 1'b0) | - ( (USE_RESET_REQUEST_IN9 == 1) ? reset_req_in9 : 1'b0) | - ( (USE_RESET_REQUEST_IN10 == 1) ? reset_req_in10 : 1'b0) | - ( (USE_RESET_REQUEST_IN11 == 1) ? reset_req_in11 : 1'b0) | - ( (USE_RESET_REQUEST_IN12 == 1) ? reset_req_in12 : 1'b0) | - ( (USE_RESET_REQUEST_IN13 == 1) ? reset_req_in13 : 1'b0) | - ( (USE_RESET_REQUEST_IN14 == 1) ? reset_req_in14 : 1'b0) | - ( (USE_RESET_REQUEST_IN15 == 1) ? reset_req_in15 : 1'b0) - ); - - - // -------------------------------------- - // And if required, synchronize it to the required clock domain, - // with the correct synchronization type - // -------------------------------------- - generate if (OUTPUT_RESET_SYNC_EDGES == "none" && (RESET_REQUEST_PRESENT==0)) begin - - assign reset_out_pre = merged_reset; - assign reset_req_pre = merged_reset_req_in; - - end else begin - - altera_reset_synchronizer - #( - .DEPTH (SYNC_DEPTH), - .ASYNC_RESET(RESET_REQUEST_PRESENT? 1'b1 : ASYNC_RESET) - ) - alt_rst_sync_uq1 - ( - .clk (clk), - .reset_in (merged_reset), - .reset_out (reset_out_pre) - ); - - altera_reset_synchronizer - #( - .DEPTH (SYNC_DEPTH), - .ASYNC_RESET(0) - ) - alt_rst_req_sync_uq1 - ( - .clk (clk), - .reset_in (merged_reset_req_in), - .reset_out (reset_req_pre) - ); - - end - endgenerate - - generate if ( ( (RESET_REQUEST_PRESENT == 0) && (ADAPT_RESET_REQUEST==0) )| - ( (ADAPT_RESET_REQUEST == 1) && (OUTPUT_RESET_SYNC_EDGES != "deassert") ) ) begin - always @* begin - reset_out = reset_out_pre; - reset_req = reset_req_pre; - end - end else if ( (RESET_REQUEST_PRESENT == 0) && (ADAPT_RESET_REQUEST==1) ) begin - - wire reset_out_pre2; - - altera_reset_synchronizer - #( - .DEPTH (SYNC_DEPTH+1), - .ASYNC_RESET(0) - ) - alt_rst_sync_uq2 - ( - .clk (clk), - .reset_in (reset_out_pre), - .reset_out (reset_out_pre2) - ); - - always @* begin - reset_out = reset_out_pre2; - reset_req = reset_req_pre; - end - - end - else begin - - // 3-FF Metastability Synchronizer - initial - begin - altera_reset_synchronizer_int_chain <= {RSTREQ_ASRT_SYNC_TAP{1'b1}}; - end - - always @(posedge clk) - begin - altera_reset_synchronizer_int_chain[RSTREQ_ASRT_SYNC_TAP:0] <= - {altera_reset_synchronizer_int_chain[RSTREQ_ASRT_SYNC_TAP-1:0], reset_out_pre}; - end - - // Synchronous reset pipe - initial - begin - r_sync_rst_chain <= {ASSERTION_CHAIN_LENGTH{1'b1}}; - end - - always @(posedge clk) - begin - if (altera_reset_synchronizer_int_chain[MIN_METASTABLE-1] == 1'b1) - begin - r_sync_rst_chain <= {ASSERTION_CHAIN_LENGTH{1'b1}}; - end - else - begin - r_sync_rst_chain <= {1'b0, r_sync_rst_chain[ASSERTION_CHAIN_LENGTH-1:1]}; - end - end - - // Standard synchronous reset output. From 0-1, the transition lags the early output. For 1->0, the transition - // matches the early input. - - always @(posedge clk) - begin - case ({altera_reset_synchronizer_int_chain[RSTREQ_ASRT_SYNC_TAP], r_sync_rst_chain[1], r_sync_rst}) - 3'b000: r_sync_rst <= 1'b0; // Not reset - 3'b001: r_sync_rst <= 1'b0; - 3'b010: r_sync_rst <= 1'b0; - 3'b011: r_sync_rst <= 1'b1; - 3'b100: r_sync_rst <= 1'b1; - 3'b101: r_sync_rst <= 1'b1; - 3'b110: r_sync_rst <= 1'b1; - 3'b111: r_sync_rst <= 1'b1; // In Reset - default: r_sync_rst <= 1'b1; - endcase - - case ({r_sync_rst_chain[1], r_sync_rst_chain[RESET_REQ_DRST_TAP] | reset_req_pre}) - 2'b00: r_early_rst <= 1'b0; // Not reset - 2'b01: r_early_rst <= 1'b1; // Coming out of reset - 2'b10: r_early_rst <= 1'b0; // Spurious reset - should not be possible via synchronous design. - 2'b11: r_early_rst <= 1'b1; // Held in reset - default: r_early_rst <= 1'b1; - endcase - end - - always @* begin - reset_out = r_sync_rst; - reset_req = r_early_rst; - end - - end - endgenerate - -endmodule +// (C) 2001-2013 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/18.1std/ip/merlin/altera_reset_controller/altera_reset_controller.v#1 $ +// $Revision: #1 $ +// $Date: 2018/07/18 $ +// $Author: psgswbuild $ + +// -------------------------------------- +// Reset controller +// +// Combines all the input resets and synchronizes +// the result to the clk. +// ACDS13.1 - Added reset request as part of reset sequencing +// -------------------------------------- + +`timescale 1 ns / 1 ns + +module altera_reset_controller +#( + parameter NUM_RESET_INPUTS = 6, + parameter USE_RESET_REQUEST_IN0 = 0, + parameter USE_RESET_REQUEST_IN1 = 0, + parameter USE_RESET_REQUEST_IN2 = 0, + parameter USE_RESET_REQUEST_IN3 = 0, + parameter USE_RESET_REQUEST_IN4 = 0, + parameter USE_RESET_REQUEST_IN5 = 0, + parameter USE_RESET_REQUEST_IN6 = 0, + parameter USE_RESET_REQUEST_IN7 = 0, + parameter USE_RESET_REQUEST_IN8 = 0, + parameter USE_RESET_REQUEST_IN9 = 0, + parameter USE_RESET_REQUEST_IN10 = 0, + parameter USE_RESET_REQUEST_IN11 = 0, + parameter USE_RESET_REQUEST_IN12 = 0, + parameter USE_RESET_REQUEST_IN13 = 0, + parameter USE_RESET_REQUEST_IN14 = 0, + parameter USE_RESET_REQUEST_IN15 = 0, + parameter OUTPUT_RESET_SYNC_EDGES = "deassert", + parameter SYNC_DEPTH = 2, + parameter RESET_REQUEST_PRESENT = 0, + parameter RESET_REQ_WAIT_TIME = 3, + parameter MIN_RST_ASSERTION_TIME = 11, + parameter RESET_REQ_EARLY_DSRT_TIME = 4, + parameter ADAPT_RESET_REQUEST = 0 +) +( + // -------------------------------------- + // We support up to 16 reset inputs, for now + // -------------------------------------- + input reset_in0, + input reset_in1, + input reset_in2, + input reset_in3, + input reset_in4, + input reset_in5, + input reset_in6, + input reset_in7, + input reset_in8, + input reset_in9, + input reset_in10, + input reset_in11, + input reset_in12, + input reset_in13, + input reset_in14, + input reset_in15, + input reset_req_in0, + input reset_req_in1, + input reset_req_in2, + input reset_req_in3, + input reset_req_in4, + input reset_req_in5, + input reset_req_in6, + input reset_req_in7, + input reset_req_in8, + input reset_req_in9, + input reset_req_in10, + input reset_req_in11, + input reset_req_in12, + input reset_req_in13, + input reset_req_in14, + input reset_req_in15, + + + input clk, + output reg reset_out, + output reg reset_req +); + + // Always use async reset synchronizer if reset_req is used + localparam ASYNC_RESET = (OUTPUT_RESET_SYNC_EDGES == "deassert"); + + // -------------------------------------- + // Local parameter to control the reset_req and reset_out timing when RESET_REQUEST_PRESENT==1 + // -------------------------------------- + localparam MIN_METASTABLE = 3; + localparam RSTREQ_ASRT_SYNC_TAP = MIN_METASTABLE + RESET_REQ_WAIT_TIME; + + localparam LARGER = RESET_REQ_WAIT_TIME > RESET_REQ_EARLY_DSRT_TIME ? RESET_REQ_WAIT_TIME : RESET_REQ_EARLY_DSRT_TIME; + + localparam ASSERTION_CHAIN_LENGTH = (MIN_METASTABLE > LARGER) ? + MIN_RST_ASSERTION_TIME + 1 : + ( + (MIN_RST_ASSERTION_TIME > LARGER)? + MIN_RST_ASSERTION_TIME + (LARGER - MIN_METASTABLE + 1) + 1 : + MIN_RST_ASSERTION_TIME + RESET_REQ_EARLY_DSRT_TIME + RESET_REQ_WAIT_TIME - MIN_METASTABLE + 2 + ); + + localparam RESET_REQ_DRST_TAP = RESET_REQ_EARLY_DSRT_TIME + 1; + // -------------------------------------- + + wire merged_reset; + wire merged_reset_req_in; + wire reset_out_pre; + wire reset_req_pre; + + // Registers and Interconnect + (*preserve*) reg [RSTREQ_ASRT_SYNC_TAP: 0] altera_reset_synchronizer_int_chain; + reg [ASSERTION_CHAIN_LENGTH-1: 0] r_sync_rst_chain; + reg r_sync_rst; + reg r_early_rst; + + // -------------------------------------- + // "Or" all the input resets together + // -------------------------------------- + assign merged_reset = ( + reset_in0 | + reset_in1 | + reset_in2 | + reset_in3 | + reset_in4 | + reset_in5 | + reset_in6 | + reset_in7 | + reset_in8 | + reset_in9 | + reset_in10 | + reset_in11 | + reset_in12 | + reset_in13 | + reset_in14 | + reset_in15 + ); + + assign merged_reset_req_in = ( + ( (USE_RESET_REQUEST_IN0 == 1) ? reset_req_in0 : 1'b0) | + ( (USE_RESET_REQUEST_IN1 == 1) ? reset_req_in1 : 1'b0) | + ( (USE_RESET_REQUEST_IN2 == 1) ? reset_req_in2 : 1'b0) | + ( (USE_RESET_REQUEST_IN3 == 1) ? reset_req_in3 : 1'b0) | + ( (USE_RESET_REQUEST_IN4 == 1) ? reset_req_in4 : 1'b0) | + ( (USE_RESET_REQUEST_IN5 == 1) ? reset_req_in5 : 1'b0) | + ( (USE_RESET_REQUEST_IN6 == 1) ? reset_req_in6 : 1'b0) | + ( (USE_RESET_REQUEST_IN7 == 1) ? reset_req_in7 : 1'b0) | + ( (USE_RESET_REQUEST_IN8 == 1) ? reset_req_in8 : 1'b0) | + ( (USE_RESET_REQUEST_IN9 == 1) ? reset_req_in9 : 1'b0) | + ( (USE_RESET_REQUEST_IN10 == 1) ? reset_req_in10 : 1'b0) | + ( (USE_RESET_REQUEST_IN11 == 1) ? reset_req_in11 : 1'b0) | + ( (USE_RESET_REQUEST_IN12 == 1) ? reset_req_in12 : 1'b0) | + ( (USE_RESET_REQUEST_IN13 == 1) ? reset_req_in13 : 1'b0) | + ( (USE_RESET_REQUEST_IN14 == 1) ? reset_req_in14 : 1'b0) | + ( (USE_RESET_REQUEST_IN15 == 1) ? reset_req_in15 : 1'b0) + ); + + + // -------------------------------------- + // And if required, synchronize it to the required clock domain, + // with the correct synchronization type + // -------------------------------------- + generate if (OUTPUT_RESET_SYNC_EDGES == "none" && (RESET_REQUEST_PRESENT==0)) begin + + assign reset_out_pre = merged_reset; + assign reset_req_pre = merged_reset_req_in; + + end else begin + + altera_reset_synchronizer + #( + .DEPTH (SYNC_DEPTH), + .ASYNC_RESET(RESET_REQUEST_PRESENT? 1'b1 : ASYNC_RESET) + ) + alt_rst_sync_uq1 + ( + .clk (clk), + .reset_in (merged_reset), + .reset_out (reset_out_pre) + ); + + altera_reset_synchronizer + #( + .DEPTH (SYNC_DEPTH), + .ASYNC_RESET(0) + ) + alt_rst_req_sync_uq1 + ( + .clk (clk), + .reset_in (merged_reset_req_in), + .reset_out (reset_req_pre) + ); + + end + endgenerate + + generate if ( ( (RESET_REQUEST_PRESENT == 0) && (ADAPT_RESET_REQUEST==0) )| + ( (ADAPT_RESET_REQUEST == 1) && (OUTPUT_RESET_SYNC_EDGES != "deassert") ) ) begin + always @* begin + reset_out = reset_out_pre; + reset_req = reset_req_pre; + end + end else if ( (RESET_REQUEST_PRESENT == 0) && (ADAPT_RESET_REQUEST==1) ) begin + + wire reset_out_pre2; + + altera_reset_synchronizer + #( + .DEPTH (SYNC_DEPTH+1), + .ASYNC_RESET(0) + ) + alt_rst_sync_uq2 + ( + .clk (clk), + .reset_in (reset_out_pre), + .reset_out (reset_out_pre2) + ); + + always @* begin + reset_out = reset_out_pre2; + reset_req = reset_req_pre; + end + + end + else begin + + // 3-FF Metastability Synchronizer + initial + begin + altera_reset_synchronizer_int_chain <= {RSTREQ_ASRT_SYNC_TAP{1'b1}}; + end + + always @(posedge clk) + begin + altera_reset_synchronizer_int_chain[RSTREQ_ASRT_SYNC_TAP:0] <= + {altera_reset_synchronizer_int_chain[RSTREQ_ASRT_SYNC_TAP-1:0], reset_out_pre}; + end + + // Synchronous reset pipe + initial + begin + r_sync_rst_chain <= {ASSERTION_CHAIN_LENGTH{1'b1}}; + end + + always @(posedge clk) + begin + if (altera_reset_synchronizer_int_chain[MIN_METASTABLE-1] == 1'b1) + begin + r_sync_rst_chain <= {ASSERTION_CHAIN_LENGTH{1'b1}}; + end + else + begin + r_sync_rst_chain <= {1'b0, r_sync_rst_chain[ASSERTION_CHAIN_LENGTH-1:1]}; + end + end + + // Standard synchronous reset output. From 0-1, the transition lags the early output. For 1->0, the transition + // matches the early input. + + always @(posedge clk) + begin + case ({altera_reset_synchronizer_int_chain[RSTREQ_ASRT_SYNC_TAP], r_sync_rst_chain[1], r_sync_rst}) + 3'b000: r_sync_rst <= 1'b0; // Not reset + 3'b001: r_sync_rst <= 1'b0; + 3'b010: r_sync_rst <= 1'b0; + 3'b011: r_sync_rst <= 1'b1; + 3'b100: r_sync_rst <= 1'b1; + 3'b101: r_sync_rst <= 1'b1; + 3'b110: r_sync_rst <= 1'b1; + 3'b111: r_sync_rst <= 1'b1; // In Reset + default: r_sync_rst <= 1'b1; + endcase + + case ({r_sync_rst_chain[1], r_sync_rst_chain[RESET_REQ_DRST_TAP] | reset_req_pre}) + 2'b00: r_early_rst <= 1'b0; // Not reset + 2'b01: r_early_rst <= 1'b1; // Coming out of reset + 2'b10: r_early_rst <= 1'b0; // Spurious reset - should not be possible via synchronous design. + 2'b11: r_early_rst <= 1'b1; // Held in reset + default: r_early_rst <= 1'b1; + endcase + end + + always @* begin + reset_out = r_sync_rst; + reset_req = r_early_rst; + end + + end + endgenerate + +endmodule diff --git a/Top/niosII/synthesis/submodules/altera_reset_synchronizer.v b/Top/niosII/synthesis/submodules/altera_reset_synchronizer.v index 4176d12..5277a4d 100644 --- a/Top/niosII/synthesis/submodules/altera_reset_synchronizer.v +++ b/Top/niosII/synthesis/submodules/altera_reset_synchronizer.v @@ -11,77 +11,77 @@ // agreement for further details. -// $Id: //acds/rel/18.1std/ip/merlin/altera_reset_controller/altera_reset_synchronizer.v#1 $ -// $Revision: #1 $ -// $Date: 2018/07/18 $ -// $Author: psgswbuild $ - -// ----------------------------------------------- -// Reset Synchronizer -// ----------------------------------------------- -`timescale 1 ns / 1 ns - -module altera_reset_synchronizer -#( - parameter ASYNC_RESET = 1, - parameter DEPTH = 2 -) -( - input reset_in /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */, - - input clk, - output reset_out -); - - // ----------------------------------------------- - // Synchronizer register chain. We cannot reuse the - // standard synchronizer in this implementation - // because our timing constraints are different. - // - // Instead of cutting the timing path to the d-input - // on the first flop we need to cut the aclr input. - // - // We omit the "preserve" attribute on the final - // output register, so that the synthesis tool can - // duplicate it where needed. - // ----------------------------------------------- - (*preserve*) reg [DEPTH-1:0] altera_reset_synchronizer_int_chain; - reg altera_reset_synchronizer_int_chain_out; - - generate if (ASYNC_RESET) begin - - // ----------------------------------------------- - // Assert asynchronously, deassert synchronously. - // ----------------------------------------------- - always @(posedge clk or posedge reset_in) begin - if (reset_in) begin - altera_reset_synchronizer_int_chain <= {DEPTH{1'b1}}; - altera_reset_synchronizer_int_chain_out <= 1'b1; - end - else begin - altera_reset_synchronizer_int_chain[DEPTH-2:0] <= altera_reset_synchronizer_int_chain[DEPTH-1:1]; - altera_reset_synchronizer_int_chain[DEPTH-1] <= 0; - altera_reset_synchronizer_int_chain_out <= altera_reset_synchronizer_int_chain[0]; - end - end - - assign reset_out = altera_reset_synchronizer_int_chain_out; - - end else begin - - // ----------------------------------------------- - // Assert synchronously, deassert synchronously. - // ----------------------------------------------- - always @(posedge clk) begin - altera_reset_synchronizer_int_chain[DEPTH-2:0] <= altera_reset_synchronizer_int_chain[DEPTH-1:1]; - altera_reset_synchronizer_int_chain[DEPTH-1] <= reset_in; - altera_reset_synchronizer_int_chain_out <= altera_reset_synchronizer_int_chain[0]; - end - - assign reset_out = altera_reset_synchronizer_int_chain_out; - - end - endgenerate - -endmodule - +// $Id: //acds/rel/18.1std/ip/merlin/altera_reset_controller/altera_reset_synchronizer.v#1 $ +// $Revision: #1 $ +// $Date: 2018/07/18 $ +// $Author: psgswbuild $ + +// ----------------------------------------------- +// Reset Synchronizer +// ----------------------------------------------- +`timescale 1 ns / 1 ns + +module altera_reset_synchronizer +#( + parameter ASYNC_RESET = 1, + parameter DEPTH = 2 +) +( + input reset_in /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=R101" */, + + input clk, + output reset_out +); + + // ----------------------------------------------- + // Synchronizer register chain. We cannot reuse the + // standard synchronizer in this implementation + // because our timing constraints are different. + // + // Instead of cutting the timing path to the d-input + // on the first flop we need to cut the aclr input. + // + // We omit the "preserve" attribute on the final + // output register, so that the synthesis tool can + // duplicate it where needed. + // ----------------------------------------------- + (*preserve*) reg [DEPTH-1:0] altera_reset_synchronizer_int_chain; + reg altera_reset_synchronizer_int_chain_out; + + generate if (ASYNC_RESET) begin + + // ----------------------------------------------- + // Assert asynchronously, deassert synchronously. + // ----------------------------------------------- + always @(posedge clk or posedge reset_in) begin + if (reset_in) begin + altera_reset_synchronizer_int_chain <= {DEPTH{1'b1}}; + altera_reset_synchronizer_int_chain_out <= 1'b1; + end + else begin + altera_reset_synchronizer_int_chain[DEPTH-2:0] <= altera_reset_synchronizer_int_chain[DEPTH-1:1]; + altera_reset_synchronizer_int_chain[DEPTH-1] <= 0; + altera_reset_synchronizer_int_chain_out <= altera_reset_synchronizer_int_chain[0]; + end + end + + assign reset_out = altera_reset_synchronizer_int_chain_out; + + end else begin + + // ----------------------------------------------- + // Assert synchronously, deassert synchronously. + // ----------------------------------------------- + always @(posedge clk) begin + altera_reset_synchronizer_int_chain[DEPTH-2:0] <= altera_reset_synchronizer_int_chain[DEPTH-1:1]; + altera_reset_synchronizer_int_chain[DEPTH-1] <= reset_in; + altera_reset_synchronizer_int_chain_out <= altera_reset_synchronizer_int_chain[0]; + end + + assign reset_out = altera_reset_synchronizer_int_chain_out; + + end + endgenerate + +endmodule + diff --git a/Top/niosII/synthesis/submodules/niosII_cpu_cpu_rf_ram_a.mif b/Top/niosII/synthesis/submodules/niosII_cpu_cpu_rf_ram_a.mif index 7969f10..644013a 100644 --- a/Top/niosII/synthesis/submodules/niosII_cpu_cpu_rf_ram_a.mif +++ b/Top/niosII/synthesis/submodules/niosII_cpu_cpu_rf_ram_a.mif @@ -1,42 +1,42 @@ -WIDTH=32; -DEPTH=32; - -ADDRESS_RADIX=HEX; -DATA_RADIX=HEX; - -CONTENT BEGIN - -00 : deadbeef; -01 : deadbeef; -02 : deadbeef; -03 : deadbeef; -04 : deadbeef; -05 : deadbeef; -06 : deadbeef; -07 : deadbeef; -08 : deadbeef; -09 : deadbeef; -0a : deadbeef; -0b : deadbeef; -0c : deadbeef; -0d : deadbeef; -0e : deadbeef; -0f : deadbeef; -10 : deadbeef; -11 : deadbeef; -12 : deadbeef; -13 : deadbeef; -14 : deadbeef; -15 : deadbeef; -16 : deadbeef; -17 : deadbeef; -18 : deadbeef; -19 : deadbeef; -1a : deadbeef; -1b : deadbeef; -1c : deadbeef; -1d : deadbeef; -1e : deadbeef; -1f : deadbeef; - -END; +WIDTH=32; +DEPTH=32; + +ADDRESS_RADIX=HEX; +DATA_RADIX=HEX; + +CONTENT BEGIN + +00 : deadbeef; +01 : deadbeef; +02 : deadbeef; +03 : deadbeef; +04 : deadbeef; +05 : deadbeef; +06 : deadbeef; +07 : deadbeef; +08 : deadbeef; +09 : deadbeef; +0a : deadbeef; +0b : deadbeef; +0c : deadbeef; +0d : deadbeef; +0e : deadbeef; +0f : deadbeef; +10 : deadbeef; +11 : deadbeef; +12 : deadbeef; +13 : deadbeef; +14 : deadbeef; +15 : deadbeef; +16 : deadbeef; +17 : deadbeef; +18 : deadbeef; +19 : deadbeef; +1a : deadbeef; +1b : deadbeef; +1c : deadbeef; +1d : deadbeef; +1e : deadbeef; +1f : deadbeef; + +END; diff --git a/Top/niosII/synthesis/submodules/niosII_cpu_cpu_rf_ram_b.mif b/Top/niosII/synthesis/submodules/niosII_cpu_cpu_rf_ram_b.mif index 7969f10..644013a 100644 --- a/Top/niosII/synthesis/submodules/niosII_cpu_cpu_rf_ram_b.mif +++ b/Top/niosII/synthesis/submodules/niosII_cpu_cpu_rf_ram_b.mif @@ -1,42 +1,42 @@ -WIDTH=32; -DEPTH=32; - -ADDRESS_RADIX=HEX; -DATA_RADIX=HEX; - -CONTENT BEGIN - -00 : deadbeef; -01 : deadbeef; -02 : deadbeef; -03 : deadbeef; -04 : deadbeef; -05 : deadbeef; -06 : deadbeef; -07 : deadbeef; -08 : deadbeef; -09 : deadbeef; -0a : deadbeef; -0b : deadbeef; -0c : deadbeef; -0d : deadbeef; -0e : deadbeef; -0f : deadbeef; -10 : deadbeef; -11 : deadbeef; -12 : deadbeef; -13 : deadbeef; -14 : deadbeef; -15 : deadbeef; -16 : deadbeef; -17 : deadbeef; -18 : deadbeef; -19 : deadbeef; -1a : deadbeef; -1b : deadbeef; -1c : deadbeef; -1d : deadbeef; -1e : deadbeef; -1f : deadbeef; - -END; +WIDTH=32; +DEPTH=32; + +ADDRESS_RADIX=HEX; +DATA_RADIX=HEX; + +CONTENT BEGIN + +00 : deadbeef; +01 : deadbeef; +02 : deadbeef; +03 : deadbeef; +04 : deadbeef; +05 : deadbeef; +06 : deadbeef; +07 : deadbeef; +08 : deadbeef; +09 : deadbeef; +0a : deadbeef; +0b : deadbeef; +0c : deadbeef; +0d : deadbeef; +0e : deadbeef; +0f : deadbeef; +10 : deadbeef; +11 : deadbeef; +12 : deadbeef; +13 : deadbeef; +14 : deadbeef; +15 : deadbeef; +16 : deadbeef; +17 : deadbeef; +18 : deadbeef; +19 : deadbeef; +1a : deadbeef; +1b : deadbeef; +1c : deadbeef; +1d : deadbeef; +1e : deadbeef; +1f : deadbeef; + +END; diff --git a/Top/niosII/synthesis/submodules/niosII_mem.hex b/Top/niosII/synthesis/submodules/niosII_mem.hex index 627a9c9..19e432c 100644 --- a/Top/niosII/synthesis/submodules/niosII_mem.hex +++ b/Top/niosII/synthesis/submodules/niosII_mem.hex @@ -1,32769 +1,32769 @@ -:0400000000000000FC -:0400010000000000FB -:0400020000000000FA -:0400030000000000F9 -:0400040000000000F8 -:0400050000000000F7 -:0400060000000000F6 -:0400070000000000F5 -:0400080000000000F4 -:0400090000000000F3 -:04000A0000000000F2 -:04000B0000000000F1 -:04000C0000000000F0 -:04000D0000000000EF -:04000E0000000000EE -:04000F0000000000ED -:0400100000000000EC -:0400110000000000EB -:0400120000000000EA -:0400130000000000E9 -:0400140000000000E8 -:0400150000000000E7 -:0400160000000000E6 -:0400170000000000E5 -:0400180000000000E4 -:0400190000000000E3 -:04001A0000000000E2 -:04001B0000000000E1 -:04001C0000000000E0 -:04001D0000000000DF -:04001E0000000000DE -:04001F0000000000DD -:0400200000000000DC -:0400210000000000DB -:0400220000000000DA -:0400230000000000D9 -:0400240000000000D8 -:0400250000000000D7 -:0400260000000000D6 -:0400270000000000D5 -:0400280000000000D4 -:0400290000000000D3 -:04002A0000000000D2 -:04002B0000000000D1 -:04002C0000000000D0 -:04002D0000000000CF -:04002E0000000000CE -:04002F0000000000CD -:0400300000000000CC -:0400310000000000CB -:0400320000000000CA -:0400330000000000C9 -:0400340000000000C8 -:0400350000000000C7 -:0400360000000000C6 -:0400370000000000C5 -:0400380000000000C4 -:0400390000000000C3 -:04003A0000000000C2 -:04003B0000000000C1 -:04003C0000000000C0 -:04003D0000000000BF -:04003E0000000000BE -:04003F0000000000BD -:0400400000000000BC -:0400410000000000BB -:0400420000000000BA -:0400430000000000B9 -:0400440000000000B8 -:0400450000000000B7 -:0400460000000000B6 -:0400470000000000B5 -:0400480000000000B4 -:0400490000000000B3 -:04004A0000000000B2 -:04004B0000000000B1 -:04004C0000000000B0 -:04004D0000000000AF -:04004E0000000000AE -:04004F0000000000AD -:0400500000000000AC -:0400510000000000AB -:0400520000000000AA -:0400530000000000A9 -:0400540000000000A8 -:0400550000000000A7 -:0400560000000000A6 -:0400570000000000A5 -:0400580000000000A4 -:0400590000000000A3 -:04005A0000000000A2 -:04005B0000000000A1 -:04005C0000000000A0 -:04005D00000000009F -:04005E00000000009E -:04005F00000000009D -:04006000000000009C -:04006100000000009B -:04006200000000009A -:040063000000000099 -:040064000000000098 -:040065000000000097 -:040066000000000096 -:040067000000000095 -:040068000000000094 -:040069000000000093 -:04006A000000000092 -:04006B000000000091 -:04006C000000000090 -:04006D00000000008F -:04006E00000000008E -:04006F00000000008D -:04007000000000008C -:04007100000000008B -:04007200000000008A -:040073000000000089 -:040074000000000088 -:040075000000000087 -:040076000000000086 -:040077000000000085 -:040078000000000084 -:040079000000000083 -:04007A000000000082 -:04007B000000000081 -:04007C000000000080 -:04007D00000000007F -:04007E00000000007E -:04007F00000000007D -:04008000000000007C -:04008100000000007B -:04008200000000007A -:040083000000000079 -:040084000000000078 -:040085000000000077 -:040086000000000076 -:040087000000000075 -:040088000000000074 -:040089000000000073 -:04008A000000000072 -:04008B000000000071 -:04008C000000000070 -:04008D00000000006F -:04008E00000000006E -:04008F00000000006D -:04009000000000006C -:04009100000000006B -:04009200000000006A -:040093000000000069 -:040094000000000068 -:040095000000000067 -:040096000000000066 -:040097000000000065 -:040098000000000064 -:040099000000000063 -:04009A000000000062 -:04009B000000000061 -:04009C000000000060 -:04009D00000000005F -:04009E00000000005E -:04009F00000000005D -:0400A000000000005C -:0400A100000000005B -:0400A200000000005A -:0400A3000000000059 -:0400A4000000000058 -:0400A5000000000057 -:0400A6000000000056 -:0400A7000000000055 -:0400A8000000000054 -:0400A9000000000053 -:0400AA000000000052 -:0400AB000000000051 -:0400AC000000000050 -:0400AD00000000004F -:0400AE00000000004E -:0400AF00000000004D -:0400B000000000004C -:0400B100000000004B -:0400B200000000004A -:0400B3000000000049 -:0400B4000000000048 -:0400B5000000000047 -:0400B6000000000046 -:0400B7000000000045 -:0400B8000000000044 -:0400B9000000000043 -:0400BA000000000042 -:0400BB000000000041 -:0400BC000000000040 -:0400BD00000000003F -:0400BE00000000003E -:0400BF00000000003D -:0400C000000000003C -:0400C100000000003B -:0400C200000000003A -:0400C3000000000039 -:0400C4000000000038 -:0400C5000000000037 -:0400C6000000000036 -:0400C7000000000035 -:0400C8000000000034 -:0400C9000000000033 -:0400CA000000000032 -:0400CB000000000031 -:0400CC000000000030 -:0400CD00000000002F -:0400CE00000000002E -:0400CF00000000002D -:0400D000000000002C -:0400D100000000002B -:0400D200000000002A -:0400D3000000000029 -:0400D4000000000028 -:0400D5000000000027 -:0400D6000000000026 -:0400D7000000000025 -:0400D8000000000024 -:0400D9000000000023 -:0400DA000000000022 -:0400DB000000000021 -:0400DC000000000020 -:0400DD00000000001F -:0400DE00000000001E -:0400DF00000000001D -:0400E000000000001C -:0400E100000000001B -:0400E200000000001A -:0400E3000000000019 -:0400E4000000000018 -:0400E5000000000017 -:0400E6000000000016 -:0400E7000000000015 -:0400E8000000000014 -:0400E9000000000013 -:0400EA000000000012 -:0400EB000000000011 -:0400EC000000000010 -:0400ED00000000000F -:0400EE00000000000E -:0400EF00000000000D -:0400F000000000000C -:0400F100000000000B -:0400F200000000000A -:0400F3000000000009 -:0400F4000000000008 -:0400F5000000000007 -:0400F6000000000006 -:0400F7000000000005 -:0400F8000000000004 -:0400F9000000000003 -:0400FA000000000002 -:0400FB000000000001 -:0400FC000000000000 -:0400FD0000000000FF -:0400FE0000000000FE -:0400FF0000000000FD -:0401000000000000FB -:0401010000000000FA -:0401020000000000F9 -:0401030000000000F8 -:0401040000000000F7 -:0401050000000000F6 -:0401060000000000F5 -:0401070000000000F4 -:0401080000000000F3 -:0401090000000000F2 -:04010A0000000000F1 -:04010B0000000000F0 -:04010C0000000000EF -:04010D0000000000EE -:04010E0000000000ED -:04010F0000000000EC -:0401100000000000EB -:0401110000000000EA -:0401120000000000E9 -:0401130000000000E8 -:0401140000000000E7 -:0401150000000000E6 -:0401160000000000E5 -:0401170000000000E4 -:0401180000000000E3 -:0401190000000000E2 -:04011A0000000000E1 -:04011B0000000000E0 -:04011C0000000000DF -:04011D0000000000DE -:04011E0000000000DD -:04011F0000000000DC -:0401200000000000DB -:0401210000000000DA -:0401220000000000D9 -:0401230000000000D8 -:0401240000000000D7 -:0401250000000000D6 -:0401260000000000D5 -:0401270000000000D4 -:0401280000000000D3 -:0401290000000000D2 -:04012A0000000000D1 -:04012B0000000000D0 -:04012C0000000000CF -:04012D0000000000CE -:04012E0000000000CD -:04012F0000000000CC -:0401300000000000CB -:0401310000000000CA -:0401320000000000C9 -:0401330000000000C8 -:0401340000000000C7 -:0401350000000000C6 -:0401360000000000C5 -:0401370000000000C4 -:0401380000000000C3 -:0401390000000000C2 -:04013A0000000000C1 -:04013B0000000000C0 -:04013C0000000000BF -:04013D0000000000BE -:04013E0000000000BD -:04013F0000000000BC -:0401400000000000BB -:0401410000000000BA -:0401420000000000B9 -:0401430000000000B8 -:0401440000000000B7 -:0401450000000000B6 -:0401460000000000B5 -:0401470000000000B4 -:0401480000000000B3 -:0401490000000000B2 -:04014A0000000000B1 -:04014B0000000000B0 -:04014C0000000000AF -:04014D0000000000AE -:04014E0000000000AD -:04014F0000000000AC -:0401500000000000AB -:0401510000000000AA -:0401520000000000A9 -:0401530000000000A8 -:0401540000000000A7 -:0401550000000000A6 -:0401560000000000A5 -:0401570000000000A4 -:0401580000000000A3 -:0401590000000000A2 -:04015A0000000000A1 -:04015B0000000000A0 -:04015C00000000009F -:04015D00000000009E -:04015E00000000009D -:04015F00000000009C -:04016000000000009B -:04016100000000009A -:040162000000000099 -:040163000000000098 -:040164000000000097 -:040165000000000096 -:040166000000000095 -:040167000000000094 -:040168000000000093 -:040169000000000092 -:04016A000000000091 -:04016B000000000090 -:04016C00000000008F -:04016D00000000008E -:04016E00000000008D -:04016F00000000008C -:04017000000000008B -:04017100000000008A -:040172000000000089 -:040173000000000088 -:040174000000000087 -:040175000000000086 -:040176000000000085 -:040177000000000084 -:040178000000000083 -:040179000000000082 -:04017A000000000081 -:04017B000000000080 -:04017C00000000007F -:04017D00000000007E -:04017E00000000007D -:04017F00000000007C -:04018000000000007B -:04018100000000007A -:040182000000000079 -:040183000000000078 -:040184000000000077 -:040185000000000076 -:040186000000000075 -:040187000000000074 -:040188000000000073 -:040189000000000072 -:04018A000000000071 -:04018B000000000070 -:04018C00000000006F -:04018D00000000006E -:04018E00000000006D -:04018F00000000006C -:04019000000000006B -:04019100000000006A -:040192000000000069 -:040193000000000068 -:040194000000000067 -:040195000000000066 -:040196000000000065 -:040197000000000064 -:040198000000000063 -:040199000000000062 -:04019A000000000061 -:04019B000000000060 -:04019C00000000005F -:04019D00000000005E -:04019E00000000005D -:04019F00000000005C -:0401A000000000005B -:0401A100000000005A -:0401A2000000000059 -:0401A3000000000058 -:0401A4000000000057 -:0401A5000000000056 -:0401A6000000000055 -:0401A7000000000054 -:0401A8000000000053 -:0401A9000000000052 -:0401AA000000000051 -:0401AB000000000050 -:0401AC00000000004F -:0401AD00000000004E -:0401AE00000000004D -:0401AF00000000004C -:0401B000000000004B -:0401B100000000004A -:0401B2000000000049 -:0401B3000000000048 -:0401B4000000000047 -:0401B5000000000046 -:0401B6000000000045 -:0401B7000000000044 -:0401B8000000000043 -:0401B9000000000042 -:0401BA000000000041 -:0401BB000000000040 -:0401BC00000000003F -:0401BD00000000003E -:0401BE00000000003D -:0401BF00000000003C -:0401C000000000003B -:0401C100000000003A -:0401C2000000000039 -:0401C3000000000038 -:0401C4000000000037 -:0401C5000000000036 -:0401C6000000000035 -:0401C7000000000034 -:0401C8000000000033 -:0401C9000000000032 -:0401CA000000000031 -:0401CB000000000030 -:0401CC00000000002F -:0401CD00000000002E -:0401CE00000000002D -:0401CF00000000002C -:0401D000000000002B -:0401D100000000002A -:0401D2000000000029 -:0401D3000000000028 -:0401D4000000000027 -:0401D5000000000026 -:0401D6000000000025 -:0401D7000000000024 -:0401D8000000000023 -:0401D9000000000022 -:0401DA000000000021 -:0401DB000000000020 -:0401DC00000000001F -:0401DD00000000001E -:0401DE00000000001D -:0401DF00000000001C -:0401E000000000001B -:0401E100000000001A -:0401E2000000000019 -:0401E3000000000018 -:0401E4000000000017 -:0401E5000000000016 -:0401E6000000000015 -:0401E7000000000014 -:0401E8000000000013 -:0401E9000000000012 -:0401EA000000000011 -:0401EB000000000010 -:0401EC00000000000F -:0401ED00000000000E -:0401EE00000000000D -:0401EF00000000000C -:0401F000000000000B -:0401F100000000000A -:0401F2000000000009 -:0401F3000000000008 -:0401F4000000000007 -:0401F5000000000006 -:0401F6000000000005 -:0401F7000000000004 -:0401F8000000000003 -:0401F9000000000002 -:0401FA000000000001 -:0401FB000000000000 -:0401FC0000000000FF -:0401FD0000000000FE -:0401FE0000000000FD -:0401FF0000000000FC -:0402000000000000FA -:0402010000000000F9 -:0402020000000000F8 -:0402030000000000F7 -:0402040000000000F6 -:0402050000000000F5 -:0402060000000000F4 -:0402070000000000F3 -:0402080000000000F2 -:0402090000000000F1 -:04020A0000000000F0 -:04020B0000000000EF -:04020C0000000000EE -:04020D0000000000ED -:04020E0000000000EC -:04020F0000000000EB -:0402100000000000EA -:0402110000000000E9 -:0402120000000000E8 -:0402130000000000E7 -:0402140000000000E6 -:0402150000000000E5 -:0402160000000000E4 -:0402170000000000E3 -:0402180000000000E2 -:0402190000000000E1 -:04021A0000000000E0 -:04021B0000000000DF -:04021C0000000000DE -:04021D0000000000DD -:04021E0000000000DC -:04021F0000000000DB -:0402200000000000DA -:0402210000000000D9 -:0402220000000000D8 -:0402230000000000D7 -:0402240000000000D6 -:0402250000000000D5 -:0402260000000000D4 -:0402270000000000D3 -:0402280000000000D2 -:0402290000000000D1 -:04022A0000000000D0 -:04022B0000000000CF -:04022C0000000000CE -:04022D0000000000CD -:04022E0000000000CC -:04022F0000000000CB -:0402300000000000CA -:0402310000000000C9 -:0402320000000000C8 -:0402330000000000C7 -:0402340000000000C6 -:0402350000000000C5 -:0402360000000000C4 -:0402370000000000C3 -:0402380000000000C2 -:0402390000000000C1 -:04023A0000000000C0 -:04023B0000000000BF -:04023C0000000000BE -:04023D0000000000BD -:04023E0000000000BC -:04023F0000000000BB -:0402400000000000BA -:0402410000000000B9 -:0402420000000000B8 -:0402430000000000B7 -:0402440000000000B6 -:0402450000000000B5 -:0402460000000000B4 -:0402470000000000B3 -:0402480000000000B2 -:0402490000000000B1 -:04024A0000000000B0 -:04024B0000000000AF -:04024C0000000000AE -:04024D0000000000AD -:04024E0000000000AC -:04024F0000000000AB -:0402500000000000AA -:0402510000000000A9 -:0402520000000000A8 -:0402530000000000A7 -:0402540000000000A6 -:0402550000000000A5 -:0402560000000000A4 -:0402570000000000A3 -:0402580000000000A2 -:0402590000000000A1 -:04025A0000000000A0 -:04025B00000000009F -:04025C00000000009E -:04025D00000000009D -:04025E00000000009C -:04025F00000000009B -:04026000000000009A -:040261000000000099 -:040262000000000098 -:040263000000000097 -:040264000000000096 -:040265000000000095 -:040266000000000094 -:040267000000000093 -:040268000000000092 -:040269000000000091 -:04026A000000000090 -:04026B00000000008F -:04026C00000000008E -:04026D00000000008D -:04026E00000000008C -:04026F00000000008B -:04027000000000008A -:040271000000000089 -:040272000000000088 -:040273000000000087 -:040274000000000086 -:040275000000000085 -:040276000000000084 -:040277000000000083 -:040278000000000082 -:040279000000000081 -:04027A000000000080 -:04027B00000000007F -:04027C00000000007E -:04027D00000000007D -:04027E00000000007C -:04027F00000000007B -:04028000000000007A -:040281000000000079 -:040282000000000078 -:040283000000000077 -:040284000000000076 -:040285000000000075 -:040286000000000074 -:040287000000000073 -:040288000000000072 -:040289000000000071 -:04028A000000000070 -:04028B00000000006F -:04028C00000000006E -:04028D00000000006D -:04028E00000000006C -:04028F00000000006B -:04029000000000006A -:040291000000000069 -:040292000000000068 -:040293000000000067 -:040294000000000066 -:040295000000000065 -:040296000000000064 -:040297000000000063 -:040298000000000062 -:040299000000000061 -:04029A000000000060 -:04029B00000000005F -:04029C00000000005E -:04029D00000000005D -:04029E00000000005C -:04029F00000000005B -:0402A000000000005A -:0402A1000000000059 -:0402A2000000000058 -:0402A3000000000057 -:0402A4000000000056 -:0402A5000000000055 -:0402A6000000000054 -:0402A7000000000053 -:0402A8000000000052 -:0402A9000000000051 -:0402AA000000000050 -:0402AB00000000004F -:0402AC00000000004E -:0402AD00000000004D -:0402AE00000000004C -:0402AF00000000004B -:0402B000000000004A -:0402B1000000000049 -:0402B2000000000048 -:0402B3000000000047 -:0402B4000000000046 -:0402B5000000000045 -:0402B6000000000044 -:0402B7000000000043 -:0402B8000000000042 -:0402B9000000000041 -:0402BA000000000040 -:0402BB00000000003F -:0402BC00000000003E -:0402BD00000000003D -:0402BE00000000003C -:0402BF00000000003B -:0402C000000000003A -:0402C1000000000039 -:0402C2000000000038 -:0402C3000000000037 -:0402C4000000000036 -:0402C5000000000035 -:0402C6000000000034 -:0402C7000000000033 -:0402C8000000000032 -:0402C9000000000031 -:0402CA000000000030 -:0402CB00000000002F -:0402CC00000000002E -:0402CD00000000002D -:0402CE00000000002C -:0402CF00000000002B -:0402D000000000002A -:0402D1000000000029 -:0402D2000000000028 -:0402D3000000000027 -:0402D4000000000026 -:0402D5000000000025 -:0402D6000000000024 -:0402D7000000000023 -:0402D8000000000022 -:0402D9000000000021 -:0402DA000000000020 -:0402DB00000000001F -:0402DC00000000001E -:0402DD00000000001D -:0402DE00000000001C -:0402DF00000000001B -:0402E000000000001A -:0402E1000000000019 -:0402E2000000000018 -:0402E3000000000017 -:0402E4000000000016 -:0402E5000000000015 -:0402E6000000000014 -:0402E7000000000013 -:0402E8000000000012 -:0402E9000000000011 -:0402EA000000000010 -:0402EB00000000000F -:0402EC00000000000E -:0402ED00000000000D -:0402EE00000000000C -:0402EF00000000000B -:0402F000000000000A -:0402F1000000000009 -:0402F2000000000008 -:0402F3000000000007 -:0402F4000000000006 -:0402F5000000000005 -:0402F6000000000004 -:0402F7000000000003 -:0402F8000000000002 -:0402F9000000000001 -:0402FA000000000000 -:0402FB0000000000FF -:0402FC0000000000FE -:0402FD0000000000FD -:0402FE0000000000FC -:0402FF0000000000FB -:0403000000000000F9 -:0403010000000000F8 -:0403020000000000F7 -:0403030000000000F6 -:0403040000000000F5 -:0403050000000000F4 -:0403060000000000F3 -:0403070000000000F2 -:0403080000000000F1 -:0403090000000000F0 -:04030A0000000000EF -:04030B0000000000EE -:04030C0000000000ED -:04030D0000000000EC -:04030E0000000000EB -:04030F0000000000EA -:0403100000000000E9 -:0403110000000000E8 -:0403120000000000E7 -:0403130000000000E6 -:0403140000000000E5 -:0403150000000000E4 -:0403160000000000E3 -:0403170000000000E2 -:0403180000000000E1 -:0403190000000000E0 -:04031A0000000000DF -:04031B0000000000DE -:04031C0000000000DD -:04031D0000000000DC -:04031E0000000000DB -:04031F0000000000DA -:0403200000000000D9 -:0403210000000000D8 -:0403220000000000D7 -:0403230000000000D6 -:0403240000000000D5 -:0403250000000000D4 -:0403260000000000D3 -:0403270000000000D2 -:0403280000000000D1 -:0403290000000000D0 -:04032A0000000000CF -:04032B0000000000CE -:04032C0000000000CD -:04032D0000000000CC -:04032E0000000000CB -:04032F0000000000CA -:0403300000000000C9 -:0403310000000000C8 -:0403320000000000C7 -:0403330000000000C6 -:0403340000000000C5 -:0403350000000000C4 -:0403360000000000C3 -:0403370000000000C2 -:0403380000000000C1 -:0403390000000000C0 -:04033A0000000000BF -:04033B0000000000BE -:04033C0000000000BD -:04033D0000000000BC -:04033E0000000000BB -:04033F0000000000BA -:0403400000000000B9 -:0403410000000000B8 -:0403420000000000B7 -:0403430000000000B6 -:0403440000000000B5 -:0403450000000000B4 -:0403460000000000B3 -:0403470000000000B2 -:0403480000000000B1 -:0403490000000000B0 -:04034A0000000000AF -:04034B0000000000AE -:04034C0000000000AD -:04034D0000000000AC -:04034E0000000000AB -:04034F0000000000AA -:0403500000000000A9 -:0403510000000000A8 -:0403520000000000A7 -:0403530000000000A6 -:0403540000000000A5 -:0403550000000000A4 -:0403560000000000A3 -:0403570000000000A2 -:0403580000000000A1 -:0403590000000000A0 -:04035A00000000009F -:04035B00000000009E -:04035C00000000009D -:04035D00000000009C -:04035E00000000009B -:04035F00000000009A -:040360000000000099 -:040361000000000098 -:040362000000000097 -:040363000000000096 -:040364000000000095 -:040365000000000094 -:040366000000000093 -:040367000000000092 -:040368000000000091 -:040369000000000090 -:04036A00000000008F -:04036B00000000008E -:04036C00000000008D -:04036D00000000008C -:04036E00000000008B -:04036F00000000008A -:040370000000000089 -:040371000000000088 -:040372000000000087 -:040373000000000086 -:040374000000000085 -:040375000000000084 -:040376000000000083 -:040377000000000082 -:040378000000000081 -:040379000000000080 -:04037A00000000007F -:04037B00000000007E -:04037C00000000007D -:04037D00000000007C -:04037E00000000007B -:04037F00000000007A -:040380000000000079 -:040381000000000078 -:040382000000000077 -:040383000000000076 -:040384000000000075 -:040385000000000074 -:040386000000000073 -:040387000000000072 -:040388000000000071 -:040389000000000070 -:04038A00000000006F -:04038B00000000006E -:04038C00000000006D -:04038D00000000006C -:04038E00000000006B -:04038F00000000006A -:040390000000000069 -:040391000000000068 -:040392000000000067 -:040393000000000066 -:040394000000000065 -:040395000000000064 -:040396000000000063 -:040397000000000062 -:040398000000000061 -:040399000000000060 -:04039A00000000005F -:04039B00000000005E -:04039C00000000005D -:04039D00000000005C -:04039E00000000005B -:04039F00000000005A -:0403A0000000000059 -:0403A1000000000058 -:0403A2000000000057 -:0403A3000000000056 -:0403A4000000000055 -:0403A5000000000054 -:0403A6000000000053 -:0403A7000000000052 -:0403A8000000000051 -:0403A9000000000050 -:0403AA00000000004F -:0403AB00000000004E -:0403AC00000000004D -:0403AD00000000004C -:0403AE00000000004B -:0403AF00000000004A -:0403B0000000000049 -:0403B1000000000048 -:0403B2000000000047 -:0403B3000000000046 -:0403B4000000000045 -:0403B5000000000044 -:0403B6000000000043 -:0403B7000000000042 -:0403B8000000000041 -:0403B9000000000040 -:0403BA00000000003F -:0403BB00000000003E -:0403BC00000000003D -:0403BD00000000003C -:0403BE00000000003B -:0403BF00000000003A -:0403C0000000000039 -:0403C1000000000038 -:0403C2000000000037 -:0403C3000000000036 -:0403C4000000000035 -:0403C5000000000034 -:0403C6000000000033 -:0403C7000000000032 -:0403C8000000000031 -:0403C9000000000030 -:0403CA00000000002F -:0403CB00000000002E -:0403CC00000000002D -:0403CD00000000002C -:0403CE00000000002B -:0403CF00000000002A -:0403D0000000000029 -:0403D1000000000028 -:0403D2000000000027 -:0403D3000000000026 -:0403D4000000000025 -:0403D5000000000024 -:0403D6000000000023 -:0403D7000000000022 -:0403D8000000000021 -:0403D9000000000020 -:0403DA00000000001F -:0403DB00000000001E -:0403DC00000000001D -:0403DD00000000001C -:0403DE00000000001B -:0403DF00000000001A -:0403E0000000000019 -:0403E1000000000018 -:0403E2000000000017 -:0403E3000000000016 -:0403E4000000000015 -:0403E5000000000014 -:0403E6000000000013 -:0403E7000000000012 -:0403E8000000000011 -:0403E9000000000010 -:0403EA00000000000F -:0403EB00000000000E -:0403EC00000000000D -:0403ED00000000000C -:0403EE00000000000B -:0403EF00000000000A -:0403F0000000000009 -:0403F1000000000008 -:0403F2000000000007 -:0403F3000000000006 -:0403F4000000000005 -:0403F5000000000004 -:0403F6000000000003 -:0403F7000000000002 -:0403F8000000000001 -:0403F9000000000000 -:0403FA0000000000FF -:0403FB0000000000FE -:0403FC0000000000FD -:0403FD0000000000FC -:0403FE0000000000FB -:0403FF0000000000FA -:0404000000000000F8 -:0404010000000000F7 -:0404020000000000F6 -:0404030000000000F5 -:0404040000000000F4 -:0404050000000000F3 -:0404060000000000F2 -:0404070000000000F1 -:0404080000000000F0 -:0404090000000000EF -:04040A0000000000EE -:04040B0000000000ED -:04040C0000000000EC -:04040D0000000000EB -:04040E0000000000EA -:04040F0000000000E9 -:0404100000000000E8 -:0404110000000000E7 -:0404120000000000E6 -:0404130000000000E5 -:0404140000000000E4 -:0404150000000000E3 -:0404160000000000E2 -:0404170000000000E1 -:0404180000000000E0 -:0404190000000000DF -:04041A0000000000DE -:04041B0000000000DD -:04041C0000000000DC -:04041D0000000000DB -:04041E0000000000DA -:04041F0000000000D9 -:0404200000000000D8 -:0404210000000000D7 -:0404220000000000D6 -:0404230000000000D5 -:0404240000000000D4 -:0404250000000000D3 -:0404260000000000D2 -:0404270000000000D1 -:0404280000000000D0 -:0404290000000000CF -:04042A0000000000CE -:04042B0000000000CD -:04042C0000000000CC -:04042D0000000000CB -:04042E0000000000CA -:04042F0000000000C9 -:0404300000000000C8 -:0404310000000000C7 -:0404320000000000C6 -:0404330000000000C5 -:0404340000000000C4 -:0404350000000000C3 -:0404360000000000C2 -:0404370000000000C1 -:0404380000000000C0 -:0404390000000000BF -:04043A0000000000BE -:04043B0000000000BD -:04043C0000000000BC -:04043D0000000000BB -:04043E0000000000BA -:04043F0000000000B9 -:0404400000000000B8 -:0404410000000000B7 -:0404420000000000B6 -:0404430000000000B5 -:0404440000000000B4 -:0404450000000000B3 -:0404460000000000B2 -:0404470000000000B1 -:0404480000000000B0 -:0404490000000000AF -:04044A0000000000AE -:04044B0000000000AD -:04044C0000000000AC -:04044D0000000000AB -:04044E0000000000AA -:04044F0000000000A9 -:0404500000000000A8 -:0404510000000000A7 -:0404520000000000A6 -:0404530000000000A5 -:0404540000000000A4 -:0404550000000000A3 -:0404560000000000A2 -:0404570000000000A1 -:0404580000000000A0 -:04045900000000009F -:04045A00000000009E -:04045B00000000009D -:04045C00000000009C -:04045D00000000009B -:04045E00000000009A -:04045F000000000099 -:040460000000000098 -:040461000000000097 -:040462000000000096 -:040463000000000095 -:040464000000000094 -:040465000000000093 -:040466000000000092 -:040467000000000091 -:040468000000000090 -:04046900000000008F -:04046A00000000008E -:04046B00000000008D -:04046C00000000008C -:04046D00000000008B -:04046E00000000008A -:04046F000000000089 -:040470000000000088 -:040471000000000087 -:040472000000000086 -:040473000000000085 -:040474000000000084 -:040475000000000083 -:040476000000000082 -:040477000000000081 -:040478000000000080 -:04047900000000007F -:04047A00000000007E -:04047B00000000007D -:04047C00000000007C -:04047D00000000007B -:04047E00000000007A -:04047F000000000079 -:040480000000000078 -:040481000000000077 -:040482000000000076 -:040483000000000075 -:040484000000000074 -:040485000000000073 -:040486000000000072 -:040487000000000071 -:040488000000000070 -:04048900000000006F -:04048A00000000006E -:04048B00000000006D -:04048C00000000006C -:04048D00000000006B -:04048E00000000006A -:04048F000000000069 -:040490000000000068 -:040491000000000067 -:040492000000000066 -:040493000000000065 -:040494000000000064 -:040495000000000063 -:040496000000000062 -:040497000000000061 -:040498000000000060 -:04049900000000005F -:04049A00000000005E -:04049B00000000005D -:04049C00000000005C -:04049D00000000005B -:04049E00000000005A -:04049F000000000059 -:0404A0000000000058 -:0404A1000000000057 -:0404A2000000000056 -:0404A3000000000055 -:0404A4000000000054 -:0404A5000000000053 -:0404A6000000000052 -:0404A7000000000051 -:0404A8000000000050 -:0404A900000000004F -:0404AA00000000004E -:0404AB00000000004D -:0404AC00000000004C -:0404AD00000000004B -:0404AE00000000004A -:0404AF000000000049 -:0404B0000000000048 -:0404B1000000000047 -:0404B2000000000046 -:0404B3000000000045 -:0404B4000000000044 -:0404B5000000000043 -:0404B6000000000042 -:0404B7000000000041 -:0404B8000000000040 -:0404B900000000003F -:0404BA00000000003E -:0404BB00000000003D -:0404BC00000000003C -:0404BD00000000003B -:0404BE00000000003A -:0404BF000000000039 -:0404C0000000000038 -:0404C1000000000037 -:0404C2000000000036 -:0404C3000000000035 -:0404C4000000000034 -:0404C5000000000033 -:0404C6000000000032 -:0404C7000000000031 -:0404C8000000000030 -:0404C900000000002F -:0404CA00000000002E -:0404CB00000000002D -:0404CC00000000002C -:0404CD00000000002B -:0404CE00000000002A -:0404CF000000000029 -:0404D0000000000028 -:0404D1000000000027 -:0404D2000000000026 -:0404D3000000000025 -:0404D4000000000024 -:0404D5000000000023 -:0404D6000000000022 -:0404D7000000000021 -:0404D8000000000020 -:0404D900000000001F -:0404DA00000000001E -:0404DB00000000001D -:0404DC00000000001C -:0404DD00000000001B -:0404DE00000000001A -:0404DF000000000019 -:0404E0000000000018 -:0404E1000000000017 -:0404E2000000000016 -:0404E3000000000015 -:0404E4000000000014 -:0404E5000000000013 -:0404E6000000000012 -:0404E7000000000011 -:0404E8000000000010 -:0404E900000000000F -:0404EA00000000000E -:0404EB00000000000D -:0404EC00000000000C -:0404ED00000000000B -:0404EE00000000000A -:0404EF000000000009 -:0404F0000000000008 -:0404F1000000000007 -:0404F2000000000006 -:0404F3000000000005 -:0404F4000000000004 -:0404F5000000000003 -:0404F6000000000002 -:0404F7000000000001 -:0404F8000000000000 -:0404F90000000000FF -:0404FA0000000000FE -:0404FB0000000000FD -:0404FC0000000000FC -:0404FD0000000000FB -:0404FE0000000000FA -:0404FF0000000000F9 -:0405000000000000F7 -:0405010000000000F6 -:0405020000000000F5 -:0405030000000000F4 -:0405040000000000F3 -:0405050000000000F2 -:0405060000000000F1 -:0405070000000000F0 -:0405080000000000EF -:0405090000000000EE -:04050A0000000000ED -:04050B0000000000EC -:04050C0000000000EB -:04050D0000000000EA -:04050E0000000000E9 -:04050F0000000000E8 -:0405100000000000E7 -:0405110000000000E6 -:0405120000000000E5 -:0405130000000000E4 -:0405140000000000E3 -:0405150000000000E2 -:0405160000000000E1 -:0405170000000000E0 -:0405180000000000DF -:0405190000000000DE -:04051A0000000000DD -:04051B0000000000DC -:04051C0000000000DB -:04051D0000000000DA -:04051E0000000000D9 -:04051F0000000000D8 -:0405200000000000D7 -:0405210000000000D6 -:0405220000000000D5 -:0405230000000000D4 -:0405240000000000D3 -:0405250000000000D2 -:0405260000000000D1 -:0405270000000000D0 -:0405280000000000CF -:0405290000000000CE -:04052A0000000000CD -:04052B0000000000CC -:04052C0000000000CB -:04052D0000000000CA -:04052E0000000000C9 -:04052F0000000000C8 -:0405300000000000C7 -:0405310000000000C6 -:0405320000000000C5 -:0405330000000000C4 -:0405340000000000C3 -:0405350000000000C2 -:0405360000000000C1 -:0405370000000000C0 -:0405380000000000BF -:0405390000000000BE -:04053A0000000000BD -:04053B0000000000BC -:04053C0000000000BB -:04053D0000000000BA -:04053E0000000000B9 -:04053F0000000000B8 -:0405400000000000B7 -:0405410000000000B6 -:0405420000000000B5 -:0405430000000000B4 -:0405440000000000B3 -:0405450000000000B2 -:0405460000000000B1 -:0405470000000000B0 -:0405480000000000AF -:0405490000000000AE -:04054A0000000000AD -:04054B0000000000AC -:04054C0000000000AB -:04054D0000000000AA -:04054E0000000000A9 -:04054F0000000000A8 -:0405500000000000A7 -:0405510000000000A6 -:0405520000000000A5 -:0405530000000000A4 -:0405540000000000A3 -:0405550000000000A2 -:0405560000000000A1 -:0405570000000000A0 -:04055800000000009F -:04055900000000009E -:04055A00000000009D -:04055B00000000009C -:04055C00000000009B -:04055D00000000009A -:04055E000000000099 -:04055F000000000098 -:040560000000000097 -:040561000000000096 -:040562000000000095 -:040563000000000094 -:040564000000000093 -:040565000000000092 -:040566000000000091 -:040567000000000090 -:04056800000000008F -:04056900000000008E -:04056A00000000008D -:04056B00000000008C -:04056C00000000008B -:04056D00000000008A -:04056E000000000089 -:04056F000000000088 -:040570000000000087 -:040571000000000086 -:040572000000000085 -:040573000000000084 -:040574000000000083 -:040575000000000082 -:040576000000000081 -:040577000000000080 -:04057800000000007F -:04057900000000007E -:04057A00000000007D -:04057B00000000007C -:04057C00000000007B -:04057D00000000007A -:04057E000000000079 -:04057F000000000078 -:040580000000000077 -:040581000000000076 -:040582000000000075 -:040583000000000074 -:040584000000000073 -:040585000000000072 -:040586000000000071 -:040587000000000070 -:04058800000000006F -:04058900000000006E -:04058A00000000006D -:04058B00000000006C -:04058C00000000006B -:04058D00000000006A -:04058E000000000069 -:04058F000000000068 -:040590000000000067 -:040591000000000066 -:040592000000000065 -:040593000000000064 -:040594000000000063 -:040595000000000062 -:040596000000000061 -:040597000000000060 -:04059800000000005F -:04059900000000005E -:04059A00000000005D -:04059B00000000005C -:04059C00000000005B -:04059D00000000005A -:04059E000000000059 -:04059F000000000058 -:0405A0000000000057 -:0405A1000000000056 -:0405A2000000000055 -:0405A3000000000054 -:0405A4000000000053 -:0405A5000000000052 -:0405A6000000000051 -:0405A7000000000050 -:0405A800000000004F -:0405A900000000004E -:0405AA00000000004D -:0405AB00000000004C -:0405AC00000000004B -:0405AD00000000004A -:0405AE000000000049 -:0405AF000000000048 -:0405B0000000000047 -:0405B1000000000046 -:0405B2000000000045 -:0405B3000000000044 -:0405B4000000000043 -:0405B5000000000042 -:0405B6000000000041 -:0405B7000000000040 -:0405B800000000003F -:0405B900000000003E -:0405BA00000000003D -:0405BB00000000003C -:0405BC00000000003B -:0405BD00000000003A -:0405BE000000000039 -:0405BF000000000038 -:0405C0000000000037 -:0405C1000000000036 -:0405C2000000000035 -:0405C3000000000034 -:0405C4000000000033 -:0405C5000000000032 -:0405C6000000000031 -:0405C7000000000030 -:0405C800000000002F -:0405C900000000002E -:0405CA00000000002D -:0405CB00000000002C -:0405CC00000000002B -:0405CD00000000002A -:0405CE000000000029 -:0405CF000000000028 -:0405D0000000000027 -:0405D1000000000026 -:0405D2000000000025 -:0405D3000000000024 -:0405D4000000000023 -:0405D5000000000022 -:0405D6000000000021 -:0405D7000000000020 -:0405D800000000001F -:0405D900000000001E -:0405DA00000000001D -:0405DB00000000001C -:0405DC00000000001B -:0405DD00000000001A -:0405DE000000000019 -:0405DF000000000018 -:0405E0000000000017 -:0405E1000000000016 -:0405E2000000000015 -:0405E3000000000014 -:0405E4000000000013 -:0405E5000000000012 -:0405E6000000000011 -:0405E7000000000010 -:0405E800000000000F -:0405E900000000000E -:0405EA00000000000D -:0405EB00000000000C -:0405EC00000000000B -:0405ED00000000000A -:0405EE000000000009 -:0405EF000000000008 -:0405F0000000000007 -:0405F1000000000006 -:0405F2000000000005 -:0405F3000000000004 -:0405F4000000000003 -:0405F5000000000002 -:0405F6000000000001 -:0405F7000000000000 -:0405F80000000000FF -:0405F90000000000FE -:0405FA0000000000FD -:0405FB0000000000FC -:0405FC0000000000FB -:0405FD0000000000FA -:0405FE0000000000F9 -:0405FF0000000000F8 -:0406000000000000F6 -:0406010000000000F5 -:0406020000000000F4 -:0406030000000000F3 -:0406040000000000F2 -:0406050000000000F1 -:0406060000000000F0 -:0406070000000000EF -:0406080000000000EE -:0406090000000000ED -:04060A0000000000EC -:04060B0000000000EB -:04060C0000000000EA -:04060D0000000000E9 -:04060E0000000000E8 -:04060F0000000000E7 -:0406100000000000E6 -:0406110000000000E5 -:0406120000000000E4 -:0406130000000000E3 -:0406140000000000E2 -:0406150000000000E1 -:0406160000000000E0 -:0406170000000000DF -:0406180000000000DE -:0406190000000000DD -:04061A0000000000DC -:04061B0000000000DB -:04061C0000000000DA -:04061D0000000000D9 -:04061E0000000000D8 -:04061F0000000000D7 -:0406200000000000D6 -:0406210000000000D5 -:0406220000000000D4 -:0406230000000000D3 -:0406240000000000D2 -:0406250000000000D1 -:0406260000000000D0 -:0406270000000000CF -:0406280000000000CE -:0406290000000000CD -:04062A0000000000CC -:04062B0000000000CB -:04062C0000000000CA -:04062D0000000000C9 -:04062E0000000000C8 -:04062F0000000000C7 -:0406300000000000C6 -:0406310000000000C5 -:0406320000000000C4 -:0406330000000000C3 -:0406340000000000C2 -:0406350000000000C1 -:0406360000000000C0 -:0406370000000000BF -:0406380000000000BE -:0406390000000000BD -:04063A0000000000BC -:04063B0000000000BB -:04063C0000000000BA -:04063D0000000000B9 -:04063E0000000000B8 -:04063F0000000000B7 -:0406400000000000B6 -:0406410000000000B5 -:0406420000000000B4 -:0406430000000000B3 -:0406440000000000B2 -:0406450000000000B1 -:0406460000000000B0 -:0406470000000000AF -:0406480000000000AE -:0406490000000000AD -:04064A0000000000AC -:04064B0000000000AB -:04064C0000000000AA -:04064D0000000000A9 -:04064E0000000000A8 -:04064F0000000000A7 -:0406500000000000A6 -:0406510000000000A5 -:0406520000000000A4 -:0406530000000000A3 -:0406540000000000A2 -:0406550000000000A1 -:0406560000000000A0 -:04065700000000009F -:04065800000000009E -:04065900000000009D -:04065A00000000009C -:04065B00000000009B -:04065C00000000009A -:04065D000000000099 -:04065E000000000098 -:04065F000000000097 -:040660000000000096 -:040661000000000095 -:040662000000000094 -:040663000000000093 -:040664000000000092 -:040665000000000091 -:040666000000000090 -:04066700000000008F -:04066800000000008E -:04066900000000008D -:04066A00000000008C -:04066B00000000008B -:04066C00000000008A -:04066D000000000089 -:04066E000000000088 -:04066F000000000087 -:040670000000000086 -:040671000000000085 -:040672000000000084 -:040673000000000083 -:040674000000000082 -:040675000000000081 -:040676000000000080 -:04067700000000007F -:04067800000000007E -:04067900000000007D -:04067A00000000007C -:04067B00000000007B -:04067C00000000007A -:04067D000000000079 -:04067E000000000078 -:04067F000000000077 -:040680000000000076 -:040681000000000075 -:040682000000000074 -:040683000000000073 -:040684000000000072 -:040685000000000071 -:040686000000000070 -:04068700000000006F -:04068800000000006E -:04068900000000006D -:04068A00000000006C -:04068B00000000006B -:04068C00000000006A -:04068D000000000069 -:04068E000000000068 -:04068F000000000067 -:040690000000000066 -:040691000000000065 -:040692000000000064 -:040693000000000063 -:040694000000000062 -:040695000000000061 -:040696000000000060 -:04069700000000005F -:04069800000000005E -:04069900000000005D -:04069A00000000005C -:04069B00000000005B -:04069C00000000005A -:04069D000000000059 -:04069E000000000058 -:04069F000000000057 -:0406A0000000000056 -:0406A1000000000055 -:0406A2000000000054 -:0406A3000000000053 -:0406A4000000000052 -:0406A5000000000051 -:0406A6000000000050 -:0406A700000000004F -:0406A800000000004E -:0406A900000000004D -:0406AA00000000004C -:0406AB00000000004B -:0406AC00000000004A -:0406AD000000000049 -:0406AE000000000048 -:0406AF000000000047 -:0406B0000000000046 -:0406B1000000000045 -:0406B2000000000044 -:0406B3000000000043 -:0406B4000000000042 -:0406B5000000000041 -:0406B6000000000040 -:0406B700000000003F -:0406B800000000003E -:0406B900000000003D -:0406BA00000000003C -:0406BB00000000003B -:0406BC00000000003A -:0406BD000000000039 -:0406BE000000000038 -:0406BF000000000037 -:0406C0000000000036 -:0406C1000000000035 -:0406C2000000000034 -:0406C3000000000033 -:0406C4000000000032 -:0406C5000000000031 -:0406C6000000000030 -:0406C700000000002F -:0406C800000000002E -:0406C900000000002D -:0406CA00000000002C -:0406CB00000000002B -:0406CC00000000002A -:0406CD000000000029 -:0406CE000000000028 -:0406CF000000000027 -:0406D0000000000026 -:0406D1000000000025 -:0406D2000000000024 -:0406D3000000000023 -:0406D4000000000022 -:0406D5000000000021 -:0406D6000000000020 -:0406D700000000001F -:0406D800000000001E -:0406D900000000001D -:0406DA00000000001C -:0406DB00000000001B -:0406DC00000000001A -:0406DD000000000019 -:0406DE000000000018 -:0406DF000000000017 -:0406E0000000000016 -:0406E1000000000015 -:0406E2000000000014 -:0406E3000000000013 -:0406E4000000000012 -:0406E5000000000011 -:0406E6000000000010 -:0406E700000000000F -:0406E800000000000E -:0406E900000000000D -:0406EA00000000000C -:0406EB00000000000B -:0406EC00000000000A -:0406ED000000000009 -:0406EE000000000008 -:0406EF000000000007 -:0406F0000000000006 -:0406F1000000000005 -:0406F2000000000004 -:0406F3000000000003 -:0406F4000000000002 -:0406F5000000000001 -:0406F6000000000000 -:0406F70000000000FF -:0406F80000000000FE -:0406F90000000000FD -:0406FA0000000000FC -:0406FB0000000000FB -:0406FC0000000000FA -:0406FD0000000000F9 -:0406FE0000000000F8 -:0406FF0000000000F7 -:0407000000000000F5 -:0407010000000000F4 -:0407020000000000F3 -:0407030000000000F2 -:0407040000000000F1 -:0407050000000000F0 -:0407060000000000EF -:0407070000000000EE -:0407080000000000ED -:0407090000000000EC -:04070A0000000000EB -:04070B0000000000EA -:04070C0000000000E9 -:04070D0000000000E8 -:04070E0000000000E7 -:04070F0000000000E6 -:0407100000000000E5 -:0407110000000000E4 -:0407120000000000E3 -:0407130000000000E2 -:0407140000000000E1 -:0407150000000000E0 -:0407160000000000DF -:0407170000000000DE -:0407180000000000DD -:0407190000000000DC -:04071A0000000000DB -:04071B0000000000DA -:04071C0000000000D9 -:04071D0000000000D8 -:04071E0000000000D7 -:04071F0000000000D6 -:0407200000000000D5 -:0407210000000000D4 -:0407220000000000D3 -:0407230000000000D2 -:0407240000000000D1 -:0407250000000000D0 -:0407260000000000CF -:0407270000000000CE -:0407280000000000CD -:0407290000000000CC -:04072A0000000000CB -:04072B0000000000CA -:04072C0000000000C9 -:04072D0000000000C8 -:04072E0000000000C7 -:04072F0000000000C6 -:0407300000000000C5 -:0407310000000000C4 -:0407320000000000C3 -:0407330000000000C2 -:0407340000000000C1 -:0407350000000000C0 -:0407360000000000BF -:0407370000000000BE -:0407380000000000BD -:0407390000000000BC -:04073A0000000000BB -:04073B0000000000BA -:04073C0000000000B9 -:04073D0000000000B8 -:04073E0000000000B7 -:04073F0000000000B6 -:0407400000000000B5 -:0407410000000000B4 -:0407420000000000B3 -:0407430000000000B2 -:0407440000000000B1 -:0407450000000000B0 -:0407460000000000AF -:0407470000000000AE -:0407480000000000AD -:0407490000000000AC -:04074A0000000000AB -:04074B0000000000AA -:04074C0000000000A9 -:04074D0000000000A8 -:04074E0000000000A7 -:04074F0000000000A6 -:0407500000000000A5 -:0407510000000000A4 -:0407520000000000A3 -:0407530000000000A2 -:0407540000000000A1 -:0407550000000000A0 -:04075600000000009F -:04075700000000009E -:04075800000000009D -:04075900000000009C -:04075A00000000009B -:04075B00000000009A -:04075C000000000099 -:04075D000000000098 -:04075E000000000097 -:04075F000000000096 -:040760000000000095 -:040761000000000094 -:040762000000000093 -:040763000000000092 -:040764000000000091 -:040765000000000090 -:04076600000000008F -:04076700000000008E -:04076800000000008D -:04076900000000008C -:04076A00000000008B -:04076B00000000008A -:04076C000000000089 -:04076D000000000088 -:04076E000000000087 -:04076F000000000086 -:040770000000000085 -:040771000000000084 -:040772000000000083 -:040773000000000082 -:040774000000000081 -:040775000000000080 -:04077600000000007F -:04077700000000007E -:04077800000000007D -:04077900000000007C -:04077A00000000007B -:04077B00000000007A -:04077C000000000079 -:04077D000000000078 -:04077E000000000077 -:04077F000000000076 -:040780000000000075 -:040781000000000074 -:040782000000000073 -:040783000000000072 -:040784000000000071 -:040785000000000070 -:04078600000000006F -:04078700000000006E -:04078800000000006D -:04078900000000006C -:04078A00000000006B -:04078B00000000006A -:04078C000000000069 -:04078D000000000068 -:04078E000000000067 -:04078F000000000066 -:040790000000000065 -:040791000000000064 -:040792000000000063 -:040793000000000062 -:040794000000000061 -:040795000000000060 -:04079600000000005F -:04079700000000005E -:04079800000000005D -:04079900000000005C -:04079A00000000005B -:04079B00000000005A -:04079C000000000059 -:04079D000000000058 -:04079E000000000057 -:04079F000000000056 -:0407A0000000000055 -:0407A1000000000054 -:0407A2000000000053 -:0407A3000000000052 -:0407A4000000000051 -:0407A5000000000050 -:0407A600000000004F -:0407A700000000004E -:0407A800000000004D -:0407A900000000004C -:0407AA00000000004B -:0407AB00000000004A -:0407AC000000000049 -:0407AD000000000048 -:0407AE000000000047 -:0407AF000000000046 -:0407B0000000000045 -:0407B1000000000044 -:0407B2000000000043 -:0407B3000000000042 -:0407B4000000000041 -:0407B5000000000040 -:0407B600000000003F -:0407B700000000003E -:0407B800000000003D -:0407B900000000003C -:0407BA00000000003B -:0407BB00000000003A -:0407BC000000000039 -:0407BD000000000038 -:0407BE000000000037 -:0407BF000000000036 -:0407C0000000000035 -:0407C1000000000034 -:0407C2000000000033 -:0407C3000000000032 -:0407C4000000000031 -:0407C5000000000030 -:0407C600000000002F -:0407C700000000002E -:0407C800000000002D -:0407C900000000002C -:0407CA00000000002B -:0407CB00000000002A -:0407CC000000000029 -:0407CD000000000028 -:0407CE000000000027 -:0407CF000000000026 -:0407D0000000000025 -:0407D1000000000024 -:0407D2000000000023 -:0407D3000000000022 -:0407D4000000000021 -:0407D5000000000020 -:0407D600000000001F -:0407D700000000001E -:0407D800000000001D -:0407D900000000001C -:0407DA00000000001B -:0407DB00000000001A -:0407DC000000000019 -:0407DD000000000018 -:0407DE000000000017 -:0407DF000000000016 -:0407E0000000000015 -:0407E1000000000014 -:0407E2000000000013 -:0407E3000000000012 -:0407E4000000000011 -:0407E5000000000010 -:0407E600000000000F -:0407E700000000000E -:0407E800000000000D -:0407E900000000000C -:0407EA00000000000B -:0407EB00000000000A -:0407EC000000000009 -:0407ED000000000008 -:0407EE000000000007 -:0407EF000000000006 -:0407F0000000000005 -:0407F1000000000004 -:0407F2000000000003 -:0407F3000000000002 -:0407F4000000000001 -:0407F5000000000000 -:0407F60000000000FF -:0407F70000000000FE -:0407F80000000000FD -:0407F90000000000FC -:0407FA0000000000FB -:0407FB0000000000FA -:0407FC0000000000F9 -:0407FD0000000000F8 -:0407FE0000000000F7 -:0407FF0000000000F6 -:0408000000000000F4 -:0408010000000000F3 -:0408020000000000F2 -:0408030000000000F1 -:0408040000000000F0 -:0408050000000000EF -:0408060000000000EE -:0408070000000000ED -:0408080000000000EC -:0408090000000000EB -:04080A0000000000EA -:04080B0000000000E9 -:04080C0000000000E8 -:04080D0000000000E7 -:04080E0000000000E6 -:04080F0000000000E5 -:0408100000000000E4 -:0408110000000000E3 -:0408120000000000E2 -:0408130000000000E1 -:0408140000000000E0 -:0408150000000000DF -:0408160000000000DE -:0408170000000000DD -:0408180000000000DC -:0408190000000000DB -:04081A0000000000DA -:04081B0000000000D9 -:04081C0000000000D8 -:04081D0000000000D7 -:04081E0000000000D6 -:04081F0000000000D5 -:0408200000000000D4 -:0408210000000000D3 -:0408220000000000D2 -:0408230000000000D1 -:0408240000000000D0 -:0408250000000000CF -:0408260000000000CE -:0408270000000000CD -:0408280000000000CC -:0408290000000000CB -:04082A0000000000CA -:04082B0000000000C9 -:04082C0000000000C8 -:04082D0000000000C7 -:04082E0000000000C6 -:04082F0000000000C5 -:0408300000000000C4 -:0408310000000000C3 -:0408320000000000C2 -:0408330000000000C1 -:0408340000000000C0 -:0408350000000000BF -:0408360000000000BE -:0408370000000000BD -:0408380000000000BC -:0408390000000000BB -:04083A0000000000BA -:04083B0000000000B9 -:04083C0000000000B8 -:04083D0000000000B7 -:04083E0000000000B6 -:04083F0000000000B5 -:0408400000000000B4 -:0408410000000000B3 -:0408420000000000B2 -:0408430000000000B1 -:0408440000000000B0 -:0408450000000000AF -:0408460000000000AE -:0408470000000000AD -:0408480000000000AC -:0408490000000000AB -:04084A0000000000AA -:04084B0000000000A9 -:04084C0000000000A8 -:04084D0000000000A7 -:04084E0000000000A6 -:04084F0000000000A5 -:0408500000000000A4 -:0408510000000000A3 -:0408520000000000A2 -:0408530000000000A1 -:0408540000000000A0 -:04085500000000009F -:04085600000000009E -:04085700000000009D -:04085800000000009C -:04085900000000009B -:04085A00000000009A -:04085B000000000099 -:04085C000000000098 -:04085D000000000097 -:04085E000000000096 -:04085F000000000095 -:040860000000000094 -:040861000000000093 -:040862000000000092 -:040863000000000091 -:040864000000000090 -:04086500000000008F -:04086600000000008E -:04086700000000008D -:04086800000000008C -:04086900000000008B -:04086A00000000008A -:04086B000000000089 -:04086C000000000088 -:04086D000000000087 -:04086E000000000086 -:04086F000000000085 -:040870000000000084 -:040871000000000083 -:040872000000000082 -:040873000000000081 -:040874000000000080 -:04087500000000007F -:04087600000000007E -:04087700000000007D -:04087800000000007C -:04087900000000007B -:04087A00000000007A -:04087B000000000079 -:04087C000000000078 -:04087D000000000077 -:04087E000000000076 -:04087F000000000075 -:040880000000000074 -:040881000000000073 -:040882000000000072 -:040883000000000071 -:040884000000000070 -:04088500000000006F -:04088600000000006E -:04088700000000006D -:04088800000000006C -:04088900000000006B -:04088A00000000006A -:04088B000000000069 -:04088C000000000068 -:04088D000000000067 -:04088E000000000066 -:04088F000000000065 -:040890000000000064 -:040891000000000063 -:040892000000000062 -:040893000000000061 -:040894000000000060 -:04089500000000005F -:04089600000000005E -:04089700000000005D -:04089800000000005C -:04089900000000005B -:04089A00000000005A -:04089B000000000059 -:04089C000000000058 -:04089D000000000057 -:04089E000000000056 -:04089F000000000055 -:0408A0000000000054 -:0408A1000000000053 -:0408A2000000000052 -:0408A3000000000051 -:0408A4000000000050 -:0408A500000000004F -:0408A600000000004E -:0408A700000000004D -:0408A800000000004C -:0408A900000000004B -:0408AA00000000004A -:0408AB000000000049 -:0408AC000000000048 -:0408AD000000000047 -:0408AE000000000046 -:0408AF000000000045 -:0408B0000000000044 -:0408B1000000000043 -:0408B2000000000042 -:0408B3000000000041 -:0408B4000000000040 -:0408B500000000003F -:0408B600000000003E -:0408B700000000003D -:0408B800000000003C -:0408B900000000003B -:0408BA00000000003A -:0408BB000000000039 -:0408BC000000000038 -:0408BD000000000037 -:0408BE000000000036 -:0408BF000000000035 -:0408C0000000000034 -:0408C1000000000033 -:0408C2000000000032 -:0408C3000000000031 -:0408C4000000000030 -:0408C500000000002F -:0408C600000000002E -:0408C700000000002D -:0408C800000000002C -:0408C900000000002B -:0408CA00000000002A -:0408CB000000000029 -:0408CC000000000028 -:0408CD000000000027 -:0408CE000000000026 -:0408CF000000000025 -:0408D0000000000024 -:0408D1000000000023 -:0408D2000000000022 -:0408D3000000000021 -:0408D4000000000020 -:0408D500000000001F -:0408D600000000001E -:0408D700000000001D -:0408D800000000001C -:0408D900000000001B -:0408DA00000000001A -:0408DB000000000019 -:0408DC000000000018 -:0408DD000000000017 -:0408DE000000000016 -:0408DF000000000015 -:0408E0000000000014 -:0408E1000000000013 -:0408E2000000000012 -:0408E3000000000011 -:0408E4000000000010 -:0408E500000000000F -:0408E600000000000E -:0408E700000000000D -:0408E800000000000C -:0408E900000000000B -:0408EA00000000000A -:0408EB000000000009 -:0408EC000000000008 -:0408ED000000000007 -:0408EE000000000006 -:0408EF000000000005 -:0408F0000000000004 -:0408F1000000000003 -:0408F2000000000002 -:0408F3000000000001 -:0408F4000000000000 -:0408F50000000000FF -:0408F60000000000FE -:0408F70000000000FD -:0408F80000000000FC -:0408F90000000000FB -:0408FA0000000000FA -:0408FB0000000000F9 -:0408FC0000000000F8 -:0408FD0000000000F7 -:0408FE0000000000F6 -:0408FF0000000000F5 -:0409000000000000F3 -:0409010000000000F2 -:0409020000000000F1 -:0409030000000000F0 -:0409040000000000EF -:0409050000000000EE -:0409060000000000ED -:0409070000000000EC -:0409080000000000EB -:0409090000000000EA -:04090A0000000000E9 -:04090B0000000000E8 -:04090C0000000000E7 -:04090D0000000000E6 -:04090E0000000000E5 -:04090F0000000000E4 -:0409100000000000E3 -:0409110000000000E2 -:0409120000000000E1 -:0409130000000000E0 -:0409140000000000DF -:0409150000000000DE -:0409160000000000DD -:0409170000000000DC -:0409180000000000DB -:0409190000000000DA -:04091A0000000000D9 -:04091B0000000000D8 -:04091C0000000000D7 -:04091D0000000000D6 -:04091E0000000000D5 -:04091F0000000000D4 -:0409200000000000D3 -:0409210000000000D2 -:0409220000000000D1 -:0409230000000000D0 -:0409240000000000CF -:0409250000000000CE -:0409260000000000CD -:0409270000000000CC -:0409280000000000CB -:0409290000000000CA -:04092A0000000000C9 -:04092B0000000000C8 -:04092C0000000000C7 -:04092D0000000000C6 -:04092E0000000000C5 -:04092F0000000000C4 -:0409300000000000C3 -:0409310000000000C2 -:0409320000000000C1 -:0409330000000000C0 -:0409340000000000BF -:0409350000000000BE -:0409360000000000BD -:0409370000000000BC -:0409380000000000BB -:0409390000000000BA -:04093A0000000000B9 -:04093B0000000000B8 -:04093C0000000000B7 -:04093D0000000000B6 -:04093E0000000000B5 -:04093F0000000000B4 -:0409400000000000B3 -:0409410000000000B2 -:0409420000000000B1 -:0409430000000000B0 -:0409440000000000AF -:0409450000000000AE -:0409460000000000AD -:0409470000000000AC -:0409480000000000AB -:0409490000000000AA -:04094A0000000000A9 -:04094B0000000000A8 -:04094C0000000000A7 -:04094D0000000000A6 -:04094E0000000000A5 -:04094F0000000000A4 -:0409500000000000A3 -:0409510000000000A2 -:0409520000000000A1 -:0409530000000000A0 -:04095400000000009F -:04095500000000009E -:04095600000000009D -:04095700000000009C -:04095800000000009B -:04095900000000009A -:04095A000000000099 -:04095B000000000098 -:04095C000000000097 -:04095D000000000096 -:04095E000000000095 -:04095F000000000094 -:040960000000000093 -:040961000000000092 -:040962000000000091 -:040963000000000090 -:04096400000000008F -:04096500000000008E -:04096600000000008D -:04096700000000008C -:04096800000000008B -:04096900000000008A -:04096A000000000089 -:04096B000000000088 -:04096C000000000087 -:04096D000000000086 -:04096E000000000085 -:04096F000000000084 -:040970000000000083 -:040971000000000082 -:040972000000000081 -:040973000000000080 -:04097400000000007F -:04097500000000007E -:04097600000000007D -:04097700000000007C -:04097800000000007B -:04097900000000007A -:04097A000000000079 -:04097B000000000078 -:04097C000000000077 -:04097D000000000076 -:04097E000000000075 -:04097F000000000074 -:040980000000000073 -:040981000000000072 -:040982000000000071 -:040983000000000070 -:04098400000000006F -:04098500000000006E -:04098600000000006D -:04098700000000006C -:04098800000000006B -:04098900000000006A -:04098A000000000069 -:04098B000000000068 -:04098C000000000067 -:04098D000000000066 -:04098E000000000065 -:04098F000000000064 -:040990000000000063 -:040991000000000062 -:040992000000000061 -:040993000000000060 -:04099400000000005F -:04099500000000005E -:04099600000000005D -:04099700000000005C -:04099800000000005B -:04099900000000005A -:04099A000000000059 -:04099B000000000058 -:04099C000000000057 -:04099D000000000056 -:04099E000000000055 -:04099F000000000054 -:0409A0000000000053 -:0409A1000000000052 -:0409A2000000000051 -:0409A3000000000050 -:0409A400000000004F -:0409A500000000004E -:0409A600000000004D -:0409A700000000004C -:0409A800000000004B -:0409A900000000004A -:0409AA000000000049 -:0409AB000000000048 -:0409AC000000000047 -:0409AD000000000046 -:0409AE000000000045 -:0409AF000000000044 -:0409B0000000000043 -:0409B1000000000042 -:0409B2000000000041 -:0409B3000000000040 -:0409B400000000003F -:0409B500000000003E -:0409B600000000003D -:0409B700000000003C -:0409B800000000003B -:0409B900000000003A -:0409BA000000000039 -:0409BB000000000038 -:0409BC000000000037 -:0409BD000000000036 -:0409BE000000000035 -:0409BF000000000034 -:0409C0000000000033 -:0409C1000000000032 -:0409C2000000000031 -:0409C3000000000030 -:0409C400000000002F -:0409C500000000002E -:0409C600000000002D -:0409C700000000002C -:0409C800000000002B -:0409C900000000002A -:0409CA000000000029 -:0409CB000000000028 -:0409CC000000000027 -:0409CD000000000026 -:0409CE000000000025 -:0409CF000000000024 -:0409D0000000000023 -:0409D1000000000022 -:0409D2000000000021 -:0409D3000000000020 -:0409D400000000001F -:0409D500000000001E -:0409D600000000001D -:0409D700000000001C -:0409D800000000001B -:0409D900000000001A -:0409DA000000000019 -:0409DB000000000018 -:0409DC000000000017 -:0409DD000000000016 -:0409DE000000000015 -:0409DF000000000014 -:0409E0000000000013 -:0409E1000000000012 -:0409E2000000000011 -:0409E3000000000010 -:0409E400000000000F -:0409E500000000000E -:0409E600000000000D -:0409E700000000000C -:0409E800000000000B -:0409E900000000000A -:0409EA000000000009 -:0409EB000000000008 -:0409EC000000000007 -:0409ED000000000006 -:0409EE000000000005 -:0409EF000000000004 -:0409F0000000000003 -:0409F1000000000002 -:0409F2000000000001 -:0409F3000000000000 -:0409F40000000000FF -:0409F50000000000FE -:0409F60000000000FD -:0409F70000000000FC -:0409F80000000000FB -:0409F90000000000FA -:0409FA0000000000F9 -:0409FB0000000000F8 -:0409FC0000000000F7 -:0409FD0000000000F6 -:0409FE0000000000F5 -:0409FF0000000000F4 -:040A000000000000F2 -:040A010000000000F1 -:040A020000000000F0 -:040A030000000000EF -:040A040000000000EE -:040A050000000000ED -:040A060000000000EC -:040A070000000000EB -:040A080000000000EA -:040A090000000000E9 -:040A0A0000000000E8 -:040A0B0000000000E7 -:040A0C0000000000E6 -:040A0D0000000000E5 -:040A0E0000000000E4 -:040A0F0000000000E3 -:040A100000000000E2 -:040A110000000000E1 -:040A120000000000E0 -:040A130000000000DF -:040A140000000000DE -:040A150000000000DD -:040A160000000000DC -:040A170000000000DB -:040A180000000000DA -:040A190000000000D9 -:040A1A0000000000D8 -:040A1B0000000000D7 -:040A1C0000000000D6 -:040A1D0000000000D5 -:040A1E0000000000D4 -:040A1F0000000000D3 -:040A200000000000D2 -:040A210000000000D1 -:040A220000000000D0 -:040A230000000000CF -:040A240000000000CE -:040A250000000000CD -:040A260000000000CC -:040A270000000000CB -:040A280000000000CA -:040A290000000000C9 -:040A2A0000000000C8 -:040A2B0000000000C7 -:040A2C0000000000C6 -:040A2D0000000000C5 -:040A2E0000000000C4 -:040A2F0000000000C3 -:040A300000000000C2 -:040A310000000000C1 -:040A320000000000C0 -:040A330000000000BF -:040A340000000000BE -:040A350000000000BD -:040A360000000000BC -:040A370000000000BB -:040A380000000000BA -:040A390000000000B9 -:040A3A0000000000B8 -:040A3B0000000000B7 -:040A3C0000000000B6 -:040A3D0000000000B5 -:040A3E0000000000B4 -:040A3F0000000000B3 -:040A400000000000B2 -:040A410000000000B1 -:040A420000000000B0 -:040A430000000000AF -:040A440000000000AE -:040A450000000000AD -:040A460000000000AC -:040A470000000000AB -:040A480000000000AA -:040A490000000000A9 -:040A4A0000000000A8 -:040A4B0000000000A7 -:040A4C0000000000A6 -:040A4D0000000000A5 -:040A4E0000000000A4 -:040A4F0000000000A3 -:040A500000000000A2 -:040A510000000000A1 -:040A520000000000A0 -:040A5300000000009F -:040A5400000000009E -:040A5500000000009D -:040A5600000000009C -:040A5700000000009B -:040A5800000000009A -:040A59000000000099 -:040A5A000000000098 -:040A5B000000000097 -:040A5C000000000096 -:040A5D000000000095 -:040A5E000000000094 -:040A5F000000000093 -:040A60000000000092 -:040A61000000000091 -:040A62000000000090 -:040A6300000000008F -:040A6400000000008E -:040A6500000000008D -:040A6600000000008C -:040A6700000000008B -:040A6800000000008A -:040A69000000000089 -:040A6A000000000088 -:040A6B000000000087 -:040A6C000000000086 -:040A6D000000000085 -:040A6E000000000084 -:040A6F000000000083 -:040A70000000000082 -:040A71000000000081 -:040A72000000000080 -:040A7300000000007F -:040A7400000000007E -:040A7500000000007D -:040A7600000000007C -:040A7700000000007B -:040A7800000000007A -:040A79000000000079 -:040A7A000000000078 -:040A7B000000000077 -:040A7C000000000076 -:040A7D000000000075 -:040A7E000000000074 -:040A7F000000000073 -:040A80000000000072 -:040A81000000000071 -:040A82000000000070 -:040A8300000000006F -:040A8400000000006E -:040A8500000000006D -:040A8600000000006C -:040A8700000000006B -:040A8800000000006A -:040A89000000000069 -:040A8A000000000068 -:040A8B000000000067 -:040A8C000000000066 -:040A8D000000000065 -:040A8E000000000064 -:040A8F000000000063 -:040A90000000000062 -:040A91000000000061 -:040A92000000000060 -:040A9300000000005F -:040A9400000000005E -:040A9500000000005D -:040A9600000000005C -:040A9700000000005B -:040A9800000000005A -:040A99000000000059 -:040A9A000000000058 -:040A9B000000000057 -:040A9C000000000056 -:040A9D000000000055 -:040A9E000000000054 -:040A9F000000000053 -:040AA0000000000052 -:040AA1000000000051 -:040AA2000000000050 -:040AA300000000004F -:040AA400000000004E -:040AA500000000004D -:040AA600000000004C -:040AA700000000004B -:040AA800000000004A -:040AA9000000000049 -:040AAA000000000048 -:040AAB000000000047 -:040AAC000000000046 -:040AAD000000000045 -:040AAE000000000044 -:040AAF000000000043 -:040AB0000000000042 -:040AB1000000000041 -:040AB2000000000040 -:040AB300000000003F -:040AB400000000003E -:040AB500000000003D -:040AB600000000003C -:040AB700000000003B -:040AB800000000003A -:040AB9000000000039 -:040ABA000000000038 -:040ABB000000000037 -:040ABC000000000036 -:040ABD000000000035 -:040ABE000000000034 -:040ABF000000000033 -:040AC0000000000032 -:040AC1000000000031 -:040AC2000000000030 -:040AC300000000002F -:040AC400000000002E -:040AC500000000002D -:040AC600000000002C -:040AC700000000002B -:040AC800000000002A -:040AC9000000000029 -:040ACA000000000028 -:040ACB000000000027 -:040ACC000000000026 -:040ACD000000000025 -:040ACE000000000024 -:040ACF000000000023 -:040AD0000000000022 -:040AD1000000000021 -:040AD2000000000020 -:040AD300000000001F -:040AD400000000001E -:040AD500000000001D -:040AD600000000001C -:040AD700000000001B -:040AD800000000001A -:040AD9000000000019 -:040ADA000000000018 -:040ADB000000000017 -:040ADC000000000016 -:040ADD000000000015 -:040ADE000000000014 -:040ADF000000000013 -:040AE0000000000012 -:040AE1000000000011 -:040AE2000000000010 -:040AE300000000000F -:040AE400000000000E -:040AE500000000000D -:040AE600000000000C -:040AE700000000000B -:040AE800000000000A -:040AE9000000000009 -:040AEA000000000008 -:040AEB000000000007 -:040AEC000000000006 -:040AED000000000005 -:040AEE000000000004 -:040AEF000000000003 -:040AF0000000000002 -:040AF1000000000001 -:040AF2000000000000 -:040AF30000000000FF -:040AF40000000000FE -:040AF50000000000FD -:040AF60000000000FC -:040AF70000000000FB -:040AF80000000000FA -:040AF90000000000F9 -:040AFA0000000000F8 -:040AFB0000000000F7 -:040AFC0000000000F6 -:040AFD0000000000F5 -:040AFE0000000000F4 -:040AFF0000000000F3 -:040B000000000000F1 -:040B010000000000F0 -:040B020000000000EF -:040B030000000000EE -:040B040000000000ED -:040B050000000000EC -:040B060000000000EB -:040B070000000000EA -:040B080000000000E9 -:040B090000000000E8 -:040B0A0000000000E7 -:040B0B0000000000E6 -:040B0C0000000000E5 -:040B0D0000000000E4 -:040B0E0000000000E3 -:040B0F0000000000E2 -:040B100000000000E1 -:040B110000000000E0 -:040B120000000000DF -:040B130000000000DE -:040B140000000000DD -:040B150000000000DC -:040B160000000000DB -:040B170000000000DA -:040B180000000000D9 -:040B190000000000D8 -:040B1A0000000000D7 -:040B1B0000000000D6 -:040B1C0000000000D5 -:040B1D0000000000D4 -:040B1E0000000000D3 -:040B1F0000000000D2 -:040B200000000000D1 -:040B210000000000D0 -:040B220000000000CF -:040B230000000000CE -:040B240000000000CD -:040B250000000000CC -:040B260000000000CB -:040B270000000000CA -:040B280000000000C9 -:040B290000000000C8 -:040B2A0000000000C7 -:040B2B0000000000C6 -:040B2C0000000000C5 -:040B2D0000000000C4 -:040B2E0000000000C3 -:040B2F0000000000C2 -:040B300000000000C1 -:040B310000000000C0 -:040B320000000000BF -:040B330000000000BE -:040B340000000000BD -:040B350000000000BC -:040B360000000000BB -:040B370000000000BA -:040B380000000000B9 -:040B390000000000B8 -:040B3A0000000000B7 -:040B3B0000000000B6 -:040B3C0000000000B5 -:040B3D0000000000B4 -:040B3E0000000000B3 -:040B3F0000000000B2 -:040B400000000000B1 -:040B410000000000B0 -:040B420000000000AF -:040B430000000000AE -:040B440000000000AD -:040B450000000000AC -:040B460000000000AB -:040B470000000000AA -:040B480000000000A9 -:040B490000000000A8 -:040B4A0000000000A7 -:040B4B0000000000A6 -:040B4C0000000000A5 -:040B4D0000000000A4 -:040B4E0000000000A3 -:040B4F0000000000A2 -:040B500000000000A1 -:040B510000000000A0 -:040B5200000000009F -:040B5300000000009E -:040B5400000000009D -:040B5500000000009C -:040B5600000000009B -:040B5700000000009A -:040B58000000000099 -:040B59000000000098 -:040B5A000000000097 -:040B5B000000000096 -:040B5C000000000095 -:040B5D000000000094 -:040B5E000000000093 -:040B5F000000000092 -:040B60000000000091 -:040B61000000000090 -:040B6200000000008F -:040B6300000000008E -:040B6400000000008D -:040B6500000000008C -:040B6600000000008B -:040B6700000000008A -:040B68000000000089 -:040B69000000000088 -:040B6A000000000087 -:040B6B000000000086 -:040B6C000000000085 -:040B6D000000000084 -:040B6E000000000083 -:040B6F000000000082 -:040B70000000000081 -:040B71000000000080 -:040B7200000000007F -:040B7300000000007E -:040B7400000000007D -:040B7500000000007C -:040B7600000000007B -:040B7700000000007A -:040B78000000000079 -:040B79000000000078 -:040B7A000000000077 -:040B7B000000000076 -:040B7C000000000075 -:040B7D000000000074 -:040B7E000000000073 -:040B7F000000000072 -:040B80000000000071 -:040B81000000000070 -:040B8200000000006F -:040B8300000000006E -:040B8400000000006D -:040B8500000000006C -:040B8600000000006B -:040B8700000000006A -:040B88000000000069 -:040B89000000000068 -:040B8A000000000067 -:040B8B000000000066 -:040B8C000000000065 -:040B8D000000000064 -:040B8E000000000063 -:040B8F000000000062 -:040B90000000000061 -:040B91000000000060 -:040B9200000000005F -:040B9300000000005E -:040B9400000000005D -:040B9500000000005C -:040B9600000000005B -:040B9700000000005A -:040B98000000000059 -:040B99000000000058 -:040B9A000000000057 -:040B9B000000000056 -:040B9C000000000055 -:040B9D000000000054 -:040B9E000000000053 -:040B9F000000000052 -:040BA0000000000051 -:040BA1000000000050 -:040BA200000000004F -:040BA300000000004E -:040BA400000000004D -:040BA500000000004C -:040BA600000000004B -:040BA700000000004A -:040BA8000000000049 -:040BA9000000000048 -:040BAA000000000047 -:040BAB000000000046 -:040BAC000000000045 -:040BAD000000000044 -:040BAE000000000043 -:040BAF000000000042 -:040BB0000000000041 -:040BB1000000000040 -:040BB200000000003F -:040BB300000000003E -:040BB400000000003D -:040BB500000000003C -:040BB600000000003B -:040BB700000000003A -:040BB8000000000039 -:040BB9000000000038 -:040BBA000000000037 -:040BBB000000000036 -:040BBC000000000035 -:040BBD000000000034 -:040BBE000000000033 -:040BBF000000000032 -:040BC0000000000031 -:040BC1000000000030 -:040BC200000000002F -:040BC300000000002E -:040BC400000000002D -:040BC500000000002C -:040BC600000000002B -:040BC700000000002A -:040BC8000000000029 -:040BC9000000000028 -:040BCA000000000027 -:040BCB000000000026 -:040BCC000000000025 -:040BCD000000000024 -:040BCE000000000023 -:040BCF000000000022 -:040BD0000000000021 -:040BD1000000000020 -:040BD200000000001F -:040BD300000000001E -:040BD400000000001D -:040BD500000000001C -:040BD600000000001B -:040BD700000000001A -:040BD8000000000019 -:040BD9000000000018 -:040BDA000000000017 -:040BDB000000000016 -:040BDC000000000015 -:040BDD000000000014 -:040BDE000000000013 -:040BDF000000000012 -:040BE0000000000011 -:040BE1000000000010 -:040BE200000000000F -:040BE300000000000E -:040BE400000000000D -:040BE500000000000C -:040BE600000000000B -:040BE700000000000A -:040BE8000000000009 -:040BE9000000000008 -:040BEA000000000007 -:040BEB000000000006 -:040BEC000000000005 -:040BED000000000004 -:040BEE000000000003 -:040BEF000000000002 -:040BF0000000000001 -:040BF1000000000000 -:040BF20000000000FF -:040BF30000000000FE -:040BF40000000000FD -:040BF50000000000FC -:040BF60000000000FB -:040BF70000000000FA -:040BF80000000000F9 -:040BF90000000000F8 -:040BFA0000000000F7 -:040BFB0000000000F6 -:040BFC0000000000F5 -:040BFD0000000000F4 -:040BFE0000000000F3 -:040BFF0000000000F2 -:040C000000000000F0 -:040C010000000000EF -:040C020000000000EE -:040C030000000000ED -:040C040000000000EC -:040C050000000000EB -:040C060000000000EA -:040C070000000000E9 -:040C080000000000E8 -:040C090000000000E7 -:040C0A0000000000E6 -:040C0B0000000000E5 -:040C0C0000000000E4 -:040C0D0000000000E3 -:040C0E0000000000E2 -:040C0F0000000000E1 -:040C100000000000E0 -:040C110000000000DF -:040C120000000000DE -:040C130000000000DD -:040C140000000000DC -:040C150000000000DB -:040C160000000000DA -:040C170000000000D9 -:040C180000000000D8 -:040C190000000000D7 -:040C1A0000000000D6 -:040C1B0000000000D5 -:040C1C0000000000D4 -:040C1D0000000000D3 -:040C1E0000000000D2 -:040C1F0000000000D1 -:040C200000000000D0 -:040C210000000000CF -:040C220000000000CE -:040C230000000000CD -:040C240000000000CC -:040C250000000000CB -:040C260000000000CA -:040C270000000000C9 -:040C280000000000C8 -:040C290000000000C7 -:040C2A0000000000C6 -:040C2B0000000000C5 -:040C2C0000000000C4 -:040C2D0000000000C3 -:040C2E0000000000C2 -:040C2F0000000000C1 -:040C300000000000C0 -:040C310000000000BF -:040C320000000000BE -:040C330000000000BD -:040C340000000000BC -:040C350000000000BB -:040C360000000000BA -:040C370000000000B9 -:040C380000000000B8 -:040C390000000000B7 -:040C3A0000000000B6 -:040C3B0000000000B5 -:040C3C0000000000B4 -:040C3D0000000000B3 -:040C3E0000000000B2 -:040C3F0000000000B1 -:040C400000000000B0 -:040C410000000000AF -:040C420000000000AE -:040C430000000000AD -:040C440000000000AC -:040C450000000000AB -:040C460000000000AA -:040C470000000000A9 -:040C480000000000A8 -:040C490000000000A7 -:040C4A0000000000A6 -:040C4B0000000000A5 -:040C4C0000000000A4 -:040C4D0000000000A3 -:040C4E0000000000A2 -:040C4F0000000000A1 -:040C500000000000A0 -:040C5100000000009F -:040C5200000000009E -:040C5300000000009D -:040C5400000000009C -:040C5500000000009B -:040C5600000000009A -:040C57000000000099 -:040C58000000000098 -:040C59000000000097 -:040C5A000000000096 -:040C5B000000000095 -:040C5C000000000094 -:040C5D000000000093 -:040C5E000000000092 -:040C5F000000000091 -:040C60000000000090 -:040C6100000000008F -:040C6200000000008E -:040C6300000000008D -:040C6400000000008C -:040C6500000000008B -:040C6600000000008A -:040C67000000000089 -:040C68000000000088 -:040C69000000000087 -:040C6A000000000086 -:040C6B000000000085 -:040C6C000000000084 -:040C6D000000000083 -:040C6E000000000082 -:040C6F000000000081 -:040C70000000000080 -:040C7100000000007F -:040C7200000000007E -:040C7300000000007D -:040C7400000000007C -:040C7500000000007B -:040C7600000000007A -:040C77000000000079 -:040C78000000000078 -:040C79000000000077 -:040C7A000000000076 -:040C7B000000000075 -:040C7C000000000074 -:040C7D000000000073 -:040C7E000000000072 -:040C7F000000000071 -:040C80000000000070 -:040C8100000000006F -:040C8200000000006E -:040C8300000000006D -:040C8400000000006C -:040C8500000000006B -:040C8600000000006A -:040C87000000000069 -:040C88000000000068 -:040C89000000000067 -:040C8A000000000066 -:040C8B000000000065 -:040C8C000000000064 -:040C8D000000000063 -:040C8E000000000062 -:040C8F000000000061 -:040C90000000000060 -:040C9100000000005F -:040C9200000000005E -:040C9300000000005D -:040C9400000000005C -:040C9500000000005B -:040C9600000000005A -:040C97000000000059 -:040C98000000000058 -:040C99000000000057 -:040C9A000000000056 -:040C9B000000000055 -:040C9C000000000054 -:040C9D000000000053 -:040C9E000000000052 -:040C9F000000000051 -:040CA0000000000050 -:040CA100000000004F -:040CA200000000004E -:040CA300000000004D -:040CA400000000004C -:040CA500000000004B -:040CA600000000004A -:040CA7000000000049 -:040CA8000000000048 -:040CA9000000000047 -:040CAA000000000046 -:040CAB000000000045 -:040CAC000000000044 -:040CAD000000000043 -:040CAE000000000042 -:040CAF000000000041 -:040CB0000000000040 -:040CB100000000003F -:040CB200000000003E -:040CB300000000003D -:040CB400000000003C -:040CB500000000003B -:040CB600000000003A -:040CB7000000000039 -:040CB8000000000038 -:040CB9000000000037 -:040CBA000000000036 -:040CBB000000000035 -:040CBC000000000034 -:040CBD000000000033 -:040CBE000000000032 -:040CBF000000000031 -:040CC0000000000030 -:040CC100000000002F -:040CC200000000002E -:040CC300000000002D -:040CC400000000002C -:040CC500000000002B -:040CC600000000002A -:040CC7000000000029 -:040CC8000000000028 -:040CC9000000000027 -:040CCA000000000026 -:040CCB000000000025 -:040CCC000000000024 -:040CCD000000000023 -:040CCE000000000022 -:040CCF000000000021 -:040CD0000000000020 -:040CD100000000001F -:040CD200000000001E -:040CD300000000001D -:040CD400000000001C -:040CD500000000001B -:040CD600000000001A -:040CD7000000000019 -:040CD8000000000018 -:040CD9000000000017 -:040CDA000000000016 -:040CDB000000000015 -:040CDC000000000014 -:040CDD000000000013 -:040CDE000000000012 -:040CDF000000000011 -:040CE0000000000010 -:040CE100000000000F -:040CE200000000000E -:040CE300000000000D -:040CE400000000000C -:040CE500000000000B -:040CE600000000000A -:040CE7000000000009 -:040CE8000000000008 -:040CE9000000000007 -:040CEA000000000006 -:040CEB000000000005 -:040CEC000000000004 -:040CED000000000003 -:040CEE000000000002 -:040CEF000000000001 -:040CF0000000000000 -:040CF10000000000FF -:040CF20000000000FE -:040CF30000000000FD -:040CF40000000000FC -:040CF50000000000FB -:040CF60000000000FA -:040CF70000000000F9 -:040CF80000000000F8 -:040CF90000000000F7 -:040CFA0000000000F6 -:040CFB0000000000F5 -:040CFC0000000000F4 -:040CFD0000000000F3 -:040CFE0000000000F2 -:040CFF0000000000F1 -:040D000000000000EF -:040D010000000000EE -:040D020000000000ED -:040D030000000000EC -:040D040000000000EB -:040D050000000000EA -:040D060000000000E9 -:040D070000000000E8 -:040D080000000000E7 -:040D090000000000E6 -:040D0A0000000000E5 -:040D0B0000000000E4 -:040D0C0000000000E3 -:040D0D0000000000E2 -:040D0E0000000000E1 -:040D0F0000000000E0 -:040D100000000000DF -:040D110000000000DE -:040D120000000000DD -:040D130000000000DC -:040D140000000000DB -:040D150000000000DA -:040D160000000000D9 -:040D170000000000D8 -:040D180000000000D7 -:040D190000000000D6 -:040D1A0000000000D5 -:040D1B0000000000D4 -:040D1C0000000000D3 -:040D1D0000000000D2 -:040D1E0000000000D1 -:040D1F0000000000D0 -:040D200000000000CF -:040D210000000000CE -:040D220000000000CD -:040D230000000000CC -:040D240000000000CB -:040D250000000000CA -:040D260000000000C9 -:040D270000000000C8 -:040D280000000000C7 -:040D290000000000C6 -:040D2A0000000000C5 -:040D2B0000000000C4 -:040D2C0000000000C3 -:040D2D0000000000C2 -:040D2E0000000000C1 -:040D2F0000000000C0 -:040D300000000000BF -:040D310000000000BE -:040D320000000000BD -:040D330000000000BC -:040D340000000000BB -:040D350000000000BA -:040D360000000000B9 -:040D370000000000B8 -:040D380000000000B7 -:040D390000000000B6 -:040D3A0000000000B5 -:040D3B0000000000B4 -:040D3C0000000000B3 -:040D3D0000000000B2 -:040D3E0000000000B1 -:040D3F0000000000B0 -:040D400000000000AF -:040D410000000000AE -:040D420000000000AD -:040D430000000000AC -:040D440000000000AB -:040D450000000000AA -:040D460000000000A9 -:040D470000000000A8 -:040D480000000000A7 -:040D490000000000A6 -:040D4A0000000000A5 -:040D4B0000000000A4 -:040D4C0000000000A3 -:040D4D0000000000A2 -:040D4E0000000000A1 -:040D4F0000000000A0 -:040D5000000000009F -:040D5100000000009E -:040D5200000000009D -:040D5300000000009C -:040D5400000000009B -:040D5500000000009A -:040D56000000000099 -:040D57000000000098 -:040D58000000000097 -:040D59000000000096 -:040D5A000000000095 -:040D5B000000000094 -:040D5C000000000093 -:040D5D000000000092 -:040D5E000000000091 -:040D5F000000000090 -:040D6000000000008F -:040D6100000000008E -:040D6200000000008D -:040D6300000000008C -:040D6400000000008B -:040D6500000000008A -:040D66000000000089 -:040D67000000000088 -:040D68000000000087 -:040D69000000000086 -:040D6A000000000085 -:040D6B000000000084 -:040D6C000000000083 -:040D6D000000000082 -:040D6E000000000081 -:040D6F000000000080 -:040D7000000000007F -:040D7100000000007E -:040D7200000000007D -:040D7300000000007C -:040D7400000000007B -:040D7500000000007A -:040D76000000000079 -:040D77000000000078 -:040D78000000000077 -:040D79000000000076 -:040D7A000000000075 -:040D7B000000000074 -:040D7C000000000073 -:040D7D000000000072 -:040D7E000000000071 -:040D7F000000000070 -:040D8000000000006F -:040D8100000000006E -:040D8200000000006D -:040D8300000000006C -:040D8400000000006B -:040D8500000000006A -:040D86000000000069 -:040D87000000000068 -:040D88000000000067 -:040D89000000000066 -:040D8A000000000065 -:040D8B000000000064 -:040D8C000000000063 -:040D8D000000000062 -:040D8E000000000061 -:040D8F000000000060 -:040D9000000000005F -:040D9100000000005E -:040D9200000000005D -:040D9300000000005C -:040D9400000000005B -:040D9500000000005A -:040D96000000000059 -:040D97000000000058 -:040D98000000000057 -:040D99000000000056 -:040D9A000000000055 -:040D9B000000000054 -:040D9C000000000053 -:040D9D000000000052 -:040D9E000000000051 -:040D9F000000000050 -:040DA000000000004F -:040DA100000000004E -:040DA200000000004D -:040DA300000000004C -:040DA400000000004B -:040DA500000000004A -:040DA6000000000049 -:040DA7000000000048 -:040DA8000000000047 -:040DA9000000000046 -:040DAA000000000045 -:040DAB000000000044 -:040DAC000000000043 -:040DAD000000000042 -:040DAE000000000041 -:040DAF000000000040 -:040DB000000000003F -:040DB100000000003E -:040DB200000000003D -:040DB300000000003C -:040DB400000000003B -:040DB500000000003A -:040DB6000000000039 -:040DB7000000000038 -:040DB8000000000037 -:040DB9000000000036 -:040DBA000000000035 -:040DBB000000000034 -:040DBC000000000033 -:040DBD000000000032 -:040DBE000000000031 -:040DBF000000000030 -:040DC000000000002F -:040DC100000000002E -:040DC200000000002D -:040DC300000000002C -:040DC400000000002B -:040DC500000000002A -:040DC6000000000029 -:040DC7000000000028 -:040DC8000000000027 -:040DC9000000000026 -:040DCA000000000025 -:040DCB000000000024 -:040DCC000000000023 -:040DCD000000000022 -:040DCE000000000021 -:040DCF000000000020 -:040DD000000000001F -:040DD100000000001E -:040DD200000000001D -:040DD300000000001C -:040DD400000000001B -:040DD500000000001A -:040DD6000000000019 -:040DD7000000000018 -:040DD8000000000017 -:040DD9000000000016 -:040DDA000000000015 -:040DDB000000000014 -:040DDC000000000013 -:040DDD000000000012 -:040DDE000000000011 -:040DDF000000000010 -:040DE000000000000F -:040DE100000000000E -:040DE200000000000D -:040DE300000000000C -:040DE400000000000B -:040DE500000000000A -:040DE6000000000009 -:040DE7000000000008 -:040DE8000000000007 -:040DE9000000000006 -:040DEA000000000005 -:040DEB000000000004 -:040DEC000000000003 -:040DED000000000002 -:040DEE000000000001 -:040DEF000000000000 -:040DF00000000000FF -:040DF10000000000FE -:040DF20000000000FD -:040DF30000000000FC -:040DF40000000000FB -:040DF50000000000FA -:040DF60000000000F9 -:040DF70000000000F8 -:040DF80000000000F7 -:040DF90000000000F6 -:040DFA0000000000F5 -:040DFB0000000000F4 -:040DFC0000000000F3 -:040DFD0000000000F2 -:040DFE0000000000F1 -:040DFF0000000000F0 -:040E000000000000EE -:040E010000000000ED -:040E020000000000EC -:040E030000000000EB -:040E040000000000EA -:040E050000000000E9 -:040E060000000000E8 -:040E070000000000E7 -:040E080000000000E6 -:040E090000000000E5 -:040E0A0000000000E4 -:040E0B0000000000E3 -:040E0C0000000000E2 -:040E0D0000000000E1 -:040E0E0000000000E0 -:040E0F0000000000DF -:040E100000000000DE -:040E110000000000DD -:040E120000000000DC -:040E130000000000DB -:040E140000000000DA -:040E150000000000D9 -:040E160000000000D8 -:040E170000000000D7 -:040E180000000000D6 -:040E190000000000D5 -:040E1A0000000000D4 -:040E1B0000000000D3 -:040E1C0000000000D2 -:040E1D0000000000D1 -:040E1E0000000000D0 -:040E1F0000000000CF -:040E200000000000CE -:040E210000000000CD -:040E220000000000CC -:040E230000000000CB -:040E240000000000CA -:040E250000000000C9 -:040E260000000000C8 -:040E270000000000C7 -:040E280000000000C6 -:040E290000000000C5 -:040E2A0000000000C4 -:040E2B0000000000C3 -:040E2C0000000000C2 -:040E2D0000000000C1 -:040E2E0000000000C0 -:040E2F0000000000BF -:040E300000000000BE -:040E310000000000BD -:040E320000000000BC -:040E330000000000BB -:040E340000000000BA -:040E350000000000B9 -:040E360000000000B8 -:040E370000000000B7 -:040E380000000000B6 -:040E390000000000B5 -:040E3A0000000000B4 -:040E3B0000000000B3 -:040E3C0000000000B2 -:040E3D0000000000B1 -:040E3E0000000000B0 -:040E3F0000000000AF -:040E400000000000AE -:040E410000000000AD -:040E420000000000AC -:040E430000000000AB -:040E440000000000AA -:040E450000000000A9 -:040E460000000000A8 -:040E470000000000A7 -:040E480000000000A6 -:040E490000000000A5 -:040E4A0000000000A4 -:040E4B0000000000A3 -:040E4C0000000000A2 -:040E4D0000000000A1 -:040E4E0000000000A0 -:040E4F00000000009F -:040E5000000000009E -:040E5100000000009D -:040E5200000000009C -:040E5300000000009B -:040E5400000000009A -:040E55000000000099 -:040E56000000000098 -:040E57000000000097 -:040E58000000000096 -:040E59000000000095 -:040E5A000000000094 -:040E5B000000000093 -:040E5C000000000092 -:040E5D000000000091 -:040E5E000000000090 -:040E5F00000000008F -:040E6000000000008E -:040E6100000000008D -:040E6200000000008C -:040E6300000000008B -:040E6400000000008A -:040E65000000000089 -:040E66000000000088 -:040E67000000000087 -:040E68000000000086 -:040E69000000000085 -:040E6A000000000084 -:040E6B000000000083 -:040E6C000000000082 -:040E6D000000000081 -:040E6E000000000080 -:040E6F00000000007F -:040E7000000000007E -:040E7100000000007D -:040E7200000000007C -:040E7300000000007B -:040E7400000000007A -:040E75000000000079 -:040E76000000000078 -:040E77000000000077 -:040E78000000000076 -:040E79000000000075 -:040E7A000000000074 -:040E7B000000000073 -:040E7C000000000072 -:040E7D000000000071 -:040E7E000000000070 -:040E7F00000000006F -:040E8000000000006E -:040E8100000000006D -:040E8200000000006C -:040E8300000000006B -:040E8400000000006A -:040E85000000000069 -:040E86000000000068 -:040E87000000000067 -:040E88000000000066 -:040E89000000000065 -:040E8A000000000064 -:040E8B000000000063 -:040E8C000000000062 -:040E8D000000000061 -:040E8E000000000060 -:040E8F00000000005F -:040E9000000000005E -:040E9100000000005D -:040E9200000000005C -:040E9300000000005B -:040E9400000000005A -:040E95000000000059 -:040E96000000000058 -:040E97000000000057 -:040E98000000000056 -:040E99000000000055 -:040E9A000000000054 -:040E9B000000000053 -:040E9C000000000052 -:040E9D000000000051 -:040E9E000000000050 -:040E9F00000000004F -:040EA000000000004E -:040EA100000000004D -:040EA200000000004C -:040EA300000000004B -:040EA400000000004A -:040EA5000000000049 -:040EA6000000000048 -:040EA7000000000047 -:040EA8000000000046 -:040EA9000000000045 -:040EAA000000000044 -:040EAB000000000043 -:040EAC000000000042 -:040EAD000000000041 -:040EAE000000000040 -:040EAF00000000003F -:040EB000000000003E -:040EB100000000003D -:040EB200000000003C -:040EB300000000003B -:040EB400000000003A -:040EB5000000000039 -:040EB6000000000038 -:040EB7000000000037 -:040EB8000000000036 -:040EB9000000000035 -:040EBA000000000034 -:040EBB000000000033 -:040EBC000000000032 -:040EBD000000000031 -:040EBE000000000030 -:040EBF00000000002F -:040EC000000000002E -:040EC100000000002D -:040EC200000000002C -:040EC300000000002B -:040EC400000000002A -:040EC5000000000029 -:040EC6000000000028 -:040EC7000000000027 -:040EC8000000000026 -:040EC9000000000025 -:040ECA000000000024 -:040ECB000000000023 -:040ECC000000000022 -:040ECD000000000021 -:040ECE000000000020 -:040ECF00000000001F -:040ED000000000001E -:040ED100000000001D -:040ED200000000001C -:040ED300000000001B -:040ED400000000001A -:040ED5000000000019 -:040ED6000000000018 -:040ED7000000000017 -:040ED8000000000016 -:040ED9000000000015 -:040EDA000000000014 -:040EDB000000000013 -:040EDC000000000012 -:040EDD000000000011 -:040EDE000000000010 -:040EDF00000000000F -:040EE000000000000E -:040EE100000000000D -:040EE200000000000C -:040EE300000000000B -:040EE400000000000A -:040EE5000000000009 -:040EE6000000000008 -:040EE7000000000007 -:040EE8000000000006 -:040EE9000000000005 -:040EEA000000000004 -:040EEB000000000003 -:040EEC000000000002 -:040EED000000000001 -:040EEE000000000000 -:040EEF0000000000FF -:040EF00000000000FE -:040EF10000000000FD -:040EF20000000000FC -:040EF30000000000FB -:040EF40000000000FA -:040EF50000000000F9 -:040EF60000000000F8 -:040EF70000000000F7 -:040EF80000000000F6 -:040EF90000000000F5 -:040EFA0000000000F4 -:040EFB0000000000F3 -:040EFC0000000000F2 -:040EFD0000000000F1 -:040EFE0000000000F0 -:040EFF0000000000EF -:040F000000000000ED -:040F010000000000EC -:040F020000000000EB -:040F030000000000EA -:040F040000000000E9 -:040F050000000000E8 -:040F060000000000E7 -:040F070000000000E6 -:040F080000000000E5 -:040F090000000000E4 -:040F0A0000000000E3 -:040F0B0000000000E2 -:040F0C0000000000E1 -:040F0D0000000000E0 -:040F0E0000000000DF -:040F0F0000000000DE -:040F100000000000DD -:040F110000000000DC -:040F120000000000DB -:040F130000000000DA -:040F140000000000D9 -:040F150000000000D8 -:040F160000000000D7 -:040F170000000000D6 -:040F180000000000D5 -:040F190000000000D4 -:040F1A0000000000D3 -:040F1B0000000000D2 -:040F1C0000000000D1 -:040F1D0000000000D0 -:040F1E0000000000CF -:040F1F0000000000CE -:040F200000000000CD -:040F210000000000CC -:040F220000000000CB -:040F230000000000CA -:040F240000000000C9 -:040F250000000000C8 -:040F260000000000C7 -:040F270000000000C6 -:040F280000000000C5 -:040F290000000000C4 -:040F2A0000000000C3 -:040F2B0000000000C2 -:040F2C0000000000C1 -:040F2D0000000000C0 -:040F2E0000000000BF -:040F2F0000000000BE -:040F300000000000BD -:040F310000000000BC -:040F320000000000BB -:040F330000000000BA -:040F340000000000B9 -:040F350000000000B8 -:040F360000000000B7 -:040F370000000000B6 -:040F380000000000B5 -:040F390000000000B4 -:040F3A0000000000B3 -:040F3B0000000000B2 -:040F3C0000000000B1 -:040F3D0000000000B0 -:040F3E0000000000AF -:040F3F0000000000AE -:040F400000000000AD -:040F410000000000AC -:040F420000000000AB -:040F430000000000AA -:040F440000000000A9 -:040F450000000000A8 -:040F460000000000A7 -:040F470000000000A6 -:040F480000000000A5 -:040F490000000000A4 -:040F4A0000000000A3 -:040F4B0000000000A2 -:040F4C0000000000A1 -:040F4D0000000000A0 -:040F4E00000000009F -:040F4F00000000009E -:040F5000000000009D -:040F5100000000009C -:040F5200000000009B -:040F5300000000009A -:040F54000000000099 -:040F55000000000098 -:040F56000000000097 -:040F57000000000096 -:040F58000000000095 -:040F59000000000094 -:040F5A000000000093 -:040F5B000000000092 -:040F5C000000000091 -:040F5D000000000090 -:040F5E00000000008F -:040F5F00000000008E -:040F6000000000008D -:040F6100000000008C -:040F6200000000008B -:040F6300000000008A -:040F64000000000089 -:040F65000000000088 -:040F66000000000087 -:040F67000000000086 -:040F68000000000085 -:040F69000000000084 -:040F6A000000000083 -:040F6B000000000082 -:040F6C000000000081 -:040F6D000000000080 -:040F6E00000000007F -:040F6F00000000007E -:040F7000000000007D -:040F7100000000007C -:040F7200000000007B -:040F7300000000007A -:040F74000000000079 -:040F75000000000078 -:040F76000000000077 -:040F77000000000076 -:040F78000000000075 -:040F79000000000074 -:040F7A000000000073 -:040F7B000000000072 -:040F7C000000000071 -:040F7D000000000070 -:040F7E00000000006F -:040F7F00000000006E -:040F8000000000006D -:040F8100000000006C -:040F8200000000006B -:040F8300000000006A -:040F84000000000069 -:040F85000000000068 -:040F86000000000067 -:040F87000000000066 -:040F88000000000065 -:040F89000000000064 -:040F8A000000000063 -:040F8B000000000062 -:040F8C000000000061 -:040F8D000000000060 -:040F8E00000000005F -:040F8F00000000005E -:040F9000000000005D -:040F9100000000005C -:040F9200000000005B -:040F9300000000005A -:040F94000000000059 -:040F95000000000058 -:040F96000000000057 -:040F97000000000056 -:040F98000000000055 -:040F99000000000054 -:040F9A000000000053 -:040F9B000000000052 -:040F9C000000000051 -:040F9D000000000050 -:040F9E00000000004F -:040F9F00000000004E -:040FA000000000004D -:040FA100000000004C -:040FA200000000004B -:040FA300000000004A -:040FA4000000000049 -:040FA5000000000048 -:040FA6000000000047 -:040FA7000000000046 -:040FA8000000000045 -:040FA9000000000044 -:040FAA000000000043 -:040FAB000000000042 -:040FAC000000000041 -:040FAD000000000040 -:040FAE00000000003F -:040FAF00000000003E -:040FB000000000003D -:040FB100000000003C -:040FB200000000003B -:040FB300000000003A -:040FB4000000000039 -:040FB5000000000038 -:040FB6000000000037 -:040FB7000000000036 -:040FB8000000000035 -:040FB9000000000034 -:040FBA000000000033 -:040FBB000000000032 -:040FBC000000000031 -:040FBD000000000030 -:040FBE00000000002F -:040FBF00000000002E -:040FC000000000002D -:040FC100000000002C -:040FC200000000002B -:040FC300000000002A -:040FC4000000000029 -:040FC5000000000028 -:040FC6000000000027 -:040FC7000000000026 -:040FC8000000000025 -:040FC9000000000024 -:040FCA000000000023 -:040FCB000000000022 -:040FCC000000000021 -:040FCD000000000020 -:040FCE00000000001F -:040FCF00000000001E -:040FD000000000001D -:040FD100000000001C -:040FD200000000001B -:040FD300000000001A -:040FD4000000000019 -:040FD5000000000018 -:040FD6000000000017 -:040FD7000000000016 -:040FD8000000000015 -:040FD9000000000014 -:040FDA000000000013 -:040FDB000000000012 -:040FDC000000000011 -:040FDD000000000010 -:040FDE00000000000F -:040FDF00000000000E -:040FE000000000000D -:040FE100000000000C -:040FE200000000000B -:040FE300000000000A -:040FE4000000000009 -:040FE5000000000008 -:040FE6000000000007 -:040FE7000000000006 -:040FE8000000000005 -:040FE9000000000004 -:040FEA000000000003 -:040FEB000000000002 -:040FEC000000000001 -:040FED000000000000 -:040FEE0000000000FF -:040FEF0000000000FE -:040FF00000000000FD -:040FF10000000000FC -:040FF20000000000FB -:040FF30000000000FA -:040FF40000000000F9 -:040FF50000000000F8 -:040FF60000000000F7 -:040FF70000000000F6 -:040FF80000000000F5 -:040FF90000000000F4 -:040FFA0000000000F3 -:040FFB0000000000F2 -:040FFC0000000000F1 -:040FFD0000000000F0 -:040FFE0000000000EF -:040FFF0000000000EE -:0410000000000000EC -:0410010000000000EB -:0410020000000000EA -:0410030000000000E9 -:0410040000000000E8 -:0410050000000000E7 -:0410060000000000E6 -:0410070000000000E5 -:0410080000000000E4 -:0410090000000000E3 -:04100A0000000000E2 -:04100B0000000000E1 -:04100C0000000000E0 -:04100D0000000000DF -:04100E0000000000DE -:04100F0000000000DD -:0410100000000000DC -:0410110000000000DB -:0410120000000000DA -:0410130000000000D9 -:0410140000000000D8 -:0410150000000000D7 -:0410160000000000D6 -:0410170000000000D5 -:0410180000000000D4 -:0410190000000000D3 -:04101A0000000000D2 -:04101B0000000000D1 -:04101C0000000000D0 -:04101D0000000000CF -:04101E0000000000CE -:04101F0000000000CD -:0410200000000000CC -:0410210000000000CB -:0410220000000000CA -:0410230000000000C9 -:0410240000000000C8 -:0410250000000000C7 -:0410260000000000C6 -:0410270000000000C5 -:0410280000000000C4 -:0410290000000000C3 -:04102A0000000000C2 -:04102B0000000000C1 -:04102C0000000000C0 -:04102D0000000000BF -:04102E0000000000BE -:04102F0000000000BD -:0410300000000000BC -:0410310000000000BB -:0410320000000000BA -:0410330000000000B9 -:0410340000000000B8 -:0410350000000000B7 -:0410360000000000B6 -:0410370000000000B5 -:0410380000000000B4 -:0410390000000000B3 -:04103A0000000000B2 -:04103B0000000000B1 -:04103C0000000000B0 -:04103D0000000000AF -:04103E0000000000AE -:04103F0000000000AD -:0410400000000000AC -:0410410000000000AB -:0410420000000000AA -:0410430000000000A9 -:0410440000000000A8 -:0410450000000000A7 -:0410460000000000A6 -:0410470000000000A5 -:0410480000000000A4 -:0410490000000000A3 -:04104A0000000000A2 -:04104B0000000000A1 -:04104C0000000000A0 -:04104D00000000009F -:04104E00000000009E -:04104F00000000009D -:04105000000000009C -:04105100000000009B -:04105200000000009A -:041053000000000099 -:041054000000000098 -:041055000000000097 -:041056000000000096 -:041057000000000095 -:041058000000000094 -:041059000000000093 -:04105A000000000092 -:04105B000000000091 -:04105C000000000090 -:04105D00000000008F -:04105E00000000008E -:04105F00000000008D -:04106000000000008C -:04106100000000008B -:04106200000000008A -:041063000000000089 -:041064000000000088 -:041065000000000087 -:041066000000000086 -:041067000000000085 -:041068000000000084 -:041069000000000083 -:04106A000000000082 -:04106B000000000081 -:04106C000000000080 -:04106D00000000007F -:04106E00000000007E -:04106F00000000007D -:04107000000000007C -:04107100000000007B -:04107200000000007A -:041073000000000079 -:041074000000000078 -:041075000000000077 -:041076000000000076 -:041077000000000075 -:041078000000000074 -:041079000000000073 -:04107A000000000072 -:04107B000000000071 -:04107C000000000070 -:04107D00000000006F -:04107E00000000006E -:04107F00000000006D -:04108000000000006C -:04108100000000006B -:04108200000000006A -:041083000000000069 -:041084000000000068 -:041085000000000067 -:041086000000000066 -:041087000000000065 -:041088000000000064 -:041089000000000063 -:04108A000000000062 -:04108B000000000061 -:04108C000000000060 -:04108D00000000005F -:04108E00000000005E -:04108F00000000005D -:04109000000000005C -:04109100000000005B -:04109200000000005A -:041093000000000059 -:041094000000000058 -:041095000000000057 -:041096000000000056 -:041097000000000055 -:041098000000000054 -:041099000000000053 -:04109A000000000052 -:04109B000000000051 -:04109C000000000050 -:04109D00000000004F -:04109E00000000004E -:04109F00000000004D -:0410A000000000004C -:0410A100000000004B -:0410A200000000004A -:0410A3000000000049 -:0410A4000000000048 -:0410A5000000000047 -:0410A6000000000046 -:0410A7000000000045 -:0410A8000000000044 -:0410A9000000000043 -:0410AA000000000042 -:0410AB000000000041 -:0410AC000000000040 -:0410AD00000000003F -:0410AE00000000003E -:0410AF00000000003D -:0410B000000000003C -:0410B100000000003B -:0410B200000000003A -:0410B3000000000039 -:0410B4000000000038 -:0410B5000000000037 -:0410B6000000000036 -:0410B7000000000035 -:0410B8000000000034 -:0410B9000000000033 -:0410BA000000000032 -:0410BB000000000031 -:0410BC000000000030 -:0410BD00000000002F -:0410BE00000000002E -:0410BF00000000002D -:0410C000000000002C -:0410C100000000002B -:0410C200000000002A -:0410C3000000000029 -:0410C4000000000028 -:0410C5000000000027 -:0410C6000000000026 -:0410C7000000000025 -:0410C8000000000024 -:0410C9000000000023 -:0410CA000000000022 -:0410CB000000000021 -:0410CC000000000020 -:0410CD00000000001F -:0410CE00000000001E -:0410CF00000000001D -:0410D000000000001C -:0410D100000000001B -:0410D200000000001A -:0410D3000000000019 -:0410D4000000000018 -:0410D5000000000017 -:0410D6000000000016 -:0410D7000000000015 -:0410D8000000000014 -:0410D9000000000013 -:0410DA000000000012 -:0410DB000000000011 -:0410DC000000000010 -:0410DD00000000000F -:0410DE00000000000E -:0410DF00000000000D -:0410E000000000000C -:0410E100000000000B -:0410E200000000000A -:0410E3000000000009 -:0410E4000000000008 -:0410E5000000000007 -:0410E6000000000006 -:0410E7000000000005 -:0410E8000000000004 -:0410E9000000000003 -:0410EA000000000002 -:0410EB000000000001 -:0410EC000000000000 -:0410ED0000000000FF -:0410EE0000000000FE -:0410EF0000000000FD -:0410F00000000000FC -:0410F10000000000FB -:0410F20000000000FA -:0410F30000000000F9 -:0410F40000000000F8 -:0410F50000000000F7 -:0410F60000000000F6 -:0410F70000000000F5 -:0410F80000000000F4 -:0410F90000000000F3 -:0410FA0000000000F2 -:0410FB0000000000F1 -:0410FC0000000000F0 -:0410FD0000000000EF -:0410FE0000000000EE -:0410FF0000000000ED -:0411000000000000EB -:0411010000000000EA -:0411020000000000E9 -:0411030000000000E8 -:0411040000000000E7 -:0411050000000000E6 -:0411060000000000E5 -:0411070000000000E4 -:0411080000000000E3 -:0411090000000000E2 -:04110A0000000000E1 -:04110B0000000000E0 -:04110C0000000000DF -:04110D0000000000DE -:04110E0000000000DD -:04110F0000000000DC -:0411100000000000DB -:0411110000000000DA -:0411120000000000D9 -:0411130000000000D8 -:0411140000000000D7 -:0411150000000000D6 -:0411160000000000D5 -:0411170000000000D4 -:0411180000000000D3 -:0411190000000000D2 -:04111A0000000000D1 -:04111B0000000000D0 -:04111C0000000000CF -:04111D0000000000CE -:04111E0000000000CD -:04111F0000000000CC -:0411200000000000CB -:0411210000000000CA -:0411220000000000C9 -:0411230000000000C8 -:0411240000000000C7 -:0411250000000000C6 -:0411260000000000C5 -:0411270000000000C4 -:0411280000000000C3 -:0411290000000000C2 -:04112A0000000000C1 -:04112B0000000000C0 -:04112C0000000000BF -:04112D0000000000BE -:04112E0000000000BD -:04112F0000000000BC -:0411300000000000BB -:0411310000000000BA -:0411320000000000B9 -:0411330000000000B8 -:0411340000000000B7 -:0411350000000000B6 -:0411360000000000B5 -:0411370000000000B4 -:0411380000000000B3 -:0411390000000000B2 -:04113A0000000000B1 -:04113B0000000000B0 -:04113C0000000000AF -:04113D0000000000AE -:04113E0000000000AD -:04113F0000000000AC -:0411400000000000AB -:0411410000000000AA -:0411420000000000A9 -:0411430000000000A8 -:0411440000000000A7 -:0411450000000000A6 -:0411460000000000A5 -:0411470000000000A4 -:0411480000000000A3 -:0411490000000000A2 -:04114A0000000000A1 -:04114B0000000000A0 -:04114C00000000009F -:04114D00000000009E -:04114E00000000009D -:04114F00000000009C -:04115000000000009B -:04115100000000009A -:041152000000000099 -:041153000000000098 -:041154000000000097 -:041155000000000096 -:041156000000000095 -:041157000000000094 -:041158000000000093 -:041159000000000092 -:04115A000000000091 -:04115B000000000090 -:04115C00000000008F -:04115D00000000008E -:04115E00000000008D -:04115F00000000008C -:04116000000000008B -:04116100000000008A -:041162000000000089 -:041163000000000088 -:041164000000000087 -:041165000000000086 -:041166000000000085 -:041167000000000084 -:041168000000000083 -:041169000000000082 -:04116A000000000081 -:04116B000000000080 -:04116C00000000007F -:04116D00000000007E -:04116E00000000007D -:04116F00000000007C -:04117000000000007B -:04117100000000007A -:041172000000000079 -:041173000000000078 -:041174000000000077 -:041175000000000076 -:041176000000000075 -:041177000000000074 -:041178000000000073 -:041179000000000072 -:04117A000000000071 -:04117B000000000070 -:04117C00000000006F -:04117D00000000006E -:04117E00000000006D -:04117F00000000006C -:04118000000000006B -:04118100000000006A -:041182000000000069 -:041183000000000068 -:041184000000000067 -:041185000000000066 -:041186000000000065 -:041187000000000064 -:041188000000000063 -:041189000000000062 -:04118A000000000061 -:04118B000000000060 -:04118C00000000005F -:04118D00000000005E -:04118E00000000005D -:04118F00000000005C -:04119000000000005B -:04119100000000005A -:041192000000000059 -:041193000000000058 -:041194000000000057 -:041195000000000056 -:041196000000000055 -:041197000000000054 -:041198000000000053 -:041199000000000052 -:04119A000000000051 -:04119B000000000050 -:04119C00000000004F -:04119D00000000004E -:04119E00000000004D -:04119F00000000004C -:0411A000000000004B -:0411A100000000004A -:0411A2000000000049 -:0411A3000000000048 -:0411A4000000000047 -:0411A5000000000046 -:0411A6000000000045 -:0411A7000000000044 -:0411A8000000000043 -:0411A9000000000042 -:0411AA000000000041 -:0411AB000000000040 -:0411AC00000000003F -:0411AD00000000003E -:0411AE00000000003D -:0411AF00000000003C -:0411B000000000003B -:0411B100000000003A -:0411B2000000000039 -:0411B3000000000038 -:0411B4000000000037 -:0411B5000000000036 -:0411B6000000000035 -:0411B7000000000034 -:0411B8000000000033 -:0411B9000000000032 -:0411BA000000000031 -:0411BB000000000030 -:0411BC00000000002F -:0411BD00000000002E -:0411BE00000000002D -:0411BF00000000002C -:0411C000000000002B -:0411C100000000002A -:0411C2000000000029 -:0411C3000000000028 -:0411C4000000000027 -:0411C5000000000026 -:0411C6000000000025 -:0411C7000000000024 -:0411C8000000000023 -:0411C9000000000022 -:0411CA000000000021 -:0411CB000000000020 -:0411CC00000000001F -:0411CD00000000001E -:0411CE00000000001D -:0411CF00000000001C -:0411D000000000001B -:0411D100000000001A -:0411D2000000000019 -:0411D3000000000018 -:0411D4000000000017 -:0411D5000000000016 -:0411D6000000000015 -:0411D7000000000014 -:0411D8000000000013 -:0411D9000000000012 -:0411DA000000000011 -:0411DB000000000010 -:0411DC00000000000F -:0411DD00000000000E -:0411DE00000000000D -:0411DF00000000000C -:0411E000000000000B -:0411E100000000000A -:0411E2000000000009 -:0411E3000000000008 -:0411E4000000000007 -:0411E5000000000006 -:0411E6000000000005 -:0411E7000000000004 -:0411E8000000000003 -:0411E9000000000002 -:0411EA000000000001 -:0411EB000000000000 -:0411EC0000000000FF -:0411ED0000000000FE -:0411EE0000000000FD -:0411EF0000000000FC -:0411F00000000000FB -:0411F10000000000FA -:0411F20000000000F9 -:0411F30000000000F8 -:0411F40000000000F7 -:0411F50000000000F6 -:0411F60000000000F5 -:0411F70000000000F4 -:0411F80000000000F3 -:0411F90000000000F2 -:0411FA0000000000F1 -:0411FB0000000000F0 -:0411FC0000000000EF -:0411FD0000000000EE -:0411FE0000000000ED -:0411FF0000000000EC -:0412000000000000EA -:0412010000000000E9 -:0412020000000000E8 -:0412030000000000E7 -:0412040000000000E6 -:0412050000000000E5 -:0412060000000000E4 -:0412070000000000E3 -:0412080000000000E2 -:0412090000000000E1 -:04120A0000000000E0 -:04120B0000000000DF -:04120C0000000000DE -:04120D0000000000DD -:04120E0000000000DC -:04120F0000000000DB -:0412100000000000DA -:0412110000000000D9 -:0412120000000000D8 -:0412130000000000D7 -:0412140000000000D6 -:0412150000000000D5 -:0412160000000000D4 -:0412170000000000D3 -:0412180000000000D2 -:0412190000000000D1 -:04121A0000000000D0 -:04121B0000000000CF -:04121C0000000000CE -:04121D0000000000CD -:04121E0000000000CC -:04121F0000000000CB -:0412200000000000CA -:0412210000000000C9 -:0412220000000000C8 -:0412230000000000C7 -:0412240000000000C6 -:0412250000000000C5 -:0412260000000000C4 -:0412270000000000C3 -:0412280000000000C2 -:0412290000000000C1 -:04122A0000000000C0 -:04122B0000000000BF -:04122C0000000000BE -:04122D0000000000BD -:04122E0000000000BC -:04122F0000000000BB -:0412300000000000BA -:0412310000000000B9 -:0412320000000000B8 -:0412330000000000B7 -:0412340000000000B6 -:0412350000000000B5 -:0412360000000000B4 -:0412370000000000B3 -:0412380000000000B2 -:0412390000000000B1 -:04123A0000000000B0 -:04123B0000000000AF -:04123C0000000000AE -:04123D0000000000AD -:04123E0000000000AC -:04123F0000000000AB -:0412400000000000AA -:0412410000000000A9 -:0412420000000000A8 -:0412430000000000A7 -:0412440000000000A6 -:0412450000000000A5 -:0412460000000000A4 -:0412470000000000A3 -:0412480000000000A2 -:0412490000000000A1 -:04124A0000000000A0 -:04124B00000000009F -:04124C00000000009E -:04124D00000000009D -:04124E00000000009C -:04124F00000000009B -:04125000000000009A -:041251000000000099 -:041252000000000098 -:041253000000000097 -:041254000000000096 -:041255000000000095 -:041256000000000094 -:041257000000000093 -:041258000000000092 -:041259000000000091 -:04125A000000000090 -:04125B00000000008F -:04125C00000000008E -:04125D00000000008D -:04125E00000000008C -:04125F00000000008B -:04126000000000008A -:041261000000000089 -:041262000000000088 -:041263000000000087 -:041264000000000086 -:041265000000000085 -:041266000000000084 -:041267000000000083 -:041268000000000082 -:041269000000000081 -:04126A000000000080 -:04126B00000000007F -:04126C00000000007E -:04126D00000000007D -:04126E00000000007C -:04126F00000000007B -:04127000000000007A -:041271000000000079 -:041272000000000078 -:041273000000000077 -:041274000000000076 -:041275000000000075 -:041276000000000074 -:041277000000000073 -:041278000000000072 -:041279000000000071 -:04127A000000000070 -:04127B00000000006F -:04127C00000000006E -:04127D00000000006D -:04127E00000000006C -:04127F00000000006B -:04128000000000006A -:041281000000000069 -:041282000000000068 -:041283000000000067 -:041284000000000066 -:041285000000000065 -:041286000000000064 -:041287000000000063 -:041288000000000062 -:041289000000000061 -:04128A000000000060 -:04128B00000000005F -:04128C00000000005E -:04128D00000000005D -:04128E00000000005C -:04128F00000000005B -:04129000000000005A -:041291000000000059 -:041292000000000058 -:041293000000000057 -:041294000000000056 -:041295000000000055 -:041296000000000054 -:041297000000000053 -:041298000000000052 -:041299000000000051 -:04129A000000000050 -:04129B00000000004F -:04129C00000000004E -:04129D00000000004D -:04129E00000000004C -:04129F00000000004B -:0412A000000000004A -:0412A1000000000049 -:0412A2000000000048 -:0412A3000000000047 -:0412A4000000000046 -:0412A5000000000045 -:0412A6000000000044 -:0412A7000000000043 -:0412A8000000000042 -:0412A9000000000041 -:0412AA000000000040 -:0412AB00000000003F -:0412AC00000000003E -:0412AD00000000003D -:0412AE00000000003C -:0412AF00000000003B -:0412B000000000003A -:0412B1000000000039 -:0412B2000000000038 -:0412B3000000000037 -:0412B4000000000036 -:0412B5000000000035 -:0412B6000000000034 -:0412B7000000000033 -:0412B8000000000032 -:0412B9000000000031 -:0412BA000000000030 -:0412BB00000000002F -:0412BC00000000002E -:0412BD00000000002D -:0412BE00000000002C -:0412BF00000000002B -:0412C000000000002A -:0412C1000000000029 -:0412C2000000000028 -:0412C3000000000027 -:0412C4000000000026 -:0412C5000000000025 -:0412C6000000000024 -:0412C7000000000023 -:0412C8000000000022 -:0412C9000000000021 -:0412CA000000000020 -:0412CB00000000001F -:0412CC00000000001E -:0412CD00000000001D -:0412CE00000000001C -:0412CF00000000001B -:0412D000000000001A -:0412D1000000000019 -:0412D2000000000018 -:0412D3000000000017 -:0412D4000000000016 -:0412D5000000000015 -:0412D6000000000014 -:0412D7000000000013 -:0412D8000000000012 -:0412D9000000000011 -:0412DA000000000010 -:0412DB00000000000F -:0412DC00000000000E -:0412DD00000000000D -:0412DE00000000000C -:0412DF00000000000B -:0412E000000000000A -:0412E1000000000009 -:0412E2000000000008 -:0412E3000000000007 -:0412E4000000000006 -:0412E5000000000005 -:0412E6000000000004 -:0412E7000000000003 -:0412E8000000000002 -:0412E9000000000001 -:0412EA000000000000 -:0412EB0000000000FF -:0412EC0000000000FE -:0412ED0000000000FD -:0412EE0000000000FC -:0412EF0000000000FB -:0412F00000000000FA -:0412F10000000000F9 -:0412F20000000000F8 -:0412F30000000000F7 -:0412F40000000000F6 -:0412F50000000000F5 -:0412F60000000000F4 -:0412F70000000000F3 -:0412F80000000000F2 -:0412F90000000000F1 -:0412FA0000000000F0 -:0412FB0000000000EF -:0412FC0000000000EE -:0412FD0000000000ED -:0412FE0000000000EC -:0412FF0000000000EB -:0413000000000000E9 -:0413010000000000E8 -:0413020000000000E7 -:0413030000000000E6 -:0413040000000000E5 -:0413050000000000E4 -:0413060000000000E3 -:0413070000000000E2 -:0413080000000000E1 -:0413090000000000E0 -:04130A0000000000DF -:04130B0000000000DE -:04130C0000000000DD -:04130D0000000000DC -:04130E0000000000DB -:04130F0000000000DA -:0413100000000000D9 -:0413110000000000D8 -:0413120000000000D7 -:0413130000000000D6 -:0413140000000000D5 -:0413150000000000D4 -:0413160000000000D3 -:0413170000000000D2 -:0413180000000000D1 -:0413190000000000D0 -:04131A0000000000CF -:04131B0000000000CE -:04131C0000000000CD -:04131D0000000000CC -:04131E0000000000CB -:04131F0000000000CA -:0413200000000000C9 -:0413210000000000C8 -:0413220000000000C7 -:0413230000000000C6 -:0413240000000000C5 -:0413250000000000C4 -:0413260000000000C3 -:0413270000000000C2 -:0413280000000000C1 -:0413290000000000C0 -:04132A0000000000BF -:04132B0000000000BE -:04132C0000000000BD -:04132D0000000000BC -:04132E0000000000BB -:04132F0000000000BA -:0413300000000000B9 -:0413310000000000B8 -:0413320000000000B7 -:0413330000000000B6 -:0413340000000000B5 -:0413350000000000B4 -:0413360000000000B3 -:0413370000000000B2 -:0413380000000000B1 -:0413390000000000B0 -:04133A0000000000AF -:04133B0000000000AE -:04133C0000000000AD -:04133D0000000000AC -:04133E0000000000AB -:04133F0000000000AA -:0413400000000000A9 -:0413410000000000A8 -:0413420000000000A7 -:0413430000000000A6 -:0413440000000000A5 -:0413450000000000A4 -:0413460000000000A3 -:0413470000000000A2 -:0413480000000000A1 -:0413490000000000A0 -:04134A00000000009F -:04134B00000000009E -:04134C00000000009D -:04134D00000000009C -:04134E00000000009B -:04134F00000000009A -:041350000000000099 -:041351000000000098 -:041352000000000097 -:041353000000000096 -:041354000000000095 -:041355000000000094 -:041356000000000093 -:041357000000000092 -:041358000000000091 -:041359000000000090 -:04135A00000000008F -:04135B00000000008E -:04135C00000000008D -:04135D00000000008C -:04135E00000000008B -:04135F00000000008A -:041360000000000089 -:041361000000000088 -:041362000000000087 -:041363000000000086 -:041364000000000085 -:041365000000000084 -:041366000000000083 -:041367000000000082 -:041368000000000081 -:041369000000000080 -:04136A00000000007F -:04136B00000000007E -:04136C00000000007D -:04136D00000000007C -:04136E00000000007B -:04136F00000000007A -:041370000000000079 -:041371000000000078 -:041372000000000077 -:041373000000000076 -:041374000000000075 -:041375000000000074 -:041376000000000073 -:041377000000000072 -:041378000000000071 -:041379000000000070 -:04137A00000000006F -:04137B00000000006E -:04137C00000000006D -:04137D00000000006C -:04137E00000000006B -:04137F00000000006A -:041380000000000069 -:041381000000000068 -:041382000000000067 -:041383000000000066 -:041384000000000065 -:041385000000000064 -:041386000000000063 -:041387000000000062 -:041388000000000061 -:041389000000000060 -:04138A00000000005F -:04138B00000000005E -:04138C00000000005D -:04138D00000000005C -:04138E00000000005B -:04138F00000000005A -:041390000000000059 -:041391000000000058 -:041392000000000057 -:041393000000000056 -:041394000000000055 -:041395000000000054 -:041396000000000053 -:041397000000000052 -:041398000000000051 -:041399000000000050 -:04139A00000000004F -:04139B00000000004E -:04139C00000000004D -:04139D00000000004C -:04139E00000000004B -:04139F00000000004A -:0413A0000000000049 -:0413A1000000000048 -:0413A2000000000047 -:0413A3000000000046 -:0413A4000000000045 -:0413A5000000000044 -:0413A6000000000043 -:0413A7000000000042 -:0413A8000000000041 -:0413A9000000000040 -:0413AA00000000003F -:0413AB00000000003E -:0413AC00000000003D -:0413AD00000000003C -:0413AE00000000003B -:0413AF00000000003A -:0413B0000000000039 -:0413B1000000000038 -:0413B2000000000037 -:0413B3000000000036 -:0413B4000000000035 -:0413B5000000000034 -:0413B6000000000033 -:0413B7000000000032 -:0413B8000000000031 -:0413B9000000000030 -:0413BA00000000002F -:0413BB00000000002E -:0413BC00000000002D -:0413BD00000000002C -:0413BE00000000002B -:0413BF00000000002A -:0413C0000000000029 -:0413C1000000000028 -:0413C2000000000027 -:0413C3000000000026 -:0413C4000000000025 -:0413C5000000000024 -:0413C6000000000023 -:0413C7000000000022 -:0413C8000000000021 -:0413C9000000000020 -:0413CA00000000001F -:0413CB00000000001E -:0413CC00000000001D -:0413CD00000000001C -:0413CE00000000001B -:0413CF00000000001A -:0413D0000000000019 -:0413D1000000000018 -:0413D2000000000017 -:0413D3000000000016 -:0413D4000000000015 -:0413D5000000000014 -:0413D6000000000013 -:0413D7000000000012 -:0413D8000000000011 -:0413D9000000000010 -:0413DA00000000000F -:0413DB00000000000E -:0413DC00000000000D -:0413DD00000000000C -:0413DE00000000000B -:0413DF00000000000A -:0413E0000000000009 -:0413E1000000000008 -:0413E2000000000007 -:0413E3000000000006 -:0413E4000000000005 -:0413E5000000000004 -:0413E6000000000003 -:0413E7000000000002 -:0413E8000000000001 -:0413E9000000000000 -:0413EA0000000000FF -:0413EB0000000000FE -:0413EC0000000000FD -:0413ED0000000000FC -:0413EE0000000000FB -:0413EF0000000000FA -:0413F00000000000F9 -:0413F10000000000F8 -:0413F20000000000F7 -:0413F30000000000F6 -:0413F40000000000F5 -:0413F50000000000F4 -:0413F60000000000F3 -:0413F70000000000F2 -:0413F80000000000F1 -:0413F90000000000F0 -:0413FA0000000000EF -:0413FB0000000000EE -:0413FC0000000000ED -:0413FD0000000000EC -:0413FE0000000000EB -:0413FF0000000000EA -:0414000000000000E8 -:0414010000000000E7 -:0414020000000000E6 -:0414030000000000E5 -:0414040000000000E4 -:0414050000000000E3 -:0414060000000000E2 -:0414070000000000E1 -:0414080000000000E0 -:0414090000000000DF -:04140A0000000000DE -:04140B0000000000DD -:04140C0000000000DC -:04140D0000000000DB -:04140E0000000000DA -:04140F0000000000D9 -:0414100000000000D8 -:0414110000000000D7 -:0414120000000000D6 -:0414130000000000D5 -:0414140000000000D4 -:0414150000000000D3 -:0414160000000000D2 -:0414170000000000D1 -:0414180000000000D0 -:0414190000000000CF -:04141A0000000000CE -:04141B0000000000CD -:04141C0000000000CC -:04141D0000000000CB -:04141E0000000000CA -:04141F0000000000C9 -:0414200000000000C8 -:0414210000000000C7 -:0414220000000000C6 -:0414230000000000C5 -:0414240000000000C4 -:0414250000000000C3 -:0414260000000000C2 -:0414270000000000C1 -:0414280000000000C0 -:0414290000000000BF -:04142A0000000000BE -:04142B0000000000BD -:04142C0000000000BC -:04142D0000000000BB -:04142E0000000000BA -:04142F0000000000B9 -:0414300000000000B8 -:0414310000000000B7 -:0414320000000000B6 -:0414330000000000B5 -:0414340000000000B4 -:0414350000000000B3 -:0414360000000000B2 -:0414370000000000B1 -:0414380000000000B0 -:0414390000000000AF -:04143A0000000000AE -:04143B0000000000AD -:04143C0000000000AC -:04143D0000000000AB -:04143E0000000000AA -:04143F0000000000A9 -:0414400000000000A8 -:0414410000000000A7 -:0414420000000000A6 -:0414430000000000A5 -:0414440000000000A4 -:0414450000000000A3 -:0414460000000000A2 -:0414470000000000A1 -:0414480000000000A0 -:04144900000000009F -:04144A00000000009E -:04144B00000000009D -:04144C00000000009C -:04144D00000000009B -:04144E00000000009A -:04144F000000000099 -:041450000000000098 -:041451000000000097 -:041452000000000096 -:041453000000000095 -:041454000000000094 -:041455000000000093 -:041456000000000092 -:041457000000000091 -:041458000000000090 -:04145900000000008F -:04145A00000000008E -:04145B00000000008D -:04145C00000000008C -:04145D00000000008B -:04145E00000000008A -:04145F000000000089 -:041460000000000088 -:041461000000000087 -:041462000000000086 -:041463000000000085 -:041464000000000084 -:041465000000000083 -:041466000000000082 -:041467000000000081 -:041468000000000080 -:04146900000000007F -:04146A00000000007E -:04146B00000000007D -:04146C00000000007C -:04146D00000000007B -:04146E00000000007A -:04146F000000000079 -:041470000000000078 -:041471000000000077 -:041472000000000076 -:041473000000000075 -:041474000000000074 -:041475000000000073 -:041476000000000072 -:041477000000000071 -:041478000000000070 -:04147900000000006F -:04147A00000000006E -:04147B00000000006D -:04147C00000000006C -:04147D00000000006B -:04147E00000000006A -:04147F000000000069 -:041480000000000068 -:041481000000000067 -:041482000000000066 -:041483000000000065 -:041484000000000064 -:041485000000000063 -:041486000000000062 -:041487000000000061 -:041488000000000060 -:04148900000000005F -:04148A00000000005E -:04148B00000000005D -:04148C00000000005C -:04148D00000000005B -:04148E00000000005A -:04148F000000000059 -:041490000000000058 -:041491000000000057 -:041492000000000056 -:041493000000000055 -:041494000000000054 -:041495000000000053 -:041496000000000052 -:041497000000000051 -:041498000000000050 -:04149900000000004F -:04149A00000000004E -:04149B00000000004D -:04149C00000000004C -:04149D00000000004B -:04149E00000000004A -:04149F000000000049 -:0414A0000000000048 -:0414A1000000000047 -:0414A2000000000046 -:0414A3000000000045 -:0414A4000000000044 -:0414A5000000000043 -:0414A6000000000042 -:0414A7000000000041 -:0414A8000000000040 -:0414A900000000003F -:0414AA00000000003E -:0414AB00000000003D -:0414AC00000000003C -:0414AD00000000003B -:0414AE00000000003A -:0414AF000000000039 -:0414B0000000000038 -:0414B1000000000037 -:0414B2000000000036 -:0414B3000000000035 -:0414B4000000000034 -:0414B5000000000033 -:0414B6000000000032 -:0414B7000000000031 -:0414B8000000000030 -:0414B900000000002F -:0414BA00000000002E -:0414BB00000000002D -:0414BC00000000002C -:0414BD00000000002B -:0414BE00000000002A -:0414BF000000000029 -:0414C0000000000028 -:0414C1000000000027 -:0414C2000000000026 -:0414C3000000000025 -:0414C4000000000024 -:0414C5000000000023 -:0414C6000000000022 -:0414C7000000000021 -:0414C8000000000020 -:0414C900000000001F -:0414CA00000000001E -:0414CB00000000001D -:0414CC00000000001C -:0414CD00000000001B -:0414CE00000000001A -:0414CF000000000019 -:0414D0000000000018 -:0414D1000000000017 -:0414D2000000000016 -:0414D3000000000015 -:0414D4000000000014 -:0414D5000000000013 -:0414D6000000000012 -:0414D7000000000011 -:0414D8000000000010 -:0414D900000000000F -:0414DA00000000000E -:0414DB00000000000D -:0414DC00000000000C -:0414DD00000000000B -:0414DE00000000000A -:0414DF000000000009 -:0414E0000000000008 -:0414E1000000000007 -:0414E2000000000006 -:0414E3000000000005 -:0414E4000000000004 -:0414E5000000000003 -:0414E6000000000002 -:0414E7000000000001 -:0414E8000000000000 -:0414E90000000000FF -:0414EA0000000000FE -:0414EB0000000000FD -:0414EC0000000000FC -:0414ED0000000000FB -:0414EE0000000000FA -:0414EF0000000000F9 -:0414F00000000000F8 -:0414F10000000000F7 -:0414F20000000000F6 -:0414F30000000000F5 -:0414F40000000000F4 -:0414F50000000000F3 -:0414F60000000000F2 -:0414F70000000000F1 -:0414F80000000000F0 -:0414F90000000000EF -:0414FA0000000000EE -:0414FB0000000000ED -:0414FC0000000000EC -:0414FD0000000000EB -:0414FE0000000000EA -:0414FF0000000000E9 -:0415000000000000E7 -:0415010000000000E6 -:0415020000000000E5 -:0415030000000000E4 -:0415040000000000E3 -:0415050000000000E2 -:0415060000000000E1 -:0415070000000000E0 -:0415080000000000DF -:0415090000000000DE -:04150A0000000000DD -:04150B0000000000DC -:04150C0000000000DB -:04150D0000000000DA -:04150E0000000000D9 -:04150F0000000000D8 -:0415100000000000D7 -:0415110000000000D6 -:0415120000000000D5 -:0415130000000000D4 -:0415140000000000D3 -:0415150000000000D2 -:0415160000000000D1 -:0415170000000000D0 -:0415180000000000CF -:0415190000000000CE -:04151A0000000000CD -:04151B0000000000CC -:04151C0000000000CB -:04151D0000000000CA -:04151E0000000000C9 -:04151F0000000000C8 -:0415200000000000C7 -:0415210000000000C6 -:0415220000000000C5 -:0415230000000000C4 -:0415240000000000C3 -:0415250000000000C2 -:0415260000000000C1 -:0415270000000000C0 -:0415280000000000BF -:0415290000000000BE -:04152A0000000000BD -:04152B0000000000BC -:04152C0000000000BB -:04152D0000000000BA -:04152E0000000000B9 -:04152F0000000000B8 -:0415300000000000B7 -:0415310000000000B6 -:0415320000000000B5 -:0415330000000000B4 -:0415340000000000B3 -:0415350000000000B2 -:0415360000000000B1 -:0415370000000000B0 -:0415380000000000AF -:0415390000000000AE -:04153A0000000000AD -:04153B0000000000AC -:04153C0000000000AB -:04153D0000000000AA -:04153E0000000000A9 -:04153F0000000000A8 -:0415400000000000A7 -:0415410000000000A6 -:0415420000000000A5 -:0415430000000000A4 -:0415440000000000A3 -:0415450000000000A2 -:0415460000000000A1 -:0415470000000000A0 -:04154800000000009F -:04154900000000009E -:04154A00000000009D -:04154B00000000009C -:04154C00000000009B -:04154D00000000009A -:04154E000000000099 -:04154F000000000098 -:041550000000000097 -:041551000000000096 -:041552000000000095 -:041553000000000094 -:041554000000000093 -:041555000000000092 -:041556000000000091 -:041557000000000090 -:04155800000000008F -:04155900000000008E -:04155A00000000008D -:04155B00000000008C -:04155C00000000008B -:04155D00000000008A -:04155E000000000089 -:04155F000000000088 -:041560000000000087 -:041561000000000086 -:041562000000000085 -:041563000000000084 -:041564000000000083 -:041565000000000082 -:041566000000000081 -:041567000000000080 -:04156800000000007F -:04156900000000007E -:04156A00000000007D -:04156B00000000007C -:04156C00000000007B -:04156D00000000007A -:04156E000000000079 -:04156F000000000078 -:041570000000000077 -:041571000000000076 -:041572000000000075 -:041573000000000074 -:041574000000000073 -:041575000000000072 -:041576000000000071 -:041577000000000070 -:04157800000000006F -:04157900000000006E -:04157A00000000006D -:04157B00000000006C -:04157C00000000006B -:04157D00000000006A -:04157E000000000069 -:04157F000000000068 -:041580000000000067 -:041581000000000066 -:041582000000000065 -:041583000000000064 -:041584000000000063 -:041585000000000062 -:041586000000000061 -:041587000000000060 -:04158800000000005F -:04158900000000005E -:04158A00000000005D -:04158B00000000005C -:04158C00000000005B -:04158D00000000005A -:04158E000000000059 -:04158F000000000058 -:041590000000000057 -:041591000000000056 -:041592000000000055 -:041593000000000054 -:041594000000000053 -:041595000000000052 -:041596000000000051 -:041597000000000050 -:04159800000000004F -:04159900000000004E -:04159A00000000004D -:04159B00000000004C -:04159C00000000004B -:04159D00000000004A -:04159E000000000049 -:04159F000000000048 -:0415A0000000000047 -:0415A1000000000046 -:0415A2000000000045 -:0415A3000000000044 -:0415A4000000000043 -:0415A5000000000042 -:0415A6000000000041 -:0415A7000000000040 -:0415A800000000003F -:0415A900000000003E -:0415AA00000000003D -:0415AB00000000003C -:0415AC00000000003B -:0415AD00000000003A -:0415AE000000000039 -:0415AF000000000038 -:0415B0000000000037 -:0415B1000000000036 -:0415B2000000000035 -:0415B3000000000034 -:0415B4000000000033 -:0415B5000000000032 -:0415B6000000000031 -:0415B7000000000030 -:0415B800000000002F -:0415B900000000002E -:0415BA00000000002D -:0415BB00000000002C -:0415BC00000000002B -:0415BD00000000002A -:0415BE000000000029 -:0415BF000000000028 -:0415C0000000000027 -:0415C1000000000026 -:0415C2000000000025 -:0415C3000000000024 -:0415C4000000000023 -:0415C5000000000022 -:0415C6000000000021 -:0415C7000000000020 -:0415C800000000001F -:0415C900000000001E -:0415CA00000000001D -:0415CB00000000001C -:0415CC00000000001B -:0415CD00000000001A -:0415CE000000000019 -:0415CF000000000018 -:0415D0000000000017 -:0415D1000000000016 -:0415D2000000000015 -:0415D3000000000014 -:0415D4000000000013 -:0415D5000000000012 -:0415D6000000000011 -:0415D7000000000010 -:0415D800000000000F -:0415D900000000000E -:0415DA00000000000D -:0415DB00000000000C -:0415DC00000000000B -:0415DD00000000000A -:0415DE000000000009 -:0415DF000000000008 -:0415E0000000000007 -:0415E1000000000006 -:0415E2000000000005 -:0415E3000000000004 -:0415E4000000000003 -:0415E5000000000002 -:0415E6000000000001 -:0415E7000000000000 -:0415E80000000000FF -:0415E90000000000FE -:0415EA0000000000FD -:0415EB0000000000FC -:0415EC0000000000FB -:0415ED0000000000FA -:0415EE0000000000F9 -:0415EF0000000000F8 -:0415F00000000000F7 -:0415F10000000000F6 -:0415F20000000000F5 -:0415F30000000000F4 -:0415F40000000000F3 -:0415F50000000000F2 -:0415F60000000000F1 -:0415F70000000000F0 -:0415F80000000000EF -:0415F90000000000EE -:0415FA0000000000ED -:0415FB0000000000EC -:0415FC0000000000EB -:0415FD0000000000EA -:0415FE0000000000E9 -:0415FF0000000000E8 -:0416000000000000E6 -:0416010000000000E5 -:0416020000000000E4 -:0416030000000000E3 -:0416040000000000E2 -:0416050000000000E1 -:0416060000000000E0 -:0416070000000000DF -:0416080000000000DE -:0416090000000000DD -:04160A0000000000DC -:04160B0000000000DB -:04160C0000000000DA -:04160D0000000000D9 -:04160E0000000000D8 -:04160F0000000000D7 -:0416100000000000D6 -:0416110000000000D5 -:0416120000000000D4 -:0416130000000000D3 -:0416140000000000D2 -:0416150000000000D1 -:0416160000000000D0 -:0416170000000000CF -:0416180000000000CE -:0416190000000000CD -:04161A0000000000CC -:04161B0000000000CB -:04161C0000000000CA -:04161D0000000000C9 -:04161E0000000000C8 -:04161F0000000000C7 -:0416200000000000C6 -:0416210000000000C5 -:0416220000000000C4 -:0416230000000000C3 -:0416240000000000C2 -:0416250000000000C1 -:0416260000000000C0 -:0416270000000000BF -:0416280000000000BE -:0416290000000000BD -:04162A0000000000BC -:04162B0000000000BB -:04162C0000000000BA -:04162D0000000000B9 -:04162E0000000000B8 -:04162F0000000000B7 -:0416300000000000B6 -:0416310000000000B5 -:0416320000000000B4 -:0416330000000000B3 -:0416340000000000B2 -:0416350000000000B1 -:0416360000000000B0 -:0416370000000000AF -:0416380000000000AE -:0416390000000000AD -:04163A0000000000AC -:04163B0000000000AB -:04163C0000000000AA -:04163D0000000000A9 -:04163E0000000000A8 -:04163F0000000000A7 -:0416400000000000A6 -:0416410000000000A5 -:0416420000000000A4 -:0416430000000000A3 -:0416440000000000A2 -:0416450000000000A1 -:0416460000000000A0 -:04164700000000009F -:04164800000000009E -:04164900000000009D -:04164A00000000009C -:04164B00000000009B -:04164C00000000009A -:04164D000000000099 -:04164E000000000098 -:04164F000000000097 -:041650000000000096 -:041651000000000095 -:041652000000000094 -:041653000000000093 -:041654000000000092 -:041655000000000091 -:041656000000000090 -:04165700000000008F -:04165800000000008E -:04165900000000008D -:04165A00000000008C -:04165B00000000008B -:04165C00000000008A -:04165D000000000089 -:04165E000000000088 -:04165F000000000087 -:041660000000000086 -:041661000000000085 -:041662000000000084 -:041663000000000083 -:041664000000000082 -:041665000000000081 -:041666000000000080 -:04166700000000007F -:04166800000000007E -:04166900000000007D -:04166A00000000007C -:04166B00000000007B -:04166C00000000007A -:04166D000000000079 -:04166E000000000078 -:04166F000000000077 -:041670000000000076 -:041671000000000075 -:041672000000000074 -:041673000000000073 -:041674000000000072 -:041675000000000071 -:041676000000000070 -:04167700000000006F -:04167800000000006E -:04167900000000006D -:04167A00000000006C -:04167B00000000006B -:04167C00000000006A -:04167D000000000069 -:04167E000000000068 -:04167F000000000067 -:041680000000000066 -:041681000000000065 -:041682000000000064 -:041683000000000063 -:041684000000000062 -:041685000000000061 -:041686000000000060 -:04168700000000005F -:04168800000000005E -:04168900000000005D -:04168A00000000005C -:04168B00000000005B -:04168C00000000005A -:04168D000000000059 -:04168E000000000058 -:04168F000000000057 -:041690000000000056 -:041691000000000055 -:041692000000000054 -:041693000000000053 -:041694000000000052 -:041695000000000051 -:041696000000000050 -:04169700000000004F -:04169800000000004E -:04169900000000004D -:04169A00000000004C -:04169B00000000004B -:04169C00000000004A -:04169D000000000049 -:04169E000000000048 -:04169F000000000047 -:0416A0000000000046 -:0416A1000000000045 -:0416A2000000000044 -:0416A3000000000043 -:0416A4000000000042 -:0416A5000000000041 -:0416A6000000000040 -:0416A700000000003F -:0416A800000000003E -:0416A900000000003D -:0416AA00000000003C -:0416AB00000000003B -:0416AC00000000003A -:0416AD000000000039 -:0416AE000000000038 -:0416AF000000000037 -:0416B0000000000036 -:0416B1000000000035 -:0416B2000000000034 -:0416B3000000000033 -:0416B4000000000032 -:0416B5000000000031 -:0416B6000000000030 -:0416B700000000002F -:0416B800000000002E -:0416B900000000002D -:0416BA00000000002C -:0416BB00000000002B -:0416BC00000000002A -:0416BD000000000029 -:0416BE000000000028 -:0416BF000000000027 -:0416C0000000000026 -:0416C1000000000025 -:0416C2000000000024 -:0416C3000000000023 -:0416C4000000000022 -:0416C5000000000021 -:0416C6000000000020 -:0416C700000000001F -:0416C800000000001E -:0416C900000000001D -:0416CA00000000001C -:0416CB00000000001B -:0416CC00000000001A -:0416CD000000000019 -:0416CE000000000018 -:0416CF000000000017 -:0416D0000000000016 -:0416D1000000000015 -:0416D2000000000014 -:0416D3000000000013 -:0416D4000000000012 -:0416D5000000000011 -:0416D6000000000010 -:0416D700000000000F -:0416D800000000000E -:0416D900000000000D -:0416DA00000000000C -:0416DB00000000000B -:0416DC00000000000A -:0416DD000000000009 -:0416DE000000000008 -:0416DF000000000007 -:0416E0000000000006 -:0416E1000000000005 -:0416E2000000000004 -:0416E3000000000003 -:0416E4000000000002 -:0416E5000000000001 -:0416E6000000000000 -:0416E70000000000FF -:0416E80000000000FE -:0416E90000000000FD -:0416EA0000000000FC -:0416EB0000000000FB -:0416EC0000000000FA -:0416ED0000000000F9 -:0416EE0000000000F8 -:0416EF0000000000F7 -:0416F00000000000F6 -:0416F10000000000F5 -:0416F20000000000F4 -:0416F30000000000F3 -:0416F40000000000F2 -:0416F50000000000F1 -:0416F60000000000F0 -:0416F70000000000EF -:0416F80000000000EE -:0416F90000000000ED -:0416FA0000000000EC -:0416FB0000000000EB -:0416FC0000000000EA -:0416FD0000000000E9 -:0416FE0000000000E8 -:0416FF0000000000E7 -:0417000000000000E5 -:0417010000000000E4 -:0417020000000000E3 -:0417030000000000E2 -:0417040000000000E1 -:0417050000000000E0 -:0417060000000000DF -:0417070000000000DE -:0417080000000000DD -:0417090000000000DC -:04170A0000000000DB -:04170B0000000000DA -:04170C0000000000D9 -:04170D0000000000D8 -:04170E0000000000D7 -:04170F0000000000D6 -:0417100000000000D5 -:0417110000000000D4 -:0417120000000000D3 -:0417130000000000D2 -:0417140000000000D1 -:0417150000000000D0 -:0417160000000000CF -:0417170000000000CE -:0417180000000000CD -:0417190000000000CC -:04171A0000000000CB -:04171B0000000000CA -:04171C0000000000C9 -:04171D0000000000C8 -:04171E0000000000C7 -:04171F0000000000C6 -:0417200000000000C5 -:0417210000000000C4 -:0417220000000000C3 -:0417230000000000C2 -:0417240000000000C1 -:0417250000000000C0 -:0417260000000000BF -:0417270000000000BE -:0417280000000000BD -:0417290000000000BC -:04172A0000000000BB -:04172B0000000000BA -:04172C0000000000B9 -:04172D0000000000B8 -:04172E0000000000B7 -:04172F0000000000B6 -:0417300000000000B5 -:0417310000000000B4 -:0417320000000000B3 -:0417330000000000B2 -:0417340000000000B1 -:0417350000000000B0 -:0417360000000000AF -:0417370000000000AE -:0417380000000000AD -:0417390000000000AC -:04173A0000000000AB -:04173B0000000000AA -:04173C0000000000A9 -:04173D0000000000A8 -:04173E0000000000A7 -:04173F0000000000A6 -:0417400000000000A5 -:0417410000000000A4 -:0417420000000000A3 -:0417430000000000A2 -:0417440000000000A1 -:0417450000000000A0 -:04174600000000009F -:04174700000000009E -:04174800000000009D -:04174900000000009C -:04174A00000000009B -:04174B00000000009A -:04174C000000000099 -:04174D000000000098 -:04174E000000000097 -:04174F000000000096 -:041750000000000095 -:041751000000000094 -:041752000000000093 -:041753000000000092 -:041754000000000091 -:041755000000000090 -:04175600000000008F -:04175700000000008E -:04175800000000008D -:04175900000000008C -:04175A00000000008B -:04175B00000000008A -:04175C000000000089 -:04175D000000000088 -:04175E000000000087 -:04175F000000000086 -:041760000000000085 -:041761000000000084 -:041762000000000083 -:041763000000000082 -:041764000000000081 -:041765000000000080 -:04176600000000007F -:04176700000000007E -:04176800000000007D -:04176900000000007C -:04176A00000000007B -:04176B00000000007A -:04176C000000000079 -:04176D000000000078 -:04176E000000000077 -:04176F000000000076 -:041770000000000075 -:041771000000000074 -:041772000000000073 -:041773000000000072 -:041774000000000071 -:041775000000000070 -:04177600000000006F -:04177700000000006E -:04177800000000006D -:04177900000000006C -:04177A00000000006B -:04177B00000000006A -:04177C000000000069 -:04177D000000000068 -:04177E000000000067 -:04177F000000000066 -:041780000000000065 -:041781000000000064 -:041782000000000063 -:041783000000000062 -:041784000000000061 -:041785000000000060 -:04178600000000005F -:04178700000000005E -:04178800000000005D -:04178900000000005C -:04178A00000000005B -:04178B00000000005A -:04178C000000000059 -:04178D000000000058 -:04178E000000000057 -:04178F000000000056 -:041790000000000055 -:041791000000000054 -:041792000000000053 -:041793000000000052 -:041794000000000051 -:041795000000000050 -:04179600000000004F -:04179700000000004E -:04179800000000004D -:04179900000000004C -:04179A00000000004B -:04179B00000000004A -:04179C000000000049 -:04179D000000000048 -:04179E000000000047 -:04179F000000000046 -:0417A0000000000045 -:0417A1000000000044 -:0417A2000000000043 -:0417A3000000000042 -:0417A4000000000041 -:0417A5000000000040 -:0417A600000000003F -:0417A700000000003E -:0417A800000000003D -:0417A900000000003C -:0417AA00000000003B -:0417AB00000000003A -:0417AC000000000039 -:0417AD000000000038 -:0417AE000000000037 -:0417AF000000000036 -:0417B0000000000035 -:0417B1000000000034 -:0417B2000000000033 -:0417B3000000000032 -:0417B4000000000031 -:0417B5000000000030 -:0417B600000000002F -:0417B700000000002E -:0417B800000000002D -:0417B900000000002C -:0417BA00000000002B -:0417BB00000000002A -:0417BC000000000029 -:0417BD000000000028 -:0417BE000000000027 -:0417BF000000000026 -:0417C0000000000025 -:0417C1000000000024 -:0417C2000000000023 -:0417C3000000000022 -:0417C4000000000021 -:0417C5000000000020 -:0417C600000000001F -:0417C700000000001E -:0417C800000000001D -:0417C900000000001C -:0417CA00000000001B -:0417CB00000000001A -:0417CC000000000019 -:0417CD000000000018 -:0417CE000000000017 -:0417CF000000000016 -:0417D0000000000015 -:0417D1000000000014 -:0417D2000000000013 -:0417D3000000000012 -:0417D4000000000011 -:0417D5000000000010 -:0417D600000000000F -:0417D700000000000E -:0417D800000000000D -:0417D900000000000C -:0417DA00000000000B -:0417DB00000000000A -:0417DC000000000009 -:0417DD000000000008 -:0417DE000000000007 -:0417DF000000000006 -:0417E0000000000005 -:0417E1000000000004 -:0417E2000000000003 -:0417E3000000000002 -:0417E4000000000001 -:0417E5000000000000 -:0417E60000000000FF -:0417E70000000000FE -:0417E80000000000FD -:0417E90000000000FC -:0417EA0000000000FB -:0417EB0000000000FA -:0417EC0000000000F9 -:0417ED0000000000F8 -:0417EE0000000000F7 -:0417EF0000000000F6 -:0417F00000000000F5 -:0417F10000000000F4 -:0417F20000000000F3 -:0417F30000000000F2 -:0417F40000000000F1 -:0417F50000000000F0 -:0417F60000000000EF -:0417F70000000000EE -:0417F80000000000ED -:0417F90000000000EC -:0417FA0000000000EB -:0417FB0000000000EA -:0417FC0000000000E9 -:0417FD0000000000E8 -:0417FE0000000000E7 -:0417FF0000000000E6 -:0418000000000000E4 -:0418010000000000E3 -:0418020000000000E2 -:0418030000000000E1 -:0418040000000000E0 -:0418050000000000DF -:0418060000000000DE -:0418070000000000DD -:0418080000000000DC -:0418090000000000DB -:04180A0000000000DA -:04180B0000000000D9 -:04180C0000000000D8 -:04180D0000000000D7 -:04180E0000000000D6 -:04180F0000000000D5 -:0418100000000000D4 -:0418110000000000D3 -:0418120000000000D2 -:0418130000000000D1 -:0418140000000000D0 -:0418150000000000CF -:0418160000000000CE -:0418170000000000CD -:0418180000000000CC -:0418190000000000CB -:04181A0000000000CA -:04181B0000000000C9 -:04181C0000000000C8 -:04181D0000000000C7 -:04181E0000000000C6 -:04181F0000000000C5 -:0418200000000000C4 -:0418210000000000C3 -:0418220000000000C2 -:0418230000000000C1 -:0418240000000000C0 -:0418250000000000BF -:0418260000000000BE -:0418270000000000BD -:0418280000000000BC -:0418290000000000BB -:04182A0000000000BA -:04182B0000000000B9 -:04182C0000000000B8 -:04182D0000000000B7 -:04182E0000000000B6 -:04182F0000000000B5 -:0418300000000000B4 -:0418310000000000B3 -:0418320000000000B2 -:0418330000000000B1 -:0418340000000000B0 -:0418350000000000AF -:0418360000000000AE -:0418370000000000AD -:0418380000000000AC -:0418390000000000AB -:04183A0000000000AA -:04183B0000000000A9 -:04183C0000000000A8 -:04183D0000000000A7 -:04183E0000000000A6 -:04183F0000000000A5 -:0418400000000000A4 -:0418410000000000A3 -:0418420000000000A2 -:0418430000000000A1 -:0418440000000000A0 -:04184500000000009F -:04184600000000009E -:04184700000000009D -:04184800000000009C -:04184900000000009B -:04184A00000000009A -:04184B000000000099 -:04184C000000000098 -:04184D000000000097 -:04184E000000000096 -:04184F000000000095 -:041850000000000094 -:041851000000000093 -:041852000000000092 -:041853000000000091 -:041854000000000090 -:04185500000000008F -:04185600000000008E -:04185700000000008D -:04185800000000008C -:04185900000000008B -:04185A00000000008A -:04185B000000000089 -:04185C000000000088 -:04185D000000000087 -:04185E000000000086 -:04185F000000000085 -:041860000000000084 -:041861000000000083 -:041862000000000082 -:041863000000000081 -:041864000000000080 -:04186500000000007F -:04186600000000007E -:04186700000000007D -:04186800000000007C -:04186900000000007B -:04186A00000000007A -:04186B000000000079 -:04186C000000000078 -:04186D000000000077 -:04186E000000000076 -:04186F000000000075 -:041870000000000074 -:041871000000000073 -:041872000000000072 -:041873000000000071 -:041874000000000070 -:04187500000000006F -:04187600000000006E -:04187700000000006D -:04187800000000006C -:04187900000000006B -:04187A00000000006A -:04187B000000000069 -:04187C000000000068 -:04187D000000000067 -:04187E000000000066 -:04187F000000000065 -:041880000000000064 -:041881000000000063 -:041882000000000062 -:041883000000000061 -:041884000000000060 -:04188500000000005F -:04188600000000005E -:04188700000000005D -:04188800000000005C -:04188900000000005B -:04188A00000000005A -:04188B000000000059 -:04188C000000000058 -:04188D000000000057 -:04188E000000000056 -:04188F000000000055 -:041890000000000054 -:041891000000000053 -:041892000000000052 -:041893000000000051 -:041894000000000050 -:04189500000000004F -:04189600000000004E -:04189700000000004D -:04189800000000004C -:04189900000000004B -:04189A00000000004A -:04189B000000000049 -:04189C000000000048 -:04189D000000000047 -:04189E000000000046 -:04189F000000000045 -:0418A0000000000044 -:0418A1000000000043 -:0418A2000000000042 -:0418A3000000000041 -:0418A4000000000040 -:0418A500000000003F -:0418A600000000003E -:0418A700000000003D -:0418A800000000003C -:0418A900000000003B -:0418AA00000000003A -:0418AB000000000039 -:0418AC000000000038 -:0418AD000000000037 -:0418AE000000000036 -:0418AF000000000035 -:0418B0000000000034 -:0418B1000000000033 -:0418B2000000000032 -:0418B3000000000031 -:0418B4000000000030 -:0418B500000000002F -:0418B600000000002E -:0418B700000000002D -:0418B800000000002C -:0418B900000000002B -:0418BA00000000002A -:0418BB000000000029 -:0418BC000000000028 -:0418BD000000000027 -:0418BE000000000026 -:0418BF000000000025 -:0418C0000000000024 -:0418C1000000000023 -:0418C2000000000022 -:0418C3000000000021 -:0418C4000000000020 -:0418C500000000001F -:0418C600000000001E -:0418C700000000001D -:0418C800000000001C -:0418C900000000001B -:0418CA00000000001A -:0418CB000000000019 -:0418CC000000000018 -:0418CD000000000017 -:0418CE000000000016 -:0418CF000000000015 -:0418D0000000000014 -:0418D1000000000013 -:0418D2000000000012 -:0418D3000000000011 -:0418D4000000000010 -:0418D500000000000F -:0418D600000000000E -:0418D700000000000D -:0418D800000000000C -:0418D900000000000B -:0418DA00000000000A -:0418DB000000000009 -:0418DC000000000008 -:0418DD000000000007 -:0418DE000000000006 -:0418DF000000000005 -:0418E0000000000004 -:0418E1000000000003 -:0418E2000000000002 -:0418E3000000000001 -:0418E4000000000000 -:0418E50000000000FF -:0418E60000000000FE -:0418E70000000000FD -:0418E80000000000FC -:0418E90000000000FB -:0418EA0000000000FA -:0418EB0000000000F9 -:0418EC0000000000F8 -:0418ED0000000000F7 -:0418EE0000000000F6 -:0418EF0000000000F5 -:0418F00000000000F4 -:0418F10000000000F3 -:0418F20000000000F2 -:0418F30000000000F1 -:0418F40000000000F0 -:0418F50000000000EF -:0418F60000000000EE -:0418F70000000000ED -:0418F80000000000EC -:0418F90000000000EB -:0418FA0000000000EA -:0418FB0000000000E9 -:0418FC0000000000E8 -:0418FD0000000000E7 -:0418FE0000000000E6 -:0418FF0000000000E5 -:0419000000000000E3 -:0419010000000000E2 -:0419020000000000E1 -:0419030000000000E0 -:0419040000000000DF -:0419050000000000DE -:0419060000000000DD -:0419070000000000DC -:0419080000000000DB -:0419090000000000DA -:04190A0000000000D9 -:04190B0000000000D8 -:04190C0000000000D7 -:04190D0000000000D6 -:04190E0000000000D5 -:04190F0000000000D4 -:0419100000000000D3 -:0419110000000000D2 -:0419120000000000D1 -:0419130000000000D0 -:0419140000000000CF -:0419150000000000CE -:0419160000000000CD -:0419170000000000CC -:0419180000000000CB -:0419190000000000CA -:04191A0000000000C9 -:04191B0000000000C8 -:04191C0000000000C7 -:04191D0000000000C6 -:04191E0000000000C5 -:04191F0000000000C4 -:0419200000000000C3 -:0419210000000000C2 -:0419220000000000C1 -:0419230000000000C0 -:0419240000000000BF -:0419250000000000BE -:0419260000000000BD -:0419270000000000BC -:0419280000000000BB -:0419290000000000BA -:04192A0000000000B9 -:04192B0000000000B8 -:04192C0000000000B7 -:04192D0000000000B6 -:04192E0000000000B5 -:04192F0000000000B4 -:0419300000000000B3 -:0419310000000000B2 -:0419320000000000B1 -:0419330000000000B0 -:0419340000000000AF -:0419350000000000AE -:0419360000000000AD -:0419370000000000AC -:0419380000000000AB -:0419390000000000AA -:04193A0000000000A9 -:04193B0000000000A8 -:04193C0000000000A7 -:04193D0000000000A6 -:04193E0000000000A5 -:04193F0000000000A4 -:0419400000000000A3 -:0419410000000000A2 -:0419420000000000A1 -:0419430000000000A0 -:04194400000000009F -:04194500000000009E -:04194600000000009D -:04194700000000009C -:04194800000000009B -:04194900000000009A -:04194A000000000099 -:04194B000000000098 -:04194C000000000097 -:04194D000000000096 -:04194E000000000095 -:04194F000000000094 -:041950000000000093 -:041951000000000092 -:041952000000000091 -:041953000000000090 -:04195400000000008F -:04195500000000008E -:04195600000000008D -:04195700000000008C -:04195800000000008B -:04195900000000008A -:04195A000000000089 -:04195B000000000088 -:04195C000000000087 -:04195D000000000086 -:04195E000000000085 -:04195F000000000084 -:041960000000000083 -:041961000000000082 -:041962000000000081 -:041963000000000080 -:04196400000000007F -:04196500000000007E -:04196600000000007D -:04196700000000007C -:04196800000000007B -:04196900000000007A -:04196A000000000079 -:04196B000000000078 -:04196C000000000077 -:04196D000000000076 -:04196E000000000075 -:04196F000000000074 -:041970000000000073 -:041971000000000072 -:041972000000000071 -:041973000000000070 -:04197400000000006F -:04197500000000006E -:04197600000000006D -:04197700000000006C -:04197800000000006B -:04197900000000006A -:04197A000000000069 -:04197B000000000068 -:04197C000000000067 -:04197D000000000066 -:04197E000000000065 -:04197F000000000064 -:041980000000000063 -:041981000000000062 -:041982000000000061 -:041983000000000060 -:04198400000000005F -:04198500000000005E -:04198600000000005D -:04198700000000005C -:04198800000000005B -:04198900000000005A -:04198A000000000059 -:04198B000000000058 -:04198C000000000057 -:04198D000000000056 -:04198E000000000055 -:04198F000000000054 -:041990000000000053 -:041991000000000052 -:041992000000000051 -:041993000000000050 -:04199400000000004F -:04199500000000004E -:04199600000000004D -:04199700000000004C -:04199800000000004B -:04199900000000004A -:04199A000000000049 -:04199B000000000048 -:04199C000000000047 -:04199D000000000046 -:04199E000000000045 -:04199F000000000044 -:0419A0000000000043 -:0419A1000000000042 -:0419A2000000000041 -:0419A3000000000040 -:0419A400000000003F -:0419A500000000003E -:0419A600000000003D -:0419A700000000003C -:0419A800000000003B -:0419A900000000003A -:0419AA000000000039 -:0419AB000000000038 -:0419AC000000000037 -:0419AD000000000036 -:0419AE000000000035 -:0419AF000000000034 -:0419B0000000000033 -:0419B1000000000032 -:0419B2000000000031 -:0419B3000000000030 -:0419B400000000002F -:0419B500000000002E -:0419B600000000002D -:0419B700000000002C -:0419B800000000002B -:0419B900000000002A -:0419BA000000000029 -:0419BB000000000028 -:0419BC000000000027 -:0419BD000000000026 -:0419BE000000000025 -:0419BF000000000024 -:0419C0000000000023 -:0419C1000000000022 -:0419C2000000000021 -:0419C3000000000020 -:0419C400000000001F -:0419C500000000001E -:0419C600000000001D -:0419C700000000001C -:0419C800000000001B -:0419C900000000001A -:0419CA000000000019 -:0419CB000000000018 -:0419CC000000000017 -:0419CD000000000016 -:0419CE000000000015 -:0419CF000000000014 -:0419D0000000000013 -:0419D1000000000012 -:0419D2000000000011 -:0419D3000000000010 -:0419D400000000000F -:0419D500000000000E -:0419D600000000000D -:0419D700000000000C -:0419D800000000000B -:0419D900000000000A -:0419DA000000000009 -:0419DB000000000008 -:0419DC000000000007 -:0419DD000000000006 -:0419DE000000000005 -:0419DF000000000004 -:0419E0000000000003 -:0419E1000000000002 -:0419E2000000000001 -:0419E3000000000000 -:0419E40000000000FF -:0419E50000000000FE -:0419E60000000000FD -:0419E70000000000FC -:0419E80000000000FB -:0419E90000000000FA -:0419EA0000000000F9 -:0419EB0000000000F8 -:0419EC0000000000F7 -:0419ED0000000000F6 -:0419EE0000000000F5 -:0419EF0000000000F4 -:0419F00000000000F3 -:0419F10000000000F2 -:0419F20000000000F1 -:0419F30000000000F0 -:0419F40000000000EF -:0419F50000000000EE -:0419F60000000000ED -:0419F70000000000EC -:0419F80000000000EB -:0419F90000000000EA -:0419FA0000000000E9 -:0419FB0000000000E8 -:0419FC0000000000E7 -:0419FD0000000000E6 -:0419FE0000000000E5 -:0419FF0000000000E4 -:041A000000000000E2 -:041A010000000000E1 -:041A020000000000E0 -:041A030000000000DF -:041A040000000000DE -:041A050000000000DD -:041A060000000000DC -:041A070000000000DB -:041A080000000000DA -:041A090000000000D9 -:041A0A0000000000D8 -:041A0B0000000000D7 -:041A0C0000000000D6 -:041A0D0000000000D5 -:041A0E0000000000D4 -:041A0F0000000000D3 -:041A100000000000D2 -:041A110000000000D1 -:041A120000000000D0 -:041A130000000000CF -:041A140000000000CE -:041A150000000000CD -:041A160000000000CC -:041A170000000000CB -:041A180000000000CA -:041A190000000000C9 -:041A1A0000000000C8 -:041A1B0000000000C7 -:041A1C0000000000C6 -:041A1D0000000000C5 -:041A1E0000000000C4 -:041A1F0000000000C3 -:041A200000000000C2 -:041A210000000000C1 -:041A220000000000C0 -:041A230000000000BF -:041A240000000000BE -:041A250000000000BD -:041A260000000000BC -:041A270000000000BB -:041A280000000000BA -:041A290000000000B9 -:041A2A0000000000B8 -:041A2B0000000000B7 -:041A2C0000000000B6 -:041A2D0000000000B5 -:041A2E0000000000B4 -:041A2F0000000000B3 -:041A300000000000B2 -:041A310000000000B1 -:041A320000000000B0 -:041A330000000000AF -:041A340000000000AE -:041A350000000000AD -:041A360000000000AC -:041A370000000000AB -:041A380000000000AA -:041A390000000000A9 -:041A3A0000000000A8 -:041A3B0000000000A7 -:041A3C0000000000A6 -:041A3D0000000000A5 -:041A3E0000000000A4 -:041A3F0000000000A3 -:041A400000000000A2 -:041A410000000000A1 -:041A420000000000A0 -:041A4300000000009F -:041A4400000000009E -:041A4500000000009D -:041A4600000000009C -:041A4700000000009B -:041A4800000000009A -:041A49000000000099 -:041A4A000000000098 -:041A4B000000000097 -:041A4C000000000096 -:041A4D000000000095 -:041A4E000000000094 -:041A4F000000000093 -:041A50000000000092 -:041A51000000000091 -:041A52000000000090 -:041A5300000000008F -:041A5400000000008E -:041A5500000000008D -:041A5600000000008C -:041A5700000000008B -:041A5800000000008A -:041A59000000000089 -:041A5A000000000088 -:041A5B000000000087 -:041A5C000000000086 -:041A5D000000000085 -:041A5E000000000084 -:041A5F000000000083 -:041A60000000000082 -:041A61000000000081 -:041A62000000000080 -:041A6300000000007F -:041A6400000000007E -:041A6500000000007D -:041A6600000000007C -:041A6700000000007B -:041A6800000000007A -:041A69000000000079 -:041A6A000000000078 -:041A6B000000000077 -:041A6C000000000076 -:041A6D000000000075 -:041A6E000000000074 -:041A6F000000000073 -:041A70000000000072 -:041A71000000000071 -:041A72000000000070 -:041A7300000000006F -:041A7400000000006E -:041A7500000000006D -:041A7600000000006C -:041A7700000000006B -:041A7800000000006A -:041A79000000000069 -:041A7A000000000068 -:041A7B000000000067 -:041A7C000000000066 -:041A7D000000000065 -:041A7E000000000064 -:041A7F000000000063 -:041A80000000000062 -:041A81000000000061 -:041A82000000000060 -:041A8300000000005F -:041A8400000000005E -:041A8500000000005D -:041A8600000000005C -:041A8700000000005B -:041A8800000000005A -:041A89000000000059 -:041A8A000000000058 -:041A8B000000000057 -:041A8C000000000056 -:041A8D000000000055 -:041A8E000000000054 -:041A8F000000000053 -:041A90000000000052 -:041A91000000000051 -:041A92000000000050 -:041A9300000000004F -:041A9400000000004E -:041A9500000000004D -:041A9600000000004C -:041A9700000000004B -:041A9800000000004A -:041A99000000000049 -:041A9A000000000048 -:041A9B000000000047 -:041A9C000000000046 -:041A9D000000000045 -:041A9E000000000044 -:041A9F000000000043 -:041AA0000000000042 -:041AA1000000000041 -:041AA2000000000040 -:041AA300000000003F -:041AA400000000003E -:041AA500000000003D -:041AA600000000003C -:041AA700000000003B -:041AA800000000003A -:041AA9000000000039 -:041AAA000000000038 -:041AAB000000000037 -:041AAC000000000036 -:041AAD000000000035 -:041AAE000000000034 -:041AAF000000000033 -:041AB0000000000032 -:041AB1000000000031 -:041AB2000000000030 -:041AB300000000002F -:041AB400000000002E -:041AB500000000002D -:041AB600000000002C -:041AB700000000002B -:041AB800000000002A -:041AB9000000000029 -:041ABA000000000028 -:041ABB000000000027 -:041ABC000000000026 -:041ABD000000000025 -:041ABE000000000024 -:041ABF000000000023 -:041AC0000000000022 -:041AC1000000000021 -:041AC2000000000020 -:041AC300000000001F -:041AC400000000001E -:041AC500000000001D -:041AC600000000001C -:041AC700000000001B -:041AC800000000001A -:041AC9000000000019 -:041ACA000000000018 -:041ACB000000000017 -:041ACC000000000016 -:041ACD000000000015 -:041ACE000000000014 -:041ACF000000000013 -:041AD0000000000012 -:041AD1000000000011 -:041AD2000000000010 -:041AD300000000000F -:041AD400000000000E -:041AD500000000000D -:041AD600000000000C -:041AD700000000000B -:041AD800000000000A -:041AD9000000000009 -:041ADA000000000008 -:041ADB000000000007 -:041ADC000000000006 -:041ADD000000000005 -:041ADE000000000004 -:041ADF000000000003 -:041AE0000000000002 -:041AE1000000000001 -:041AE2000000000000 -:041AE30000000000FF -:041AE40000000000FE -:041AE50000000000FD -:041AE60000000000FC -:041AE70000000000FB -:041AE80000000000FA -:041AE90000000000F9 -:041AEA0000000000F8 -:041AEB0000000000F7 -:041AEC0000000000F6 -:041AED0000000000F5 -:041AEE0000000000F4 -:041AEF0000000000F3 -:041AF00000000000F2 -:041AF10000000000F1 -:041AF20000000000F0 -:041AF30000000000EF -:041AF40000000000EE -:041AF50000000000ED -:041AF60000000000EC -:041AF70000000000EB -:041AF80000000000EA -:041AF90000000000E9 -:041AFA0000000000E8 -:041AFB0000000000E7 -:041AFC0000000000E6 -:041AFD0000000000E5 -:041AFE0000000000E4 -:041AFF0000000000E3 -:041B000000000000E1 -:041B010000000000E0 -:041B020000000000DF -:041B030000000000DE -:041B040000000000DD -:041B050000000000DC -:041B060000000000DB -:041B070000000000DA -:041B080000000000D9 -:041B090000000000D8 -:041B0A0000000000D7 -:041B0B0000000000D6 -:041B0C0000000000D5 -:041B0D0000000000D4 -:041B0E0000000000D3 -:041B0F0000000000D2 -:041B100000000000D1 -:041B110000000000D0 -:041B120000000000CF -:041B130000000000CE -:041B140000000000CD -:041B150000000000CC -:041B160000000000CB -:041B170000000000CA -:041B180000000000C9 -:041B190000000000C8 -:041B1A0000000000C7 -:041B1B0000000000C6 -:041B1C0000000000C5 -:041B1D0000000000C4 -:041B1E0000000000C3 -:041B1F0000000000C2 -:041B200000000000C1 -:041B210000000000C0 -:041B220000000000BF -:041B230000000000BE -:041B240000000000BD -:041B250000000000BC -:041B260000000000BB -:041B270000000000BA -:041B280000000000B9 -:041B290000000000B8 -:041B2A0000000000B7 -:041B2B0000000000B6 -:041B2C0000000000B5 -:041B2D0000000000B4 -:041B2E0000000000B3 -:041B2F0000000000B2 -:041B300000000000B1 -:041B310000000000B0 -:041B320000000000AF -:041B330000000000AE -:041B340000000000AD -:041B350000000000AC -:041B360000000000AB -:041B370000000000AA -:041B380000000000A9 -:041B390000000000A8 -:041B3A0000000000A7 -:041B3B0000000000A6 -:041B3C0000000000A5 -:041B3D0000000000A4 -:041B3E0000000000A3 -:041B3F0000000000A2 -:041B400000000000A1 -:041B410000000000A0 -:041B4200000000009F -:041B4300000000009E -:041B4400000000009D -:041B4500000000009C -:041B4600000000009B -:041B4700000000009A -:041B48000000000099 -:041B49000000000098 -:041B4A000000000097 -:041B4B000000000096 -:041B4C000000000095 -:041B4D000000000094 -:041B4E000000000093 -:041B4F000000000092 -:041B50000000000091 -:041B51000000000090 -:041B5200000000008F -:041B5300000000008E -:041B5400000000008D -:041B5500000000008C -:041B5600000000008B -:041B5700000000008A -:041B58000000000089 -:041B59000000000088 -:041B5A000000000087 -:041B5B000000000086 -:041B5C000000000085 -:041B5D000000000084 -:041B5E000000000083 -:041B5F000000000082 -:041B60000000000081 -:041B61000000000080 -:041B6200000000007F -:041B6300000000007E -:041B6400000000007D -:041B6500000000007C -:041B6600000000007B -:041B6700000000007A -:041B68000000000079 -:041B69000000000078 -:041B6A000000000077 -:041B6B000000000076 -:041B6C000000000075 -:041B6D000000000074 -:041B6E000000000073 -:041B6F000000000072 -:041B70000000000071 -:041B71000000000070 -:041B7200000000006F -:041B7300000000006E -:041B7400000000006D -:041B7500000000006C -:041B7600000000006B -:041B7700000000006A -:041B78000000000069 -:041B79000000000068 -:041B7A000000000067 -:041B7B000000000066 -:041B7C000000000065 -:041B7D000000000064 -:041B7E000000000063 -:041B7F000000000062 -:041B80000000000061 -:041B81000000000060 -:041B8200000000005F -:041B8300000000005E -:041B8400000000005D -:041B8500000000005C -:041B8600000000005B -:041B8700000000005A -:041B88000000000059 -:041B89000000000058 -:041B8A000000000057 -:041B8B000000000056 -:041B8C000000000055 -:041B8D000000000054 -:041B8E000000000053 -:041B8F000000000052 -:041B90000000000051 -:041B91000000000050 -:041B9200000000004F -:041B9300000000004E -:041B9400000000004D -:041B9500000000004C -:041B9600000000004B -:041B9700000000004A -:041B98000000000049 -:041B99000000000048 -:041B9A000000000047 -:041B9B000000000046 -:041B9C000000000045 -:041B9D000000000044 -:041B9E000000000043 -:041B9F000000000042 -:041BA0000000000041 -:041BA1000000000040 -:041BA200000000003F -:041BA300000000003E -:041BA400000000003D -:041BA500000000003C -:041BA600000000003B -:041BA700000000003A -:041BA8000000000039 -:041BA9000000000038 -:041BAA000000000037 -:041BAB000000000036 -:041BAC000000000035 -:041BAD000000000034 -:041BAE000000000033 -:041BAF000000000032 -:041BB0000000000031 -:041BB1000000000030 -:041BB200000000002F -:041BB300000000002E -:041BB400000000002D -:041BB500000000002C -:041BB600000000002B -:041BB700000000002A -:041BB8000000000029 -:041BB9000000000028 -:041BBA000000000027 -:041BBB000000000026 -:041BBC000000000025 -:041BBD000000000024 -:041BBE000000000023 -:041BBF000000000022 -:041BC0000000000021 -:041BC1000000000020 -:041BC200000000001F -:041BC300000000001E -:041BC400000000001D -:041BC500000000001C -:041BC600000000001B -:041BC700000000001A -:041BC8000000000019 -:041BC9000000000018 -:041BCA000000000017 -:041BCB000000000016 -:041BCC000000000015 -:041BCD000000000014 -:041BCE000000000013 -:041BCF000000000012 -:041BD0000000000011 -:041BD1000000000010 -:041BD200000000000F -:041BD300000000000E -:041BD400000000000D -:041BD500000000000C -:041BD600000000000B -:041BD700000000000A -:041BD8000000000009 -:041BD9000000000008 -:041BDA000000000007 -:041BDB000000000006 -:041BDC000000000005 -:041BDD000000000004 -:041BDE000000000003 -:041BDF000000000002 -:041BE0000000000001 -:041BE1000000000000 -:041BE20000000000FF -:041BE30000000000FE -:041BE40000000000FD -:041BE50000000000FC -:041BE60000000000FB -:041BE70000000000FA -:041BE80000000000F9 -:041BE90000000000F8 -:041BEA0000000000F7 -:041BEB0000000000F6 -:041BEC0000000000F5 -:041BED0000000000F4 -:041BEE0000000000F3 -:041BEF0000000000F2 -:041BF00000000000F1 -:041BF10000000000F0 -:041BF20000000000EF -:041BF30000000000EE -:041BF40000000000ED -:041BF50000000000EC -:041BF60000000000EB -:041BF70000000000EA -:041BF80000000000E9 -:041BF90000000000E8 -:041BFA0000000000E7 -:041BFB0000000000E6 -:041BFC0000000000E5 -:041BFD0000000000E4 -:041BFE0000000000E3 -:041BFF0000000000E2 -:041C000000000000E0 -:041C010000000000DF -:041C020000000000DE -:041C030000000000DD -:041C040000000000DC -:041C050000000000DB -:041C060000000000DA -:041C070000000000D9 -:041C080000000000D8 -:041C090000000000D7 -:041C0A0000000000D6 -:041C0B0000000000D5 -:041C0C0000000000D4 -:041C0D0000000000D3 -:041C0E0000000000D2 -:041C0F0000000000D1 -:041C100000000000D0 -:041C110000000000CF -:041C120000000000CE -:041C130000000000CD -:041C140000000000CC -:041C150000000000CB -:041C160000000000CA -:041C170000000000C9 -:041C180000000000C8 -:041C190000000000C7 -:041C1A0000000000C6 -:041C1B0000000000C5 -:041C1C0000000000C4 -:041C1D0000000000C3 -:041C1E0000000000C2 -:041C1F0000000000C1 -:041C200000000000C0 -:041C210000000000BF -:041C220000000000BE -:041C230000000000BD -:041C240000000000BC -:041C250000000000BB -:041C260000000000BA -:041C270000000000B9 -:041C280000000000B8 -:041C290000000000B7 -:041C2A0000000000B6 -:041C2B0000000000B5 -:041C2C0000000000B4 -:041C2D0000000000B3 -:041C2E0000000000B2 -:041C2F0000000000B1 -:041C300000000000B0 -:041C310000000000AF -:041C320000000000AE -:041C330000000000AD -:041C340000000000AC -:041C350000000000AB -:041C360000000000AA -:041C370000000000A9 -:041C380000000000A8 -:041C390000000000A7 -:041C3A0000000000A6 -:041C3B0000000000A5 -:041C3C0000000000A4 -:041C3D0000000000A3 -:041C3E0000000000A2 -:041C3F0000000000A1 -:041C400000000000A0 -:041C4100000000009F -:041C4200000000009E -:041C4300000000009D -:041C4400000000009C -:041C4500000000009B -:041C4600000000009A -:041C47000000000099 -:041C48000000000098 -:041C49000000000097 -:041C4A000000000096 -:041C4B000000000095 -:041C4C000000000094 -:041C4D000000000093 -:041C4E000000000092 -:041C4F000000000091 -:041C50000000000090 -:041C5100000000008F -:041C5200000000008E -:041C5300000000008D -:041C5400000000008C -:041C5500000000008B -:041C5600000000008A -:041C57000000000089 -:041C58000000000088 -:041C59000000000087 -:041C5A000000000086 -:041C5B000000000085 -:041C5C000000000084 -:041C5D000000000083 -:041C5E000000000082 -:041C5F000000000081 -:041C60000000000080 -:041C6100000000007F -:041C6200000000007E -:041C6300000000007D -:041C6400000000007C -:041C6500000000007B -:041C6600000000007A -:041C67000000000079 -:041C68000000000078 -:041C69000000000077 -:041C6A000000000076 -:041C6B000000000075 -:041C6C000000000074 -:041C6D000000000073 -:041C6E000000000072 -:041C6F000000000071 -:041C70000000000070 -:041C7100000000006F -:041C7200000000006E -:041C7300000000006D -:041C7400000000006C -:041C7500000000006B -:041C7600000000006A -:041C77000000000069 -:041C78000000000068 -:041C79000000000067 -:041C7A000000000066 -:041C7B000000000065 -:041C7C000000000064 -:041C7D000000000063 -:041C7E000000000062 -:041C7F000000000061 -:041C80000000000060 -:041C8100000000005F -:041C8200000000005E -:041C8300000000005D -:041C8400000000005C -:041C8500000000005B -:041C8600000000005A -:041C87000000000059 -:041C88000000000058 -:041C89000000000057 -:041C8A000000000056 -:041C8B000000000055 -:041C8C000000000054 -:041C8D000000000053 -:041C8E000000000052 -:041C8F000000000051 -:041C90000000000050 -:041C9100000000004F -:041C9200000000004E -:041C9300000000004D -:041C9400000000004C -:041C9500000000004B -:041C9600000000004A -:041C97000000000049 -:041C98000000000048 -:041C99000000000047 -:041C9A000000000046 -:041C9B000000000045 -:041C9C000000000044 -:041C9D000000000043 -:041C9E000000000042 -:041C9F000000000041 -:041CA0000000000040 -:041CA100000000003F -:041CA200000000003E -:041CA300000000003D -:041CA400000000003C -:041CA500000000003B -:041CA600000000003A -:041CA7000000000039 -:041CA8000000000038 -:041CA9000000000037 -:041CAA000000000036 -:041CAB000000000035 -:041CAC000000000034 -:041CAD000000000033 -:041CAE000000000032 -:041CAF000000000031 -:041CB0000000000030 -:041CB100000000002F -:041CB200000000002E -:041CB300000000002D -:041CB400000000002C -:041CB500000000002B -:041CB600000000002A -:041CB7000000000029 -:041CB8000000000028 -:041CB9000000000027 -:041CBA000000000026 -:041CBB000000000025 -:041CBC000000000024 -:041CBD000000000023 -:041CBE000000000022 -:041CBF000000000021 -:041CC0000000000020 -:041CC100000000001F -:041CC200000000001E -:041CC300000000001D -:041CC400000000001C -:041CC500000000001B -:041CC600000000001A -:041CC7000000000019 -:041CC8000000000018 -:041CC9000000000017 -:041CCA000000000016 -:041CCB000000000015 -:041CCC000000000014 -:041CCD000000000013 -:041CCE000000000012 -:041CCF000000000011 -:041CD0000000000010 -:041CD100000000000F -:041CD200000000000E -:041CD300000000000D -:041CD400000000000C -:041CD500000000000B -:041CD600000000000A -:041CD7000000000009 -:041CD8000000000008 -:041CD9000000000007 -:041CDA000000000006 -:041CDB000000000005 -:041CDC000000000004 -:041CDD000000000003 -:041CDE000000000002 -:041CDF000000000001 -:041CE0000000000000 -:041CE10000000000FF -:041CE20000000000FE -:041CE30000000000FD -:041CE40000000000FC -:041CE50000000000FB -:041CE60000000000FA -:041CE70000000000F9 -:041CE80000000000F8 -:041CE90000000000F7 -:041CEA0000000000F6 -:041CEB0000000000F5 -:041CEC0000000000F4 -:041CED0000000000F3 -:041CEE0000000000F2 -:041CEF0000000000F1 -:041CF00000000000F0 -:041CF10000000000EF -:041CF20000000000EE -:041CF30000000000ED -:041CF40000000000EC -:041CF50000000000EB -:041CF60000000000EA -:041CF70000000000E9 -:041CF80000000000E8 -:041CF90000000000E7 -:041CFA0000000000E6 -:041CFB0000000000E5 -:041CFC0000000000E4 -:041CFD0000000000E3 -:041CFE0000000000E2 -:041CFF0000000000E1 -:041D000000000000DF -:041D010000000000DE -:041D020000000000DD -:041D030000000000DC -:041D040000000000DB -:041D050000000000DA -:041D060000000000D9 -:041D070000000000D8 -:041D080000000000D7 -:041D090000000000D6 -:041D0A0000000000D5 -:041D0B0000000000D4 -:041D0C0000000000D3 -:041D0D0000000000D2 -:041D0E0000000000D1 -:041D0F0000000000D0 -:041D100000000000CF -:041D110000000000CE -:041D120000000000CD -:041D130000000000CC -:041D140000000000CB -:041D150000000000CA -:041D160000000000C9 -:041D170000000000C8 -:041D180000000000C7 -:041D190000000000C6 -:041D1A0000000000C5 -:041D1B0000000000C4 -:041D1C0000000000C3 -:041D1D0000000000C2 -:041D1E0000000000C1 -:041D1F0000000000C0 -:041D200000000000BF -:041D210000000000BE -:041D220000000000BD -:041D230000000000BC -:041D240000000000BB -:041D250000000000BA -:041D260000000000B9 -:041D270000000000B8 -:041D280000000000B7 -:041D290000000000B6 -:041D2A0000000000B5 -:041D2B0000000000B4 -:041D2C0000000000B3 -:041D2D0000000000B2 -:041D2E0000000000B1 -:041D2F0000000000B0 -:041D300000000000AF -:041D310000000000AE -:041D320000000000AD -:041D330000000000AC -:041D340000000000AB -:041D350000000000AA -:041D360000000000A9 -:041D370000000000A8 -:041D380000000000A7 -:041D390000000000A6 -:041D3A0000000000A5 -:041D3B0000000000A4 -:041D3C0000000000A3 -:041D3D0000000000A2 -:041D3E0000000000A1 -:041D3F0000000000A0 -:041D4000000000009F -:041D4100000000009E -:041D4200000000009D -:041D4300000000009C -:041D4400000000009B -:041D4500000000009A -:041D46000000000099 -:041D47000000000098 -:041D48000000000097 -:041D49000000000096 -:041D4A000000000095 -:041D4B000000000094 -:041D4C000000000093 -:041D4D000000000092 -:041D4E000000000091 -:041D4F000000000090 -:041D5000000000008F -:041D5100000000008E -:041D5200000000008D -:041D5300000000008C -:041D5400000000008B -:041D5500000000008A -:041D56000000000089 -:041D57000000000088 -:041D58000000000087 -:041D59000000000086 -:041D5A000000000085 -:041D5B000000000084 -:041D5C000000000083 -:041D5D000000000082 -:041D5E000000000081 -:041D5F000000000080 -:041D6000000000007F -:041D6100000000007E -:041D6200000000007D -:041D6300000000007C -:041D6400000000007B -:041D6500000000007A -:041D66000000000079 -:041D67000000000078 -:041D68000000000077 -:041D69000000000076 -:041D6A000000000075 -:041D6B000000000074 -:041D6C000000000073 -:041D6D000000000072 -:041D6E000000000071 -:041D6F000000000070 -:041D7000000000006F -:041D7100000000006E -:041D7200000000006D -:041D7300000000006C -:041D7400000000006B -:041D7500000000006A -:041D76000000000069 -:041D77000000000068 -:041D78000000000067 -:041D79000000000066 -:041D7A000000000065 -:041D7B000000000064 -:041D7C000000000063 -:041D7D000000000062 -:041D7E000000000061 -:041D7F000000000060 -:041D8000000000005F -:041D8100000000005E -:041D8200000000005D -:041D8300000000005C -:041D8400000000005B -:041D8500000000005A -:041D86000000000059 -:041D87000000000058 -:041D88000000000057 -:041D89000000000056 -:041D8A000000000055 -:041D8B000000000054 -:041D8C000000000053 -:041D8D000000000052 -:041D8E000000000051 -:041D8F000000000050 -:041D9000000000004F -:041D9100000000004E -:041D9200000000004D -:041D9300000000004C -:041D9400000000004B -:041D9500000000004A -:041D96000000000049 -:041D97000000000048 -:041D98000000000047 -:041D99000000000046 -:041D9A000000000045 -:041D9B000000000044 -:041D9C000000000043 -:041D9D000000000042 -:041D9E000000000041 -:041D9F000000000040 -:041DA000000000003F -:041DA100000000003E -:041DA200000000003D -:041DA300000000003C -:041DA400000000003B -:041DA500000000003A -:041DA6000000000039 -:041DA7000000000038 -:041DA8000000000037 -:041DA9000000000036 -:041DAA000000000035 -:041DAB000000000034 -:041DAC000000000033 -:041DAD000000000032 -:041DAE000000000031 -:041DAF000000000030 -:041DB000000000002F -:041DB100000000002E -:041DB200000000002D -:041DB300000000002C -:041DB400000000002B -:041DB500000000002A -:041DB6000000000029 -:041DB7000000000028 -:041DB8000000000027 -:041DB9000000000026 -:041DBA000000000025 -:041DBB000000000024 -:041DBC000000000023 -:041DBD000000000022 -:041DBE000000000021 -:041DBF000000000020 -:041DC000000000001F -:041DC100000000001E -:041DC200000000001D -:041DC300000000001C -:041DC400000000001B -:041DC500000000001A -:041DC6000000000019 -:041DC7000000000018 -:041DC8000000000017 -:041DC9000000000016 -:041DCA000000000015 -:041DCB000000000014 -:041DCC000000000013 -:041DCD000000000012 -:041DCE000000000011 -:041DCF000000000010 -:041DD000000000000F -:041DD100000000000E -:041DD200000000000D -:041DD300000000000C -:041DD400000000000B -:041DD500000000000A -:041DD6000000000009 -:041DD7000000000008 -:041DD8000000000007 -:041DD9000000000006 -:041DDA000000000005 -:041DDB000000000004 -:041DDC000000000003 -:041DDD000000000002 -:041DDE000000000001 -:041DDF000000000000 -:041DE00000000000FF -:041DE10000000000FE -:041DE20000000000FD -:041DE30000000000FC -:041DE40000000000FB -:041DE50000000000FA -:041DE60000000000F9 -:041DE70000000000F8 -:041DE80000000000F7 -:041DE90000000000F6 -:041DEA0000000000F5 -:041DEB0000000000F4 -:041DEC0000000000F3 -:041DED0000000000F2 -:041DEE0000000000F1 -:041DEF0000000000F0 -:041DF00000000000EF -:041DF10000000000EE -:041DF20000000000ED -:041DF30000000000EC -:041DF40000000000EB -:041DF50000000000EA -:041DF60000000000E9 -:041DF70000000000E8 -:041DF80000000000E7 -:041DF90000000000E6 -:041DFA0000000000E5 -:041DFB0000000000E4 -:041DFC0000000000E3 -:041DFD0000000000E2 -:041DFE0000000000E1 -:041DFF0000000000E0 -:041E000000000000DE -:041E010000000000DD -:041E020000000000DC -:041E030000000000DB -:041E040000000000DA -:041E050000000000D9 -:041E060000000000D8 -:041E070000000000D7 -:041E080000000000D6 -:041E090000000000D5 -:041E0A0000000000D4 -:041E0B0000000000D3 -:041E0C0000000000D2 -:041E0D0000000000D1 -:041E0E0000000000D0 -:041E0F0000000000CF -:041E100000000000CE -:041E110000000000CD -:041E120000000000CC -:041E130000000000CB -:041E140000000000CA -:041E150000000000C9 -:041E160000000000C8 -:041E170000000000C7 -:041E180000000000C6 -:041E190000000000C5 -:041E1A0000000000C4 -:041E1B0000000000C3 -:041E1C0000000000C2 -:041E1D0000000000C1 -:041E1E0000000000C0 -:041E1F0000000000BF -:041E200000000000BE -:041E210000000000BD -:041E220000000000BC -:041E230000000000BB -:041E240000000000BA -:041E250000000000B9 -:041E260000000000B8 -:041E270000000000B7 -:041E280000000000B6 -:041E290000000000B5 -:041E2A0000000000B4 -:041E2B0000000000B3 -:041E2C0000000000B2 -:041E2D0000000000B1 -:041E2E0000000000B0 -:041E2F0000000000AF -:041E300000000000AE -:041E310000000000AD -:041E320000000000AC -:041E330000000000AB -:041E340000000000AA -:041E350000000000A9 -:041E360000000000A8 -:041E370000000000A7 -:041E380000000000A6 -:041E390000000000A5 -:041E3A0000000000A4 -:041E3B0000000000A3 -:041E3C0000000000A2 -:041E3D0000000000A1 -:041E3E0000000000A0 -:041E3F00000000009F -:041E4000000000009E -:041E4100000000009D -:041E4200000000009C -:041E4300000000009B -:041E4400000000009A -:041E45000000000099 -:041E46000000000098 -:041E47000000000097 -:041E48000000000096 -:041E49000000000095 -:041E4A000000000094 -:041E4B000000000093 -:041E4C000000000092 -:041E4D000000000091 -:041E4E000000000090 -:041E4F00000000008F -:041E5000000000008E -:041E5100000000008D -:041E5200000000008C -:041E5300000000008B -:041E5400000000008A -:041E55000000000089 -:041E56000000000088 -:041E57000000000087 -:041E58000000000086 -:041E59000000000085 -:041E5A000000000084 -:041E5B000000000083 -:041E5C000000000082 -:041E5D000000000081 -:041E5E000000000080 -:041E5F00000000007F -:041E6000000000007E -:041E6100000000007D -:041E6200000000007C -:041E6300000000007B -:041E6400000000007A -:041E65000000000079 -:041E66000000000078 -:041E67000000000077 -:041E68000000000076 -:041E69000000000075 -:041E6A000000000074 -:041E6B000000000073 -:041E6C000000000072 -:041E6D000000000071 -:041E6E000000000070 -:041E6F00000000006F -:041E7000000000006E -:041E7100000000006D -:041E7200000000006C -:041E7300000000006B -:041E7400000000006A -:041E75000000000069 -:041E76000000000068 -:041E77000000000067 -:041E78000000000066 -:041E79000000000065 -:041E7A000000000064 -:041E7B000000000063 -:041E7C000000000062 -:041E7D000000000061 -:041E7E000000000060 -:041E7F00000000005F -:041E8000000000005E -:041E8100000000005D -:041E8200000000005C -:041E8300000000005B -:041E8400000000005A -:041E85000000000059 -:041E86000000000058 -:041E87000000000057 -:041E88000000000056 -:041E89000000000055 -:041E8A000000000054 -:041E8B000000000053 -:041E8C000000000052 -:041E8D000000000051 -:041E8E000000000050 -:041E8F00000000004F -:041E9000000000004E -:041E9100000000004D -:041E9200000000004C -:041E9300000000004B -:041E9400000000004A -:041E95000000000049 -:041E96000000000048 -:041E97000000000047 -:041E98000000000046 -:041E99000000000045 -:041E9A000000000044 -:041E9B000000000043 -:041E9C000000000042 -:041E9D000000000041 -:041E9E000000000040 -:041E9F00000000003F -:041EA000000000003E -:041EA100000000003D -:041EA200000000003C -:041EA300000000003B -:041EA400000000003A -:041EA5000000000039 -:041EA6000000000038 -:041EA7000000000037 -:041EA8000000000036 -:041EA9000000000035 -:041EAA000000000034 -:041EAB000000000033 -:041EAC000000000032 -:041EAD000000000031 -:041EAE000000000030 -:041EAF00000000002F -:041EB000000000002E -:041EB100000000002D -:041EB200000000002C -:041EB300000000002B -:041EB400000000002A -:041EB5000000000029 -:041EB6000000000028 -:041EB7000000000027 -:041EB8000000000026 -:041EB9000000000025 -:041EBA000000000024 -:041EBB000000000023 -:041EBC000000000022 -:041EBD000000000021 -:041EBE000000000020 -:041EBF00000000001F -:041EC000000000001E -:041EC100000000001D -:041EC200000000001C -:041EC300000000001B -:041EC400000000001A -:041EC5000000000019 -:041EC6000000000018 -:041EC7000000000017 -:041EC8000000000016 -:041EC9000000000015 -:041ECA000000000014 -:041ECB000000000013 -:041ECC000000000012 -:041ECD000000000011 -:041ECE000000000010 -:041ECF00000000000F -:041ED000000000000E -:041ED100000000000D -:041ED200000000000C -:041ED300000000000B -:041ED400000000000A -:041ED5000000000009 -:041ED6000000000008 -:041ED7000000000007 -:041ED8000000000006 -:041ED9000000000005 -:041EDA000000000004 -:041EDB000000000003 -:041EDC000000000002 -:041EDD000000000001 -:041EDE000000000000 -:041EDF0000000000FF -:041EE00000000000FE -:041EE10000000000FD -:041EE20000000000FC -:041EE30000000000FB -:041EE40000000000FA -:041EE50000000000F9 -:041EE60000000000F8 -:041EE70000000000F7 -:041EE80000000000F6 -:041EE90000000000F5 -:041EEA0000000000F4 -:041EEB0000000000F3 -:041EEC0000000000F2 -:041EED0000000000F1 -:041EEE0000000000F0 -:041EEF0000000000EF -:041EF00000000000EE -:041EF10000000000ED -:041EF20000000000EC -:041EF30000000000EB -:041EF40000000000EA -:041EF50000000000E9 -:041EF60000000000E8 -:041EF70000000000E7 -:041EF80000000000E6 -:041EF90000000000E5 -:041EFA0000000000E4 -:041EFB0000000000E3 -:041EFC0000000000E2 -:041EFD0000000000E1 -:041EFE0000000000E0 -:041EFF0000000000DF -:041F000000000000DD -:041F010000000000DC -:041F020000000000DB -:041F030000000000DA -:041F040000000000D9 -:041F050000000000D8 -:041F060000000000D7 -:041F070000000000D6 -:041F080000000000D5 -:041F090000000000D4 -:041F0A0000000000D3 -:041F0B0000000000D2 -:041F0C0000000000D1 -:041F0D0000000000D0 -:041F0E0000000000CF -:041F0F0000000000CE -:041F100000000000CD -:041F110000000000CC -:041F120000000000CB -:041F130000000000CA -:041F140000000000C9 -:041F150000000000C8 -:041F160000000000C7 -:041F170000000000C6 -:041F180000000000C5 -:041F190000000000C4 -:041F1A0000000000C3 -:041F1B0000000000C2 -:041F1C0000000000C1 -:041F1D0000000000C0 -:041F1E0000000000BF -:041F1F0000000000BE -:041F200000000000BD -:041F210000000000BC -:041F220000000000BB -:041F230000000000BA -:041F240000000000B9 -:041F250000000000B8 -:041F260000000000B7 -:041F270000000000B6 -:041F280000000000B5 -:041F290000000000B4 -:041F2A0000000000B3 -:041F2B0000000000B2 -:041F2C0000000000B1 -:041F2D0000000000B0 -:041F2E0000000000AF -:041F2F0000000000AE -:041F300000000000AD -:041F310000000000AC -:041F320000000000AB -:041F330000000000AA -:041F340000000000A9 -:041F350000000000A8 -:041F360000000000A7 -:041F370000000000A6 -:041F380000000000A5 -:041F390000000000A4 -:041F3A0000000000A3 -:041F3B0000000000A2 -:041F3C0000000000A1 -:041F3D0000000000A0 -:041F3E00000000009F -:041F3F00000000009E -:041F4000000000009D -:041F4100000000009C -:041F4200000000009B -:041F4300000000009A -:041F44000000000099 -:041F45000000000098 -:041F46000000000097 -:041F47000000000096 -:041F48000000000095 -:041F49000000000094 -:041F4A000000000093 -:041F4B000000000092 -:041F4C000000000091 -:041F4D000000000090 -:041F4E00000000008F -:041F4F00000000008E -:041F5000000000008D -:041F5100000000008C -:041F5200000000008B -:041F5300000000008A -:041F54000000000089 -:041F55000000000088 -:041F56000000000087 -:041F57000000000086 -:041F58000000000085 -:041F59000000000084 -:041F5A000000000083 -:041F5B000000000082 -:041F5C000000000081 -:041F5D000000000080 -:041F5E00000000007F -:041F5F00000000007E -:041F6000000000007D -:041F6100000000007C -:041F6200000000007B -:041F6300000000007A -:041F64000000000079 -:041F65000000000078 -:041F66000000000077 -:041F67000000000076 -:041F68000000000075 -:041F69000000000074 -:041F6A000000000073 -:041F6B000000000072 -:041F6C000000000071 -:041F6D000000000070 -:041F6E00000000006F -:041F6F00000000006E -:041F7000000000006D -:041F7100000000006C -:041F7200000000006B -:041F7300000000006A -:041F74000000000069 -:041F75000000000068 -:041F76000000000067 -:041F77000000000066 -:041F78000000000065 -:041F79000000000064 -:041F7A000000000063 -:041F7B000000000062 -:041F7C000000000061 -:041F7D000000000060 -:041F7E00000000005F -:041F7F00000000005E -:041F8000000000005D -:041F8100000000005C -:041F8200000000005B -:041F8300000000005A -:041F84000000000059 -:041F85000000000058 -:041F86000000000057 -:041F87000000000056 -:041F88000000000055 -:041F89000000000054 -:041F8A000000000053 -:041F8B000000000052 -:041F8C000000000051 -:041F8D000000000050 -:041F8E00000000004F -:041F8F00000000004E -:041F9000000000004D -:041F9100000000004C -:041F9200000000004B -:041F9300000000004A -:041F94000000000049 -:041F95000000000048 -:041F96000000000047 -:041F97000000000046 -:041F98000000000045 -:041F99000000000044 -:041F9A000000000043 -:041F9B000000000042 -:041F9C000000000041 -:041F9D000000000040 -:041F9E00000000003F -:041F9F00000000003E -:041FA000000000003D -:041FA100000000003C -:041FA200000000003B -:041FA300000000003A -:041FA4000000000039 -:041FA5000000000038 -:041FA6000000000037 -:041FA7000000000036 -:041FA8000000000035 -:041FA9000000000034 -:041FAA000000000033 -:041FAB000000000032 -:041FAC000000000031 -:041FAD000000000030 -:041FAE00000000002F -:041FAF00000000002E -:041FB000000000002D -:041FB100000000002C -:041FB200000000002B -:041FB300000000002A -:041FB4000000000029 -:041FB5000000000028 -:041FB6000000000027 -:041FB7000000000026 -:041FB8000000000025 -:041FB9000000000024 -:041FBA000000000023 -:041FBB000000000022 -:041FBC000000000021 -:041FBD000000000020 -:041FBE00000000001F -:041FBF00000000001E -:041FC000000000001D -:041FC100000000001C -:041FC200000000001B -:041FC300000000001A -:041FC4000000000019 -:041FC5000000000018 -:041FC6000000000017 -:041FC7000000000016 -:041FC8000000000015 -:041FC9000000000014 -:041FCA000000000013 -:041FCB000000000012 -:041FCC000000000011 -:041FCD000000000010 -:041FCE00000000000F -:041FCF00000000000E -:041FD000000000000D -:041FD100000000000C -:041FD200000000000B -:041FD300000000000A -:041FD4000000000009 -:041FD5000000000008 -:041FD6000000000007 -:041FD7000000000006 -:041FD8000000000005 -:041FD9000000000004 -:041FDA000000000003 -:041FDB000000000002 -:041FDC000000000001 -:041FDD000000000000 -:041FDE0000000000FF -:041FDF0000000000FE -:041FE00000000000FD -:041FE10000000000FC -:041FE20000000000FB -:041FE30000000000FA -:041FE40000000000F9 -:041FE50000000000F8 -:041FE60000000000F7 -:041FE70000000000F6 -:041FE80000000000F5 -:041FE90000000000F4 -:041FEA0000000000F3 -:041FEB0000000000F2 -:041FEC0000000000F1 -:041FED0000000000F0 -:041FEE0000000000EF -:041FEF0000000000EE -:041FF00000000000ED -:041FF10000000000EC -:041FF20000000000EB -:041FF30000000000EA -:041FF40000000000E9 -:041FF50000000000E8 -:041FF60000000000E7 -:041FF70000000000E6 -:041FF80000000000E5 -:041FF90000000000E4 -:041FFA0000000000E3 -:041FFB0000000000E2 -:041FFC0000000000E1 -:041FFD0000000000E0 -:041FFE0000000000DF -:041FFF0000000000DE -:0420000000000000DC -:0420010000000000DB -:0420020000000000DA -:0420030000000000D9 -:0420040000000000D8 -:0420050000000000D7 -:0420060000000000D6 -:0420070000000000D5 -:0420080000000000D4 -:0420090000000000D3 -:04200A0000000000D2 -:04200B0000000000D1 -:04200C0000000000D0 -:04200D0000000000CF -:04200E0000000000CE -:04200F0000000000CD -:0420100000000000CC -:0420110000000000CB -:0420120000000000CA -:0420130000000000C9 -:0420140000000000C8 -:0420150000000000C7 -:0420160000000000C6 -:0420170000000000C5 -:0420180000000000C4 -:0420190000000000C3 -:04201A0000000000C2 -:04201B0000000000C1 -:04201C0000000000C0 -:04201D0000000000BF -:04201E0000000000BE -:04201F0000000000BD -:0420200000000000BC -:0420210000000000BB -:0420220000000000BA -:0420230000000000B9 -:0420240000000000B8 -:0420250000000000B7 -:0420260000000000B6 -:0420270000000000B5 -:0420280000000000B4 -:0420290000000000B3 -:04202A0000000000B2 -:04202B0000000000B1 -:04202C0000000000B0 -:04202D0000000000AF -:04202E0000000000AE -:04202F0000000000AD -:0420300000000000AC -:0420310000000000AB -:0420320000000000AA -:0420330000000000A9 -:0420340000000000A8 -:0420350000000000A7 -:0420360000000000A6 -:0420370000000000A5 -:0420380000000000A4 -:0420390000000000A3 -:04203A0000000000A2 -:04203B0000000000A1 -:04203C0000000000A0 -:04203D00000000009F -:04203E00000000009E -:04203F00000000009D -:04204000000000009C -:04204100000000009B -:04204200000000009A -:042043000000000099 -:042044000000000098 -:042045000000000097 -:042046000000000096 -:042047000000000095 -:042048000000000094 -:042049000000000093 -:04204A000000000092 -:04204B000000000091 -:04204C000000000090 -:04204D00000000008F -:04204E00000000008E -:04204F00000000008D -:04205000000000008C -:04205100000000008B -:04205200000000008A -:042053000000000089 -:042054000000000088 -:042055000000000087 -:042056000000000086 -:042057000000000085 -:042058000000000084 -:042059000000000083 -:04205A000000000082 -:04205B000000000081 -:04205C000000000080 -:04205D00000000007F -:04205E00000000007E -:04205F00000000007D -:04206000000000007C -:04206100000000007B -:04206200000000007A -:042063000000000079 -:042064000000000078 -:042065000000000077 -:042066000000000076 -:042067000000000075 -:042068000000000074 -:042069000000000073 -:04206A000000000072 -:04206B000000000071 -:04206C000000000070 -:04206D00000000006F -:04206E00000000006E -:04206F00000000006D -:04207000000000006C -:04207100000000006B -:04207200000000006A -:042073000000000069 -:042074000000000068 -:042075000000000067 -:042076000000000066 -:042077000000000065 -:042078000000000064 -:042079000000000063 -:04207A000000000062 -:04207B000000000061 -:04207C000000000060 -:04207D00000000005F -:04207E00000000005E -:04207F00000000005D -:04208000000000005C -:04208100000000005B -:04208200000000005A -:042083000000000059 -:042084000000000058 -:042085000000000057 -:042086000000000056 -:042087000000000055 -:042088000000000054 -:042089000000000053 -:04208A000000000052 -:04208B000000000051 -:04208C000000000050 -:04208D00000000004F -:04208E00000000004E -:04208F00000000004D -:04209000000000004C -:04209100000000004B -:04209200000000004A -:042093000000000049 -:042094000000000048 -:042095000000000047 -:042096000000000046 -:042097000000000045 -:042098000000000044 -:042099000000000043 -:04209A000000000042 -:04209B000000000041 -:04209C000000000040 -:04209D00000000003F -:04209E00000000003E -:04209F00000000003D -:0420A000000000003C -:0420A100000000003B -:0420A200000000003A -:0420A3000000000039 -:0420A4000000000038 -:0420A5000000000037 -:0420A6000000000036 -:0420A7000000000035 -:0420A8000000000034 -:0420A9000000000033 -:0420AA000000000032 -:0420AB000000000031 -:0420AC000000000030 -:0420AD00000000002F -:0420AE00000000002E -:0420AF00000000002D -:0420B000000000002C -:0420B100000000002B -:0420B200000000002A -:0420B3000000000029 -:0420B4000000000028 -:0420B5000000000027 -:0420B6000000000026 -:0420B7000000000025 -:0420B8000000000024 -:0420B9000000000023 -:0420BA000000000022 -:0420BB000000000021 -:0420BC000000000020 -:0420BD00000000001F -:0420BE00000000001E -:0420BF00000000001D -:0420C000000000001C -:0420C100000000001B -:0420C200000000001A -:0420C3000000000019 -:0420C4000000000018 -:0420C5000000000017 -:0420C6000000000016 -:0420C7000000000015 -:0420C8000000000014 -:0420C9000000000013 -:0420CA000000000012 -:0420CB000000000011 -:0420CC000000000010 -:0420CD00000000000F -:0420CE00000000000E -:0420CF00000000000D -:0420D000000000000C -:0420D100000000000B -:0420D200000000000A -:0420D3000000000009 -:0420D4000000000008 -:0420D5000000000007 -:0420D6000000000006 -:0420D7000000000005 -:0420D8000000000004 -:0420D9000000000003 -:0420DA000000000002 -:0420DB000000000001 -:0420DC000000000000 -:0420DD0000000000FF -:0420DE0000000000FE -:0420DF0000000000FD -:0420E00000000000FC -:0420E10000000000FB -:0420E20000000000FA -:0420E30000000000F9 -:0420E40000000000F8 -:0420E50000000000F7 -:0420E60000000000F6 -:0420E70000000000F5 -:0420E80000000000F4 -:0420E90000000000F3 -:0420EA0000000000F2 -:0420EB0000000000F1 -:0420EC0000000000F0 -:0420ED0000000000EF -:0420EE0000000000EE -:0420EF0000000000ED -:0420F00000000000EC -:0420F10000000000EB -:0420F20000000000EA -:0420F30000000000E9 -:0420F40000000000E8 -:0420F50000000000E7 -:0420F60000000000E6 -:0420F70000000000E5 -:0420F80000000000E4 -:0420F90000000000E3 -:0420FA0000000000E2 -:0420FB0000000000E1 -:0420FC0000000000E0 -:0420FD0000000000DF -:0420FE0000000000DE -:0420FF0000000000DD -:0421000000000000DB -:0421010000000000DA -:0421020000000000D9 -:0421030000000000D8 -:0421040000000000D7 -:0421050000000000D6 -:0421060000000000D5 -:0421070000000000D4 -:0421080000000000D3 -:0421090000000000D2 -:04210A0000000000D1 -:04210B0000000000D0 -:04210C0000000000CF -:04210D0000000000CE -:04210E0000000000CD -:04210F0000000000CC -:0421100000000000CB -:0421110000000000CA -:0421120000000000C9 -:0421130000000000C8 -:0421140000000000C7 -:0421150000000000C6 -:0421160000000000C5 -:0421170000000000C4 -:0421180000000000C3 -:0421190000000000C2 -:04211A0000000000C1 -:04211B0000000000C0 -:04211C0000000000BF -:04211D0000000000BE -:04211E0000000000BD -:04211F0000000000BC -:0421200000000000BB -:0421210000000000BA -:0421220000000000B9 -:0421230000000000B8 -:0421240000000000B7 -:0421250000000000B6 -:0421260000000000B5 -:0421270000000000B4 -:0421280000000000B3 -:0421290000000000B2 -:04212A0000000000B1 -:04212B0000000000B0 -:04212C0000000000AF -:04212D0000000000AE -:04212E0000000000AD -:04212F0000000000AC -:0421300000000000AB -:0421310000000000AA -:0421320000000000A9 -:0421330000000000A8 -:0421340000000000A7 -:0421350000000000A6 -:0421360000000000A5 -:0421370000000000A4 -:0421380000000000A3 -:0421390000000000A2 -:04213A0000000000A1 -:04213B0000000000A0 -:04213C00000000009F -:04213D00000000009E -:04213E00000000009D -:04213F00000000009C -:04214000000000009B -:04214100000000009A -:042142000000000099 -:042143000000000098 -:042144000000000097 -:042145000000000096 -:042146000000000095 -:042147000000000094 -:042148000000000093 -:042149000000000092 -:04214A000000000091 -:04214B000000000090 -:04214C00000000008F -:04214D00000000008E -:04214E00000000008D -:04214F00000000008C -:04215000000000008B -:04215100000000008A -:042152000000000089 -:042153000000000088 -:042154000000000087 -:042155000000000086 -:042156000000000085 -:042157000000000084 -:042158000000000083 -:042159000000000082 -:04215A000000000081 -:04215B000000000080 -:04215C00000000007F -:04215D00000000007E -:04215E00000000007D -:04215F00000000007C -:04216000000000007B -:04216100000000007A -:042162000000000079 -:042163000000000078 -:042164000000000077 -:042165000000000076 -:042166000000000075 -:042167000000000074 -:042168000000000073 -:042169000000000072 -:04216A000000000071 -:04216B000000000070 -:04216C00000000006F -:04216D00000000006E -:04216E00000000006D -:04216F00000000006C -:04217000000000006B -:04217100000000006A -:042172000000000069 -:042173000000000068 -:042174000000000067 -:042175000000000066 -:042176000000000065 -:042177000000000064 -:042178000000000063 -:042179000000000062 -:04217A000000000061 -:04217B000000000060 -:04217C00000000005F -:04217D00000000005E -:04217E00000000005D -:04217F00000000005C -:04218000000000005B -:04218100000000005A -:042182000000000059 -:042183000000000058 -:042184000000000057 -:042185000000000056 -:042186000000000055 -:042187000000000054 -:042188000000000053 -:042189000000000052 -:04218A000000000051 -:04218B000000000050 -:04218C00000000004F -:04218D00000000004E -:04218E00000000004D -:04218F00000000004C -:04219000000000004B -:04219100000000004A -:042192000000000049 -:042193000000000048 -:042194000000000047 -:042195000000000046 -:042196000000000045 -:042197000000000044 -:042198000000000043 -:042199000000000042 -:04219A000000000041 -:04219B000000000040 -:04219C00000000003F -:04219D00000000003E -:04219E00000000003D -:04219F00000000003C -:0421A000000000003B -:0421A100000000003A -:0421A2000000000039 -:0421A3000000000038 -:0421A4000000000037 -:0421A5000000000036 -:0421A6000000000035 -:0421A7000000000034 -:0421A8000000000033 -:0421A9000000000032 -:0421AA000000000031 -:0421AB000000000030 -:0421AC00000000002F -:0421AD00000000002E -:0421AE00000000002D -:0421AF00000000002C -:0421B000000000002B -:0421B100000000002A -:0421B2000000000029 -:0421B3000000000028 -:0421B4000000000027 -:0421B5000000000026 -:0421B6000000000025 -:0421B7000000000024 -:0421B8000000000023 -:0421B9000000000022 -:0421BA000000000021 -:0421BB000000000020 -:0421BC00000000001F -:0421BD00000000001E -:0421BE00000000001D -:0421BF00000000001C -:0421C000000000001B -:0421C100000000001A -:0421C2000000000019 -:0421C3000000000018 -:0421C4000000000017 -:0421C5000000000016 -:0421C6000000000015 -:0421C7000000000014 -:0421C8000000000013 -:0421C9000000000012 -:0421CA000000000011 -:0421CB000000000010 -:0421CC00000000000F -:0421CD00000000000E -:0421CE00000000000D -:0421CF00000000000C -:0421D000000000000B -:0421D100000000000A -:0421D2000000000009 -:0421D3000000000008 -:0421D4000000000007 -:0421D5000000000006 -:0421D6000000000005 -:0421D7000000000004 -:0421D8000000000003 -:0421D9000000000002 -:0421DA000000000001 -:0421DB000000000000 -:0421DC0000000000FF -:0421DD0000000000FE -:0421DE0000000000FD -:0421DF0000000000FC -:0421E00000000000FB -:0421E10000000000FA -:0421E20000000000F9 -:0421E30000000000F8 -:0421E40000000000F7 -:0421E50000000000F6 -:0421E60000000000F5 -:0421E70000000000F4 -:0421E80000000000F3 -:0421E90000000000F2 -:0421EA0000000000F1 -:0421EB0000000000F0 -:0421EC0000000000EF -:0421ED0000000000EE -:0421EE0000000000ED -:0421EF0000000000EC -:0421F00000000000EB -:0421F10000000000EA -:0421F20000000000E9 -:0421F30000000000E8 -:0421F40000000000E7 -:0421F50000000000E6 -:0421F60000000000E5 -:0421F70000000000E4 -:0421F80000000000E3 -:0421F90000000000E2 -:0421FA0000000000E1 -:0421FB0000000000E0 -:0421FC0000000000DF -:0421FD0000000000DE -:0421FE0000000000DD -:0421FF0000000000DC -:0422000000000000DA -:0422010000000000D9 -:0422020000000000D8 -:0422030000000000D7 -:0422040000000000D6 -:0422050000000000D5 -:0422060000000000D4 -:0422070000000000D3 -:0422080000000000D2 -:0422090000000000D1 -:04220A0000000000D0 -:04220B0000000000CF -:04220C0000000000CE -:04220D0000000000CD -:04220E0000000000CC -:04220F0000000000CB -:0422100000000000CA -:0422110000000000C9 -:0422120000000000C8 -:0422130000000000C7 -:0422140000000000C6 -:0422150000000000C5 -:0422160000000000C4 -:0422170000000000C3 -:0422180000000000C2 -:0422190000000000C1 -:04221A0000000000C0 -:04221B0000000000BF -:04221C0000000000BE -:04221D0000000000BD -:04221E0000000000BC -:04221F0000000000BB -:0422200000000000BA -:0422210000000000B9 -:0422220000000000B8 -:0422230000000000B7 -:0422240000000000B6 -:0422250000000000B5 -:0422260000000000B4 -:0422270000000000B3 -:0422280000000000B2 -:0422290000000000B1 -:04222A0000000000B0 -:04222B0000000000AF -:04222C0000000000AE -:04222D0000000000AD -:04222E0000000000AC -:04222F0000000000AB -:0422300000000000AA -:0422310000000000A9 -:0422320000000000A8 -:0422330000000000A7 -:0422340000000000A6 -:0422350000000000A5 -:0422360000000000A4 -:0422370000000000A3 -:0422380000000000A2 -:0422390000000000A1 -:04223A0000000000A0 -:04223B00000000009F -:04223C00000000009E -:04223D00000000009D -:04223E00000000009C -:04223F00000000009B -:04224000000000009A -:042241000000000099 -:042242000000000098 -:042243000000000097 -:042244000000000096 -:042245000000000095 -:042246000000000094 -:042247000000000093 -:042248000000000092 -:042249000000000091 -:04224A000000000090 -:04224B00000000008F -:04224C00000000008E -:04224D00000000008D -:04224E00000000008C -:04224F00000000008B -:04225000000000008A -:042251000000000089 -:042252000000000088 -:042253000000000087 -:042254000000000086 -:042255000000000085 -:042256000000000084 -:042257000000000083 -:042258000000000082 -:042259000000000081 -:04225A000000000080 -:04225B00000000007F -:04225C00000000007E -:04225D00000000007D -:04225E00000000007C -:04225F00000000007B -:04226000000000007A -:042261000000000079 -:042262000000000078 -:042263000000000077 -:042264000000000076 -:042265000000000075 -:042266000000000074 -:042267000000000073 -:042268000000000072 -:042269000000000071 -:04226A000000000070 -:04226B00000000006F -:04226C00000000006E -:04226D00000000006D -:04226E00000000006C -:04226F00000000006B -:04227000000000006A -:042271000000000069 -:042272000000000068 -:042273000000000067 -:042274000000000066 -:042275000000000065 -:042276000000000064 -:042277000000000063 -:042278000000000062 -:042279000000000061 -:04227A000000000060 -:04227B00000000005F -:04227C00000000005E -:04227D00000000005D -:04227E00000000005C -:04227F00000000005B -:04228000000000005A -:042281000000000059 -:042282000000000058 -:042283000000000057 -:042284000000000056 -:042285000000000055 -:042286000000000054 -:042287000000000053 -:042288000000000052 -:042289000000000051 -:04228A000000000050 -:04228B00000000004F -:04228C00000000004E -:04228D00000000004D -:04228E00000000004C -:04228F00000000004B -:04229000000000004A -:042291000000000049 -:042292000000000048 -:042293000000000047 -:042294000000000046 -:042295000000000045 -:042296000000000044 -:042297000000000043 -:042298000000000042 -:042299000000000041 -:04229A000000000040 -:04229B00000000003F -:04229C00000000003E -:04229D00000000003D -:04229E00000000003C -:04229F00000000003B -:0422A000000000003A -:0422A1000000000039 -:0422A2000000000038 -:0422A3000000000037 -:0422A4000000000036 -:0422A5000000000035 -:0422A6000000000034 -:0422A7000000000033 -:0422A8000000000032 -:0422A9000000000031 -:0422AA000000000030 -:0422AB00000000002F -:0422AC00000000002E -:0422AD00000000002D -:0422AE00000000002C -:0422AF00000000002B -:0422B000000000002A -:0422B1000000000029 -:0422B2000000000028 -:0422B3000000000027 -:0422B4000000000026 -:0422B5000000000025 -:0422B6000000000024 -:0422B7000000000023 -:0422B8000000000022 -:0422B9000000000021 -:0422BA000000000020 -:0422BB00000000001F -:0422BC00000000001E -:0422BD00000000001D -:0422BE00000000001C -:0422BF00000000001B -:0422C000000000001A -:0422C1000000000019 -:0422C2000000000018 -:0422C3000000000017 -:0422C4000000000016 -:0422C5000000000015 -:0422C6000000000014 -:0422C7000000000013 -:0422C8000000000012 -:0422C9000000000011 -:0422CA000000000010 -:0422CB00000000000F -:0422CC00000000000E -:0422CD00000000000D -:0422CE00000000000C -:0422CF00000000000B -:0422D000000000000A -:0422D1000000000009 -:0422D2000000000008 -:0422D3000000000007 -:0422D4000000000006 -:0422D5000000000005 -:0422D6000000000004 -:0422D7000000000003 -:0422D8000000000002 -:0422D9000000000001 -:0422DA000000000000 -:0422DB0000000000FF -:0422DC0000000000FE -:0422DD0000000000FD -:0422DE0000000000FC -:0422DF0000000000FB -:0422E00000000000FA -:0422E10000000000F9 -:0422E20000000000F8 -:0422E30000000000F7 -:0422E40000000000F6 -:0422E50000000000F5 -:0422E60000000000F4 -:0422E70000000000F3 -:0422E80000000000F2 -:0422E90000000000F1 -:0422EA0000000000F0 -:0422EB0000000000EF -:0422EC0000000000EE -:0422ED0000000000ED -:0422EE0000000000EC -:0422EF0000000000EB -:0422F00000000000EA -:0422F10000000000E9 -:0422F20000000000E8 -:0422F30000000000E7 -:0422F40000000000E6 -:0422F50000000000E5 -:0422F60000000000E4 -:0422F70000000000E3 -:0422F80000000000E2 -:0422F90000000000E1 -:0422FA0000000000E0 -:0422FB0000000000DF -:0422FC0000000000DE -:0422FD0000000000DD -:0422FE0000000000DC -:0422FF0000000000DB -:0423000000000000D9 -:0423010000000000D8 -:0423020000000000D7 -:0423030000000000D6 -:0423040000000000D5 -:0423050000000000D4 -:0423060000000000D3 -:0423070000000000D2 -:0423080000000000D1 -:0423090000000000D0 -:04230A0000000000CF -:04230B0000000000CE -:04230C0000000000CD -:04230D0000000000CC -:04230E0000000000CB -:04230F0000000000CA -:0423100000000000C9 -:0423110000000000C8 -:0423120000000000C7 -:0423130000000000C6 -:0423140000000000C5 -:0423150000000000C4 -:0423160000000000C3 -:0423170000000000C2 -:0423180000000000C1 -:0423190000000000C0 -:04231A0000000000BF -:04231B0000000000BE -:04231C0000000000BD -:04231D0000000000BC -:04231E0000000000BB -:04231F0000000000BA -:0423200000000000B9 -:0423210000000000B8 -:0423220000000000B7 -:0423230000000000B6 -:0423240000000000B5 -:0423250000000000B4 -:0423260000000000B3 -:0423270000000000B2 -:0423280000000000B1 -:0423290000000000B0 -:04232A0000000000AF -:04232B0000000000AE -:04232C0000000000AD -:04232D0000000000AC -:04232E0000000000AB -:04232F0000000000AA -:0423300000000000A9 -:0423310000000000A8 -:0423320000000000A7 -:0423330000000000A6 -:0423340000000000A5 -:0423350000000000A4 -:0423360000000000A3 -:0423370000000000A2 -:0423380000000000A1 -:0423390000000000A0 -:04233A00000000009F -:04233B00000000009E -:04233C00000000009D -:04233D00000000009C -:04233E00000000009B -:04233F00000000009A -:042340000000000099 -:042341000000000098 -:042342000000000097 -:042343000000000096 -:042344000000000095 -:042345000000000094 -:042346000000000093 -:042347000000000092 -:042348000000000091 -:042349000000000090 -:04234A00000000008F -:04234B00000000008E -:04234C00000000008D -:04234D00000000008C -:04234E00000000008B -:04234F00000000008A -:042350000000000089 -:042351000000000088 -:042352000000000087 -:042353000000000086 -:042354000000000085 -:042355000000000084 -:042356000000000083 -:042357000000000082 -:042358000000000081 -:042359000000000080 -:04235A00000000007F -:04235B00000000007E -:04235C00000000007D -:04235D00000000007C -:04235E00000000007B -:04235F00000000007A -:042360000000000079 -:042361000000000078 -:042362000000000077 -:042363000000000076 -:042364000000000075 -:042365000000000074 -:042366000000000073 -:042367000000000072 -:042368000000000071 -:042369000000000070 -:04236A00000000006F -:04236B00000000006E -:04236C00000000006D -:04236D00000000006C -:04236E00000000006B -:04236F00000000006A -:042370000000000069 -:042371000000000068 -:042372000000000067 -:042373000000000066 -:042374000000000065 -:042375000000000064 -:042376000000000063 -:042377000000000062 -:042378000000000061 -:042379000000000060 -:04237A00000000005F -:04237B00000000005E -:04237C00000000005D -:04237D00000000005C -:04237E00000000005B -:04237F00000000005A -:042380000000000059 -:042381000000000058 -:042382000000000057 -:042383000000000056 -:042384000000000055 -:042385000000000054 -:042386000000000053 -:042387000000000052 -:042388000000000051 -:042389000000000050 -:04238A00000000004F -:04238B00000000004E -:04238C00000000004D -:04238D00000000004C -:04238E00000000004B -:04238F00000000004A -:042390000000000049 -:042391000000000048 -:042392000000000047 -:042393000000000046 -:042394000000000045 -:042395000000000044 -:042396000000000043 -:042397000000000042 -:042398000000000041 -:042399000000000040 -:04239A00000000003F -:04239B00000000003E -:04239C00000000003D -:04239D00000000003C -:04239E00000000003B -:04239F00000000003A -:0423A0000000000039 -:0423A1000000000038 -:0423A2000000000037 -:0423A3000000000036 -:0423A4000000000035 -:0423A5000000000034 -:0423A6000000000033 -:0423A7000000000032 -:0423A8000000000031 -:0423A9000000000030 -:0423AA00000000002F -:0423AB00000000002E -:0423AC00000000002D -:0423AD00000000002C -:0423AE00000000002B -:0423AF00000000002A -:0423B0000000000029 -:0423B1000000000028 -:0423B2000000000027 -:0423B3000000000026 -:0423B4000000000025 -:0423B5000000000024 -:0423B6000000000023 -:0423B7000000000022 -:0423B8000000000021 -:0423B9000000000020 -:0423BA00000000001F -:0423BB00000000001E -:0423BC00000000001D -:0423BD00000000001C -:0423BE00000000001B -:0423BF00000000001A -:0423C0000000000019 -:0423C1000000000018 -:0423C2000000000017 -:0423C3000000000016 -:0423C4000000000015 -:0423C5000000000014 -:0423C6000000000013 -:0423C7000000000012 -:0423C8000000000011 -:0423C9000000000010 -:0423CA00000000000F -:0423CB00000000000E -:0423CC00000000000D -:0423CD00000000000C -:0423CE00000000000B -:0423CF00000000000A -:0423D0000000000009 -:0423D1000000000008 -:0423D2000000000007 -:0423D3000000000006 -:0423D4000000000005 -:0423D5000000000004 -:0423D6000000000003 -:0423D7000000000002 -:0423D8000000000001 -:0423D9000000000000 -:0423DA0000000000FF -:0423DB0000000000FE -:0423DC0000000000FD -:0423DD0000000000FC -:0423DE0000000000FB -:0423DF0000000000FA -:0423E00000000000F9 -:0423E10000000000F8 -:0423E20000000000F7 -:0423E30000000000F6 -:0423E40000000000F5 -:0423E50000000000F4 -:0423E60000000000F3 -:0423E70000000000F2 -:0423E80000000000F1 -:0423E90000000000F0 -:0423EA0000000000EF -:0423EB0000000000EE -:0423EC0000000000ED -:0423ED0000000000EC -:0423EE0000000000EB -:0423EF0000000000EA -:0423F00000000000E9 -:0423F10000000000E8 -:0423F20000000000E7 -:0423F30000000000E6 -:0423F40000000000E5 -:0423F50000000000E4 -:0423F60000000000E3 -:0423F70000000000E2 -:0423F80000000000E1 -:0423F90000000000E0 -:0423FA0000000000DF -:0423FB0000000000DE -:0423FC0000000000DD -:0423FD0000000000DC -:0423FE0000000000DB -:0423FF0000000000DA -:0424000000000000D8 -:0424010000000000D7 -:0424020000000000D6 -:0424030000000000D5 -:0424040000000000D4 -:0424050000000000D3 -:0424060000000000D2 -:0424070000000000D1 -:0424080000000000D0 -:0424090000000000CF -:04240A0000000000CE -:04240B0000000000CD -:04240C0000000000CC -:04240D0000000000CB -:04240E0000000000CA -:04240F0000000000C9 -:0424100000000000C8 -:0424110000000000C7 -:0424120000000000C6 -:0424130000000000C5 -:0424140000000000C4 -:0424150000000000C3 -:0424160000000000C2 -:0424170000000000C1 -:0424180000000000C0 -:0424190000000000BF -:04241A0000000000BE -:04241B0000000000BD -:04241C0000000000BC -:04241D0000000000BB -:04241E0000000000BA -:04241F0000000000B9 -:0424200000000000B8 -:0424210000000000B7 -:0424220000000000B6 -:0424230000000000B5 -:0424240000000000B4 -:0424250000000000B3 -:0424260000000000B2 -:0424270000000000B1 -:0424280000000000B0 -:0424290000000000AF -:04242A0000000000AE -:04242B0000000000AD -:04242C0000000000AC -:04242D0000000000AB -:04242E0000000000AA -:04242F0000000000A9 -:0424300000000000A8 -:0424310000000000A7 -:0424320000000000A6 -:0424330000000000A5 -:0424340000000000A4 -:0424350000000000A3 -:0424360000000000A2 -:0424370000000000A1 -:0424380000000000A0 -:04243900000000009F -:04243A00000000009E -:04243B00000000009D -:04243C00000000009C -:04243D00000000009B -:04243E00000000009A -:04243F000000000099 -:042440000000000098 -:042441000000000097 -:042442000000000096 -:042443000000000095 -:042444000000000094 -:042445000000000093 -:042446000000000092 -:042447000000000091 -:042448000000000090 -:04244900000000008F -:04244A00000000008E -:04244B00000000008D -:04244C00000000008C -:04244D00000000008B -:04244E00000000008A -:04244F000000000089 -:042450000000000088 -:042451000000000087 -:042452000000000086 -:042453000000000085 -:042454000000000084 -:042455000000000083 -:042456000000000082 -:042457000000000081 -:042458000000000080 -:04245900000000007F -:04245A00000000007E -:04245B00000000007D -:04245C00000000007C -:04245D00000000007B -:04245E00000000007A -:04245F000000000079 -:042460000000000078 -:042461000000000077 -:042462000000000076 -:042463000000000075 -:042464000000000074 -:042465000000000073 -:042466000000000072 -:042467000000000071 -:042468000000000070 -:04246900000000006F -:04246A00000000006E -:04246B00000000006D -:04246C00000000006C -:04246D00000000006B -:04246E00000000006A -:04246F000000000069 -:042470000000000068 -:042471000000000067 -:042472000000000066 -:042473000000000065 -:042474000000000064 -:042475000000000063 -:042476000000000062 -:042477000000000061 -:042478000000000060 -:04247900000000005F -:04247A00000000005E -:04247B00000000005D -:04247C00000000005C -:04247D00000000005B -:04247E00000000005A -:04247F000000000059 -:042480000000000058 -:042481000000000057 -:042482000000000056 -:042483000000000055 -:042484000000000054 -:042485000000000053 -:042486000000000052 -:042487000000000051 -:042488000000000050 -:04248900000000004F -:04248A00000000004E -:04248B00000000004D -:04248C00000000004C -:04248D00000000004B -:04248E00000000004A -:04248F000000000049 -:042490000000000048 -:042491000000000047 -:042492000000000046 -:042493000000000045 -:042494000000000044 -:042495000000000043 -:042496000000000042 -:042497000000000041 -:042498000000000040 -:04249900000000003F -:04249A00000000003E -:04249B00000000003D -:04249C00000000003C -:04249D00000000003B -:04249E00000000003A -:04249F000000000039 -:0424A0000000000038 -:0424A1000000000037 -:0424A2000000000036 -:0424A3000000000035 -:0424A4000000000034 -:0424A5000000000033 -:0424A6000000000032 -:0424A7000000000031 -:0424A8000000000030 -:0424A900000000002F -:0424AA00000000002E -:0424AB00000000002D -:0424AC00000000002C -:0424AD00000000002B -:0424AE00000000002A -:0424AF000000000029 -:0424B0000000000028 -:0424B1000000000027 -:0424B2000000000026 -:0424B3000000000025 -:0424B4000000000024 -:0424B5000000000023 -:0424B6000000000022 -:0424B7000000000021 -:0424B8000000000020 -:0424B900000000001F -:0424BA00000000001E -:0424BB00000000001D -:0424BC00000000001C -:0424BD00000000001B -:0424BE00000000001A -:0424BF000000000019 -:0424C0000000000018 -:0424C1000000000017 -:0424C2000000000016 -:0424C3000000000015 -:0424C4000000000014 -:0424C5000000000013 -:0424C6000000000012 -:0424C7000000000011 -:0424C8000000000010 -:0424C900000000000F -:0424CA00000000000E -:0424CB00000000000D -:0424CC00000000000C -:0424CD00000000000B -:0424CE00000000000A -:0424CF000000000009 -:0424D0000000000008 -:0424D1000000000007 -:0424D2000000000006 -:0424D3000000000005 -:0424D4000000000004 -:0424D5000000000003 -:0424D6000000000002 -:0424D7000000000001 -:0424D8000000000000 -:0424D90000000000FF -:0424DA0000000000FE -:0424DB0000000000FD -:0424DC0000000000FC -:0424DD0000000000FB -:0424DE0000000000FA -:0424DF0000000000F9 -:0424E00000000000F8 -:0424E10000000000F7 -:0424E20000000000F6 -:0424E30000000000F5 -:0424E40000000000F4 -:0424E50000000000F3 -:0424E60000000000F2 -:0424E70000000000F1 -:0424E80000000000F0 -:0424E90000000000EF -:0424EA0000000000EE -:0424EB0000000000ED -:0424EC0000000000EC -:0424ED0000000000EB -:0424EE0000000000EA -:0424EF0000000000E9 -:0424F00000000000E8 -:0424F10000000000E7 -:0424F20000000000E6 -:0424F30000000000E5 -:0424F40000000000E4 -:0424F50000000000E3 -:0424F60000000000E2 -:0424F70000000000E1 -:0424F80000000000E0 -:0424F90000000000DF -:0424FA0000000000DE -:0424FB0000000000DD -:0424FC0000000000DC -:0424FD0000000000DB -:0424FE0000000000DA -:0424FF0000000000D9 -:0425000000000000D7 -:0425010000000000D6 -:0425020000000000D5 -:0425030000000000D4 -:0425040000000000D3 -:0425050000000000D2 -:0425060000000000D1 -:0425070000000000D0 -:0425080000000000CF -:0425090000000000CE -:04250A0000000000CD -:04250B0000000000CC -:04250C0000000000CB -:04250D0000000000CA -:04250E0000000000C9 -:04250F0000000000C8 -:0425100000000000C7 -:0425110000000000C6 -:0425120000000000C5 -:0425130000000000C4 -:0425140000000000C3 -:0425150000000000C2 -:0425160000000000C1 -:0425170000000000C0 -:0425180000000000BF -:0425190000000000BE -:04251A0000000000BD -:04251B0000000000BC -:04251C0000000000BB -:04251D0000000000BA -:04251E0000000000B9 -:04251F0000000000B8 -:0425200000000000B7 -:0425210000000000B6 -:0425220000000000B5 -:0425230000000000B4 -:0425240000000000B3 -:0425250000000000B2 -:0425260000000000B1 -:0425270000000000B0 -:0425280000000000AF -:0425290000000000AE -:04252A0000000000AD -:04252B0000000000AC -:04252C0000000000AB -:04252D0000000000AA -:04252E0000000000A9 -:04252F0000000000A8 -:0425300000000000A7 -:0425310000000000A6 -:0425320000000000A5 -:0425330000000000A4 -:0425340000000000A3 -:0425350000000000A2 -:0425360000000000A1 -:0425370000000000A0 -:04253800000000009F -:04253900000000009E -:04253A00000000009D -:04253B00000000009C -:04253C00000000009B -:04253D00000000009A -:04253E000000000099 -:04253F000000000098 -:042540000000000097 -:042541000000000096 -:042542000000000095 -:042543000000000094 -:042544000000000093 -:042545000000000092 -:042546000000000091 -:042547000000000090 -:04254800000000008F -:04254900000000008E -:04254A00000000008D -:04254B00000000008C -:04254C00000000008B -:04254D00000000008A -:04254E000000000089 -:04254F000000000088 -:042550000000000087 -:042551000000000086 -:042552000000000085 -:042553000000000084 -:042554000000000083 -:042555000000000082 -:042556000000000081 -:042557000000000080 -:04255800000000007F -:04255900000000007E -:04255A00000000007D -:04255B00000000007C -:04255C00000000007B -:04255D00000000007A -:04255E000000000079 -:04255F000000000078 -:042560000000000077 -:042561000000000076 -:042562000000000075 -:042563000000000074 -:042564000000000073 -:042565000000000072 -:042566000000000071 -:042567000000000070 -:04256800000000006F -:04256900000000006E -:04256A00000000006D -:04256B00000000006C -:04256C00000000006B -:04256D00000000006A -:04256E000000000069 -:04256F000000000068 -:042570000000000067 -:042571000000000066 -:042572000000000065 -:042573000000000064 -:042574000000000063 -:042575000000000062 -:042576000000000061 -:042577000000000060 -:04257800000000005F -:04257900000000005E -:04257A00000000005D -:04257B00000000005C -:04257C00000000005B -:04257D00000000005A -:04257E000000000059 -:04257F000000000058 -:042580000000000057 -:042581000000000056 -:042582000000000055 -:042583000000000054 -:042584000000000053 -:042585000000000052 -:042586000000000051 -:042587000000000050 -:04258800000000004F -:04258900000000004E -:04258A00000000004D -:04258B00000000004C -:04258C00000000004B -:04258D00000000004A -:04258E000000000049 -:04258F000000000048 -:042590000000000047 -:042591000000000046 -:042592000000000045 -:042593000000000044 -:042594000000000043 -:042595000000000042 -:042596000000000041 -:042597000000000040 -:04259800000000003F -:04259900000000003E -:04259A00000000003D -:04259B00000000003C -:04259C00000000003B -:04259D00000000003A -:04259E000000000039 -:04259F000000000038 -:0425A0000000000037 -:0425A1000000000036 -:0425A2000000000035 -:0425A3000000000034 -:0425A4000000000033 -:0425A5000000000032 -:0425A6000000000031 -:0425A7000000000030 -:0425A800000000002F -:0425A900000000002E -:0425AA00000000002D -:0425AB00000000002C -:0425AC00000000002B -:0425AD00000000002A -:0425AE000000000029 -:0425AF000000000028 -:0425B0000000000027 -:0425B1000000000026 -:0425B2000000000025 -:0425B3000000000024 -:0425B4000000000023 -:0425B5000000000022 -:0425B6000000000021 -:0425B7000000000020 -:0425B800000000001F -:0425B900000000001E -:0425BA00000000001D -:0425BB00000000001C -:0425BC00000000001B -:0425BD00000000001A -:0425BE000000000019 -:0425BF000000000018 -:0425C0000000000017 -:0425C1000000000016 -:0425C2000000000015 -:0425C3000000000014 -:0425C4000000000013 -:0425C5000000000012 -:0425C6000000000011 -:0425C7000000000010 -:0425C800000000000F -:0425C900000000000E -:0425CA00000000000D -:0425CB00000000000C -:0425CC00000000000B -:0425CD00000000000A -:0425CE000000000009 -:0425CF000000000008 -:0425D0000000000007 -:0425D1000000000006 -:0425D2000000000005 -:0425D3000000000004 -:0425D4000000000003 -:0425D5000000000002 -:0425D6000000000001 -:0425D7000000000000 -:0425D80000000000FF -:0425D90000000000FE -:0425DA0000000000FD -:0425DB0000000000FC -:0425DC0000000000FB -:0425DD0000000000FA -:0425DE0000000000F9 -:0425DF0000000000F8 -:0425E00000000000F7 -:0425E10000000000F6 -:0425E20000000000F5 -:0425E30000000000F4 -:0425E40000000000F3 -:0425E50000000000F2 -:0425E60000000000F1 -:0425E70000000000F0 -:0425E80000000000EF -:0425E90000000000EE -:0425EA0000000000ED -:0425EB0000000000EC -:0425EC0000000000EB -:0425ED0000000000EA -:0425EE0000000000E9 -:0425EF0000000000E8 -:0425F00000000000E7 -:0425F10000000000E6 -:0425F20000000000E5 -:0425F30000000000E4 -:0425F40000000000E3 -:0425F50000000000E2 -:0425F60000000000E1 -:0425F70000000000E0 -:0425F80000000000DF -:0425F90000000000DE -:0425FA0000000000DD -:0425FB0000000000DC -:0425FC0000000000DB -:0425FD0000000000DA -:0425FE0000000000D9 -:0425FF0000000000D8 -:0426000000000000D6 -:0426010000000000D5 -:0426020000000000D4 -:0426030000000000D3 -:0426040000000000D2 -:0426050000000000D1 -:0426060000000000D0 -:0426070000000000CF -:0426080000000000CE -:0426090000000000CD -:04260A0000000000CC -:04260B0000000000CB -:04260C0000000000CA -:04260D0000000000C9 -:04260E0000000000C8 -:04260F0000000000C7 -:0426100000000000C6 -:0426110000000000C5 -:0426120000000000C4 -:0426130000000000C3 -:0426140000000000C2 -:0426150000000000C1 -:0426160000000000C0 -:0426170000000000BF -:0426180000000000BE -:0426190000000000BD -:04261A0000000000BC -:04261B0000000000BB -:04261C0000000000BA -:04261D0000000000B9 -:04261E0000000000B8 -:04261F0000000000B7 -:0426200000000000B6 -:0426210000000000B5 -:0426220000000000B4 -:0426230000000000B3 -:0426240000000000B2 -:0426250000000000B1 -:0426260000000000B0 -:0426270000000000AF -:0426280000000000AE -:0426290000000000AD -:04262A0000000000AC -:04262B0000000000AB -:04262C0000000000AA -:04262D0000000000A9 -:04262E0000000000A8 -:04262F0000000000A7 -:0426300000000000A6 -:0426310000000000A5 -:0426320000000000A4 -:0426330000000000A3 -:0426340000000000A2 -:0426350000000000A1 -:0426360000000000A0 -:04263700000000009F -:04263800000000009E -:04263900000000009D -:04263A00000000009C -:04263B00000000009B -:04263C00000000009A -:04263D000000000099 -:04263E000000000098 -:04263F000000000097 -:042640000000000096 -:042641000000000095 -:042642000000000094 -:042643000000000093 -:042644000000000092 -:042645000000000091 -:042646000000000090 -:04264700000000008F -:04264800000000008E -:04264900000000008D -:04264A00000000008C -:04264B00000000008B -:04264C00000000008A -:04264D000000000089 -:04264E000000000088 -:04264F000000000087 -:042650000000000086 -:042651000000000085 -:042652000000000084 -:042653000000000083 -:042654000000000082 -:042655000000000081 -:042656000000000080 -:04265700000000007F -:04265800000000007E -:04265900000000007D -:04265A00000000007C -:04265B00000000007B -:04265C00000000007A -:04265D000000000079 -:04265E000000000078 -:04265F000000000077 -:042660000000000076 -:042661000000000075 -:042662000000000074 -:042663000000000073 -:042664000000000072 -:042665000000000071 -:042666000000000070 -:04266700000000006F -:04266800000000006E -:04266900000000006D -:04266A00000000006C -:04266B00000000006B -:04266C00000000006A -:04266D000000000069 -:04266E000000000068 -:04266F000000000067 -:042670000000000066 -:042671000000000065 -:042672000000000064 -:042673000000000063 -:042674000000000062 -:042675000000000061 -:042676000000000060 -:04267700000000005F -:04267800000000005E -:04267900000000005D -:04267A00000000005C -:04267B00000000005B -:04267C00000000005A -:04267D000000000059 -:04267E000000000058 -:04267F000000000057 -:042680000000000056 -:042681000000000055 -:042682000000000054 -:042683000000000053 -:042684000000000052 -:042685000000000051 -:042686000000000050 -:04268700000000004F -:04268800000000004E -:04268900000000004D -:04268A00000000004C -:04268B00000000004B -:04268C00000000004A -:04268D000000000049 -:04268E000000000048 -:04268F000000000047 -:042690000000000046 -:042691000000000045 -:042692000000000044 -:042693000000000043 -:042694000000000042 -:042695000000000041 -:042696000000000040 -:04269700000000003F -:04269800000000003E -:04269900000000003D -:04269A00000000003C -:04269B00000000003B -:04269C00000000003A -:04269D000000000039 -:04269E000000000038 -:04269F000000000037 -:0426A0000000000036 -:0426A1000000000035 -:0426A2000000000034 -:0426A3000000000033 -:0426A4000000000032 -:0426A5000000000031 -:0426A6000000000030 -:0426A700000000002F -:0426A800000000002E -:0426A900000000002D -:0426AA00000000002C -:0426AB00000000002B -:0426AC00000000002A -:0426AD000000000029 -:0426AE000000000028 -:0426AF000000000027 -:0426B0000000000026 -:0426B1000000000025 -:0426B2000000000024 -:0426B3000000000023 -:0426B4000000000022 -:0426B5000000000021 -:0426B6000000000020 -:0426B700000000001F -:0426B800000000001E -:0426B900000000001D -:0426BA00000000001C -:0426BB00000000001B -:0426BC00000000001A -:0426BD000000000019 -:0426BE000000000018 -:0426BF000000000017 -:0426C0000000000016 -:0426C1000000000015 -:0426C2000000000014 -:0426C3000000000013 -:0426C4000000000012 -:0426C5000000000011 -:0426C6000000000010 -:0426C700000000000F -:0426C800000000000E -:0426C900000000000D -:0426CA00000000000C -:0426CB00000000000B -:0426CC00000000000A -:0426CD000000000009 -:0426CE000000000008 -:0426CF000000000007 -:0426D0000000000006 -:0426D1000000000005 -:0426D2000000000004 -:0426D3000000000003 -:0426D4000000000002 -:0426D5000000000001 -:0426D6000000000000 -:0426D70000000000FF -:0426D80000000000FE -:0426D90000000000FD -:0426DA0000000000FC -:0426DB0000000000FB -:0426DC0000000000FA -:0426DD0000000000F9 -:0426DE0000000000F8 -:0426DF0000000000F7 -:0426E00000000000F6 -:0426E10000000000F5 -:0426E20000000000F4 -:0426E30000000000F3 -:0426E40000000000F2 -:0426E50000000000F1 -:0426E60000000000F0 -:0426E70000000000EF -:0426E80000000000EE -:0426E90000000000ED -:0426EA0000000000EC -:0426EB0000000000EB -:0426EC0000000000EA -:0426ED0000000000E9 -:0426EE0000000000E8 -:0426EF0000000000E7 -:0426F00000000000E6 -:0426F10000000000E5 -:0426F20000000000E4 -:0426F30000000000E3 -:0426F40000000000E2 -:0426F50000000000E1 -:0426F60000000000E0 -:0426F70000000000DF -:0426F80000000000DE -:0426F90000000000DD -:0426FA0000000000DC -:0426FB0000000000DB -:0426FC0000000000DA -:0426FD0000000000D9 -:0426FE0000000000D8 -:0426FF0000000000D7 -:0427000000000000D5 -:0427010000000000D4 -:0427020000000000D3 -:0427030000000000D2 -:0427040000000000D1 -:0427050000000000D0 -:0427060000000000CF -:0427070000000000CE -:0427080000000000CD -:0427090000000000CC -:04270A0000000000CB -:04270B0000000000CA -:04270C0000000000C9 -:04270D0000000000C8 -:04270E0000000000C7 -:04270F0000000000C6 -:0427100000000000C5 -:0427110000000000C4 -:0427120000000000C3 -:0427130000000000C2 -:0427140000000000C1 -:0427150000000000C0 -:0427160000000000BF -:0427170000000000BE -:0427180000000000BD -:0427190000000000BC -:04271A0000000000BB -:04271B0000000000BA -:04271C0000000000B9 -:04271D0000000000B8 -:04271E0000000000B7 -:04271F0000000000B6 -:0427200000000000B5 -:0427210000000000B4 -:0427220000000000B3 -:0427230000000000B2 -:0427240000000000B1 -:0427250000000000B0 -:0427260000000000AF -:0427270000000000AE -:0427280000000000AD -:0427290000000000AC -:04272A0000000000AB -:04272B0000000000AA -:04272C0000000000A9 -:04272D0000000000A8 -:04272E0000000000A7 -:04272F0000000000A6 -:0427300000000000A5 -:0427310000000000A4 -:0427320000000000A3 -:0427330000000000A2 -:0427340000000000A1 -:0427350000000000A0 -:04273600000000009F -:04273700000000009E -:04273800000000009D -:04273900000000009C -:04273A00000000009B -:04273B00000000009A -:04273C000000000099 -:04273D000000000098 -:04273E000000000097 -:04273F000000000096 -:042740000000000095 -:042741000000000094 -:042742000000000093 -:042743000000000092 -:042744000000000091 -:042745000000000090 -:04274600000000008F -:04274700000000008E -:04274800000000008D -:04274900000000008C -:04274A00000000008B -:04274B00000000008A -:04274C000000000089 -:04274D000000000088 -:04274E000000000087 -:04274F000000000086 -:042750000000000085 -:042751000000000084 -:042752000000000083 -:042753000000000082 -:042754000000000081 -:042755000000000080 -:04275600000000007F -:04275700000000007E -:04275800000000007D -:04275900000000007C -:04275A00000000007B -:04275B00000000007A -:04275C000000000079 -:04275D000000000078 -:04275E000000000077 -:04275F000000000076 -:042760000000000075 -:042761000000000074 -:042762000000000073 -:042763000000000072 -:042764000000000071 -:042765000000000070 -:04276600000000006F -:04276700000000006E -:04276800000000006D -:04276900000000006C -:04276A00000000006B -:04276B00000000006A -:04276C000000000069 -:04276D000000000068 -:04276E000000000067 -:04276F000000000066 -:042770000000000065 -:042771000000000064 -:042772000000000063 -:042773000000000062 -:042774000000000061 -:042775000000000060 -:04277600000000005F -:04277700000000005E -:04277800000000005D -:04277900000000005C -:04277A00000000005B -:04277B00000000005A -:04277C000000000059 -:04277D000000000058 -:04277E000000000057 -:04277F000000000056 -:042780000000000055 -:042781000000000054 -:042782000000000053 -:042783000000000052 -:042784000000000051 -:042785000000000050 -:04278600000000004F -:04278700000000004E -:04278800000000004D -:04278900000000004C -:04278A00000000004B -:04278B00000000004A -:04278C000000000049 -:04278D000000000048 -:04278E000000000047 -:04278F000000000046 -:042790000000000045 -:042791000000000044 -:042792000000000043 -:042793000000000042 -:042794000000000041 -:042795000000000040 -:04279600000000003F -:04279700000000003E -:04279800000000003D -:04279900000000003C -:04279A00000000003B -:04279B00000000003A -:04279C000000000039 -:04279D000000000038 -:04279E000000000037 -:04279F000000000036 -:0427A0000000000035 -:0427A1000000000034 -:0427A2000000000033 -:0427A3000000000032 -:0427A4000000000031 -:0427A5000000000030 -:0427A600000000002F -:0427A700000000002E -:0427A800000000002D -:0427A900000000002C -:0427AA00000000002B -:0427AB00000000002A -:0427AC000000000029 -:0427AD000000000028 -:0427AE000000000027 -:0427AF000000000026 -:0427B0000000000025 -:0427B1000000000024 -:0427B2000000000023 -:0427B3000000000022 -:0427B4000000000021 -:0427B5000000000020 -:0427B600000000001F -:0427B700000000001E -:0427B800000000001D -:0427B900000000001C -:0427BA00000000001B -:0427BB00000000001A -:0427BC000000000019 -:0427BD000000000018 -:0427BE000000000017 -:0427BF000000000016 -:0427C0000000000015 -:0427C1000000000014 -:0427C2000000000013 -:0427C3000000000012 -:0427C4000000000011 -:0427C5000000000010 -:0427C600000000000F -:0427C700000000000E -:0427C800000000000D -:0427C900000000000C -:0427CA00000000000B -:0427CB00000000000A -:0427CC000000000009 -:0427CD000000000008 -:0427CE000000000007 -:0427CF000000000006 -:0427D0000000000005 -:0427D1000000000004 -:0427D2000000000003 -:0427D3000000000002 -:0427D4000000000001 -:0427D5000000000000 -:0427D60000000000FF -:0427D70000000000FE -:0427D80000000000FD -:0427D90000000000FC -:0427DA0000000000FB -:0427DB0000000000FA -:0427DC0000000000F9 -:0427DD0000000000F8 -:0427DE0000000000F7 -:0427DF0000000000F6 -:0427E00000000000F5 -:0427E10000000000F4 -:0427E20000000000F3 -:0427E30000000000F2 -:0427E40000000000F1 -:0427E50000000000F0 -:0427E60000000000EF -:0427E70000000000EE -:0427E80000000000ED -:0427E90000000000EC -:0427EA0000000000EB -:0427EB0000000000EA -:0427EC0000000000E9 -:0427ED0000000000E8 -:0427EE0000000000E7 -:0427EF0000000000E6 -:0427F00000000000E5 -:0427F10000000000E4 -:0427F20000000000E3 -:0427F30000000000E2 -:0427F40000000000E1 -:0427F50000000000E0 -:0427F60000000000DF -:0427F70000000000DE -:0427F80000000000DD -:0427F90000000000DC -:0427FA0000000000DB -:0427FB0000000000DA -:0427FC0000000000D9 -:0427FD0000000000D8 -:0427FE0000000000D7 -:0427FF0000000000D6 -:0428000000000000D4 -:0428010000000000D3 -:0428020000000000D2 -:0428030000000000D1 -:0428040000000000D0 -:0428050000000000CF -:0428060000000000CE -:0428070000000000CD -:0428080000000000CC -:0428090000000000CB -:04280A0000000000CA -:04280B0000000000C9 -:04280C0000000000C8 -:04280D0000000000C7 -:04280E0000000000C6 -:04280F0000000000C5 -:0428100000000000C4 -:0428110000000000C3 -:0428120000000000C2 -:0428130000000000C1 -:0428140000000000C0 -:0428150000000000BF -:0428160000000000BE -:0428170000000000BD -:0428180000000000BC -:0428190000000000BB -:04281A0000000000BA -:04281B0000000000B9 -:04281C0000000000B8 -:04281D0000000000B7 -:04281E0000000000B6 -:04281F0000000000B5 -:0428200000000000B4 -:0428210000000000B3 -:0428220000000000B2 -:0428230000000000B1 -:0428240000000000B0 -:0428250000000000AF -:0428260000000000AE -:0428270000000000AD -:0428280000000000AC -:0428290000000000AB -:04282A0000000000AA -:04282B0000000000A9 -:04282C0000000000A8 -:04282D0000000000A7 -:04282E0000000000A6 -:04282F0000000000A5 -:0428300000000000A4 -:0428310000000000A3 -:0428320000000000A2 -:0428330000000000A1 -:0428340000000000A0 -:04283500000000009F -:04283600000000009E -:04283700000000009D -:04283800000000009C -:04283900000000009B -:04283A00000000009A -:04283B000000000099 -:04283C000000000098 -:04283D000000000097 -:04283E000000000096 -:04283F000000000095 -:042840000000000094 -:042841000000000093 -:042842000000000092 -:042843000000000091 -:042844000000000090 -:04284500000000008F -:04284600000000008E -:04284700000000008D -:04284800000000008C -:04284900000000008B -:04284A00000000008A -:04284B000000000089 -:04284C000000000088 -:04284D000000000087 -:04284E000000000086 -:04284F000000000085 -:042850000000000084 -:042851000000000083 -:042852000000000082 -:042853000000000081 -:042854000000000080 -:04285500000000007F -:04285600000000007E -:04285700000000007D -:04285800000000007C -:04285900000000007B -:04285A00000000007A -:04285B000000000079 -:04285C000000000078 -:04285D000000000077 -:04285E000000000076 -:04285F000000000075 -:042860000000000074 -:042861000000000073 -:042862000000000072 -:042863000000000071 -:042864000000000070 -:04286500000000006F -:04286600000000006E -:04286700000000006D -:04286800000000006C -:04286900000000006B -:04286A00000000006A -:04286B000000000069 -:04286C000000000068 -:04286D000000000067 -:04286E000000000066 -:04286F000000000065 -:042870000000000064 -:042871000000000063 -:042872000000000062 -:042873000000000061 -:042874000000000060 -:04287500000000005F -:04287600000000005E -:04287700000000005D -:04287800000000005C -:04287900000000005B -:04287A00000000005A -:04287B000000000059 -:04287C000000000058 -:04287D000000000057 -:04287E000000000056 -:04287F000000000055 -:042880000000000054 -:042881000000000053 -:042882000000000052 -:042883000000000051 -:042884000000000050 -:04288500000000004F -:04288600000000004E -:04288700000000004D -:04288800000000004C -:04288900000000004B -:04288A00000000004A -:04288B000000000049 -:04288C000000000048 -:04288D000000000047 -:04288E000000000046 -:04288F000000000045 -:042890000000000044 -:042891000000000043 -:042892000000000042 -:042893000000000041 -:042894000000000040 -:04289500000000003F -:04289600000000003E -:04289700000000003D -:04289800000000003C -:04289900000000003B -:04289A00000000003A -:04289B000000000039 -:04289C000000000038 -:04289D000000000037 -:04289E000000000036 -:04289F000000000035 -:0428A0000000000034 -:0428A1000000000033 -:0428A2000000000032 -:0428A3000000000031 -:0428A4000000000030 -:0428A500000000002F -:0428A600000000002E -:0428A700000000002D -:0428A800000000002C -:0428A900000000002B -:0428AA00000000002A -:0428AB000000000029 -:0428AC000000000028 -:0428AD000000000027 -:0428AE000000000026 -:0428AF000000000025 -:0428B0000000000024 -:0428B1000000000023 -:0428B2000000000022 -:0428B3000000000021 -:0428B4000000000020 -:0428B500000000001F -:0428B600000000001E -:0428B700000000001D -:0428B800000000001C -:0428B900000000001B -:0428BA00000000001A -:0428BB000000000019 -:0428BC000000000018 -:0428BD000000000017 -:0428BE000000000016 -:0428BF000000000015 -:0428C0000000000014 -:0428C1000000000013 -:0428C2000000000012 -:0428C3000000000011 -:0428C4000000000010 -:0428C500000000000F -:0428C600000000000E -:0428C700000000000D -:0428C800000000000C -:0428C900000000000B -:0428CA00000000000A -:0428CB000000000009 -:0428CC000000000008 -:0428CD000000000007 -:0428CE000000000006 -:0428CF000000000005 -:0428D0000000000004 -:0428D1000000000003 -:0428D2000000000002 -:0428D3000000000001 -:0428D4000000000000 -:0428D50000000000FF -:0428D60000000000FE -:0428D70000000000FD -:0428D80000000000FC -:0428D90000000000FB -:0428DA0000000000FA -:0428DB0000000000F9 -:0428DC0000000000F8 -:0428DD0000000000F7 -:0428DE0000000000F6 -:0428DF0000000000F5 -:0428E00000000000F4 -:0428E10000000000F3 -:0428E20000000000F2 -:0428E30000000000F1 -:0428E40000000000F0 -:0428E50000000000EF -:0428E60000000000EE -:0428E70000000000ED -:0428E80000000000EC -:0428E90000000000EB -:0428EA0000000000EA -:0428EB0000000000E9 -:0428EC0000000000E8 -:0428ED0000000000E7 -:0428EE0000000000E6 -:0428EF0000000000E5 -:0428F00000000000E4 -:0428F10000000000E3 -:0428F20000000000E2 -:0428F30000000000E1 -:0428F40000000000E0 -:0428F50000000000DF -:0428F60000000000DE -:0428F70000000000DD -:0428F80000000000DC -:0428F90000000000DB -:0428FA0000000000DA -:0428FB0000000000D9 -:0428FC0000000000D8 -:0428FD0000000000D7 -:0428FE0000000000D6 -:0428FF0000000000D5 -:0429000000000000D3 -:0429010000000000D2 -:0429020000000000D1 -:0429030000000000D0 -:0429040000000000CF -:0429050000000000CE -:0429060000000000CD -:0429070000000000CC -:0429080000000000CB -:0429090000000000CA -:04290A0000000000C9 -:04290B0000000000C8 -:04290C0000000000C7 -:04290D0000000000C6 -:04290E0000000000C5 -:04290F0000000000C4 -:0429100000000000C3 -:0429110000000000C2 -:0429120000000000C1 -:0429130000000000C0 -:0429140000000000BF -:0429150000000000BE -:0429160000000000BD -:0429170000000000BC -:0429180000000000BB -:0429190000000000BA -:04291A0000000000B9 -:04291B0000000000B8 -:04291C0000000000B7 -:04291D0000000000B6 -:04291E0000000000B5 -:04291F0000000000B4 -:0429200000000000B3 -:0429210000000000B2 -:0429220000000000B1 -:0429230000000000B0 -:0429240000000000AF -:0429250000000000AE -:0429260000000000AD -:0429270000000000AC -:0429280000000000AB -:0429290000000000AA -:04292A0000000000A9 -:04292B0000000000A8 -:04292C0000000000A7 -:04292D0000000000A6 -:04292E0000000000A5 -:04292F0000000000A4 -:0429300000000000A3 -:0429310000000000A2 -:0429320000000000A1 -:0429330000000000A0 -:04293400000000009F -:04293500000000009E -:04293600000000009D -:04293700000000009C -:04293800000000009B -:04293900000000009A -:04293A000000000099 -:04293B000000000098 -:04293C000000000097 -:04293D000000000096 -:04293E000000000095 -:04293F000000000094 -:042940000000000093 -:042941000000000092 -:042942000000000091 -:042943000000000090 -:04294400000000008F -:04294500000000008E -:04294600000000008D -:04294700000000008C -:04294800000000008B -:04294900000000008A -:04294A000000000089 -:04294B000000000088 -:04294C000000000087 -:04294D000000000086 -:04294E000000000085 -:04294F000000000084 -:042950000000000083 -:042951000000000082 -:042952000000000081 -:042953000000000080 -:04295400000000007F -:04295500000000007E -:04295600000000007D -:04295700000000007C -:04295800000000007B -:04295900000000007A -:04295A000000000079 -:04295B000000000078 -:04295C000000000077 -:04295D000000000076 -:04295E000000000075 -:04295F000000000074 -:042960000000000073 -:042961000000000072 -:042962000000000071 -:042963000000000070 -:04296400000000006F -:04296500000000006E -:04296600000000006D -:04296700000000006C -:04296800000000006B -:04296900000000006A -:04296A000000000069 -:04296B000000000068 -:04296C000000000067 -:04296D000000000066 -:04296E000000000065 -:04296F000000000064 -:042970000000000063 -:042971000000000062 -:042972000000000061 -:042973000000000060 -:04297400000000005F -:04297500000000005E -:04297600000000005D -:04297700000000005C -:04297800000000005B -:04297900000000005A -:04297A000000000059 -:04297B000000000058 -:04297C000000000057 -:04297D000000000056 -:04297E000000000055 -:04297F000000000054 -:042980000000000053 -:042981000000000052 -:042982000000000051 -:042983000000000050 -:04298400000000004F -:04298500000000004E -:04298600000000004D -:04298700000000004C -:04298800000000004B -:04298900000000004A -:04298A000000000049 -:04298B000000000048 -:04298C000000000047 -:04298D000000000046 -:04298E000000000045 -:04298F000000000044 -:042990000000000043 -:042991000000000042 -:042992000000000041 -:042993000000000040 -:04299400000000003F -:04299500000000003E -:04299600000000003D -:04299700000000003C -:04299800000000003B -:04299900000000003A -:04299A000000000039 -:04299B000000000038 -:04299C000000000037 -:04299D000000000036 -:04299E000000000035 -:04299F000000000034 -:0429A0000000000033 -:0429A1000000000032 -:0429A2000000000031 -:0429A3000000000030 -:0429A400000000002F -:0429A500000000002E -:0429A600000000002D -:0429A700000000002C -:0429A800000000002B -:0429A900000000002A -:0429AA000000000029 -:0429AB000000000028 -:0429AC000000000027 -:0429AD000000000026 -:0429AE000000000025 -:0429AF000000000024 -:0429B0000000000023 -:0429B1000000000022 -:0429B2000000000021 -:0429B3000000000020 -:0429B400000000001F -:0429B500000000001E -:0429B600000000001D -:0429B700000000001C -:0429B800000000001B -:0429B900000000001A -:0429BA000000000019 -:0429BB000000000018 -:0429BC000000000017 -:0429BD000000000016 -:0429BE000000000015 -:0429BF000000000014 -:0429C0000000000013 -:0429C1000000000012 -:0429C2000000000011 -:0429C3000000000010 -:0429C400000000000F -:0429C500000000000E -:0429C600000000000D -:0429C700000000000C -:0429C800000000000B -:0429C900000000000A -:0429CA000000000009 -:0429CB000000000008 -:0429CC000000000007 -:0429CD000000000006 -:0429CE000000000005 -:0429CF000000000004 -:0429D0000000000003 -:0429D1000000000002 -:0429D2000000000001 -:0429D3000000000000 -:0429D40000000000FF -:0429D50000000000FE -:0429D60000000000FD -:0429D70000000000FC -:0429D80000000000FB -:0429D90000000000FA -:0429DA0000000000F9 -:0429DB0000000000F8 -:0429DC0000000000F7 -:0429DD0000000000F6 -:0429DE0000000000F5 -:0429DF0000000000F4 -:0429E00000000000F3 -:0429E10000000000F2 -:0429E20000000000F1 -:0429E30000000000F0 -:0429E40000000000EF -:0429E50000000000EE -:0429E60000000000ED -:0429E70000000000EC -:0429E80000000000EB -:0429E90000000000EA -:0429EA0000000000E9 -:0429EB0000000000E8 -:0429EC0000000000E7 -:0429ED0000000000E6 -:0429EE0000000000E5 -:0429EF0000000000E4 -:0429F00000000000E3 -:0429F10000000000E2 -:0429F20000000000E1 -:0429F30000000000E0 -:0429F40000000000DF -:0429F50000000000DE -:0429F60000000000DD -:0429F70000000000DC -:0429F80000000000DB -:0429F90000000000DA -:0429FA0000000000D9 -:0429FB0000000000D8 -:0429FC0000000000D7 -:0429FD0000000000D6 -:0429FE0000000000D5 -:0429FF0000000000D4 -:042A000000000000D2 -:042A010000000000D1 -:042A020000000000D0 -:042A030000000000CF -:042A040000000000CE -:042A050000000000CD -:042A060000000000CC -:042A070000000000CB -:042A080000000000CA -:042A090000000000C9 -:042A0A0000000000C8 -:042A0B0000000000C7 -:042A0C0000000000C6 -:042A0D0000000000C5 -:042A0E0000000000C4 -:042A0F0000000000C3 -:042A100000000000C2 -:042A110000000000C1 -:042A120000000000C0 -:042A130000000000BF -:042A140000000000BE -:042A150000000000BD -:042A160000000000BC -:042A170000000000BB -:042A180000000000BA -:042A190000000000B9 -:042A1A0000000000B8 -:042A1B0000000000B7 -:042A1C0000000000B6 -:042A1D0000000000B5 -:042A1E0000000000B4 -:042A1F0000000000B3 -:042A200000000000B2 -:042A210000000000B1 -:042A220000000000B0 -:042A230000000000AF -:042A240000000000AE -:042A250000000000AD -:042A260000000000AC -:042A270000000000AB -:042A280000000000AA -:042A290000000000A9 -:042A2A0000000000A8 -:042A2B0000000000A7 -:042A2C0000000000A6 -:042A2D0000000000A5 -:042A2E0000000000A4 -:042A2F0000000000A3 -:042A300000000000A2 -:042A310000000000A1 -:042A320000000000A0 -:042A3300000000009F -:042A3400000000009E -:042A3500000000009D -:042A3600000000009C -:042A3700000000009B -:042A3800000000009A -:042A39000000000099 -:042A3A000000000098 -:042A3B000000000097 -:042A3C000000000096 -:042A3D000000000095 -:042A3E000000000094 -:042A3F000000000093 -:042A40000000000092 -:042A41000000000091 -:042A42000000000090 -:042A4300000000008F -:042A4400000000008E -:042A4500000000008D -:042A4600000000008C -:042A4700000000008B -:042A4800000000008A -:042A49000000000089 -:042A4A000000000088 -:042A4B000000000087 -:042A4C000000000086 -:042A4D000000000085 -:042A4E000000000084 -:042A4F000000000083 -:042A50000000000082 -:042A51000000000081 -:042A52000000000080 -:042A5300000000007F -:042A5400000000007E -:042A5500000000007D -:042A5600000000007C -:042A5700000000007B -:042A5800000000007A -:042A59000000000079 -:042A5A000000000078 -:042A5B000000000077 -:042A5C000000000076 -:042A5D000000000075 -:042A5E000000000074 -:042A5F000000000073 -:042A60000000000072 -:042A61000000000071 -:042A62000000000070 -:042A6300000000006F -:042A6400000000006E -:042A6500000000006D -:042A6600000000006C -:042A6700000000006B -:042A6800000000006A -:042A69000000000069 -:042A6A000000000068 -:042A6B000000000067 -:042A6C000000000066 -:042A6D000000000065 -:042A6E000000000064 -:042A6F000000000063 -:042A70000000000062 -:042A71000000000061 -:042A72000000000060 -:042A7300000000005F -:042A7400000000005E -:042A7500000000005D -:042A7600000000005C -:042A7700000000005B -:042A7800000000005A -:042A79000000000059 -:042A7A000000000058 -:042A7B000000000057 -:042A7C000000000056 -:042A7D000000000055 -:042A7E000000000054 -:042A7F000000000053 -:042A80000000000052 -:042A81000000000051 -:042A82000000000050 -:042A8300000000004F -:042A8400000000004E -:042A8500000000004D -:042A8600000000004C -:042A8700000000004B -:042A8800000000004A -:042A89000000000049 -:042A8A000000000048 -:042A8B000000000047 -:042A8C000000000046 -:042A8D000000000045 -:042A8E000000000044 -:042A8F000000000043 -:042A90000000000042 -:042A91000000000041 -:042A92000000000040 -:042A9300000000003F -:042A9400000000003E -:042A9500000000003D -:042A9600000000003C -:042A9700000000003B -:042A9800000000003A -:042A99000000000039 -:042A9A000000000038 -:042A9B000000000037 -:042A9C000000000036 -:042A9D000000000035 -:042A9E000000000034 -:042A9F000000000033 -:042AA0000000000032 -:042AA1000000000031 -:042AA2000000000030 -:042AA300000000002F -:042AA400000000002E -:042AA500000000002D -:042AA600000000002C -:042AA700000000002B -:042AA800000000002A -:042AA9000000000029 -:042AAA000000000028 -:042AAB000000000027 -:042AAC000000000026 -:042AAD000000000025 -:042AAE000000000024 -:042AAF000000000023 -:042AB0000000000022 -:042AB1000000000021 -:042AB2000000000020 -:042AB300000000001F -:042AB400000000001E -:042AB500000000001D -:042AB600000000001C -:042AB700000000001B -:042AB800000000001A -:042AB9000000000019 -:042ABA000000000018 -:042ABB000000000017 -:042ABC000000000016 -:042ABD000000000015 -:042ABE000000000014 -:042ABF000000000013 -:042AC0000000000012 -:042AC1000000000011 -:042AC2000000000010 -:042AC300000000000F -:042AC400000000000E -:042AC500000000000D -:042AC600000000000C -:042AC700000000000B -:042AC800000000000A -:042AC9000000000009 -:042ACA000000000008 -:042ACB000000000007 -:042ACC000000000006 -:042ACD000000000005 -:042ACE000000000004 -:042ACF000000000003 -:042AD0000000000002 -:042AD1000000000001 -:042AD2000000000000 -:042AD30000000000FF -:042AD40000000000FE -:042AD50000000000FD -:042AD60000000000FC -:042AD70000000000FB -:042AD80000000000FA -:042AD90000000000F9 -:042ADA0000000000F8 -:042ADB0000000000F7 -:042ADC0000000000F6 -:042ADD0000000000F5 -:042ADE0000000000F4 -:042ADF0000000000F3 -:042AE00000000000F2 -:042AE10000000000F1 -:042AE20000000000F0 -:042AE30000000000EF -:042AE40000000000EE -:042AE50000000000ED -:042AE60000000000EC -:042AE70000000000EB -:042AE80000000000EA -:042AE90000000000E9 -:042AEA0000000000E8 -:042AEB0000000000E7 -:042AEC0000000000E6 -:042AED0000000000E5 -:042AEE0000000000E4 -:042AEF0000000000E3 -:042AF00000000000E2 -:042AF10000000000E1 -:042AF20000000000E0 -:042AF30000000000DF -:042AF40000000000DE -:042AF50000000000DD -:042AF60000000000DC -:042AF70000000000DB -:042AF80000000000DA -:042AF90000000000D9 -:042AFA0000000000D8 -:042AFB0000000000D7 -:042AFC0000000000D6 -:042AFD0000000000D5 -:042AFE0000000000D4 -:042AFF0000000000D3 -:042B000000000000D1 -:042B010000000000D0 -:042B020000000000CF -:042B030000000000CE -:042B040000000000CD -:042B050000000000CC -:042B060000000000CB -:042B070000000000CA -:042B080000000000C9 -:042B090000000000C8 -:042B0A0000000000C7 -:042B0B0000000000C6 -:042B0C0000000000C5 -:042B0D0000000000C4 -:042B0E0000000000C3 -:042B0F0000000000C2 -:042B100000000000C1 -:042B110000000000C0 -:042B120000000000BF -:042B130000000000BE -:042B140000000000BD -:042B150000000000BC -:042B160000000000BB -:042B170000000000BA -:042B180000000000B9 -:042B190000000000B8 -:042B1A0000000000B7 -:042B1B0000000000B6 -:042B1C0000000000B5 -:042B1D0000000000B4 -:042B1E0000000000B3 -:042B1F0000000000B2 -:042B200000000000B1 -:042B210000000000B0 -:042B220000000000AF -:042B230000000000AE -:042B240000000000AD -:042B250000000000AC -:042B260000000000AB -:042B270000000000AA -:042B280000000000A9 -:042B290000000000A8 -:042B2A0000000000A7 -:042B2B0000000000A6 -:042B2C0000000000A5 -:042B2D0000000000A4 -:042B2E0000000000A3 -:042B2F0000000000A2 -:042B300000000000A1 -:042B310000000000A0 -:042B3200000000009F -:042B3300000000009E -:042B3400000000009D -:042B3500000000009C -:042B3600000000009B -:042B3700000000009A -:042B38000000000099 -:042B39000000000098 -:042B3A000000000097 -:042B3B000000000096 -:042B3C000000000095 -:042B3D000000000094 -:042B3E000000000093 -:042B3F000000000092 -:042B40000000000091 -:042B41000000000090 -:042B4200000000008F -:042B4300000000008E -:042B4400000000008D -:042B4500000000008C -:042B4600000000008B -:042B4700000000008A -:042B48000000000089 -:042B49000000000088 -:042B4A000000000087 -:042B4B000000000086 -:042B4C000000000085 -:042B4D000000000084 -:042B4E000000000083 -:042B4F000000000082 -:042B50000000000081 -:042B51000000000080 -:042B5200000000007F -:042B5300000000007E -:042B5400000000007D -:042B5500000000007C -:042B5600000000007B -:042B5700000000007A -:042B58000000000079 -:042B59000000000078 -:042B5A000000000077 -:042B5B000000000076 -:042B5C000000000075 -:042B5D000000000074 -:042B5E000000000073 -:042B5F000000000072 -:042B60000000000071 -:042B61000000000070 -:042B6200000000006F -:042B6300000000006E -:042B6400000000006D -:042B6500000000006C -:042B6600000000006B -:042B6700000000006A -:042B68000000000069 -:042B69000000000068 -:042B6A000000000067 -:042B6B000000000066 -:042B6C000000000065 -:042B6D000000000064 -:042B6E000000000063 -:042B6F000000000062 -:042B70000000000061 -:042B71000000000060 -:042B7200000000005F -:042B7300000000005E -:042B7400000000005D -:042B7500000000005C -:042B7600000000005B -:042B7700000000005A -:042B78000000000059 -:042B79000000000058 -:042B7A000000000057 -:042B7B000000000056 -:042B7C000000000055 -:042B7D000000000054 -:042B7E000000000053 -:042B7F000000000052 -:042B80000000000051 -:042B81000000000050 -:042B8200000000004F -:042B8300000000004E -:042B8400000000004D -:042B8500000000004C -:042B8600000000004B -:042B8700000000004A -:042B88000000000049 -:042B89000000000048 -:042B8A000000000047 -:042B8B000000000046 -:042B8C000000000045 -:042B8D000000000044 -:042B8E000000000043 -:042B8F000000000042 -:042B90000000000041 -:042B91000000000040 -:042B9200000000003F -:042B9300000000003E -:042B9400000000003D -:042B9500000000003C -:042B9600000000003B -:042B9700000000003A -:042B98000000000039 -:042B99000000000038 -:042B9A000000000037 -:042B9B000000000036 -:042B9C000000000035 -:042B9D000000000034 -:042B9E000000000033 -:042B9F000000000032 -:042BA0000000000031 -:042BA1000000000030 -:042BA200000000002F -:042BA300000000002E -:042BA400000000002D -:042BA500000000002C -:042BA600000000002B -:042BA700000000002A -:042BA8000000000029 -:042BA9000000000028 -:042BAA000000000027 -:042BAB000000000026 -:042BAC000000000025 -:042BAD000000000024 -:042BAE000000000023 -:042BAF000000000022 -:042BB0000000000021 -:042BB1000000000020 -:042BB200000000001F -:042BB300000000001E -:042BB400000000001D -:042BB500000000001C -:042BB600000000001B -:042BB700000000001A -:042BB8000000000019 -:042BB9000000000018 -:042BBA000000000017 -:042BBB000000000016 -:042BBC000000000015 -:042BBD000000000014 -:042BBE000000000013 -:042BBF000000000012 -:042BC0000000000011 -:042BC1000000000010 -:042BC200000000000F -:042BC300000000000E -:042BC400000000000D -:042BC500000000000C -:042BC600000000000B -:042BC700000000000A -:042BC8000000000009 -:042BC9000000000008 -:042BCA000000000007 -:042BCB000000000006 -:042BCC000000000005 -:042BCD000000000004 -:042BCE000000000003 -:042BCF000000000002 -:042BD0000000000001 -:042BD1000000000000 -:042BD20000000000FF -:042BD30000000000FE -:042BD40000000000FD -:042BD50000000000FC -:042BD60000000000FB -:042BD70000000000FA -:042BD80000000000F9 -:042BD90000000000F8 -:042BDA0000000000F7 -:042BDB0000000000F6 -:042BDC0000000000F5 -:042BDD0000000000F4 -:042BDE0000000000F3 -:042BDF0000000000F2 -:042BE00000000000F1 -:042BE10000000000F0 -:042BE20000000000EF -:042BE30000000000EE -:042BE40000000000ED -:042BE50000000000EC -:042BE60000000000EB -:042BE70000000000EA -:042BE80000000000E9 -:042BE90000000000E8 -:042BEA0000000000E7 -:042BEB0000000000E6 -:042BEC0000000000E5 -:042BED0000000000E4 -:042BEE0000000000E3 -:042BEF0000000000E2 -:042BF00000000000E1 -:042BF10000000000E0 -:042BF20000000000DF -:042BF30000000000DE -:042BF40000000000DD -:042BF50000000000DC -:042BF60000000000DB -:042BF70000000000DA -:042BF80000000000D9 -:042BF90000000000D8 -:042BFA0000000000D7 -:042BFB0000000000D6 -:042BFC0000000000D5 -:042BFD0000000000D4 -:042BFE0000000000D3 -:042BFF0000000000D2 -:042C000000000000D0 -:042C010000000000CF -:042C020000000000CE -:042C030000000000CD -:042C040000000000CC -:042C050000000000CB -:042C060000000000CA -:042C070000000000C9 -:042C080000000000C8 -:042C090000000000C7 -:042C0A0000000000C6 -:042C0B0000000000C5 -:042C0C0000000000C4 -:042C0D0000000000C3 -:042C0E0000000000C2 -:042C0F0000000000C1 -:042C100000000000C0 -:042C110000000000BF -:042C120000000000BE -:042C130000000000BD -:042C140000000000BC -:042C150000000000BB -:042C160000000000BA -:042C170000000000B9 -:042C180000000000B8 -:042C190000000000B7 -:042C1A0000000000B6 -:042C1B0000000000B5 -:042C1C0000000000B4 -:042C1D0000000000B3 -:042C1E0000000000B2 -:042C1F0000000000B1 -:042C200000000000B0 -:042C210000000000AF -:042C220000000000AE -:042C230000000000AD -:042C240000000000AC -:042C250000000000AB -:042C260000000000AA -:042C270000000000A9 -:042C280000000000A8 -:042C290000000000A7 -:042C2A0000000000A6 -:042C2B0000000000A5 -:042C2C0000000000A4 -:042C2D0000000000A3 -:042C2E0000000000A2 -:042C2F0000000000A1 -:042C300000000000A0 -:042C3100000000009F -:042C3200000000009E -:042C3300000000009D -:042C3400000000009C -:042C3500000000009B -:042C3600000000009A -:042C37000000000099 -:042C38000000000098 -:042C39000000000097 -:042C3A000000000096 -:042C3B000000000095 -:042C3C000000000094 -:042C3D000000000093 -:042C3E000000000092 -:042C3F000000000091 -:042C40000000000090 -:042C4100000000008F -:042C4200000000008E -:042C4300000000008D -:042C4400000000008C -:042C4500000000008B -:042C4600000000008A -:042C47000000000089 -:042C48000000000088 -:042C49000000000087 -:042C4A000000000086 -:042C4B000000000085 -:042C4C000000000084 -:042C4D000000000083 -:042C4E000000000082 -:042C4F000000000081 -:042C50000000000080 -:042C5100000000007F -:042C5200000000007E -:042C5300000000007D -:042C5400000000007C -:042C5500000000007B -:042C5600000000007A -:042C57000000000079 -:042C58000000000078 -:042C59000000000077 -:042C5A000000000076 -:042C5B000000000075 -:042C5C000000000074 -:042C5D000000000073 -:042C5E000000000072 -:042C5F000000000071 -:042C60000000000070 -:042C6100000000006F -:042C6200000000006E -:042C6300000000006D -:042C6400000000006C -:042C6500000000006B -:042C6600000000006A -:042C67000000000069 -:042C68000000000068 -:042C69000000000067 -:042C6A000000000066 -:042C6B000000000065 -:042C6C000000000064 -:042C6D000000000063 -:042C6E000000000062 -:042C6F000000000061 -:042C70000000000060 -:042C7100000000005F -:042C7200000000005E -:042C7300000000005D -:042C7400000000005C -:042C7500000000005B -:042C7600000000005A -:042C77000000000059 -:042C78000000000058 -:042C79000000000057 -:042C7A000000000056 -:042C7B000000000055 -:042C7C000000000054 -:042C7D000000000053 -:042C7E000000000052 -:042C7F000000000051 -:042C80000000000050 -:042C8100000000004F -:042C8200000000004E -:042C8300000000004D -:042C8400000000004C -:042C8500000000004B -:042C8600000000004A -:042C87000000000049 -:042C88000000000048 -:042C89000000000047 -:042C8A000000000046 -:042C8B000000000045 -:042C8C000000000044 -:042C8D000000000043 -:042C8E000000000042 -:042C8F000000000041 -:042C90000000000040 -:042C9100000000003F -:042C9200000000003E -:042C9300000000003D -:042C9400000000003C -:042C9500000000003B -:042C9600000000003A -:042C97000000000039 -:042C98000000000038 -:042C99000000000037 -:042C9A000000000036 -:042C9B000000000035 -:042C9C000000000034 -:042C9D000000000033 -:042C9E000000000032 -:042C9F000000000031 -:042CA0000000000030 -:042CA100000000002F -:042CA200000000002E -:042CA300000000002D -:042CA400000000002C -:042CA500000000002B -:042CA600000000002A -:042CA7000000000029 -:042CA8000000000028 -:042CA9000000000027 -:042CAA000000000026 -:042CAB000000000025 -:042CAC000000000024 -:042CAD000000000023 -:042CAE000000000022 -:042CAF000000000021 -:042CB0000000000020 -:042CB100000000001F -:042CB200000000001E -:042CB300000000001D -:042CB400000000001C -:042CB500000000001B -:042CB600000000001A -:042CB7000000000019 -:042CB8000000000018 -:042CB9000000000017 -:042CBA000000000016 -:042CBB000000000015 -:042CBC000000000014 -:042CBD000000000013 -:042CBE000000000012 -:042CBF000000000011 -:042CC0000000000010 -:042CC100000000000F -:042CC200000000000E -:042CC300000000000D -:042CC400000000000C -:042CC500000000000B -:042CC600000000000A -:042CC7000000000009 -:042CC8000000000008 -:042CC9000000000007 -:042CCA000000000006 -:042CCB000000000005 -:042CCC000000000004 -:042CCD000000000003 -:042CCE000000000002 -:042CCF000000000001 -:042CD0000000000000 -:042CD10000000000FF -:042CD20000000000FE -:042CD30000000000FD -:042CD40000000000FC -:042CD50000000000FB -:042CD60000000000FA -:042CD70000000000F9 -:042CD80000000000F8 -:042CD90000000000F7 -:042CDA0000000000F6 -:042CDB0000000000F5 -:042CDC0000000000F4 -:042CDD0000000000F3 -:042CDE0000000000F2 -:042CDF0000000000F1 -:042CE00000000000F0 -:042CE10000000000EF -:042CE20000000000EE -:042CE30000000000ED -:042CE40000000000EC -:042CE50000000000EB -:042CE60000000000EA -:042CE70000000000E9 -:042CE80000000000E8 -:042CE90000000000E7 -:042CEA0000000000E6 -:042CEB0000000000E5 -:042CEC0000000000E4 -:042CED0000000000E3 -:042CEE0000000000E2 -:042CEF0000000000E1 -:042CF00000000000E0 -:042CF10000000000DF -:042CF20000000000DE -:042CF30000000000DD -:042CF40000000000DC -:042CF50000000000DB -:042CF60000000000DA -:042CF70000000000D9 -:042CF80000000000D8 -:042CF90000000000D7 -:042CFA0000000000D6 -:042CFB0000000000D5 -:042CFC0000000000D4 -:042CFD0000000000D3 -:042CFE0000000000D2 -:042CFF0000000000D1 -:042D000000000000CF -:042D010000000000CE -:042D020000000000CD -:042D030000000000CC -:042D040000000000CB -:042D050000000000CA -:042D060000000000C9 -:042D070000000000C8 -:042D080000000000C7 -:042D090000000000C6 -:042D0A0000000000C5 -:042D0B0000000000C4 -:042D0C0000000000C3 -:042D0D0000000000C2 -:042D0E0000000000C1 -:042D0F0000000000C0 -:042D100000000000BF -:042D110000000000BE -:042D120000000000BD -:042D130000000000BC -:042D140000000000BB -:042D150000000000BA -:042D160000000000B9 -:042D170000000000B8 -:042D180000000000B7 -:042D190000000000B6 -:042D1A0000000000B5 -:042D1B0000000000B4 -:042D1C0000000000B3 -:042D1D0000000000B2 -:042D1E0000000000B1 -:042D1F0000000000B0 -:042D200000000000AF -:042D210000000000AE -:042D220000000000AD -:042D230000000000AC -:042D240000000000AB -:042D250000000000AA -:042D260000000000A9 -:042D270000000000A8 -:042D280000000000A7 -:042D290000000000A6 -:042D2A0000000000A5 -:042D2B0000000000A4 -:042D2C0000000000A3 -:042D2D0000000000A2 -:042D2E0000000000A1 -:042D2F0000000000A0 -:042D3000000000009F -:042D3100000000009E -:042D3200000000009D -:042D3300000000009C -:042D3400000000009B -:042D3500000000009A -:042D36000000000099 -:042D37000000000098 -:042D38000000000097 -:042D39000000000096 -:042D3A000000000095 -:042D3B000000000094 -:042D3C000000000093 -:042D3D000000000092 -:042D3E000000000091 -:042D3F000000000090 -:042D4000000000008F -:042D4100000000008E -:042D4200000000008D -:042D4300000000008C -:042D4400000000008B -:042D4500000000008A -:042D46000000000089 -:042D47000000000088 -:042D48000000000087 -:042D49000000000086 -:042D4A000000000085 -:042D4B000000000084 -:042D4C000000000083 -:042D4D000000000082 -:042D4E000000000081 -:042D4F000000000080 -:042D5000000000007F -:042D5100000000007E -:042D5200000000007D -:042D5300000000007C -:042D5400000000007B -:042D5500000000007A -:042D56000000000079 -:042D57000000000078 -:042D58000000000077 -:042D59000000000076 -:042D5A000000000075 -:042D5B000000000074 -:042D5C000000000073 -:042D5D000000000072 -:042D5E000000000071 -:042D5F000000000070 -:042D6000000000006F -:042D6100000000006E -:042D6200000000006D -:042D6300000000006C -:042D6400000000006B -:042D6500000000006A -:042D66000000000069 -:042D67000000000068 -:042D68000000000067 -:042D69000000000066 -:042D6A000000000065 -:042D6B000000000064 -:042D6C000000000063 -:042D6D000000000062 -:042D6E000000000061 -:042D6F000000000060 -:042D7000000000005F -:042D7100000000005E -:042D7200000000005D -:042D7300000000005C -:042D7400000000005B -:042D7500000000005A -:042D76000000000059 -:042D77000000000058 -:042D78000000000057 -:042D79000000000056 -:042D7A000000000055 -:042D7B000000000054 -:042D7C000000000053 -:042D7D000000000052 -:042D7E000000000051 -:042D7F000000000050 -:042D8000000000004F -:042D8100000000004E -:042D8200000000004D -:042D8300000000004C -:042D8400000000004B -:042D8500000000004A -:042D86000000000049 -:042D87000000000048 -:042D88000000000047 -:042D89000000000046 -:042D8A000000000045 -:042D8B000000000044 -:042D8C000000000043 -:042D8D000000000042 -:042D8E000000000041 -:042D8F000000000040 -:042D9000000000003F -:042D9100000000003E -:042D9200000000003D -:042D9300000000003C -:042D9400000000003B -:042D9500000000003A -:042D96000000000039 -:042D97000000000038 -:042D98000000000037 -:042D99000000000036 -:042D9A000000000035 -:042D9B000000000034 -:042D9C000000000033 -:042D9D000000000032 -:042D9E000000000031 -:042D9F000000000030 -:042DA000000000002F -:042DA100000000002E -:042DA200000000002D -:042DA300000000002C -:042DA400000000002B -:042DA500000000002A -:042DA6000000000029 -:042DA7000000000028 -:042DA8000000000027 -:042DA9000000000026 -:042DAA000000000025 -:042DAB000000000024 -:042DAC000000000023 -:042DAD000000000022 -:042DAE000000000021 -:042DAF000000000020 -:042DB000000000001F -:042DB100000000001E -:042DB200000000001D -:042DB300000000001C -:042DB400000000001B -:042DB500000000001A -:042DB6000000000019 -:042DB7000000000018 -:042DB8000000000017 -:042DB9000000000016 -:042DBA000000000015 -:042DBB000000000014 -:042DBC000000000013 -:042DBD000000000012 -:042DBE000000000011 -:042DBF000000000010 -:042DC000000000000F -:042DC100000000000E -:042DC200000000000D -:042DC300000000000C -:042DC400000000000B -:042DC500000000000A -:042DC6000000000009 -:042DC7000000000008 -:042DC8000000000007 -:042DC9000000000006 -:042DCA000000000005 -:042DCB000000000004 -:042DCC000000000003 -:042DCD000000000002 -:042DCE000000000001 -:042DCF000000000000 -:042DD00000000000FF -:042DD10000000000FE -:042DD20000000000FD -:042DD30000000000FC -:042DD40000000000FB -:042DD50000000000FA -:042DD60000000000F9 -:042DD70000000000F8 -:042DD80000000000F7 -:042DD90000000000F6 -:042DDA0000000000F5 -:042DDB0000000000F4 -:042DDC0000000000F3 -:042DDD0000000000F2 -:042DDE0000000000F1 -:042DDF0000000000F0 -:042DE00000000000EF -:042DE10000000000EE -:042DE20000000000ED -:042DE30000000000EC -:042DE40000000000EB -:042DE50000000000EA -:042DE60000000000E9 -:042DE70000000000E8 -:042DE80000000000E7 -:042DE90000000000E6 -:042DEA0000000000E5 -:042DEB0000000000E4 -:042DEC0000000000E3 -:042DED0000000000E2 -:042DEE0000000000E1 -:042DEF0000000000E0 -:042DF00000000000DF -:042DF10000000000DE -:042DF20000000000DD -:042DF30000000000DC -:042DF40000000000DB -:042DF50000000000DA -:042DF60000000000D9 -:042DF70000000000D8 -:042DF80000000000D7 -:042DF90000000000D6 -:042DFA0000000000D5 -:042DFB0000000000D4 -:042DFC0000000000D3 -:042DFD0000000000D2 -:042DFE0000000000D1 -:042DFF0000000000D0 -:042E000000000000CE -:042E010000000000CD -:042E020000000000CC -:042E030000000000CB -:042E040000000000CA -:042E050000000000C9 -:042E060000000000C8 -:042E070000000000C7 -:042E080000000000C6 -:042E090000000000C5 -:042E0A0000000000C4 -:042E0B0000000000C3 -:042E0C0000000000C2 -:042E0D0000000000C1 -:042E0E0000000000C0 -:042E0F0000000000BF -:042E100000000000BE -:042E110000000000BD -:042E120000000000BC -:042E130000000000BB -:042E140000000000BA -:042E150000000000B9 -:042E160000000000B8 -:042E170000000000B7 -:042E180000000000B6 -:042E190000000000B5 -:042E1A0000000000B4 -:042E1B0000000000B3 -:042E1C0000000000B2 -:042E1D0000000000B1 -:042E1E0000000000B0 -:042E1F0000000000AF -:042E200000000000AE -:042E210000000000AD -:042E220000000000AC -:042E230000000000AB -:042E240000000000AA -:042E250000000000A9 -:042E260000000000A8 -:042E270000000000A7 -:042E280000000000A6 -:042E290000000000A5 -:042E2A0000000000A4 -:042E2B0000000000A3 -:042E2C0000000000A2 -:042E2D0000000000A1 -:042E2E0000000000A0 -:042E2F00000000009F -:042E3000000000009E -:042E3100000000009D -:042E3200000000009C -:042E3300000000009B -:042E3400000000009A -:042E35000000000099 -:042E36000000000098 -:042E37000000000097 -:042E38000000000096 -:042E39000000000095 -:042E3A000000000094 -:042E3B000000000093 -:042E3C000000000092 -:042E3D000000000091 -:042E3E000000000090 -:042E3F00000000008F -:042E4000000000008E -:042E4100000000008D -:042E4200000000008C -:042E4300000000008B -:042E4400000000008A -:042E45000000000089 -:042E46000000000088 -:042E47000000000087 -:042E48000000000086 -:042E49000000000085 -:042E4A000000000084 -:042E4B000000000083 -:042E4C000000000082 -:042E4D000000000081 -:042E4E000000000080 -:042E4F00000000007F -:042E5000000000007E -:042E5100000000007D -:042E5200000000007C -:042E5300000000007B -:042E5400000000007A -:042E55000000000079 -:042E56000000000078 -:042E57000000000077 -:042E58000000000076 -:042E59000000000075 -:042E5A000000000074 -:042E5B000000000073 -:042E5C000000000072 -:042E5D000000000071 -:042E5E000000000070 -:042E5F00000000006F -:042E6000000000006E -:042E6100000000006D -:042E6200000000006C -:042E6300000000006B -:042E6400000000006A -:042E65000000000069 -:042E66000000000068 -:042E67000000000067 -:042E68000000000066 -:042E69000000000065 -:042E6A000000000064 -:042E6B000000000063 -:042E6C000000000062 -:042E6D000000000061 -:042E6E000000000060 -:042E6F00000000005F -:042E7000000000005E -:042E7100000000005D -:042E7200000000005C -:042E7300000000005B -:042E7400000000005A -:042E75000000000059 -:042E76000000000058 -:042E77000000000057 -:042E78000000000056 -:042E79000000000055 -:042E7A000000000054 -:042E7B000000000053 -:042E7C000000000052 -:042E7D000000000051 -:042E7E000000000050 -:042E7F00000000004F -:042E8000000000004E -:042E8100000000004D -:042E8200000000004C -:042E8300000000004B -:042E8400000000004A -:042E85000000000049 -:042E86000000000048 -:042E87000000000047 -:042E88000000000046 -:042E89000000000045 -:042E8A000000000044 -:042E8B000000000043 -:042E8C000000000042 -:042E8D000000000041 -:042E8E000000000040 -:042E8F00000000003F -:042E9000000000003E -:042E9100000000003D -:042E9200000000003C -:042E9300000000003B -:042E9400000000003A -:042E95000000000039 -:042E96000000000038 -:042E97000000000037 -:042E98000000000036 -:042E99000000000035 -:042E9A000000000034 -:042E9B000000000033 -:042E9C000000000032 -:042E9D000000000031 -:042E9E000000000030 -:042E9F00000000002F -:042EA000000000002E -:042EA100000000002D -:042EA200000000002C -:042EA300000000002B -:042EA400000000002A -:042EA5000000000029 -:042EA6000000000028 -:042EA7000000000027 -:042EA8000000000026 -:042EA9000000000025 -:042EAA000000000024 -:042EAB000000000023 -:042EAC000000000022 -:042EAD000000000021 -:042EAE000000000020 -:042EAF00000000001F -:042EB000000000001E -:042EB100000000001D -:042EB200000000001C -:042EB300000000001B -:042EB400000000001A -:042EB5000000000019 -:042EB6000000000018 -:042EB7000000000017 -:042EB8000000000016 -:042EB9000000000015 -:042EBA000000000014 -:042EBB000000000013 -:042EBC000000000012 -:042EBD000000000011 -:042EBE000000000010 -:042EBF00000000000F -:042EC000000000000E -:042EC100000000000D -:042EC200000000000C -:042EC300000000000B -:042EC400000000000A -:042EC5000000000009 -:042EC6000000000008 -:042EC7000000000007 -:042EC8000000000006 -:042EC9000000000005 -:042ECA000000000004 -:042ECB000000000003 -:042ECC000000000002 -:042ECD000000000001 -:042ECE000000000000 -:042ECF0000000000FF -:042ED00000000000FE -:042ED10000000000FD -:042ED20000000000FC -:042ED30000000000FB -:042ED40000000000FA -:042ED50000000000F9 -:042ED60000000000F8 -:042ED70000000000F7 -:042ED80000000000F6 -:042ED90000000000F5 -:042EDA0000000000F4 -:042EDB0000000000F3 -:042EDC0000000000F2 -:042EDD0000000000F1 -:042EDE0000000000F0 -:042EDF0000000000EF -:042EE00000000000EE -:042EE10000000000ED -:042EE20000000000EC -:042EE30000000000EB -:042EE40000000000EA -:042EE50000000000E9 -:042EE60000000000E8 -:042EE70000000000E7 -:042EE80000000000E6 -:042EE90000000000E5 -:042EEA0000000000E4 -:042EEB0000000000E3 -:042EEC0000000000E2 -:042EED0000000000E1 -:042EEE0000000000E0 -:042EEF0000000000DF -:042EF00000000000DE -:042EF10000000000DD -:042EF20000000000DC -:042EF30000000000DB -:042EF40000000000DA -:042EF50000000000D9 -:042EF60000000000D8 -:042EF70000000000D7 -:042EF80000000000D6 -:042EF90000000000D5 -:042EFA0000000000D4 -:042EFB0000000000D3 -:042EFC0000000000D2 -:042EFD0000000000D1 -:042EFE0000000000D0 -:042EFF0000000000CF -:042F000000000000CD -:042F010000000000CC -:042F020000000000CB -:042F030000000000CA -:042F040000000000C9 -:042F050000000000C8 -:042F060000000000C7 -:042F070000000000C6 -:042F080000000000C5 -:042F090000000000C4 -:042F0A0000000000C3 -:042F0B0000000000C2 -:042F0C0000000000C1 -:042F0D0000000000C0 -:042F0E0000000000BF -:042F0F0000000000BE -:042F100000000000BD -:042F110000000000BC -:042F120000000000BB -:042F130000000000BA -:042F140000000000B9 -:042F150000000000B8 -:042F160000000000B7 -:042F170000000000B6 -:042F180000000000B5 -:042F190000000000B4 -:042F1A0000000000B3 -:042F1B0000000000B2 -:042F1C0000000000B1 -:042F1D0000000000B0 -:042F1E0000000000AF -:042F1F0000000000AE -:042F200000000000AD -:042F210000000000AC -:042F220000000000AB -:042F230000000000AA -:042F240000000000A9 -:042F250000000000A8 -:042F260000000000A7 -:042F270000000000A6 -:042F280000000000A5 -:042F290000000000A4 -:042F2A0000000000A3 -:042F2B0000000000A2 -:042F2C0000000000A1 -:042F2D0000000000A0 -:042F2E00000000009F -:042F2F00000000009E -:042F3000000000009D -:042F3100000000009C -:042F3200000000009B -:042F3300000000009A -:042F34000000000099 -:042F35000000000098 -:042F36000000000097 -:042F37000000000096 -:042F38000000000095 -:042F39000000000094 -:042F3A000000000093 -:042F3B000000000092 -:042F3C000000000091 -:042F3D000000000090 -:042F3E00000000008F -:042F3F00000000008E -:042F4000000000008D -:042F4100000000008C -:042F4200000000008B -:042F4300000000008A -:042F44000000000089 -:042F45000000000088 -:042F46000000000087 -:042F47000000000086 -:042F48000000000085 -:042F49000000000084 -:042F4A000000000083 -:042F4B000000000082 -:042F4C000000000081 -:042F4D000000000080 -:042F4E00000000007F -:042F4F00000000007E -:042F5000000000007D -:042F5100000000007C -:042F5200000000007B -:042F5300000000007A -:042F54000000000079 -:042F55000000000078 -:042F56000000000077 -:042F57000000000076 -:042F58000000000075 -:042F59000000000074 -:042F5A000000000073 -:042F5B000000000072 -:042F5C000000000071 -:042F5D000000000070 -:042F5E00000000006F -:042F5F00000000006E -:042F6000000000006D -:042F6100000000006C -:042F6200000000006B -:042F6300000000006A -:042F64000000000069 -:042F65000000000068 -:042F66000000000067 -:042F67000000000066 -:042F68000000000065 -:042F69000000000064 -:042F6A000000000063 -:042F6B000000000062 -:042F6C000000000061 -:042F6D000000000060 -:042F6E00000000005F -:042F6F00000000005E -:042F7000000000005D -:042F7100000000005C -:042F7200000000005B -:042F7300000000005A -:042F74000000000059 -:042F75000000000058 -:042F76000000000057 -:042F77000000000056 -:042F78000000000055 -:042F79000000000054 -:042F7A000000000053 -:042F7B000000000052 -:042F7C000000000051 -:042F7D000000000050 -:042F7E00000000004F -:042F7F00000000004E -:042F8000000000004D -:042F8100000000004C -:042F8200000000004B -:042F8300000000004A -:042F84000000000049 -:042F85000000000048 -:042F86000000000047 -:042F87000000000046 -:042F88000000000045 -:042F89000000000044 -:042F8A000000000043 -:042F8B000000000042 -:042F8C000000000041 -:042F8D000000000040 -:042F8E00000000003F -:042F8F00000000003E -:042F9000000000003D -:042F9100000000003C -:042F9200000000003B -:042F9300000000003A -:042F94000000000039 -:042F95000000000038 -:042F96000000000037 -:042F97000000000036 -:042F98000000000035 -:042F99000000000034 -:042F9A000000000033 -:042F9B000000000032 -:042F9C000000000031 -:042F9D000000000030 -:042F9E00000000002F -:042F9F00000000002E -:042FA000000000002D -:042FA100000000002C -:042FA200000000002B -:042FA300000000002A -:042FA4000000000029 -:042FA5000000000028 -:042FA6000000000027 -:042FA7000000000026 -:042FA8000000000025 -:042FA9000000000024 -:042FAA000000000023 -:042FAB000000000022 -:042FAC000000000021 -:042FAD000000000020 -:042FAE00000000001F -:042FAF00000000001E -:042FB000000000001D -:042FB100000000001C -:042FB200000000001B -:042FB300000000001A -:042FB4000000000019 -:042FB5000000000018 -:042FB6000000000017 -:042FB7000000000016 -:042FB8000000000015 -:042FB9000000000014 -:042FBA000000000013 -:042FBB000000000012 -:042FBC000000000011 -:042FBD000000000010 -:042FBE00000000000F -:042FBF00000000000E -:042FC000000000000D -:042FC100000000000C -:042FC200000000000B -:042FC300000000000A -:042FC4000000000009 -:042FC5000000000008 -:042FC6000000000007 -:042FC7000000000006 -:042FC8000000000005 -:042FC9000000000004 -:042FCA000000000003 -:042FCB000000000002 -:042FCC000000000001 -:042FCD000000000000 -:042FCE0000000000FF -:042FCF0000000000FE -:042FD00000000000FD -:042FD10000000000FC -:042FD20000000000FB -:042FD30000000000FA -:042FD40000000000F9 -:042FD50000000000F8 -:042FD60000000000F7 -:042FD70000000000F6 -:042FD80000000000F5 -:042FD90000000000F4 -:042FDA0000000000F3 -:042FDB0000000000F2 -:042FDC0000000000F1 -:042FDD0000000000F0 -:042FDE0000000000EF -:042FDF0000000000EE -:042FE00000000000ED -:042FE10000000000EC -:042FE20000000000EB -:042FE30000000000EA -:042FE40000000000E9 -:042FE50000000000E8 -:042FE60000000000E7 -:042FE70000000000E6 -:042FE80000000000E5 -:042FE90000000000E4 -:042FEA0000000000E3 -:042FEB0000000000E2 -:042FEC0000000000E1 -:042FED0000000000E0 -:042FEE0000000000DF -:042FEF0000000000DE -:042FF00000000000DD -:042FF10000000000DC -:042FF20000000000DB -:042FF30000000000DA -:042FF40000000000D9 -:042FF50000000000D8 -:042FF60000000000D7 -:042FF70000000000D6 -:042FF80000000000D5 -:042FF90000000000D4 -:042FFA0000000000D3 -:042FFB0000000000D2 -:042FFC0000000000D1 -:042FFD0000000000D0 -:042FFE0000000000CF -:042FFF0000000000CE -:0430000000000000CC -:0430010000000000CB -:0430020000000000CA -:0430030000000000C9 -:0430040000000000C8 -:0430050000000000C7 -:0430060000000000C6 -:0430070000000000C5 -:0430080000000000C4 -:0430090000000000C3 -:04300A0000000000C2 -:04300B0000000000C1 -:04300C0000000000C0 -:04300D0000000000BF -:04300E0000000000BE -:04300F0000000000BD -:0430100000000000BC -:0430110000000000BB -:0430120000000000BA -:0430130000000000B9 -:0430140000000000B8 -:0430150000000000B7 -:0430160000000000B6 -:0430170000000000B5 -:0430180000000000B4 -:0430190000000000B3 -:04301A0000000000B2 -:04301B0000000000B1 -:04301C0000000000B0 -:04301D0000000000AF -:04301E0000000000AE -:04301F0000000000AD -:0430200000000000AC -:0430210000000000AB -:0430220000000000AA -:0430230000000000A9 -:0430240000000000A8 -:0430250000000000A7 -:0430260000000000A6 -:0430270000000000A5 -:0430280000000000A4 -:0430290000000000A3 -:04302A0000000000A2 -:04302B0000000000A1 -:04302C0000000000A0 -:04302D00000000009F -:04302E00000000009E -:04302F00000000009D -:04303000000000009C -:04303100000000009B -:04303200000000009A -:043033000000000099 -:043034000000000098 -:043035000000000097 -:043036000000000096 -:043037000000000095 -:043038000000000094 -:043039000000000093 -:04303A000000000092 -:04303B000000000091 -:04303C000000000090 -:04303D00000000008F -:04303E00000000008E -:04303F00000000008D -:04304000000000008C -:04304100000000008B -:04304200000000008A -:043043000000000089 -:043044000000000088 -:043045000000000087 -:043046000000000086 -:043047000000000085 -:043048000000000084 -:043049000000000083 -:04304A000000000082 -:04304B000000000081 -:04304C000000000080 -:04304D00000000007F -:04304E00000000007E -:04304F00000000007D -:04305000000000007C -:04305100000000007B -:04305200000000007A -:043053000000000079 -:043054000000000078 -:043055000000000077 -:043056000000000076 -:043057000000000075 -:043058000000000074 -:043059000000000073 -:04305A000000000072 -:04305B000000000071 -:04305C000000000070 -:04305D00000000006F -:04305E00000000006E -:04305F00000000006D -:04306000000000006C -:04306100000000006B -:04306200000000006A -:043063000000000069 -:043064000000000068 -:043065000000000067 -:043066000000000066 -:043067000000000065 -:043068000000000064 -:043069000000000063 -:04306A000000000062 -:04306B000000000061 -:04306C000000000060 -:04306D00000000005F -:04306E00000000005E -:04306F00000000005D -:04307000000000005C -:04307100000000005B -:04307200000000005A -:043073000000000059 -:043074000000000058 -:043075000000000057 -:043076000000000056 -:043077000000000055 -:043078000000000054 -:043079000000000053 -:04307A000000000052 -:04307B000000000051 -:04307C000000000050 -:04307D00000000004F -:04307E00000000004E -:04307F00000000004D -:04308000000000004C -:04308100000000004B -:04308200000000004A -:043083000000000049 -:043084000000000048 -:043085000000000047 -:043086000000000046 -:043087000000000045 -:043088000000000044 -:043089000000000043 -:04308A000000000042 -:04308B000000000041 -:04308C000000000040 -:04308D00000000003F -:04308E00000000003E -:04308F00000000003D -:04309000000000003C -:04309100000000003B -:04309200000000003A -:043093000000000039 -:043094000000000038 -:043095000000000037 -:043096000000000036 -:043097000000000035 -:043098000000000034 -:043099000000000033 -:04309A000000000032 -:04309B000000000031 -:04309C000000000030 -:04309D00000000002F -:04309E00000000002E -:04309F00000000002D -:0430A000000000002C -:0430A100000000002B -:0430A200000000002A -:0430A3000000000029 -:0430A4000000000028 -:0430A5000000000027 -:0430A6000000000026 -:0430A7000000000025 -:0430A8000000000024 -:0430A9000000000023 -:0430AA000000000022 -:0430AB000000000021 -:0430AC000000000020 -:0430AD00000000001F -:0430AE00000000001E -:0430AF00000000001D -:0430B000000000001C -:0430B100000000001B -:0430B200000000001A -:0430B3000000000019 -:0430B4000000000018 -:0430B5000000000017 -:0430B6000000000016 -:0430B7000000000015 -:0430B8000000000014 -:0430B9000000000013 -:0430BA000000000012 -:0430BB000000000011 -:0430BC000000000010 -:0430BD00000000000F -:0430BE00000000000E -:0430BF00000000000D -:0430C000000000000C -:0430C100000000000B -:0430C200000000000A -:0430C3000000000009 -:0430C4000000000008 -:0430C5000000000007 -:0430C6000000000006 -:0430C7000000000005 -:0430C8000000000004 -:0430C9000000000003 -:0430CA000000000002 -:0430CB000000000001 -:0430CC000000000000 -:0430CD0000000000FF -:0430CE0000000000FE -:0430CF0000000000FD -:0430D00000000000FC -:0430D10000000000FB -:0430D20000000000FA -:0430D30000000000F9 -:0430D40000000000F8 -:0430D50000000000F7 -:0430D60000000000F6 -:0430D70000000000F5 -:0430D80000000000F4 -:0430D90000000000F3 -:0430DA0000000000F2 -:0430DB0000000000F1 -:0430DC0000000000F0 -:0430DD0000000000EF -:0430DE0000000000EE -:0430DF0000000000ED -:0430E00000000000EC -:0430E10000000000EB -:0430E20000000000EA -:0430E30000000000E9 -:0430E40000000000E8 -:0430E50000000000E7 -:0430E60000000000E6 -:0430E70000000000E5 -:0430E80000000000E4 -:0430E90000000000E3 -:0430EA0000000000E2 -:0430EB0000000000E1 -:0430EC0000000000E0 -:0430ED0000000000DF -:0430EE0000000000DE -:0430EF0000000000DD -:0430F00000000000DC -:0430F10000000000DB -:0430F20000000000DA -:0430F30000000000D9 -:0430F40000000000D8 -:0430F50000000000D7 -:0430F60000000000D6 -:0430F70000000000D5 -:0430F80000000000D4 -:0430F90000000000D3 -:0430FA0000000000D2 -:0430FB0000000000D1 -:0430FC0000000000D0 -:0430FD0000000000CF -:0430FE0000000000CE -:0430FF0000000000CD -:0431000000000000CB -:0431010000000000CA -:0431020000000000C9 -:0431030000000000C8 -:0431040000000000C7 -:0431050000000000C6 -:0431060000000000C5 -:0431070000000000C4 -:0431080000000000C3 -:0431090000000000C2 -:04310A0000000000C1 -:04310B0000000000C0 -:04310C0000000000BF -:04310D0000000000BE -:04310E0000000000BD -:04310F0000000000BC -:0431100000000000BB -:0431110000000000BA -:0431120000000000B9 -:0431130000000000B8 -:0431140000000000B7 -:0431150000000000B6 -:0431160000000000B5 -:0431170000000000B4 -:0431180000000000B3 -:0431190000000000B2 -:04311A0000000000B1 -:04311B0000000000B0 -:04311C0000000000AF -:04311D0000000000AE -:04311E0000000000AD -:04311F0000000000AC -:0431200000000000AB -:0431210000000000AA -:0431220000000000A9 -:0431230000000000A8 -:0431240000000000A7 -:0431250000000000A6 -:0431260000000000A5 -:0431270000000000A4 -:0431280000000000A3 -:0431290000000000A2 -:04312A0000000000A1 -:04312B0000000000A0 -:04312C00000000009F -:04312D00000000009E -:04312E00000000009D -:04312F00000000009C -:04313000000000009B -:04313100000000009A -:043132000000000099 -:043133000000000098 -:043134000000000097 -:043135000000000096 -:043136000000000095 -:043137000000000094 -:043138000000000093 -:043139000000000092 -:04313A000000000091 -:04313B000000000090 -:04313C00000000008F -:04313D00000000008E -:04313E00000000008D -:04313F00000000008C -:04314000000000008B -:04314100000000008A -:043142000000000089 -:043143000000000088 -:043144000000000087 -:043145000000000086 -:043146000000000085 -:043147000000000084 -:043148000000000083 -:043149000000000082 -:04314A000000000081 -:04314B000000000080 -:04314C00000000007F -:04314D00000000007E -:04314E00000000007D -:04314F00000000007C -:04315000000000007B -:04315100000000007A -:043152000000000079 -:043153000000000078 -:043154000000000077 -:043155000000000076 -:043156000000000075 -:043157000000000074 -:043158000000000073 -:043159000000000072 -:04315A000000000071 -:04315B000000000070 -:04315C00000000006F -:04315D00000000006E -:04315E00000000006D -:04315F00000000006C -:04316000000000006B -:04316100000000006A -:043162000000000069 -:043163000000000068 -:043164000000000067 -:043165000000000066 -:043166000000000065 -:043167000000000064 -:043168000000000063 -:043169000000000062 -:04316A000000000061 -:04316B000000000060 -:04316C00000000005F -:04316D00000000005E -:04316E00000000005D -:04316F00000000005C -:04317000000000005B -:04317100000000005A -:043172000000000059 -:043173000000000058 -:043174000000000057 -:043175000000000056 -:043176000000000055 -:043177000000000054 -:043178000000000053 -:043179000000000052 -:04317A000000000051 -:04317B000000000050 -:04317C00000000004F -:04317D00000000004E -:04317E00000000004D -:04317F00000000004C -:04318000000000004B -:04318100000000004A -:043182000000000049 -:043183000000000048 -:043184000000000047 -:043185000000000046 -:043186000000000045 -:043187000000000044 -:043188000000000043 -:043189000000000042 -:04318A000000000041 -:04318B000000000040 -:04318C00000000003F -:04318D00000000003E -:04318E00000000003D -:04318F00000000003C -:04319000000000003B -:04319100000000003A -:043192000000000039 -:043193000000000038 -:043194000000000037 -:043195000000000036 -:043196000000000035 -:043197000000000034 -:043198000000000033 -:043199000000000032 -:04319A000000000031 -:04319B000000000030 -:04319C00000000002F -:04319D00000000002E -:04319E00000000002D -:04319F00000000002C -:0431A000000000002B -:0431A100000000002A -:0431A2000000000029 -:0431A3000000000028 -:0431A4000000000027 -:0431A5000000000026 -:0431A6000000000025 -:0431A7000000000024 -:0431A8000000000023 -:0431A9000000000022 -:0431AA000000000021 -:0431AB000000000020 -:0431AC00000000001F -:0431AD00000000001E -:0431AE00000000001D -:0431AF00000000001C -:0431B000000000001B -:0431B100000000001A -:0431B2000000000019 -:0431B3000000000018 -:0431B4000000000017 -:0431B5000000000016 -:0431B6000000000015 -:0431B7000000000014 -:0431B8000000000013 -:0431B9000000000012 -:0431BA000000000011 -:0431BB000000000010 -:0431BC00000000000F -:0431BD00000000000E -:0431BE00000000000D -:0431BF00000000000C -:0431C000000000000B -:0431C100000000000A -:0431C2000000000009 -:0431C3000000000008 -:0431C4000000000007 -:0431C5000000000006 -:0431C6000000000005 -:0431C7000000000004 -:0431C8000000000003 -:0431C9000000000002 -:0431CA000000000001 -:0431CB000000000000 -:0431CC0000000000FF -:0431CD0000000000FE -:0431CE0000000000FD -:0431CF0000000000FC -:0431D00000000000FB -:0431D10000000000FA -:0431D20000000000F9 -:0431D30000000000F8 -:0431D40000000000F7 -:0431D50000000000F6 -:0431D60000000000F5 -:0431D70000000000F4 -:0431D80000000000F3 -:0431D90000000000F2 -:0431DA0000000000F1 -:0431DB0000000000F0 -:0431DC0000000000EF -:0431DD0000000000EE -:0431DE0000000000ED -:0431DF0000000000EC -:0431E00000000000EB -:0431E10000000000EA -:0431E20000000000E9 -:0431E30000000000E8 -:0431E40000000000E7 -:0431E50000000000E6 -:0431E60000000000E5 -:0431E70000000000E4 -:0431E80000000000E3 -:0431E90000000000E2 -:0431EA0000000000E1 -:0431EB0000000000E0 -:0431EC0000000000DF -:0431ED0000000000DE -:0431EE0000000000DD -:0431EF0000000000DC -:0431F00000000000DB -:0431F10000000000DA -:0431F20000000000D9 -:0431F30000000000D8 -:0431F40000000000D7 -:0431F50000000000D6 -:0431F60000000000D5 -:0431F70000000000D4 -:0431F80000000000D3 -:0431F90000000000D2 -:0431FA0000000000D1 -:0431FB0000000000D0 -:0431FC0000000000CF -:0431FD0000000000CE -:0431FE0000000000CD -:0431FF0000000000CC -:0432000000000000CA -:0432010000000000C9 -:0432020000000000C8 -:0432030000000000C7 -:0432040000000000C6 -:0432050000000000C5 -:0432060000000000C4 -:0432070000000000C3 -:0432080000000000C2 -:0432090000000000C1 -:04320A0000000000C0 -:04320B0000000000BF -:04320C0000000000BE -:04320D0000000000BD -:04320E0000000000BC -:04320F0000000000BB -:0432100000000000BA -:0432110000000000B9 -:0432120000000000B8 -:0432130000000000B7 -:0432140000000000B6 -:0432150000000000B5 -:0432160000000000B4 -:0432170000000000B3 -:0432180000000000B2 -:0432190000000000B1 -:04321A0000000000B0 -:04321B0000000000AF -:04321C0000000000AE -:04321D0000000000AD -:04321E0000000000AC -:04321F0000000000AB -:0432200000000000AA -:0432210000000000A9 -:0432220000000000A8 -:0432230000000000A7 -:0432240000000000A6 -:0432250000000000A5 -:0432260000000000A4 -:0432270000000000A3 -:0432280000000000A2 -:0432290000000000A1 -:04322A0000000000A0 -:04322B00000000009F -:04322C00000000009E -:04322D00000000009D -:04322E00000000009C -:04322F00000000009B -:04323000000000009A -:043231000000000099 -:043232000000000098 -:043233000000000097 -:043234000000000096 -:043235000000000095 -:043236000000000094 -:043237000000000093 -:043238000000000092 -:043239000000000091 -:04323A000000000090 -:04323B00000000008F -:04323C00000000008E -:04323D00000000008D -:04323E00000000008C -:04323F00000000008B -:04324000000000008A -:043241000000000089 -:043242000000000088 -:043243000000000087 -:043244000000000086 -:043245000000000085 -:043246000000000084 -:043247000000000083 -:043248000000000082 -:043249000000000081 -:04324A000000000080 -:04324B00000000007F -:04324C00000000007E -:04324D00000000007D -:04324E00000000007C -:04324F00000000007B -:04325000000000007A -:043251000000000079 -:043252000000000078 -:043253000000000077 -:043254000000000076 -:043255000000000075 -:043256000000000074 -:043257000000000073 -:043258000000000072 -:043259000000000071 -:04325A000000000070 -:04325B00000000006F -:04325C00000000006E -:04325D00000000006D -:04325E00000000006C -:04325F00000000006B -:04326000000000006A -:043261000000000069 -:043262000000000068 -:043263000000000067 -:043264000000000066 -:043265000000000065 -:043266000000000064 -:043267000000000063 -:043268000000000062 -:043269000000000061 -:04326A000000000060 -:04326B00000000005F -:04326C00000000005E -:04326D00000000005D -:04326E00000000005C -:04326F00000000005B -:04327000000000005A -:043271000000000059 -:043272000000000058 -:043273000000000057 -:043274000000000056 -:043275000000000055 -:043276000000000054 -:043277000000000053 -:043278000000000052 -:043279000000000051 -:04327A000000000050 -:04327B00000000004F -:04327C00000000004E -:04327D00000000004D -:04327E00000000004C -:04327F00000000004B -:04328000000000004A -:043281000000000049 -:043282000000000048 -:043283000000000047 -:043284000000000046 -:043285000000000045 -:043286000000000044 -:043287000000000043 -:043288000000000042 -:043289000000000041 -:04328A000000000040 -:04328B00000000003F -:04328C00000000003E -:04328D00000000003D -:04328E00000000003C -:04328F00000000003B -:04329000000000003A -:043291000000000039 -:043292000000000038 -:043293000000000037 -:043294000000000036 -:043295000000000035 -:043296000000000034 -:043297000000000033 -:043298000000000032 -:043299000000000031 -:04329A000000000030 -:04329B00000000002F -:04329C00000000002E -:04329D00000000002D -:04329E00000000002C -:04329F00000000002B -:0432A000000000002A -:0432A1000000000029 -:0432A2000000000028 -:0432A3000000000027 -:0432A4000000000026 -:0432A5000000000025 -:0432A6000000000024 -:0432A7000000000023 -:0432A8000000000022 -:0432A9000000000021 -:0432AA000000000020 -:0432AB00000000001F -:0432AC00000000001E -:0432AD00000000001D -:0432AE00000000001C -:0432AF00000000001B -:0432B000000000001A -:0432B1000000000019 -:0432B2000000000018 -:0432B3000000000017 -:0432B4000000000016 -:0432B5000000000015 -:0432B6000000000014 -:0432B7000000000013 -:0432B8000000000012 -:0432B9000000000011 -:0432BA000000000010 -:0432BB00000000000F -:0432BC00000000000E -:0432BD00000000000D -:0432BE00000000000C -:0432BF00000000000B -:0432C000000000000A -:0432C1000000000009 -:0432C2000000000008 -:0432C3000000000007 -:0432C4000000000006 -:0432C5000000000005 -:0432C6000000000004 -:0432C7000000000003 -:0432C8000000000002 -:0432C9000000000001 -:0432CA000000000000 -:0432CB0000000000FF -:0432CC0000000000FE -:0432CD0000000000FD -:0432CE0000000000FC -:0432CF0000000000FB -:0432D00000000000FA -:0432D10000000000F9 -:0432D20000000000F8 -:0432D30000000000F7 -:0432D40000000000F6 -:0432D50000000000F5 -:0432D60000000000F4 -:0432D70000000000F3 -:0432D80000000000F2 -:0432D90000000000F1 -:0432DA0000000000F0 -:0432DB0000000000EF -:0432DC0000000000EE -:0432DD0000000000ED -:0432DE0000000000EC -:0432DF0000000000EB -:0432E00000000000EA -:0432E10000000000E9 -:0432E20000000000E8 -:0432E30000000000E7 -:0432E40000000000E6 -:0432E50000000000E5 -:0432E60000000000E4 -:0432E70000000000E3 -:0432E80000000000E2 -:0432E90000000000E1 -:0432EA0000000000E0 -:0432EB0000000000DF -:0432EC0000000000DE -:0432ED0000000000DD -:0432EE0000000000DC -:0432EF0000000000DB -:0432F00000000000DA -:0432F10000000000D9 -:0432F20000000000D8 -:0432F30000000000D7 -:0432F40000000000D6 -:0432F50000000000D5 -:0432F60000000000D4 -:0432F70000000000D3 -:0432F80000000000D2 -:0432F90000000000D1 -:0432FA0000000000D0 -:0432FB0000000000CF -:0432FC0000000000CE -:0432FD0000000000CD -:0432FE0000000000CC -:0432FF0000000000CB -:0433000000000000C9 -:0433010000000000C8 -:0433020000000000C7 -:0433030000000000C6 -:0433040000000000C5 -:0433050000000000C4 -:0433060000000000C3 -:0433070000000000C2 -:0433080000000000C1 -:0433090000000000C0 -:04330A0000000000BF -:04330B0000000000BE -:04330C0000000000BD -:04330D0000000000BC -:04330E0000000000BB -:04330F0000000000BA -:0433100000000000B9 -:0433110000000000B8 -:0433120000000000B7 -:0433130000000000B6 -:0433140000000000B5 -:0433150000000000B4 -:0433160000000000B3 -:0433170000000000B2 -:0433180000000000B1 -:0433190000000000B0 -:04331A0000000000AF -:04331B0000000000AE -:04331C0000000000AD -:04331D0000000000AC -:04331E0000000000AB -:04331F0000000000AA -:0433200000000000A9 -:0433210000000000A8 -:0433220000000000A7 -:0433230000000000A6 -:0433240000000000A5 -:0433250000000000A4 -:0433260000000000A3 -:0433270000000000A2 -:0433280000000000A1 -:0433290000000000A0 -:04332A00000000009F -:04332B00000000009E -:04332C00000000009D -:04332D00000000009C -:04332E00000000009B -:04332F00000000009A -:043330000000000099 -:043331000000000098 -:043332000000000097 -:043333000000000096 -:043334000000000095 -:043335000000000094 -:043336000000000093 -:043337000000000092 -:043338000000000091 -:043339000000000090 -:04333A00000000008F -:04333B00000000008E -:04333C00000000008D -:04333D00000000008C -:04333E00000000008B -:04333F00000000008A -:043340000000000089 -:043341000000000088 -:043342000000000087 -:043343000000000086 -:043344000000000085 -:043345000000000084 -:043346000000000083 -:043347000000000082 -:043348000000000081 -:043349000000000080 -:04334A00000000007F -:04334B00000000007E -:04334C00000000007D -:04334D00000000007C -:04334E00000000007B -:04334F00000000007A -:043350000000000079 -:043351000000000078 -:043352000000000077 -:043353000000000076 -:043354000000000075 -:043355000000000074 -:043356000000000073 -:043357000000000072 -:043358000000000071 -:043359000000000070 -:04335A00000000006F -:04335B00000000006E -:04335C00000000006D -:04335D00000000006C -:04335E00000000006B -:04335F00000000006A -:043360000000000069 -:043361000000000068 -:043362000000000067 -:043363000000000066 -:043364000000000065 -:043365000000000064 -:043366000000000063 -:043367000000000062 -:043368000000000061 -:043369000000000060 -:04336A00000000005F -:04336B00000000005E -:04336C00000000005D -:04336D00000000005C -:04336E00000000005B -:04336F00000000005A -:043370000000000059 -:043371000000000058 -:043372000000000057 -:043373000000000056 -:043374000000000055 -:043375000000000054 -:043376000000000053 -:043377000000000052 -:043378000000000051 -:043379000000000050 -:04337A00000000004F -:04337B00000000004E -:04337C00000000004D -:04337D00000000004C -:04337E00000000004B -:04337F00000000004A -:043380000000000049 -:043381000000000048 -:043382000000000047 -:043383000000000046 -:043384000000000045 -:043385000000000044 -:043386000000000043 -:043387000000000042 -:043388000000000041 -:043389000000000040 -:04338A00000000003F -:04338B00000000003E -:04338C00000000003D -:04338D00000000003C -:04338E00000000003B -:04338F00000000003A -:043390000000000039 -:043391000000000038 -:043392000000000037 -:043393000000000036 -:043394000000000035 -:043395000000000034 -:043396000000000033 -:043397000000000032 -:043398000000000031 -:043399000000000030 -:04339A00000000002F -:04339B00000000002E -:04339C00000000002D -:04339D00000000002C -:04339E00000000002B -:04339F00000000002A -:0433A0000000000029 -:0433A1000000000028 -:0433A2000000000027 -:0433A3000000000026 -:0433A4000000000025 -:0433A5000000000024 -:0433A6000000000023 -:0433A7000000000022 -:0433A8000000000021 -:0433A9000000000020 -:0433AA00000000001F -:0433AB00000000001E -:0433AC00000000001D -:0433AD00000000001C -:0433AE00000000001B -:0433AF00000000001A -:0433B0000000000019 -:0433B1000000000018 -:0433B2000000000017 -:0433B3000000000016 -:0433B4000000000015 -:0433B5000000000014 -:0433B6000000000013 -:0433B7000000000012 -:0433B8000000000011 -:0433B9000000000010 -:0433BA00000000000F -:0433BB00000000000E -:0433BC00000000000D -:0433BD00000000000C -:0433BE00000000000B -:0433BF00000000000A -:0433C0000000000009 -:0433C1000000000008 -:0433C2000000000007 -:0433C3000000000006 -:0433C4000000000005 -:0433C5000000000004 -:0433C6000000000003 -:0433C7000000000002 -:0433C8000000000001 -:0433C9000000000000 -:0433CA0000000000FF -:0433CB0000000000FE -:0433CC0000000000FD -:0433CD0000000000FC -:0433CE0000000000FB -:0433CF0000000000FA -:0433D00000000000F9 -:0433D10000000000F8 -:0433D20000000000F7 -:0433D30000000000F6 -:0433D40000000000F5 -:0433D50000000000F4 -:0433D60000000000F3 -:0433D70000000000F2 -:0433D80000000000F1 -:0433D90000000000F0 -:0433DA0000000000EF -:0433DB0000000000EE -:0433DC0000000000ED -:0433DD0000000000EC -:0433DE0000000000EB -:0433DF0000000000EA -:0433E00000000000E9 -:0433E10000000000E8 -:0433E20000000000E7 -:0433E30000000000E6 -:0433E40000000000E5 -:0433E50000000000E4 -:0433E60000000000E3 -:0433E70000000000E2 -:0433E80000000000E1 -:0433E90000000000E0 -:0433EA0000000000DF -:0433EB0000000000DE -:0433EC0000000000DD -:0433ED0000000000DC -:0433EE0000000000DB -:0433EF0000000000DA -:0433F00000000000D9 -:0433F10000000000D8 -:0433F20000000000D7 -:0433F30000000000D6 -:0433F40000000000D5 -:0433F50000000000D4 -:0433F60000000000D3 -:0433F70000000000D2 -:0433F80000000000D1 -:0433F90000000000D0 -:0433FA0000000000CF -:0433FB0000000000CE -:0433FC0000000000CD -:0433FD0000000000CC -:0433FE0000000000CB -:0433FF0000000000CA -:0434000000000000C8 -:0434010000000000C7 -:0434020000000000C6 -:0434030000000000C5 -:0434040000000000C4 -:0434050000000000C3 -:0434060000000000C2 -:0434070000000000C1 -:0434080000000000C0 -:0434090000000000BF -:04340A0000000000BE -:04340B0000000000BD -:04340C0000000000BC -:04340D0000000000BB -:04340E0000000000BA -:04340F0000000000B9 -:0434100000000000B8 -:0434110000000000B7 -:0434120000000000B6 -:0434130000000000B5 -:0434140000000000B4 -:0434150000000000B3 -:0434160000000000B2 -:0434170000000000B1 -:0434180000000000B0 -:0434190000000000AF -:04341A0000000000AE -:04341B0000000000AD -:04341C0000000000AC -:04341D0000000000AB -:04341E0000000000AA -:04341F0000000000A9 -:0434200000000000A8 -:0434210000000000A7 -:0434220000000000A6 -:0434230000000000A5 -:0434240000000000A4 -:0434250000000000A3 -:0434260000000000A2 -:0434270000000000A1 -:0434280000000000A0 -:04342900000000009F -:04342A00000000009E -:04342B00000000009D -:04342C00000000009C -:04342D00000000009B -:04342E00000000009A -:04342F000000000099 -:043430000000000098 -:043431000000000097 -:043432000000000096 -:043433000000000095 -:043434000000000094 -:043435000000000093 -:043436000000000092 -:043437000000000091 -:043438000000000090 -:04343900000000008F -:04343A00000000008E -:04343B00000000008D -:04343C00000000008C -:04343D00000000008B -:04343E00000000008A -:04343F000000000089 -:043440000000000088 -:043441000000000087 -:043442000000000086 -:043443000000000085 -:043444000000000084 -:043445000000000083 -:043446000000000082 -:043447000000000081 -:043448000000000080 -:04344900000000007F -:04344A00000000007E -:04344B00000000007D -:04344C00000000007C -:04344D00000000007B -:04344E00000000007A -:04344F000000000079 -:043450000000000078 -:043451000000000077 -:043452000000000076 -:043453000000000075 -:043454000000000074 -:043455000000000073 -:043456000000000072 -:043457000000000071 -:043458000000000070 -:04345900000000006F -:04345A00000000006E -:04345B00000000006D -:04345C00000000006C -:04345D00000000006B -:04345E00000000006A -:04345F000000000069 -:043460000000000068 -:043461000000000067 -:043462000000000066 -:043463000000000065 -:043464000000000064 -:043465000000000063 -:043466000000000062 -:043467000000000061 -:043468000000000060 -:04346900000000005F -:04346A00000000005E -:04346B00000000005D -:04346C00000000005C -:04346D00000000005B -:04346E00000000005A -:04346F000000000059 -:043470000000000058 -:043471000000000057 -:043472000000000056 -:043473000000000055 -:043474000000000054 -:043475000000000053 -:043476000000000052 -:043477000000000051 -:043478000000000050 -:04347900000000004F -:04347A00000000004E -:04347B00000000004D -:04347C00000000004C -:04347D00000000004B -:04347E00000000004A -:04347F000000000049 -:043480000000000048 -:043481000000000047 -:043482000000000046 -:043483000000000045 -:043484000000000044 -:043485000000000043 -:043486000000000042 -:043487000000000041 -:043488000000000040 -:04348900000000003F -:04348A00000000003E -:04348B00000000003D -:04348C00000000003C -:04348D00000000003B -:04348E00000000003A -:04348F000000000039 -:043490000000000038 -:043491000000000037 -:043492000000000036 -:043493000000000035 -:043494000000000034 -:043495000000000033 -:043496000000000032 -:043497000000000031 -:043498000000000030 -:04349900000000002F -:04349A00000000002E -:04349B00000000002D -:04349C00000000002C -:04349D00000000002B -:04349E00000000002A -:04349F000000000029 -:0434A0000000000028 -:0434A1000000000027 -:0434A2000000000026 -:0434A3000000000025 -:0434A4000000000024 -:0434A5000000000023 -:0434A6000000000022 -:0434A7000000000021 -:0434A8000000000020 -:0434A900000000001F -:0434AA00000000001E -:0434AB00000000001D -:0434AC00000000001C -:0434AD00000000001B -:0434AE00000000001A -:0434AF000000000019 -:0434B0000000000018 -:0434B1000000000017 -:0434B2000000000016 -:0434B3000000000015 -:0434B4000000000014 -:0434B5000000000013 -:0434B6000000000012 -:0434B7000000000011 -:0434B8000000000010 -:0434B900000000000F -:0434BA00000000000E -:0434BB00000000000D -:0434BC00000000000C -:0434BD00000000000B -:0434BE00000000000A -:0434BF000000000009 -:0434C0000000000008 -:0434C1000000000007 -:0434C2000000000006 -:0434C3000000000005 -:0434C4000000000004 -:0434C5000000000003 -:0434C6000000000002 -:0434C7000000000001 -:0434C8000000000000 -:0434C90000000000FF -:0434CA0000000000FE -:0434CB0000000000FD -:0434CC0000000000FC -:0434CD0000000000FB -:0434CE0000000000FA -:0434CF0000000000F9 -:0434D00000000000F8 -:0434D10000000000F7 -:0434D20000000000F6 -:0434D30000000000F5 -:0434D40000000000F4 -:0434D50000000000F3 -:0434D60000000000F2 -:0434D70000000000F1 -:0434D80000000000F0 -:0434D90000000000EF -:0434DA0000000000EE -:0434DB0000000000ED -:0434DC0000000000EC -:0434DD0000000000EB -:0434DE0000000000EA -:0434DF0000000000E9 -:0434E00000000000E8 -:0434E10000000000E7 -:0434E20000000000E6 -:0434E30000000000E5 -:0434E40000000000E4 -:0434E50000000000E3 -:0434E60000000000E2 -:0434E70000000000E1 -:0434E80000000000E0 -:0434E90000000000DF -:0434EA0000000000DE -:0434EB0000000000DD -:0434EC0000000000DC -:0434ED0000000000DB -:0434EE0000000000DA -:0434EF0000000000D9 -:0434F00000000000D8 -:0434F10000000000D7 -:0434F20000000000D6 -:0434F30000000000D5 -:0434F40000000000D4 -:0434F50000000000D3 -:0434F60000000000D2 -:0434F70000000000D1 -:0434F80000000000D0 -:0434F90000000000CF -:0434FA0000000000CE -:0434FB0000000000CD -:0434FC0000000000CC -:0434FD0000000000CB -:0434FE0000000000CA -:0434FF0000000000C9 -:0435000000000000C7 -:0435010000000000C6 -:0435020000000000C5 -:0435030000000000C4 -:0435040000000000C3 -:0435050000000000C2 -:0435060000000000C1 -:0435070000000000C0 -:0435080000000000BF -:0435090000000000BE -:04350A0000000000BD -:04350B0000000000BC -:04350C0000000000BB -:04350D0000000000BA -:04350E0000000000B9 -:04350F0000000000B8 -:0435100000000000B7 -:0435110000000000B6 -:0435120000000000B5 -:0435130000000000B4 -:0435140000000000B3 -:0435150000000000B2 -:0435160000000000B1 -:0435170000000000B0 -:0435180000000000AF -:0435190000000000AE -:04351A0000000000AD -:04351B0000000000AC -:04351C0000000000AB -:04351D0000000000AA -:04351E0000000000A9 -:04351F0000000000A8 -:0435200000000000A7 -:0435210000000000A6 -:0435220000000000A5 -:0435230000000000A4 -:0435240000000000A3 -:0435250000000000A2 -:0435260000000000A1 -:0435270000000000A0 -:04352800000000009F -:04352900000000009E -:04352A00000000009D -:04352B00000000009C -:04352C00000000009B -:04352D00000000009A -:04352E000000000099 -:04352F000000000098 -:043530000000000097 -:043531000000000096 -:043532000000000095 -:043533000000000094 -:043534000000000093 -:043535000000000092 -:043536000000000091 -:043537000000000090 -:04353800000000008F -:04353900000000008E -:04353A00000000008D -:04353B00000000008C -:04353C00000000008B -:04353D00000000008A -:04353E000000000089 -:04353F000000000088 -:043540000000000087 -:043541000000000086 -:043542000000000085 -:043543000000000084 -:043544000000000083 -:043545000000000082 -:043546000000000081 -:043547000000000080 -:04354800000000007F -:04354900000000007E -:04354A00000000007D -:04354B00000000007C -:04354C00000000007B -:04354D00000000007A -:04354E000000000079 -:04354F000000000078 -:043550000000000077 -:043551000000000076 -:043552000000000075 -:043553000000000074 -:043554000000000073 -:043555000000000072 -:043556000000000071 -:043557000000000070 -:04355800000000006F -:04355900000000006E -:04355A00000000006D -:04355B00000000006C -:04355C00000000006B -:04355D00000000006A -:04355E000000000069 -:04355F000000000068 -:043560000000000067 -:043561000000000066 -:043562000000000065 -:043563000000000064 -:043564000000000063 -:043565000000000062 -:043566000000000061 -:043567000000000060 -:04356800000000005F -:04356900000000005E -:04356A00000000005D -:04356B00000000005C -:04356C00000000005B -:04356D00000000005A -:04356E000000000059 -:04356F000000000058 -:043570000000000057 -:043571000000000056 -:043572000000000055 -:043573000000000054 -:043574000000000053 -:043575000000000052 -:043576000000000051 -:043577000000000050 -:04357800000000004F -:04357900000000004E -:04357A00000000004D -:04357B00000000004C -:04357C00000000004B -:04357D00000000004A -:04357E000000000049 -:04357F000000000048 -:043580000000000047 -:043581000000000046 -:043582000000000045 -:043583000000000044 -:043584000000000043 -:043585000000000042 -:043586000000000041 -:043587000000000040 -:04358800000000003F -:04358900000000003E -:04358A00000000003D -:04358B00000000003C -:04358C00000000003B -:04358D00000000003A -:04358E000000000039 -:04358F000000000038 -:043590000000000037 -:043591000000000036 -:043592000000000035 -:043593000000000034 -:043594000000000033 -:043595000000000032 -:043596000000000031 -:043597000000000030 -:04359800000000002F -:04359900000000002E -:04359A00000000002D -:04359B00000000002C -:04359C00000000002B -:04359D00000000002A -:04359E000000000029 -:04359F000000000028 -:0435A0000000000027 -:0435A1000000000026 -:0435A2000000000025 -:0435A3000000000024 -:0435A4000000000023 -:0435A5000000000022 -:0435A6000000000021 -:0435A7000000000020 -:0435A800000000001F -:0435A900000000001E -:0435AA00000000001D -:0435AB00000000001C -:0435AC00000000001B -:0435AD00000000001A -:0435AE000000000019 -:0435AF000000000018 -:0435B0000000000017 -:0435B1000000000016 -:0435B2000000000015 -:0435B3000000000014 -:0435B4000000000013 -:0435B5000000000012 -:0435B6000000000011 -:0435B7000000000010 -:0435B800000000000F -:0435B900000000000E -:0435BA00000000000D -:0435BB00000000000C -:0435BC00000000000B -:0435BD00000000000A -:0435BE000000000009 -:0435BF000000000008 -:0435C0000000000007 -:0435C1000000000006 -:0435C2000000000005 -:0435C3000000000004 -:0435C4000000000003 -:0435C5000000000002 -:0435C6000000000001 -:0435C7000000000000 -:0435C80000000000FF -:0435C90000000000FE -:0435CA0000000000FD -:0435CB0000000000FC -:0435CC0000000000FB -:0435CD0000000000FA -:0435CE0000000000F9 -:0435CF0000000000F8 -:0435D00000000000F7 -:0435D10000000000F6 -:0435D20000000000F5 -:0435D30000000000F4 -:0435D40000000000F3 -:0435D50000000000F2 -:0435D60000000000F1 -:0435D70000000000F0 -:0435D80000000000EF -:0435D90000000000EE -:0435DA0000000000ED -:0435DB0000000000EC -:0435DC0000000000EB -:0435DD0000000000EA -:0435DE0000000000E9 -:0435DF0000000000E8 -:0435E00000000000E7 -:0435E10000000000E6 -:0435E20000000000E5 -:0435E30000000000E4 -:0435E40000000000E3 -:0435E50000000000E2 -:0435E60000000000E1 -:0435E70000000000E0 -:0435E80000000000DF -:0435E90000000000DE -:0435EA0000000000DD -:0435EB0000000000DC -:0435EC0000000000DB -:0435ED0000000000DA -:0435EE0000000000D9 -:0435EF0000000000D8 -:0435F00000000000D7 -:0435F10000000000D6 -:0435F20000000000D5 -:0435F30000000000D4 -:0435F40000000000D3 -:0435F50000000000D2 -:0435F60000000000D1 -:0435F70000000000D0 -:0435F80000000000CF -:0435F90000000000CE -:0435FA0000000000CD -:0435FB0000000000CC -:0435FC0000000000CB -:0435FD0000000000CA -:0435FE0000000000C9 -:0435FF0000000000C8 -:0436000000000000C6 -:0436010000000000C5 -:0436020000000000C4 -:0436030000000000C3 -:0436040000000000C2 -:0436050000000000C1 -:0436060000000000C0 -:0436070000000000BF -:0436080000000000BE -:0436090000000000BD -:04360A0000000000BC -:04360B0000000000BB -:04360C0000000000BA -:04360D0000000000B9 -:04360E0000000000B8 -:04360F0000000000B7 -:0436100000000000B6 -:0436110000000000B5 -:0436120000000000B4 -:0436130000000000B3 -:0436140000000000B2 -:0436150000000000B1 -:0436160000000000B0 -:0436170000000000AF -:0436180000000000AE -:0436190000000000AD -:04361A0000000000AC -:04361B0000000000AB -:04361C0000000000AA -:04361D0000000000A9 -:04361E0000000000A8 -:04361F0000000000A7 -:0436200000000000A6 -:0436210000000000A5 -:0436220000000000A4 -:0436230000000000A3 -:0436240000000000A2 -:0436250000000000A1 -:0436260000000000A0 -:04362700000000009F -:04362800000000009E -:04362900000000009D -:04362A00000000009C -:04362B00000000009B -:04362C00000000009A -:04362D000000000099 -:04362E000000000098 -:04362F000000000097 -:043630000000000096 -:043631000000000095 -:043632000000000094 -:043633000000000093 -:043634000000000092 -:043635000000000091 -:043636000000000090 -:04363700000000008F -:04363800000000008E -:04363900000000008D -:04363A00000000008C -:04363B00000000008B -:04363C00000000008A -:04363D000000000089 -:04363E000000000088 -:04363F000000000087 -:043640000000000086 -:043641000000000085 -:043642000000000084 -:043643000000000083 -:043644000000000082 -:043645000000000081 -:043646000000000080 -:04364700000000007F -:04364800000000007E -:04364900000000007D -:04364A00000000007C -:04364B00000000007B -:04364C00000000007A -:04364D000000000079 -:04364E000000000078 -:04364F000000000077 -:043650000000000076 -:043651000000000075 -:043652000000000074 -:043653000000000073 -:043654000000000072 -:043655000000000071 -:043656000000000070 -:04365700000000006F -:04365800000000006E -:04365900000000006D -:04365A00000000006C -:04365B00000000006B -:04365C00000000006A -:04365D000000000069 -:04365E000000000068 -:04365F000000000067 -:043660000000000066 -:043661000000000065 -:043662000000000064 -:043663000000000063 -:043664000000000062 -:043665000000000061 -:043666000000000060 -:04366700000000005F -:04366800000000005E -:04366900000000005D -:04366A00000000005C -:04366B00000000005B -:04366C00000000005A -:04366D000000000059 -:04366E000000000058 -:04366F000000000057 -:043670000000000056 -:043671000000000055 -:043672000000000054 -:043673000000000053 -:043674000000000052 -:043675000000000051 -:043676000000000050 -:04367700000000004F -:04367800000000004E -:04367900000000004D -:04367A00000000004C -:04367B00000000004B -:04367C00000000004A -:04367D000000000049 -:04367E000000000048 -:04367F000000000047 -:043680000000000046 -:043681000000000045 -:043682000000000044 -:043683000000000043 -:043684000000000042 -:043685000000000041 -:043686000000000040 -:04368700000000003F -:04368800000000003E -:04368900000000003D -:04368A00000000003C -:04368B00000000003B -:04368C00000000003A -:04368D000000000039 -:04368E000000000038 -:04368F000000000037 -:043690000000000036 -:043691000000000035 -:043692000000000034 -:043693000000000033 -:043694000000000032 -:043695000000000031 -:043696000000000030 -:04369700000000002F -:04369800000000002E -:04369900000000002D -:04369A00000000002C -:04369B00000000002B -:04369C00000000002A -:04369D000000000029 -:04369E000000000028 -:04369F000000000027 -:0436A0000000000026 -:0436A1000000000025 -:0436A2000000000024 -:0436A3000000000023 -:0436A4000000000022 -:0436A5000000000021 -:0436A6000000000020 -:0436A700000000001F -:0436A800000000001E -:0436A900000000001D -:0436AA00000000001C -:0436AB00000000001B -:0436AC00000000001A -:0436AD000000000019 -:0436AE000000000018 -:0436AF000000000017 -:0436B0000000000016 -:0436B1000000000015 -:0436B2000000000014 -:0436B3000000000013 -:0436B4000000000012 -:0436B5000000000011 -:0436B6000000000010 -:0436B700000000000F -:0436B800000000000E -:0436B900000000000D -:0436BA00000000000C -:0436BB00000000000B -:0436BC00000000000A -:0436BD000000000009 -:0436BE000000000008 -:0436BF000000000007 -:0436C0000000000006 -:0436C1000000000005 -:0436C2000000000004 -:0436C3000000000003 -:0436C4000000000002 -:0436C5000000000001 -:0436C6000000000000 -:0436C70000000000FF -:0436C80000000000FE -:0436C90000000000FD -:0436CA0000000000FC -:0436CB0000000000FB -:0436CC0000000000FA -:0436CD0000000000F9 -:0436CE0000000000F8 -:0436CF0000000000F7 -:0436D00000000000F6 -:0436D10000000000F5 -:0436D20000000000F4 -:0436D30000000000F3 -:0436D40000000000F2 -:0436D50000000000F1 -:0436D60000000000F0 -:0436D70000000000EF -:0436D80000000000EE -:0436D90000000000ED -:0436DA0000000000EC -:0436DB0000000000EB -:0436DC0000000000EA -:0436DD0000000000E9 -:0436DE0000000000E8 -:0436DF0000000000E7 -:0436E00000000000E6 -:0436E10000000000E5 -:0436E20000000000E4 -:0436E30000000000E3 -:0436E40000000000E2 -:0436E50000000000E1 -:0436E60000000000E0 -:0436E70000000000DF -:0436E80000000000DE -:0436E90000000000DD -:0436EA0000000000DC -:0436EB0000000000DB -:0436EC0000000000DA -:0436ED0000000000D9 -:0436EE0000000000D8 -:0436EF0000000000D7 -:0436F00000000000D6 -:0436F10000000000D5 -:0436F20000000000D4 -:0436F30000000000D3 -:0436F40000000000D2 -:0436F50000000000D1 -:0436F60000000000D0 -:0436F70000000000CF -:0436F80000000000CE -:0436F90000000000CD -:0436FA0000000000CC -:0436FB0000000000CB -:0436FC0000000000CA -:0436FD0000000000C9 -:0436FE0000000000C8 -:0436FF0000000000C7 -:0437000000000000C5 -:0437010000000000C4 -:0437020000000000C3 -:0437030000000000C2 -:0437040000000000C1 -:0437050000000000C0 -:0437060000000000BF -:0437070000000000BE -:0437080000000000BD -:0437090000000000BC -:04370A0000000000BB -:04370B0000000000BA -:04370C0000000000B9 -:04370D0000000000B8 -:04370E0000000000B7 -:04370F0000000000B6 -:0437100000000000B5 -:0437110000000000B4 -:0437120000000000B3 -:0437130000000000B2 -:0437140000000000B1 -:0437150000000000B0 -:0437160000000000AF -:0437170000000000AE -:0437180000000000AD -:0437190000000000AC -:04371A0000000000AB -:04371B0000000000AA -:04371C0000000000A9 -:04371D0000000000A8 -:04371E0000000000A7 -:04371F0000000000A6 -:0437200000000000A5 -:0437210000000000A4 -:0437220000000000A3 -:0437230000000000A2 -:0437240000000000A1 -:0437250000000000A0 -:04372600000000009F -:04372700000000009E -:04372800000000009D -:04372900000000009C -:04372A00000000009B -:04372B00000000009A -:04372C000000000099 -:04372D000000000098 -:04372E000000000097 -:04372F000000000096 -:043730000000000095 -:043731000000000094 -:043732000000000093 -:043733000000000092 -:043734000000000091 -:043735000000000090 -:04373600000000008F -:04373700000000008E -:04373800000000008D -:04373900000000008C -:04373A00000000008B -:04373B00000000008A -:04373C000000000089 -:04373D000000000088 -:04373E000000000087 -:04373F000000000086 -:043740000000000085 -:043741000000000084 -:043742000000000083 -:043743000000000082 -:043744000000000081 -:043745000000000080 -:04374600000000007F -:04374700000000007E -:04374800000000007D -:04374900000000007C -:04374A00000000007B -:04374B00000000007A -:04374C000000000079 -:04374D000000000078 -:04374E000000000077 -:04374F000000000076 -:043750000000000075 -:043751000000000074 -:043752000000000073 -:043753000000000072 -:043754000000000071 -:043755000000000070 -:04375600000000006F -:04375700000000006E -:04375800000000006D -:04375900000000006C -:04375A00000000006B -:04375B00000000006A -:04375C000000000069 -:04375D000000000068 -:04375E000000000067 -:04375F000000000066 -:043760000000000065 -:043761000000000064 -:043762000000000063 -:043763000000000062 -:043764000000000061 -:043765000000000060 -:04376600000000005F -:04376700000000005E -:04376800000000005D -:04376900000000005C -:04376A00000000005B -:04376B00000000005A -:04376C000000000059 -:04376D000000000058 -:04376E000000000057 -:04376F000000000056 -:043770000000000055 -:043771000000000054 -:043772000000000053 -:043773000000000052 -:043774000000000051 -:043775000000000050 -:04377600000000004F -:04377700000000004E -:04377800000000004D -:04377900000000004C -:04377A00000000004B -:04377B00000000004A -:04377C000000000049 -:04377D000000000048 -:04377E000000000047 -:04377F000000000046 -:043780000000000045 -:043781000000000044 -:043782000000000043 -:043783000000000042 -:043784000000000041 -:043785000000000040 -:04378600000000003F -:04378700000000003E -:04378800000000003D -:04378900000000003C -:04378A00000000003B -:04378B00000000003A -:04378C000000000039 -:04378D000000000038 -:04378E000000000037 -:04378F000000000036 -:043790000000000035 -:043791000000000034 -:043792000000000033 -:043793000000000032 -:043794000000000031 -:043795000000000030 -:04379600000000002F -:04379700000000002E -:04379800000000002D -:04379900000000002C -:04379A00000000002B -:04379B00000000002A -:04379C000000000029 -:04379D000000000028 -:04379E000000000027 -:04379F000000000026 -:0437A0000000000025 -:0437A1000000000024 -:0437A2000000000023 -:0437A3000000000022 -:0437A4000000000021 -:0437A5000000000020 -:0437A600000000001F -:0437A700000000001E -:0437A800000000001D -:0437A900000000001C -:0437AA00000000001B -:0437AB00000000001A -:0437AC000000000019 -:0437AD000000000018 -:0437AE000000000017 -:0437AF000000000016 -:0437B0000000000015 -:0437B1000000000014 -:0437B2000000000013 -:0437B3000000000012 -:0437B4000000000011 -:0437B5000000000010 -:0437B600000000000F -:0437B700000000000E -:0437B800000000000D -:0437B900000000000C -:0437BA00000000000B -:0437BB00000000000A -:0437BC000000000009 -:0437BD000000000008 -:0437BE000000000007 -:0437BF000000000006 -:0437C0000000000005 -:0437C1000000000004 -:0437C2000000000003 -:0437C3000000000002 -:0437C4000000000001 -:0437C5000000000000 -:0437C60000000000FF -:0437C70000000000FE -:0437C80000000000FD -:0437C90000000000FC -:0437CA0000000000FB -:0437CB0000000000FA -:0437CC0000000000F9 -:0437CD0000000000F8 -:0437CE0000000000F7 -:0437CF0000000000F6 -:0437D00000000000F5 -:0437D10000000000F4 -:0437D20000000000F3 -:0437D30000000000F2 -:0437D40000000000F1 -:0437D50000000000F0 -:0437D60000000000EF -:0437D70000000000EE -:0437D80000000000ED -:0437D90000000000EC -:0437DA0000000000EB -:0437DB0000000000EA -:0437DC0000000000E9 -:0437DD0000000000E8 -:0437DE0000000000E7 -:0437DF0000000000E6 -:0437E00000000000E5 -:0437E10000000000E4 -:0437E20000000000E3 -:0437E30000000000E2 -:0437E40000000000E1 -:0437E50000000000E0 -:0437E60000000000DF -:0437E70000000000DE -:0437E80000000000DD -:0437E90000000000DC -:0437EA0000000000DB -:0437EB0000000000DA -:0437EC0000000000D9 -:0437ED0000000000D8 -:0437EE0000000000D7 -:0437EF0000000000D6 -:0437F00000000000D5 -:0437F10000000000D4 -:0437F20000000000D3 -:0437F30000000000D2 -:0437F40000000000D1 -:0437F50000000000D0 -:0437F60000000000CF -:0437F70000000000CE -:0437F80000000000CD -:0437F90000000000CC -:0437FA0000000000CB -:0437FB0000000000CA -:0437FC0000000000C9 -:0437FD0000000000C8 -:0437FE0000000000C7 -:0437FF0000000000C6 -:0438000000000000C4 -:0438010000000000C3 -:0438020000000000C2 -:0438030000000000C1 -:0438040000000000C0 -:0438050000000000BF -:0438060000000000BE -:0438070000000000BD -:0438080000000000BC -:0438090000000000BB -:04380A0000000000BA -:04380B0000000000B9 -:04380C0000000000B8 -:04380D0000000000B7 -:04380E0000000000B6 -:04380F0000000000B5 -:0438100000000000B4 -:0438110000000000B3 -:0438120000000000B2 -:0438130000000000B1 -:0438140000000000B0 -:0438150000000000AF -:0438160000000000AE -:0438170000000000AD -:0438180000000000AC -:0438190000000000AB -:04381A0000000000AA -:04381B0000000000A9 -:04381C0000000000A8 -:04381D0000000000A7 -:04381E0000000000A6 -:04381F0000000000A5 -:0438200000000000A4 -:0438210000000000A3 -:0438220000000000A2 -:0438230000000000A1 -:0438240000000000A0 -:04382500000000009F -:04382600000000009E -:04382700000000009D -:04382800000000009C -:04382900000000009B -:04382A00000000009A -:04382B000000000099 -:04382C000000000098 -:04382D000000000097 -:04382E000000000096 -:04382F000000000095 -:043830000000000094 -:043831000000000093 -:043832000000000092 -:043833000000000091 -:043834000000000090 -:04383500000000008F -:04383600000000008E -:04383700000000008D -:04383800000000008C -:04383900000000008B -:04383A00000000008A -:04383B000000000089 -:04383C000000000088 -:04383D000000000087 -:04383E000000000086 -:04383F000000000085 -:043840000000000084 -:043841000000000083 -:043842000000000082 -:043843000000000081 -:043844000000000080 -:04384500000000007F -:04384600000000007E -:04384700000000007D -:04384800000000007C -:04384900000000007B -:04384A00000000007A -:04384B000000000079 -:04384C000000000078 -:04384D000000000077 -:04384E000000000076 -:04384F000000000075 -:043850000000000074 -:043851000000000073 -:043852000000000072 -:043853000000000071 -:043854000000000070 -:04385500000000006F -:04385600000000006E -:04385700000000006D -:04385800000000006C -:04385900000000006B -:04385A00000000006A -:04385B000000000069 -:04385C000000000068 -:04385D000000000067 -:04385E000000000066 -:04385F000000000065 -:043860000000000064 -:043861000000000063 -:043862000000000062 -:043863000000000061 -:043864000000000060 -:04386500000000005F -:04386600000000005E -:04386700000000005D -:04386800000000005C -:04386900000000005B -:04386A00000000005A -:04386B000000000059 -:04386C000000000058 -:04386D000000000057 -:04386E000000000056 -:04386F000000000055 -:043870000000000054 -:043871000000000053 -:043872000000000052 -:043873000000000051 -:043874000000000050 -:04387500000000004F -:04387600000000004E -:04387700000000004D -:04387800000000004C -:04387900000000004B -:04387A00000000004A -:04387B000000000049 -:04387C000000000048 -:04387D000000000047 -:04387E000000000046 -:04387F000000000045 -:043880000000000044 -:043881000000000043 -:043882000000000042 -:043883000000000041 -:043884000000000040 -:04388500000000003F -:04388600000000003E -:04388700000000003D -:04388800000000003C -:04388900000000003B -:04388A00000000003A -:04388B000000000039 -:04388C000000000038 -:04388D000000000037 -:04388E000000000036 -:04388F000000000035 -:043890000000000034 -:043891000000000033 -:043892000000000032 -:043893000000000031 -:043894000000000030 -:04389500000000002F -:04389600000000002E -:04389700000000002D -:04389800000000002C -:04389900000000002B -:04389A00000000002A -:04389B000000000029 -:04389C000000000028 -:04389D000000000027 -:04389E000000000026 -:04389F000000000025 -:0438A0000000000024 -:0438A1000000000023 -:0438A2000000000022 -:0438A3000000000021 -:0438A4000000000020 -:0438A500000000001F -:0438A600000000001E -:0438A700000000001D -:0438A800000000001C -:0438A900000000001B -:0438AA00000000001A -:0438AB000000000019 -:0438AC000000000018 -:0438AD000000000017 -:0438AE000000000016 -:0438AF000000000015 -:0438B0000000000014 -:0438B1000000000013 -:0438B2000000000012 -:0438B3000000000011 -:0438B4000000000010 -:0438B500000000000F -:0438B600000000000E -:0438B700000000000D -:0438B800000000000C -:0438B900000000000B -:0438BA00000000000A -:0438BB000000000009 -:0438BC000000000008 -:0438BD000000000007 -:0438BE000000000006 -:0438BF000000000005 -:0438C0000000000004 -:0438C1000000000003 -:0438C2000000000002 -:0438C3000000000001 -:0438C4000000000000 -:0438C50000000000FF -:0438C60000000000FE -:0438C70000000000FD -:0438C80000000000FC -:0438C90000000000FB -:0438CA0000000000FA -:0438CB0000000000F9 -:0438CC0000000000F8 -:0438CD0000000000F7 -:0438CE0000000000F6 -:0438CF0000000000F5 -:0438D00000000000F4 -:0438D10000000000F3 -:0438D20000000000F2 -:0438D30000000000F1 -:0438D40000000000F0 -:0438D50000000000EF -:0438D60000000000EE -:0438D70000000000ED -:0438D80000000000EC -:0438D90000000000EB -:0438DA0000000000EA -:0438DB0000000000E9 -:0438DC0000000000E8 -:0438DD0000000000E7 -:0438DE0000000000E6 -:0438DF0000000000E5 -:0438E00000000000E4 -:0438E10000000000E3 -:0438E20000000000E2 -:0438E30000000000E1 -:0438E40000000000E0 -:0438E50000000000DF -:0438E60000000000DE -:0438E70000000000DD -:0438E80000000000DC -:0438E90000000000DB -:0438EA0000000000DA -:0438EB0000000000D9 -:0438EC0000000000D8 -:0438ED0000000000D7 -:0438EE0000000000D6 -:0438EF0000000000D5 -:0438F00000000000D4 -:0438F10000000000D3 -:0438F20000000000D2 -:0438F30000000000D1 -:0438F40000000000D0 -:0438F50000000000CF -:0438F60000000000CE -:0438F70000000000CD -:0438F80000000000CC -:0438F90000000000CB -:0438FA0000000000CA -:0438FB0000000000C9 -:0438FC0000000000C8 -:0438FD0000000000C7 -:0438FE0000000000C6 -:0438FF0000000000C5 -:0439000000000000C3 -:0439010000000000C2 -:0439020000000000C1 -:0439030000000000C0 -:0439040000000000BF -:0439050000000000BE -:0439060000000000BD -:0439070000000000BC -:0439080000000000BB -:0439090000000000BA -:04390A0000000000B9 -:04390B0000000000B8 -:04390C0000000000B7 -:04390D0000000000B6 -:04390E0000000000B5 -:04390F0000000000B4 -:0439100000000000B3 -:0439110000000000B2 -:0439120000000000B1 -:0439130000000000B0 -:0439140000000000AF -:0439150000000000AE -:0439160000000000AD -:0439170000000000AC -:0439180000000000AB -:0439190000000000AA -:04391A0000000000A9 -:04391B0000000000A8 -:04391C0000000000A7 -:04391D0000000000A6 -:04391E0000000000A5 -:04391F0000000000A4 -:0439200000000000A3 -:0439210000000000A2 -:0439220000000000A1 -:0439230000000000A0 -:04392400000000009F -:04392500000000009E -:04392600000000009D -:04392700000000009C -:04392800000000009B -:04392900000000009A -:04392A000000000099 -:04392B000000000098 -:04392C000000000097 -:04392D000000000096 -:04392E000000000095 -:04392F000000000094 -:043930000000000093 -:043931000000000092 -:043932000000000091 -:043933000000000090 -:04393400000000008F -:04393500000000008E -:04393600000000008D -:04393700000000008C -:04393800000000008B -:04393900000000008A -:04393A000000000089 -:04393B000000000088 -:04393C000000000087 -:04393D000000000086 -:04393E000000000085 -:04393F000000000084 -:043940000000000083 -:043941000000000082 -:043942000000000081 -:043943000000000080 -:04394400000000007F -:04394500000000007E -:04394600000000007D -:04394700000000007C -:04394800000000007B -:04394900000000007A -:04394A000000000079 -:04394B000000000078 -:04394C000000000077 -:04394D000000000076 -:04394E000000000075 -:04394F000000000074 -:043950000000000073 -:043951000000000072 -:043952000000000071 -:043953000000000070 -:04395400000000006F -:04395500000000006E -:04395600000000006D -:04395700000000006C -:04395800000000006B -:04395900000000006A -:04395A000000000069 -:04395B000000000068 -:04395C000000000067 -:04395D000000000066 -:04395E000000000065 -:04395F000000000064 -:043960000000000063 -:043961000000000062 -:043962000000000061 -:043963000000000060 -:04396400000000005F -:04396500000000005E -:04396600000000005D -:04396700000000005C -:04396800000000005B -:04396900000000005A -:04396A000000000059 -:04396B000000000058 -:04396C000000000057 -:04396D000000000056 -:04396E000000000055 -:04396F000000000054 -:043970000000000053 -:043971000000000052 -:043972000000000051 -:043973000000000050 -:04397400000000004F -:04397500000000004E -:04397600000000004D -:04397700000000004C -:04397800000000004B -:04397900000000004A -:04397A000000000049 -:04397B000000000048 -:04397C000000000047 -:04397D000000000046 -:04397E000000000045 -:04397F000000000044 -:043980000000000043 -:043981000000000042 -:043982000000000041 -:043983000000000040 -:04398400000000003F -:04398500000000003E -:04398600000000003D -:04398700000000003C -:04398800000000003B -:04398900000000003A -:04398A000000000039 -:04398B000000000038 -:04398C000000000037 -:04398D000000000036 -:04398E000000000035 -:04398F000000000034 -:043990000000000033 -:043991000000000032 -:043992000000000031 -:043993000000000030 -:04399400000000002F -:04399500000000002E -:04399600000000002D -:04399700000000002C -:04399800000000002B -:04399900000000002A -:04399A000000000029 -:04399B000000000028 -:04399C000000000027 -:04399D000000000026 -:04399E000000000025 -:04399F000000000024 -:0439A0000000000023 -:0439A1000000000022 -:0439A2000000000021 -:0439A3000000000020 -:0439A400000000001F -:0439A500000000001E -:0439A600000000001D -:0439A700000000001C -:0439A800000000001B -:0439A900000000001A -:0439AA000000000019 -:0439AB000000000018 -:0439AC000000000017 -:0439AD000000000016 -:0439AE000000000015 -:0439AF000000000014 -:0439B0000000000013 -:0439B1000000000012 -:0439B2000000000011 -:0439B3000000000010 -:0439B400000000000F -:0439B500000000000E -:0439B600000000000D -:0439B700000000000C -:0439B800000000000B -:0439B900000000000A -:0439BA000000000009 -:0439BB000000000008 -:0439BC000000000007 -:0439BD000000000006 -:0439BE000000000005 -:0439BF000000000004 -:0439C0000000000003 -:0439C1000000000002 -:0439C2000000000001 -:0439C3000000000000 -:0439C40000000000FF -:0439C50000000000FE -:0439C60000000000FD -:0439C70000000000FC -:0439C80000000000FB -:0439C90000000000FA -:0439CA0000000000F9 -:0439CB0000000000F8 -:0439CC0000000000F7 -:0439CD0000000000F6 -:0439CE0000000000F5 -:0439CF0000000000F4 -:0439D00000000000F3 -:0439D10000000000F2 -:0439D20000000000F1 -:0439D30000000000F0 -:0439D40000000000EF -:0439D50000000000EE -:0439D60000000000ED -:0439D70000000000EC -:0439D80000000000EB -:0439D90000000000EA -:0439DA0000000000E9 -:0439DB0000000000E8 -:0439DC0000000000E7 -:0439DD0000000000E6 -:0439DE0000000000E5 -:0439DF0000000000E4 -:0439E00000000000E3 -:0439E10000000000E2 -:0439E20000000000E1 -:0439E30000000000E0 -:0439E40000000000DF -:0439E50000000000DE -:0439E60000000000DD -:0439E70000000000DC -:0439E80000000000DB -:0439E90000000000DA -:0439EA0000000000D9 -:0439EB0000000000D8 -:0439EC0000000000D7 -:0439ED0000000000D6 -:0439EE0000000000D5 -:0439EF0000000000D4 -:0439F00000000000D3 -:0439F10000000000D2 -:0439F20000000000D1 -:0439F30000000000D0 -:0439F40000000000CF -:0439F50000000000CE -:0439F60000000000CD -:0439F70000000000CC -:0439F80000000000CB -:0439F90000000000CA -:0439FA0000000000C9 -:0439FB0000000000C8 -:0439FC0000000000C7 -:0439FD0000000000C6 -:0439FE0000000000C5 -:0439FF0000000000C4 -:043A000000000000C2 -:043A010000000000C1 -:043A020000000000C0 -:043A030000000000BF -:043A040000000000BE -:043A050000000000BD -:043A060000000000BC -:043A070000000000BB -:043A080000000000BA -:043A090000000000B9 -:043A0A0000000000B8 -:043A0B0000000000B7 -:043A0C0000000000B6 -:043A0D0000000000B5 -:043A0E0000000000B4 -:043A0F0000000000B3 -:043A100000000000B2 -:043A110000000000B1 -:043A120000000000B0 -:043A130000000000AF -:043A140000000000AE -:043A150000000000AD -:043A160000000000AC -:043A170000000000AB -:043A180000000000AA -:043A190000000000A9 -:043A1A0000000000A8 -:043A1B0000000000A7 -:043A1C0000000000A6 -:043A1D0000000000A5 -:043A1E0000000000A4 -:043A1F0000000000A3 -:043A200000000000A2 -:043A210000000000A1 -:043A220000000000A0 -:043A2300000000009F -:043A2400000000009E -:043A2500000000009D -:043A2600000000009C -:043A2700000000009B -:043A2800000000009A -:043A29000000000099 -:043A2A000000000098 -:043A2B000000000097 -:043A2C000000000096 -:043A2D000000000095 -:043A2E000000000094 -:043A2F000000000093 -:043A30000000000092 -:043A31000000000091 -:043A32000000000090 -:043A3300000000008F -:043A3400000000008E -:043A3500000000008D -:043A3600000000008C -:043A3700000000008B -:043A3800000000008A -:043A39000000000089 -:043A3A000000000088 -:043A3B000000000087 -:043A3C000000000086 -:043A3D000000000085 -:043A3E000000000084 -:043A3F000000000083 -:043A40000000000082 -:043A41000000000081 -:043A42000000000080 -:043A4300000000007F -:043A4400000000007E -:043A4500000000007D -:043A4600000000007C -:043A4700000000007B -:043A4800000000007A -:043A49000000000079 -:043A4A000000000078 -:043A4B000000000077 -:043A4C000000000076 -:043A4D000000000075 -:043A4E000000000074 -:043A4F000000000073 -:043A50000000000072 -:043A51000000000071 -:043A52000000000070 -:043A5300000000006F -:043A5400000000006E -:043A5500000000006D -:043A5600000000006C -:043A5700000000006B -:043A5800000000006A -:043A59000000000069 -:043A5A000000000068 -:043A5B000000000067 -:043A5C000000000066 -:043A5D000000000065 -:043A5E000000000064 -:043A5F000000000063 -:043A60000000000062 -:043A61000000000061 -:043A62000000000060 -:043A6300000000005F -:043A6400000000005E -:043A6500000000005D -:043A6600000000005C -:043A6700000000005B -:043A6800000000005A -:043A69000000000059 -:043A6A000000000058 -:043A6B000000000057 -:043A6C000000000056 -:043A6D000000000055 -:043A6E000000000054 -:043A6F000000000053 -:043A70000000000052 -:043A71000000000051 -:043A72000000000050 -:043A7300000000004F -:043A7400000000004E -:043A7500000000004D -:043A7600000000004C -:043A7700000000004B -:043A7800000000004A -:043A79000000000049 -:043A7A000000000048 -:043A7B000000000047 -:043A7C000000000046 -:043A7D000000000045 -:043A7E000000000044 -:043A7F000000000043 -:043A80000000000042 -:043A81000000000041 -:043A82000000000040 -:043A8300000000003F -:043A8400000000003E -:043A8500000000003D -:043A8600000000003C -:043A8700000000003B -:043A8800000000003A -:043A89000000000039 -:043A8A000000000038 -:043A8B000000000037 -:043A8C000000000036 -:043A8D000000000035 -:043A8E000000000034 -:043A8F000000000033 -:043A90000000000032 -:043A91000000000031 -:043A92000000000030 -:043A9300000000002F -:043A9400000000002E -:043A9500000000002D -:043A9600000000002C -:043A9700000000002B -:043A9800000000002A -:043A99000000000029 -:043A9A000000000028 -:043A9B000000000027 -:043A9C000000000026 -:043A9D000000000025 -:043A9E000000000024 -:043A9F000000000023 -:043AA0000000000022 -:043AA1000000000021 -:043AA2000000000020 -:043AA300000000001F -:043AA400000000001E -:043AA500000000001D -:043AA600000000001C -:043AA700000000001B -:043AA800000000001A -:043AA9000000000019 -:043AAA000000000018 -:043AAB000000000017 -:043AAC000000000016 -:043AAD000000000015 -:043AAE000000000014 -:043AAF000000000013 -:043AB0000000000012 -:043AB1000000000011 -:043AB2000000000010 -:043AB300000000000F -:043AB400000000000E -:043AB500000000000D -:043AB600000000000C -:043AB700000000000B -:043AB800000000000A -:043AB9000000000009 -:043ABA000000000008 -:043ABB000000000007 -:043ABC000000000006 -:043ABD000000000005 -:043ABE000000000004 -:043ABF000000000003 -:043AC0000000000002 -:043AC1000000000001 -:043AC2000000000000 -:043AC30000000000FF -:043AC40000000000FE -:043AC50000000000FD -:043AC60000000000FC -:043AC70000000000FB -:043AC80000000000FA -:043AC90000000000F9 -:043ACA0000000000F8 -:043ACB0000000000F7 -:043ACC0000000000F6 -:043ACD0000000000F5 -:043ACE0000000000F4 -:043ACF0000000000F3 -:043AD00000000000F2 -:043AD10000000000F1 -:043AD20000000000F0 -:043AD30000000000EF -:043AD40000000000EE -:043AD50000000000ED -:043AD60000000000EC -:043AD70000000000EB -:043AD80000000000EA -:043AD90000000000E9 -:043ADA0000000000E8 -:043ADB0000000000E7 -:043ADC0000000000E6 -:043ADD0000000000E5 -:043ADE0000000000E4 -:043ADF0000000000E3 -:043AE00000000000E2 -:043AE10000000000E1 -:043AE20000000000E0 -:043AE30000000000DF -:043AE40000000000DE -:043AE50000000000DD -:043AE60000000000DC -:043AE70000000000DB -:043AE80000000000DA -:043AE90000000000D9 -:043AEA0000000000D8 -:043AEB0000000000D7 -:043AEC0000000000D6 -:043AED0000000000D5 -:043AEE0000000000D4 -:043AEF0000000000D3 -:043AF00000000000D2 -:043AF10000000000D1 -:043AF20000000000D0 -:043AF30000000000CF -:043AF40000000000CE -:043AF50000000000CD -:043AF60000000000CC -:043AF70000000000CB -:043AF80000000000CA -:043AF90000000000C9 -:043AFA0000000000C8 -:043AFB0000000000C7 -:043AFC0000000000C6 -:043AFD0000000000C5 -:043AFE0000000000C4 -:043AFF0000000000C3 -:043B000000000000C1 -:043B010000000000C0 -:043B020000000000BF -:043B030000000000BE -:043B040000000000BD -:043B050000000000BC -:043B060000000000BB -:043B070000000000BA -:043B080000000000B9 -:043B090000000000B8 -:043B0A0000000000B7 -:043B0B0000000000B6 -:043B0C0000000000B5 -:043B0D0000000000B4 -:043B0E0000000000B3 -:043B0F0000000000B2 -:043B100000000000B1 -:043B110000000000B0 -:043B120000000000AF -:043B130000000000AE -:043B140000000000AD -:043B150000000000AC -:043B160000000000AB -:043B170000000000AA -:043B180000000000A9 -:043B190000000000A8 -:043B1A0000000000A7 -:043B1B0000000000A6 -:043B1C0000000000A5 -:043B1D0000000000A4 -:043B1E0000000000A3 -:043B1F0000000000A2 -:043B200000000000A1 -:043B210000000000A0 -:043B2200000000009F -:043B2300000000009E -:043B2400000000009D -:043B2500000000009C -:043B2600000000009B -:043B2700000000009A -:043B28000000000099 -:043B29000000000098 -:043B2A000000000097 -:043B2B000000000096 -:043B2C000000000095 -:043B2D000000000094 -:043B2E000000000093 -:043B2F000000000092 -:043B30000000000091 -:043B31000000000090 -:043B3200000000008F -:043B3300000000008E -:043B3400000000008D -:043B3500000000008C -:043B3600000000008B -:043B3700000000008A -:043B38000000000089 -:043B39000000000088 -:043B3A000000000087 -:043B3B000000000086 -:043B3C000000000085 -:043B3D000000000084 -:043B3E000000000083 -:043B3F000000000082 -:043B40000000000081 -:043B41000000000080 -:043B4200000000007F -:043B4300000000007E -:043B4400000000007D -:043B4500000000007C -:043B4600000000007B -:043B4700000000007A -:043B48000000000079 -:043B49000000000078 -:043B4A000000000077 -:043B4B000000000076 -:043B4C000000000075 -:043B4D000000000074 -:043B4E000000000073 -:043B4F000000000072 -:043B50000000000071 -:043B51000000000070 -:043B5200000000006F -:043B5300000000006E -:043B5400000000006D -:043B5500000000006C -:043B5600000000006B -:043B5700000000006A -:043B58000000000069 -:043B59000000000068 -:043B5A000000000067 -:043B5B000000000066 -:043B5C000000000065 -:043B5D000000000064 -:043B5E000000000063 -:043B5F000000000062 -:043B60000000000061 -:043B61000000000060 -:043B6200000000005F -:043B6300000000005E -:043B6400000000005D -:043B6500000000005C -:043B6600000000005B -:043B6700000000005A -:043B68000000000059 -:043B69000000000058 -:043B6A000000000057 -:043B6B000000000056 -:043B6C000000000055 -:043B6D000000000054 -:043B6E000000000053 -:043B6F000000000052 -:043B70000000000051 -:043B71000000000050 -:043B7200000000004F -:043B7300000000004E -:043B7400000000004D -:043B7500000000004C -:043B7600000000004B -:043B7700000000004A -:043B78000000000049 -:043B79000000000048 -:043B7A000000000047 -:043B7B000000000046 -:043B7C000000000045 -:043B7D000000000044 -:043B7E000000000043 -:043B7F000000000042 -:043B80000000000041 -:043B81000000000040 -:043B8200000000003F -:043B8300000000003E -:043B8400000000003D -:043B8500000000003C -:043B8600000000003B -:043B8700000000003A -:043B88000000000039 -:043B89000000000038 -:043B8A000000000037 -:043B8B000000000036 -:043B8C000000000035 -:043B8D000000000034 -:043B8E000000000033 -:043B8F000000000032 -:043B90000000000031 -:043B91000000000030 -:043B9200000000002F -:043B9300000000002E -:043B9400000000002D -:043B9500000000002C -:043B9600000000002B -:043B9700000000002A -:043B98000000000029 -:043B99000000000028 -:043B9A000000000027 -:043B9B000000000026 -:043B9C000000000025 -:043B9D000000000024 -:043B9E000000000023 -:043B9F000000000022 -:043BA0000000000021 -:043BA1000000000020 -:043BA200000000001F -:043BA300000000001E -:043BA400000000001D -:043BA500000000001C -:043BA600000000001B -:043BA700000000001A -:043BA8000000000019 -:043BA9000000000018 -:043BAA000000000017 -:043BAB000000000016 -:043BAC000000000015 -:043BAD000000000014 -:043BAE000000000013 -:043BAF000000000012 -:043BB0000000000011 -:043BB1000000000010 -:043BB200000000000F -:043BB300000000000E -:043BB400000000000D -:043BB500000000000C -:043BB600000000000B -:043BB700000000000A -:043BB8000000000009 -:043BB9000000000008 -:043BBA000000000007 -:043BBB000000000006 -:043BBC000000000005 -:043BBD000000000004 -:043BBE000000000003 -:043BBF000000000002 -:043BC0000000000001 -:043BC1000000000000 -:043BC20000000000FF -:043BC30000000000FE -:043BC40000000000FD -:043BC50000000000FC -:043BC60000000000FB -:043BC70000000000FA -:043BC80000000000F9 -:043BC90000000000F8 -:043BCA0000000000F7 -:043BCB0000000000F6 -:043BCC0000000000F5 -:043BCD0000000000F4 -:043BCE0000000000F3 -:043BCF0000000000F2 -:043BD00000000000F1 -:043BD10000000000F0 -:043BD20000000000EF -:043BD30000000000EE -:043BD40000000000ED -:043BD50000000000EC -:043BD60000000000EB -:043BD70000000000EA -:043BD80000000000E9 -:043BD90000000000E8 -:043BDA0000000000E7 -:043BDB0000000000E6 -:043BDC0000000000E5 -:043BDD0000000000E4 -:043BDE0000000000E3 -:043BDF0000000000E2 -:043BE00000000000E1 -:043BE10000000000E0 -:043BE20000000000DF -:043BE30000000000DE -:043BE40000000000DD -:043BE50000000000DC -:043BE60000000000DB -:043BE70000000000DA -:043BE80000000000D9 -:043BE90000000000D8 -:043BEA0000000000D7 -:043BEB0000000000D6 -:043BEC0000000000D5 -:043BED0000000000D4 -:043BEE0000000000D3 -:043BEF0000000000D2 -:043BF00000000000D1 -:043BF10000000000D0 -:043BF20000000000CF -:043BF30000000000CE -:043BF40000000000CD -:043BF50000000000CC -:043BF60000000000CB -:043BF70000000000CA -:043BF80000000000C9 -:043BF90000000000C8 -:043BFA0000000000C7 -:043BFB0000000000C6 -:043BFC0000000000C5 -:043BFD0000000000C4 -:043BFE0000000000C3 -:043BFF0000000000C2 -:043C000000000000C0 -:043C010000000000BF -:043C020000000000BE -:043C030000000000BD -:043C040000000000BC -:043C050000000000BB -:043C060000000000BA -:043C070000000000B9 -:043C080000000000B8 -:043C090000000000B7 -:043C0A0000000000B6 -:043C0B0000000000B5 -:043C0C0000000000B4 -:043C0D0000000000B3 -:043C0E0000000000B2 -:043C0F0000000000B1 -:043C100000000000B0 -:043C110000000000AF -:043C120000000000AE -:043C130000000000AD -:043C140000000000AC -:043C150000000000AB -:043C160000000000AA -:043C170000000000A9 -:043C180000000000A8 -:043C190000000000A7 -:043C1A0000000000A6 -:043C1B0000000000A5 -:043C1C0000000000A4 -:043C1D0000000000A3 -:043C1E0000000000A2 -:043C1F0000000000A1 -:043C200000000000A0 -:043C2100000000009F -:043C2200000000009E -:043C2300000000009D -:043C2400000000009C -:043C2500000000009B -:043C2600000000009A -:043C27000000000099 -:043C28000000000098 -:043C29000000000097 -:043C2A000000000096 -:043C2B000000000095 -:043C2C000000000094 -:043C2D000000000093 -:043C2E000000000092 -:043C2F000000000091 -:043C30000000000090 -:043C3100000000008F -:043C3200000000008E -:043C3300000000008D -:043C3400000000008C -:043C3500000000008B -:043C3600000000008A -:043C37000000000089 -:043C38000000000088 -:043C39000000000087 -:043C3A000000000086 -:043C3B000000000085 -:043C3C000000000084 -:043C3D000000000083 -:043C3E000000000082 -:043C3F000000000081 -:043C40000000000080 -:043C4100000000007F -:043C4200000000007E -:043C4300000000007D -:043C4400000000007C -:043C4500000000007B -:043C4600000000007A -:043C47000000000079 -:043C48000000000078 -:043C49000000000077 -:043C4A000000000076 -:043C4B000000000075 -:043C4C000000000074 -:043C4D000000000073 -:043C4E000000000072 -:043C4F000000000071 -:043C50000000000070 -:043C5100000000006F -:043C5200000000006E -:043C5300000000006D -:043C5400000000006C -:043C5500000000006B -:043C5600000000006A -:043C57000000000069 -:043C58000000000068 -:043C59000000000067 -:043C5A000000000066 -:043C5B000000000065 -:043C5C000000000064 -:043C5D000000000063 -:043C5E000000000062 -:043C5F000000000061 -:043C60000000000060 -:043C6100000000005F -:043C6200000000005E -:043C6300000000005D -:043C6400000000005C -:043C6500000000005B -:043C6600000000005A -:043C67000000000059 -:043C68000000000058 -:043C69000000000057 -:043C6A000000000056 -:043C6B000000000055 -:043C6C000000000054 -:043C6D000000000053 -:043C6E000000000052 -:043C6F000000000051 -:043C70000000000050 -:043C7100000000004F -:043C7200000000004E -:043C7300000000004D -:043C7400000000004C -:043C7500000000004B -:043C7600000000004A -:043C77000000000049 -:043C78000000000048 -:043C79000000000047 -:043C7A000000000046 -:043C7B000000000045 -:043C7C000000000044 -:043C7D000000000043 -:043C7E000000000042 -:043C7F000000000041 -:043C80000000000040 -:043C8100000000003F -:043C8200000000003E -:043C8300000000003D -:043C8400000000003C -:043C8500000000003B -:043C8600000000003A -:043C87000000000039 -:043C88000000000038 -:043C89000000000037 -:043C8A000000000036 -:043C8B000000000035 -:043C8C000000000034 -:043C8D000000000033 -:043C8E000000000032 -:043C8F000000000031 -:043C90000000000030 -:043C9100000000002F -:043C9200000000002E -:043C9300000000002D -:043C9400000000002C -:043C9500000000002B -:043C9600000000002A -:043C97000000000029 -:043C98000000000028 -:043C99000000000027 -:043C9A000000000026 -:043C9B000000000025 -:043C9C000000000024 -:043C9D000000000023 -:043C9E000000000022 -:043C9F000000000021 -:043CA0000000000020 -:043CA100000000001F -:043CA200000000001E -:043CA300000000001D -:043CA400000000001C -:043CA500000000001B -:043CA600000000001A -:043CA7000000000019 -:043CA8000000000018 -:043CA9000000000017 -:043CAA000000000016 -:043CAB000000000015 -:043CAC000000000014 -:043CAD000000000013 -:043CAE000000000012 -:043CAF000000000011 -:043CB0000000000010 -:043CB100000000000F -:043CB200000000000E -:043CB300000000000D -:043CB400000000000C -:043CB500000000000B -:043CB600000000000A -:043CB7000000000009 -:043CB8000000000008 -:043CB9000000000007 -:043CBA000000000006 -:043CBB000000000005 -:043CBC000000000004 -:043CBD000000000003 -:043CBE000000000002 -:043CBF000000000001 -:043CC0000000000000 -:043CC10000000000FF -:043CC20000000000FE -:043CC30000000000FD -:043CC40000000000FC -:043CC50000000000FB -:043CC60000000000FA -:043CC70000000000F9 -:043CC80000000000F8 -:043CC90000000000F7 -:043CCA0000000000F6 -:043CCB0000000000F5 -:043CCC0000000000F4 -:043CCD0000000000F3 -:043CCE0000000000F2 -:043CCF0000000000F1 -:043CD00000000000F0 -:043CD10000000000EF -:043CD20000000000EE -:043CD30000000000ED -:043CD40000000000EC -:043CD50000000000EB -:043CD60000000000EA -:043CD70000000000E9 -:043CD80000000000E8 -:043CD90000000000E7 -:043CDA0000000000E6 -:043CDB0000000000E5 -:043CDC0000000000E4 -:043CDD0000000000E3 -:043CDE0000000000E2 -:043CDF0000000000E1 -:043CE00000000000E0 -:043CE10000000000DF -:043CE20000000000DE -:043CE30000000000DD -:043CE40000000000DC -:043CE50000000000DB -:043CE60000000000DA -:043CE70000000000D9 -:043CE80000000000D8 -:043CE90000000000D7 -:043CEA0000000000D6 -:043CEB0000000000D5 -:043CEC0000000000D4 -:043CED0000000000D3 -:043CEE0000000000D2 -:043CEF0000000000D1 -:043CF00000000000D0 -:043CF10000000000CF -:043CF20000000000CE -:043CF30000000000CD -:043CF40000000000CC -:043CF50000000000CB -:043CF60000000000CA -:043CF70000000000C9 -:043CF80000000000C8 -:043CF90000000000C7 -:043CFA0000000000C6 -:043CFB0000000000C5 -:043CFC0000000000C4 -:043CFD0000000000C3 -:043CFE0000000000C2 -:043CFF0000000000C1 -:043D000000000000BF -:043D010000000000BE -:043D020000000000BD -:043D030000000000BC -:043D040000000000BB -:043D050000000000BA -:043D060000000000B9 -:043D070000000000B8 -:043D080000000000B7 -:043D090000000000B6 -:043D0A0000000000B5 -:043D0B0000000000B4 -:043D0C0000000000B3 -:043D0D0000000000B2 -:043D0E0000000000B1 -:043D0F0000000000B0 -:043D100000000000AF -:043D110000000000AE -:043D120000000000AD -:043D130000000000AC -:043D140000000000AB -:043D150000000000AA -:043D160000000000A9 -:043D170000000000A8 -:043D180000000000A7 -:043D190000000000A6 -:043D1A0000000000A5 -:043D1B0000000000A4 -:043D1C0000000000A3 -:043D1D0000000000A2 -:043D1E0000000000A1 -:043D1F0000000000A0 -:043D2000000000009F -:043D2100000000009E -:043D2200000000009D -:043D2300000000009C -:043D2400000000009B -:043D2500000000009A -:043D26000000000099 -:043D27000000000098 -:043D28000000000097 -:043D29000000000096 -:043D2A000000000095 -:043D2B000000000094 -:043D2C000000000093 -:043D2D000000000092 -:043D2E000000000091 -:043D2F000000000090 -:043D3000000000008F -:043D3100000000008E -:043D3200000000008D -:043D3300000000008C -:043D3400000000008B -:043D3500000000008A -:043D36000000000089 -:043D37000000000088 -:043D38000000000087 -:043D39000000000086 -:043D3A000000000085 -:043D3B000000000084 -:043D3C000000000083 -:043D3D000000000082 -:043D3E000000000081 -:043D3F000000000080 -:043D4000000000007F -:043D4100000000007E -:043D4200000000007D -:043D4300000000007C -:043D4400000000007B -:043D4500000000007A -:043D46000000000079 -:043D47000000000078 -:043D48000000000077 -:043D49000000000076 -:043D4A000000000075 -:043D4B000000000074 -:043D4C000000000073 -:043D4D000000000072 -:043D4E000000000071 -:043D4F000000000070 -:043D5000000000006F -:043D5100000000006E -:043D5200000000006D -:043D5300000000006C -:043D5400000000006B -:043D5500000000006A -:043D56000000000069 -:043D57000000000068 -:043D58000000000067 -:043D59000000000066 -:043D5A000000000065 -:043D5B000000000064 -:043D5C000000000063 -:043D5D000000000062 -:043D5E000000000061 -:043D5F000000000060 -:043D6000000000005F -:043D6100000000005E -:043D6200000000005D -:043D6300000000005C -:043D6400000000005B -:043D6500000000005A -:043D66000000000059 -:043D67000000000058 -:043D68000000000057 -:043D69000000000056 -:043D6A000000000055 -:043D6B000000000054 -:043D6C000000000053 -:043D6D000000000052 -:043D6E000000000051 -:043D6F000000000050 -:043D7000000000004F -:043D7100000000004E -:043D7200000000004D -:043D7300000000004C -:043D7400000000004B -:043D7500000000004A -:043D76000000000049 -:043D77000000000048 -:043D78000000000047 -:043D79000000000046 -:043D7A000000000045 -:043D7B000000000044 -:043D7C000000000043 -:043D7D000000000042 -:043D7E000000000041 -:043D7F000000000040 -:043D8000000000003F -:043D8100000000003E -:043D8200000000003D -:043D8300000000003C -:043D8400000000003B -:043D8500000000003A -:043D86000000000039 -:043D87000000000038 -:043D88000000000037 -:043D89000000000036 -:043D8A000000000035 -:043D8B000000000034 -:043D8C000000000033 -:043D8D000000000032 -:043D8E000000000031 -:043D8F000000000030 -:043D9000000000002F -:043D9100000000002E -:043D9200000000002D -:043D9300000000002C -:043D9400000000002B -:043D9500000000002A -:043D96000000000029 -:043D97000000000028 -:043D98000000000027 -:043D99000000000026 -:043D9A000000000025 -:043D9B000000000024 -:043D9C000000000023 -:043D9D000000000022 -:043D9E000000000021 -:043D9F000000000020 -:043DA000000000001F -:043DA100000000001E -:043DA200000000001D -:043DA300000000001C -:043DA400000000001B -:043DA500000000001A -:043DA6000000000019 -:043DA7000000000018 -:043DA8000000000017 -:043DA9000000000016 -:043DAA000000000015 -:043DAB000000000014 -:043DAC000000000013 -:043DAD000000000012 -:043DAE000000000011 -:043DAF000000000010 -:043DB000000000000F -:043DB100000000000E -:043DB200000000000D -:043DB300000000000C -:043DB400000000000B -:043DB500000000000A -:043DB6000000000009 -:043DB7000000000008 -:043DB8000000000007 -:043DB9000000000006 -:043DBA000000000005 -:043DBB000000000004 -:043DBC000000000003 -:043DBD000000000002 -:043DBE000000000001 -:043DBF000000000000 -:043DC00000000000FF -:043DC10000000000FE -:043DC20000000000FD -:043DC30000000000FC -:043DC40000000000FB -:043DC50000000000FA -:043DC60000000000F9 -:043DC70000000000F8 -:043DC80000000000F7 -:043DC90000000000F6 -:043DCA0000000000F5 -:043DCB0000000000F4 -:043DCC0000000000F3 -:043DCD0000000000F2 -:043DCE0000000000F1 -:043DCF0000000000F0 -:043DD00000000000EF -:043DD10000000000EE -:043DD20000000000ED -:043DD30000000000EC -:043DD40000000000EB -:043DD50000000000EA -:043DD60000000000E9 -:043DD70000000000E8 -:043DD80000000000E7 -:043DD90000000000E6 -:043DDA0000000000E5 -:043DDB0000000000E4 -:043DDC0000000000E3 -:043DDD0000000000E2 -:043DDE0000000000E1 -:043DDF0000000000E0 -:043DE00000000000DF -:043DE10000000000DE -:043DE20000000000DD -:043DE30000000000DC -:043DE40000000000DB -:043DE50000000000DA -:043DE60000000000D9 -:043DE70000000000D8 -:043DE80000000000D7 -:043DE90000000000D6 -:043DEA0000000000D5 -:043DEB0000000000D4 -:043DEC0000000000D3 -:043DED0000000000D2 -:043DEE0000000000D1 -:043DEF0000000000D0 -:043DF00000000000CF -:043DF10000000000CE -:043DF20000000000CD -:043DF30000000000CC -:043DF40000000000CB -:043DF50000000000CA -:043DF60000000000C9 -:043DF70000000000C8 -:043DF80000000000C7 -:043DF90000000000C6 -:043DFA0000000000C5 -:043DFB0000000000C4 -:043DFC0000000000C3 -:043DFD0000000000C2 -:043DFE0000000000C1 -:043DFF0000000000C0 -:043E000000000000BE -:043E010000000000BD -:043E020000000000BC -:043E030000000000BB -:043E040000000000BA -:043E050000000000B9 -:043E060000000000B8 -:043E070000000000B7 -:043E080000000000B6 -:043E090000000000B5 -:043E0A0000000000B4 -:043E0B0000000000B3 -:043E0C0000000000B2 -:043E0D0000000000B1 -:043E0E0000000000B0 -:043E0F0000000000AF -:043E100000000000AE -:043E110000000000AD -:043E120000000000AC -:043E130000000000AB -:043E140000000000AA -:043E150000000000A9 -:043E160000000000A8 -:043E170000000000A7 -:043E180000000000A6 -:043E190000000000A5 -:043E1A0000000000A4 -:043E1B0000000000A3 -:043E1C0000000000A2 -:043E1D0000000000A1 -:043E1E0000000000A0 -:043E1F00000000009F -:043E2000000000009E -:043E2100000000009D -:043E2200000000009C -:043E2300000000009B -:043E2400000000009A -:043E25000000000099 -:043E26000000000098 -:043E27000000000097 -:043E28000000000096 -:043E29000000000095 -:043E2A000000000094 -:043E2B000000000093 -:043E2C000000000092 -:043E2D000000000091 -:043E2E000000000090 -:043E2F00000000008F -:043E3000000000008E -:043E3100000000008D -:043E3200000000008C -:043E3300000000008B -:043E3400000000008A -:043E35000000000089 -:043E36000000000088 -:043E37000000000087 -:043E38000000000086 -:043E39000000000085 -:043E3A000000000084 -:043E3B000000000083 -:043E3C000000000082 -:043E3D000000000081 -:043E3E000000000080 -:043E3F00000000007F -:043E4000000000007E -:043E4100000000007D -:043E4200000000007C -:043E4300000000007B -:043E4400000000007A -:043E45000000000079 -:043E46000000000078 -:043E47000000000077 -:043E48000000000076 -:043E49000000000075 -:043E4A000000000074 -:043E4B000000000073 -:043E4C000000000072 -:043E4D000000000071 -:043E4E000000000070 -:043E4F00000000006F -:043E5000000000006E -:043E5100000000006D -:043E5200000000006C -:043E5300000000006B -:043E5400000000006A -:043E55000000000069 -:043E56000000000068 -:043E57000000000067 -:043E58000000000066 -:043E59000000000065 -:043E5A000000000064 -:043E5B000000000063 -:043E5C000000000062 -:043E5D000000000061 -:043E5E000000000060 -:043E5F00000000005F -:043E6000000000005E -:043E6100000000005D -:043E6200000000005C -:043E6300000000005B -:043E6400000000005A -:043E65000000000059 -:043E66000000000058 -:043E67000000000057 -:043E68000000000056 -:043E69000000000055 -:043E6A000000000054 -:043E6B000000000053 -:043E6C000000000052 -:043E6D000000000051 -:043E6E000000000050 -:043E6F00000000004F -:043E7000000000004E -:043E7100000000004D -:043E7200000000004C -:043E7300000000004B -:043E7400000000004A -:043E75000000000049 -:043E76000000000048 -:043E77000000000047 -:043E78000000000046 -:043E79000000000045 -:043E7A000000000044 -:043E7B000000000043 -:043E7C000000000042 -:043E7D000000000041 -:043E7E000000000040 -:043E7F00000000003F -:043E8000000000003E -:043E8100000000003D -:043E8200000000003C -:043E8300000000003B -:043E8400000000003A -:043E85000000000039 -:043E86000000000038 -:043E87000000000037 -:043E88000000000036 -:043E89000000000035 -:043E8A000000000034 -:043E8B000000000033 -:043E8C000000000032 -:043E8D000000000031 -:043E8E000000000030 -:043E8F00000000002F -:043E9000000000002E -:043E9100000000002D -:043E9200000000002C -:043E9300000000002B -:043E9400000000002A -:043E95000000000029 -:043E96000000000028 -:043E97000000000027 -:043E98000000000026 -:043E99000000000025 -:043E9A000000000024 -:043E9B000000000023 -:043E9C000000000022 -:043E9D000000000021 -:043E9E000000000020 -:043E9F00000000001F -:043EA000000000001E -:043EA100000000001D -:043EA200000000001C -:043EA300000000001B -:043EA400000000001A -:043EA5000000000019 -:043EA6000000000018 -:043EA7000000000017 -:043EA8000000000016 -:043EA9000000000015 -:043EAA000000000014 -:043EAB000000000013 -:043EAC000000000012 -:043EAD000000000011 -:043EAE000000000010 -:043EAF00000000000F -:043EB000000000000E -:043EB100000000000D -:043EB200000000000C -:043EB300000000000B -:043EB400000000000A -:043EB5000000000009 -:043EB6000000000008 -:043EB7000000000007 -:043EB8000000000006 -:043EB9000000000005 -:043EBA000000000004 -:043EBB000000000003 -:043EBC000000000002 -:043EBD000000000001 -:043EBE000000000000 -:043EBF0000000000FF -:043EC00000000000FE -:043EC10000000000FD -:043EC20000000000FC -:043EC30000000000FB -:043EC40000000000FA -:043EC50000000000F9 -:043EC60000000000F8 -:043EC70000000000F7 -:043EC80000000000F6 -:043EC90000000000F5 -:043ECA0000000000F4 -:043ECB0000000000F3 -:043ECC0000000000F2 -:043ECD0000000000F1 -:043ECE0000000000F0 -:043ECF0000000000EF -:043ED00000000000EE -:043ED10000000000ED -:043ED20000000000EC -:043ED30000000000EB -:043ED40000000000EA -:043ED50000000000E9 -:043ED60000000000E8 -:043ED70000000000E7 -:043ED80000000000E6 -:043ED90000000000E5 -:043EDA0000000000E4 -:043EDB0000000000E3 -:043EDC0000000000E2 -:043EDD0000000000E1 -:043EDE0000000000E0 -:043EDF0000000000DF -:043EE00000000000DE -:043EE10000000000DD -:043EE20000000000DC -:043EE30000000000DB -:043EE40000000000DA -:043EE50000000000D9 -:043EE60000000000D8 -:043EE70000000000D7 -:043EE80000000000D6 -:043EE90000000000D5 -:043EEA0000000000D4 -:043EEB0000000000D3 -:043EEC0000000000D2 -:043EED0000000000D1 -:043EEE0000000000D0 -:043EEF0000000000CF -:043EF00000000000CE -:043EF10000000000CD -:043EF20000000000CC -:043EF30000000000CB -:043EF40000000000CA -:043EF50000000000C9 -:043EF60000000000C8 -:043EF70000000000C7 -:043EF80000000000C6 -:043EF90000000000C5 -:043EFA0000000000C4 -:043EFB0000000000C3 -:043EFC0000000000C2 -:043EFD0000000000C1 -:043EFE0000000000C0 -:043EFF0000000000BF -:043F000000000000BD -:043F010000000000BC -:043F020000000000BB -:043F030000000000BA -:043F040000000000B9 -:043F050000000000B8 -:043F060000000000B7 -:043F070000000000B6 -:043F080000000000B5 -:043F090000000000B4 -:043F0A0000000000B3 -:043F0B0000000000B2 -:043F0C0000000000B1 -:043F0D0000000000B0 -:043F0E0000000000AF -:043F0F0000000000AE -:043F100000000000AD -:043F110000000000AC -:043F120000000000AB -:043F130000000000AA -:043F140000000000A9 -:043F150000000000A8 -:043F160000000000A7 -:043F170000000000A6 -:043F180000000000A5 -:043F190000000000A4 -:043F1A0000000000A3 -:043F1B0000000000A2 -:043F1C0000000000A1 -:043F1D0000000000A0 -:043F1E00000000009F -:043F1F00000000009E -:043F2000000000009D -:043F2100000000009C -:043F2200000000009B -:043F2300000000009A -:043F24000000000099 -:043F25000000000098 -:043F26000000000097 -:043F27000000000096 -:043F28000000000095 -:043F29000000000094 -:043F2A000000000093 -:043F2B000000000092 -:043F2C000000000091 -:043F2D000000000090 -:043F2E00000000008F -:043F2F00000000008E -:043F3000000000008D -:043F3100000000008C -:043F3200000000008B -:043F3300000000008A -:043F34000000000089 -:043F35000000000088 -:043F36000000000087 -:043F37000000000086 -:043F38000000000085 -:043F39000000000084 -:043F3A000000000083 -:043F3B000000000082 -:043F3C000000000081 -:043F3D000000000080 -:043F3E00000000007F -:043F3F00000000007E -:043F4000000000007D -:043F4100000000007C -:043F4200000000007B -:043F4300000000007A -:043F44000000000079 -:043F45000000000078 -:043F46000000000077 -:043F47000000000076 -:043F48000000000075 -:043F49000000000074 -:043F4A000000000073 -:043F4B000000000072 -:043F4C000000000071 -:043F4D000000000070 -:043F4E00000000006F -:043F4F00000000006E -:043F5000000000006D -:043F5100000000006C -:043F5200000000006B -:043F5300000000006A -:043F54000000000069 -:043F55000000000068 -:043F56000000000067 -:043F57000000000066 -:043F58000000000065 -:043F59000000000064 -:043F5A000000000063 -:043F5B000000000062 -:043F5C000000000061 -:043F5D000000000060 -:043F5E00000000005F -:043F5F00000000005E -:043F6000000000005D -:043F6100000000005C -:043F6200000000005B -:043F6300000000005A -:043F64000000000059 -:043F65000000000058 -:043F66000000000057 -:043F67000000000056 -:043F68000000000055 -:043F69000000000054 -:043F6A000000000053 -:043F6B000000000052 -:043F6C000000000051 -:043F6D000000000050 -:043F6E00000000004F -:043F6F00000000004E -:043F7000000000004D -:043F7100000000004C -:043F7200000000004B -:043F7300000000004A -:043F74000000000049 -:043F75000000000048 -:043F76000000000047 -:043F77000000000046 -:043F78000000000045 -:043F79000000000044 -:043F7A000000000043 -:043F7B000000000042 -:043F7C000000000041 -:043F7D000000000040 -:043F7E00000000003F -:043F7F00000000003E -:043F8000000000003D -:043F8100000000003C -:043F8200000000003B -:043F8300000000003A -:043F84000000000039 -:043F85000000000038 -:043F86000000000037 -:043F87000000000036 -:043F88000000000035 -:043F89000000000034 -:043F8A000000000033 -:043F8B000000000032 -:043F8C000000000031 -:043F8D000000000030 -:043F8E00000000002F -:043F8F00000000002E -:043F9000000000002D -:043F9100000000002C -:043F9200000000002B -:043F9300000000002A -:043F94000000000029 -:043F95000000000028 -:043F96000000000027 -:043F97000000000026 -:043F98000000000025 -:043F99000000000024 -:043F9A000000000023 -:043F9B000000000022 -:043F9C000000000021 -:043F9D000000000020 -:043F9E00000000001F -:043F9F00000000001E -:043FA000000000001D -:043FA100000000001C -:043FA200000000001B -:043FA300000000001A -:043FA4000000000019 -:043FA5000000000018 -:043FA6000000000017 -:043FA7000000000016 -:043FA8000000000015 -:043FA9000000000014 -:043FAA000000000013 -:043FAB000000000012 -:043FAC000000000011 -:043FAD000000000010 -:043FAE00000000000F -:043FAF00000000000E -:043FB000000000000D -:043FB100000000000C -:043FB200000000000B -:043FB300000000000A -:043FB4000000000009 -:043FB5000000000008 -:043FB6000000000007 -:043FB7000000000006 -:043FB8000000000005 -:043FB9000000000004 -:043FBA000000000003 -:043FBB000000000002 -:043FBC000000000001 -:043FBD000000000000 -:043FBE0000000000FF -:043FBF0000000000FE -:043FC00000000000FD -:043FC10000000000FC -:043FC20000000000FB -:043FC30000000000FA -:043FC40000000000F9 -:043FC50000000000F8 -:043FC60000000000F7 -:043FC70000000000F6 -:043FC80000000000F5 -:043FC90000000000F4 -:043FCA0000000000F3 -:043FCB0000000000F2 -:043FCC0000000000F1 -:043FCD0000000000F0 -:043FCE0000000000EF -:043FCF0000000000EE -:043FD00000000000ED -:043FD10000000000EC -:043FD20000000000EB -:043FD30000000000EA -:043FD40000000000E9 -:043FD50000000000E8 -:043FD60000000000E7 -:043FD70000000000E6 -:043FD80000000000E5 -:043FD90000000000E4 -:043FDA0000000000E3 -:043FDB0000000000E2 -:043FDC0000000000E1 -:043FDD0000000000E0 -:043FDE0000000000DF -:043FDF0000000000DE -:043FE00000000000DD -:043FE10000000000DC -:043FE20000000000DB -:043FE30000000000DA -:043FE40000000000D9 -:043FE50000000000D8 -:043FE60000000000D7 -:043FE70000000000D6 -:043FE80000000000D5 -:043FE90000000000D4 -:043FEA0000000000D3 -:043FEB0000000000D2 -:043FEC0000000000D1 -:043FED0000000000D0 -:043FEE0000000000CF -:043FEF0000000000CE -:043FF00000000000CD -:043FF10000000000CC -:043FF20000000000CB -:043FF30000000000CA -:043FF40000000000C9 -:043FF50000000000C8 -:043FF60000000000C7 -:043FF70000000000C6 -:043FF80000000000C5 -:043FF90000000000C4 -:043FFA0000000000C3 -:043FFB0000000000C2 -:043FFC0000000000C1 -:043FFD0000000000C0 -:043FFE0000000000BF -:043FFF0000000000BE -:0440000000000000BC -:0440010000000000BB -:0440020000000000BA -:0440030000000000B9 -:0440040000000000B8 -:0440050000000000B7 -:0440060000000000B6 -:0440070000000000B5 -:0440080000000000B4 -:0440090000000000B3 -:04400A0000000000B2 -:04400B0000000000B1 -:04400C0000000000B0 -:04400D0000000000AF -:04400E0000000000AE -:04400F0000000000AD -:0440100000000000AC -:0440110000000000AB -:0440120000000000AA -:0440130000000000A9 -:0440140000000000A8 -:0440150000000000A7 -:0440160000000000A6 -:0440170000000000A5 -:0440180000000000A4 -:0440190000000000A3 -:04401A0000000000A2 -:04401B0000000000A1 -:04401C0000000000A0 -:04401D00000000009F -:04401E00000000009E -:04401F00000000009D -:04402000000000009C -:04402100000000009B -:04402200000000009A -:044023000000000099 -:044024000000000098 -:044025000000000097 -:044026000000000096 -:044027000000000095 -:044028000000000094 -:044029000000000093 -:04402A000000000092 -:04402B000000000091 -:04402C000000000090 -:04402D00000000008F -:04402E00000000008E -:04402F00000000008D -:04403000000000008C -:04403100000000008B -:04403200000000008A -:044033000000000089 -:044034000000000088 -:044035000000000087 -:044036000000000086 -:044037000000000085 -:044038000000000084 -:044039000000000083 -:04403A000000000082 -:04403B000000000081 -:04403C000000000080 -:04403D00000000007F -:04403E00000000007E -:04403F00000000007D -:04404000000000007C -:04404100000000007B -:04404200000000007A -:044043000000000079 -:044044000000000078 -:044045000000000077 -:044046000000000076 -:044047000000000075 -:044048000000000074 -:044049000000000073 -:04404A000000000072 -:04404B000000000071 -:04404C000000000070 -:04404D00000000006F -:04404E00000000006E -:04404F00000000006D -:04405000000000006C -:04405100000000006B -:04405200000000006A -:044053000000000069 -:044054000000000068 -:044055000000000067 -:044056000000000066 -:044057000000000065 -:044058000000000064 -:044059000000000063 -:04405A000000000062 -:04405B000000000061 -:04405C000000000060 -:04405D00000000005F -:04405E00000000005E -:04405F00000000005D -:04406000000000005C -:04406100000000005B -:04406200000000005A -:044063000000000059 -:044064000000000058 -:044065000000000057 -:044066000000000056 -:044067000000000055 -:044068000000000054 -:044069000000000053 -:04406A000000000052 -:04406B000000000051 -:04406C000000000050 -:04406D00000000004F -:04406E00000000004E -:04406F00000000004D -:04407000000000004C -:04407100000000004B -:04407200000000004A -:044073000000000049 -:044074000000000048 -:044075000000000047 -:044076000000000046 -:044077000000000045 -:044078000000000044 -:044079000000000043 -:04407A000000000042 -:04407B000000000041 -:04407C000000000040 -:04407D00000000003F -:04407E00000000003E -:04407F00000000003D -:04408000000000003C -:04408100000000003B -:04408200000000003A -:044083000000000039 -:044084000000000038 -:044085000000000037 -:044086000000000036 -:044087000000000035 -:044088000000000034 -:044089000000000033 -:04408A000000000032 -:04408B000000000031 -:04408C000000000030 -:04408D00000000002F -:04408E00000000002E -:04408F00000000002D -:04409000000000002C -:04409100000000002B -:04409200000000002A -:044093000000000029 -:044094000000000028 -:044095000000000027 -:044096000000000026 -:044097000000000025 -:044098000000000024 -:044099000000000023 -:04409A000000000022 -:04409B000000000021 -:04409C000000000020 -:04409D00000000001F -:04409E00000000001E -:04409F00000000001D -:0440A000000000001C -:0440A100000000001B -:0440A200000000001A -:0440A3000000000019 -:0440A4000000000018 -:0440A5000000000017 -:0440A6000000000016 -:0440A7000000000015 -:0440A8000000000014 -:0440A9000000000013 -:0440AA000000000012 -:0440AB000000000011 -:0440AC000000000010 -:0440AD00000000000F -:0440AE00000000000E -:0440AF00000000000D -:0440B000000000000C -:0440B100000000000B -:0440B200000000000A -:0440B3000000000009 -:0440B4000000000008 -:0440B5000000000007 -:0440B6000000000006 -:0440B7000000000005 -:0440B8000000000004 -:0440B9000000000003 -:0440BA000000000002 -:0440BB000000000001 -:0440BC000000000000 -:0440BD0000000000FF -:0440BE0000000000FE -:0440BF0000000000FD -:0440C00000000000FC -:0440C10000000000FB -:0440C20000000000FA -:0440C30000000000F9 -:0440C40000000000F8 -:0440C50000000000F7 -:0440C60000000000F6 -:0440C70000000000F5 -:0440C80000000000F4 -:0440C90000000000F3 -:0440CA0000000000F2 -:0440CB0000000000F1 -:0440CC0000000000F0 -:0440CD0000000000EF -:0440CE0000000000EE -:0440CF0000000000ED -:0440D00000000000EC -:0440D10000000000EB -:0440D20000000000EA -:0440D30000000000E9 -:0440D40000000000E8 -:0440D50000000000E7 -:0440D60000000000E6 -:0440D70000000000E5 -:0440D80000000000E4 -:0440D90000000000E3 -:0440DA0000000000E2 -:0440DB0000000000E1 -:0440DC0000000000E0 -:0440DD0000000000DF -:0440DE0000000000DE -:0440DF0000000000DD -:0440E00000000000DC -:0440E10000000000DB -:0440E20000000000DA -:0440E30000000000D9 -:0440E40000000000D8 -:0440E50000000000D7 -:0440E60000000000D6 -:0440E70000000000D5 -:0440E80000000000D4 -:0440E90000000000D3 -:0440EA0000000000D2 -:0440EB0000000000D1 -:0440EC0000000000D0 -:0440ED0000000000CF -:0440EE0000000000CE -:0440EF0000000000CD -:0440F00000000000CC -:0440F10000000000CB -:0440F20000000000CA -:0440F30000000000C9 -:0440F40000000000C8 -:0440F50000000000C7 -:0440F60000000000C6 -:0440F70000000000C5 -:0440F80000000000C4 -:0440F90000000000C3 -:0440FA0000000000C2 -:0440FB0000000000C1 -:0440FC0000000000C0 -:0440FD0000000000BF -:0440FE0000000000BE -:0440FF0000000000BD -:0441000000000000BB -:0441010000000000BA -:0441020000000000B9 -:0441030000000000B8 -:0441040000000000B7 -:0441050000000000B6 -:0441060000000000B5 -:0441070000000000B4 -:0441080000000000B3 -:0441090000000000B2 -:04410A0000000000B1 -:04410B0000000000B0 -:04410C0000000000AF -:04410D0000000000AE -:04410E0000000000AD -:04410F0000000000AC -:0441100000000000AB -:0441110000000000AA -:0441120000000000A9 -:0441130000000000A8 -:0441140000000000A7 -:0441150000000000A6 -:0441160000000000A5 -:0441170000000000A4 -:0441180000000000A3 -:0441190000000000A2 -:04411A0000000000A1 -:04411B0000000000A0 -:04411C00000000009F -:04411D00000000009E -:04411E00000000009D -:04411F00000000009C -:04412000000000009B -:04412100000000009A -:044122000000000099 -:044123000000000098 -:044124000000000097 -:044125000000000096 -:044126000000000095 -:044127000000000094 -:044128000000000093 -:044129000000000092 -:04412A000000000091 -:04412B000000000090 -:04412C00000000008F -:04412D00000000008E -:04412E00000000008D -:04412F00000000008C -:04413000000000008B -:04413100000000008A -:044132000000000089 -:044133000000000088 -:044134000000000087 -:044135000000000086 -:044136000000000085 -:044137000000000084 -:044138000000000083 -:044139000000000082 -:04413A000000000081 -:04413B000000000080 -:04413C00000000007F -:04413D00000000007E -:04413E00000000007D -:04413F00000000007C -:04414000000000007B -:04414100000000007A -:044142000000000079 -:044143000000000078 -:044144000000000077 -:044145000000000076 -:044146000000000075 -:044147000000000074 -:044148000000000073 -:044149000000000072 -:04414A000000000071 -:04414B000000000070 -:04414C00000000006F -:04414D00000000006E -:04414E00000000006D -:04414F00000000006C -:04415000000000006B -:04415100000000006A -:044152000000000069 -:044153000000000068 -:044154000000000067 -:044155000000000066 -:044156000000000065 -:044157000000000064 -:044158000000000063 -:044159000000000062 -:04415A000000000061 -:04415B000000000060 -:04415C00000000005F -:04415D00000000005E -:04415E00000000005D -:04415F00000000005C -:04416000000000005B -:04416100000000005A -:044162000000000059 -:044163000000000058 -:044164000000000057 -:044165000000000056 -:044166000000000055 -:044167000000000054 -:044168000000000053 -:044169000000000052 -:04416A000000000051 -:04416B000000000050 -:04416C00000000004F -:04416D00000000004E -:04416E00000000004D -:04416F00000000004C -:04417000000000004B -:04417100000000004A -:044172000000000049 -:044173000000000048 -:044174000000000047 -:044175000000000046 -:044176000000000045 -:044177000000000044 -:044178000000000043 -:044179000000000042 -:04417A000000000041 -:04417B000000000040 -:04417C00000000003F -:04417D00000000003E -:04417E00000000003D -:04417F00000000003C -:04418000000000003B -:04418100000000003A -:044182000000000039 -:044183000000000038 -:044184000000000037 -:044185000000000036 -:044186000000000035 -:044187000000000034 -:044188000000000033 -:044189000000000032 -:04418A000000000031 -:04418B000000000030 -:04418C00000000002F -:04418D00000000002E -:04418E00000000002D -:04418F00000000002C -:04419000000000002B -:04419100000000002A -:044192000000000029 -:044193000000000028 -:044194000000000027 -:044195000000000026 -:044196000000000025 -:044197000000000024 -:044198000000000023 -:044199000000000022 -:04419A000000000021 -:04419B000000000020 -:04419C00000000001F -:04419D00000000001E -:04419E00000000001D -:04419F00000000001C -:0441A000000000001B -:0441A100000000001A -:0441A2000000000019 -:0441A3000000000018 -:0441A4000000000017 -:0441A5000000000016 -:0441A6000000000015 -:0441A7000000000014 -:0441A8000000000013 -:0441A9000000000012 -:0441AA000000000011 -:0441AB000000000010 -:0441AC00000000000F -:0441AD00000000000E -:0441AE00000000000D -:0441AF00000000000C -:0441B000000000000B -:0441B100000000000A -:0441B2000000000009 -:0441B3000000000008 -:0441B4000000000007 -:0441B5000000000006 -:0441B6000000000005 -:0441B7000000000004 -:0441B8000000000003 -:0441B9000000000002 -:0441BA000000000001 -:0441BB000000000000 -:0441BC0000000000FF -:0441BD0000000000FE -:0441BE0000000000FD -:0441BF0000000000FC -:0441C00000000000FB -:0441C10000000000FA -:0441C20000000000F9 -:0441C30000000000F8 -:0441C40000000000F7 -:0441C50000000000F6 -:0441C60000000000F5 -:0441C70000000000F4 -:0441C80000000000F3 -:0441C90000000000F2 -:0441CA0000000000F1 -:0441CB0000000000F0 -:0441CC0000000000EF -:0441CD0000000000EE -:0441CE0000000000ED -:0441CF0000000000EC -:0441D00000000000EB -:0441D10000000000EA -:0441D20000000000E9 -:0441D30000000000E8 -:0441D40000000000E7 -:0441D50000000000E6 -:0441D60000000000E5 -:0441D70000000000E4 -:0441D80000000000E3 -:0441D90000000000E2 -:0441DA0000000000E1 -:0441DB0000000000E0 -:0441DC0000000000DF -:0441DD0000000000DE -:0441DE0000000000DD -:0441DF0000000000DC -:0441E00000000000DB -:0441E10000000000DA -:0441E20000000000D9 -:0441E30000000000D8 -:0441E40000000000D7 -:0441E50000000000D6 -:0441E60000000000D5 -:0441E70000000000D4 -:0441E80000000000D3 -:0441E90000000000D2 -:0441EA0000000000D1 -:0441EB0000000000D0 -:0441EC0000000000CF -:0441ED0000000000CE -:0441EE0000000000CD -:0441EF0000000000CC -:0441F00000000000CB -:0441F10000000000CA -:0441F20000000000C9 -:0441F30000000000C8 -:0441F40000000000C7 -:0441F50000000000C6 -:0441F60000000000C5 -:0441F70000000000C4 -:0441F80000000000C3 -:0441F90000000000C2 -:0441FA0000000000C1 -:0441FB0000000000C0 -:0441FC0000000000BF -:0441FD0000000000BE -:0441FE0000000000BD -:0441FF0000000000BC -:0442000000000000BA -:0442010000000000B9 -:0442020000000000B8 -:0442030000000000B7 -:0442040000000000B6 -:0442050000000000B5 -:0442060000000000B4 -:0442070000000000B3 -:0442080000000000B2 -:0442090000000000B1 -:04420A0000000000B0 -:04420B0000000000AF -:04420C0000000000AE -:04420D0000000000AD -:04420E0000000000AC -:04420F0000000000AB -:0442100000000000AA -:0442110000000000A9 -:0442120000000000A8 -:0442130000000000A7 -:0442140000000000A6 -:0442150000000000A5 -:0442160000000000A4 -:0442170000000000A3 -:0442180000000000A2 -:0442190000000000A1 -:04421A0000000000A0 -:04421B00000000009F -:04421C00000000009E -:04421D00000000009D -:04421E00000000009C -:04421F00000000009B -:04422000000000009A -:044221000000000099 -:044222000000000098 -:044223000000000097 -:044224000000000096 -:044225000000000095 -:044226000000000094 -:044227000000000093 -:044228000000000092 -:044229000000000091 -:04422A000000000090 -:04422B00000000008F -:04422C00000000008E -:04422D00000000008D -:04422E00000000008C -:04422F00000000008B -:04423000000000008A -:044231000000000089 -:044232000000000088 -:044233000000000087 -:044234000000000086 -:044235000000000085 -:044236000000000084 -:044237000000000083 -:044238000000000082 -:044239000000000081 -:04423A000000000080 -:04423B00000000007F -:04423C00000000007E -:04423D00000000007D -:04423E00000000007C -:04423F00000000007B -:04424000000000007A -:044241000000000079 -:044242000000000078 -:044243000000000077 -:044244000000000076 -:044245000000000075 -:044246000000000074 -:044247000000000073 -:044248000000000072 -:044249000000000071 -:04424A000000000070 -:04424B00000000006F -:04424C00000000006E -:04424D00000000006D -:04424E00000000006C -:04424F00000000006B -:04425000000000006A -:044251000000000069 -:044252000000000068 -:044253000000000067 -:044254000000000066 -:044255000000000065 -:044256000000000064 -:044257000000000063 -:044258000000000062 -:044259000000000061 -:04425A000000000060 -:04425B00000000005F -:04425C00000000005E -:04425D00000000005D -:04425E00000000005C -:04425F00000000005B -:04426000000000005A -:044261000000000059 -:044262000000000058 -:044263000000000057 -:044264000000000056 -:044265000000000055 -:044266000000000054 -:044267000000000053 -:044268000000000052 -:044269000000000051 -:04426A000000000050 -:04426B00000000004F -:04426C00000000004E -:04426D00000000004D -:04426E00000000004C -:04426F00000000004B -:04427000000000004A -:044271000000000049 -:044272000000000048 -:044273000000000047 -:044274000000000046 -:044275000000000045 -:044276000000000044 -:044277000000000043 -:044278000000000042 -:044279000000000041 -:04427A000000000040 -:04427B00000000003F -:04427C00000000003E -:04427D00000000003D -:04427E00000000003C -:04427F00000000003B -:04428000000000003A -:044281000000000039 -:044282000000000038 -:044283000000000037 -:044284000000000036 -:044285000000000035 -:044286000000000034 -:044287000000000033 -:044288000000000032 -:044289000000000031 -:04428A000000000030 -:04428B00000000002F -:04428C00000000002E -:04428D00000000002D -:04428E00000000002C -:04428F00000000002B -:04429000000000002A -:044291000000000029 -:044292000000000028 -:044293000000000027 -:044294000000000026 -:044295000000000025 -:044296000000000024 -:044297000000000023 -:044298000000000022 -:044299000000000021 -:04429A000000000020 -:04429B00000000001F -:04429C00000000001E -:04429D00000000001D -:04429E00000000001C -:04429F00000000001B -:0442A000000000001A -:0442A1000000000019 -:0442A2000000000018 -:0442A3000000000017 -:0442A4000000000016 -:0442A5000000000015 -:0442A6000000000014 -:0442A7000000000013 -:0442A8000000000012 -:0442A9000000000011 -:0442AA000000000010 -:0442AB00000000000F -:0442AC00000000000E -:0442AD00000000000D -:0442AE00000000000C -:0442AF00000000000B -:0442B000000000000A -:0442B1000000000009 -:0442B2000000000008 -:0442B3000000000007 -:0442B4000000000006 -:0442B5000000000005 -:0442B6000000000004 -:0442B7000000000003 -:0442B8000000000002 -:0442B9000000000001 -:0442BA000000000000 -:0442BB0000000000FF -:0442BC0000000000FE -:0442BD0000000000FD -:0442BE0000000000FC -:0442BF0000000000FB -:0442C00000000000FA -:0442C10000000000F9 -:0442C20000000000F8 -:0442C30000000000F7 -:0442C40000000000F6 -:0442C50000000000F5 -:0442C60000000000F4 -:0442C70000000000F3 -:0442C80000000000F2 -:0442C90000000000F1 -:0442CA0000000000F0 -:0442CB0000000000EF -:0442CC0000000000EE -:0442CD0000000000ED -:0442CE0000000000EC -:0442CF0000000000EB -:0442D00000000000EA -:0442D10000000000E9 -:0442D20000000000E8 -:0442D30000000000E7 -:0442D40000000000E6 -:0442D50000000000E5 -:0442D60000000000E4 -:0442D70000000000E3 -:0442D80000000000E2 -:0442D90000000000E1 -:0442DA0000000000E0 -:0442DB0000000000DF -:0442DC0000000000DE -:0442DD0000000000DD -:0442DE0000000000DC -:0442DF0000000000DB -:0442E00000000000DA -:0442E10000000000D9 -:0442E20000000000D8 -:0442E30000000000D7 -:0442E40000000000D6 -:0442E50000000000D5 -:0442E60000000000D4 -:0442E70000000000D3 -:0442E80000000000D2 -:0442E90000000000D1 -:0442EA0000000000D0 -:0442EB0000000000CF -:0442EC0000000000CE -:0442ED0000000000CD -:0442EE0000000000CC -:0442EF0000000000CB -:0442F00000000000CA -:0442F10000000000C9 -:0442F20000000000C8 -:0442F30000000000C7 -:0442F40000000000C6 -:0442F50000000000C5 -:0442F60000000000C4 -:0442F70000000000C3 -:0442F80000000000C2 -:0442F90000000000C1 -:0442FA0000000000C0 -:0442FB0000000000BF -:0442FC0000000000BE -:0442FD0000000000BD -:0442FE0000000000BC -:0442FF0000000000BB -:0443000000000000B9 -:0443010000000000B8 -:0443020000000000B7 -:0443030000000000B6 -:0443040000000000B5 -:0443050000000000B4 -:0443060000000000B3 -:0443070000000000B2 -:0443080000000000B1 -:0443090000000000B0 -:04430A0000000000AF -:04430B0000000000AE -:04430C0000000000AD -:04430D0000000000AC -:04430E0000000000AB -:04430F0000000000AA -:0443100000000000A9 -:0443110000000000A8 -:0443120000000000A7 -:0443130000000000A6 -:0443140000000000A5 -:0443150000000000A4 -:0443160000000000A3 -:0443170000000000A2 -:0443180000000000A1 -:0443190000000000A0 -:04431A00000000009F -:04431B00000000009E -:04431C00000000009D -:04431D00000000009C -:04431E00000000009B -:04431F00000000009A -:044320000000000099 -:044321000000000098 -:044322000000000097 -:044323000000000096 -:044324000000000095 -:044325000000000094 -:044326000000000093 -:044327000000000092 -:044328000000000091 -:044329000000000090 -:04432A00000000008F -:04432B00000000008E -:04432C00000000008D -:04432D00000000008C -:04432E00000000008B -:04432F00000000008A -:044330000000000089 -:044331000000000088 -:044332000000000087 -:044333000000000086 -:044334000000000085 -:044335000000000084 -:044336000000000083 -:044337000000000082 -:044338000000000081 -:044339000000000080 -:04433A00000000007F -:04433B00000000007E -:04433C00000000007D -:04433D00000000007C -:04433E00000000007B -:04433F00000000007A -:044340000000000079 -:044341000000000078 -:044342000000000077 -:044343000000000076 -:044344000000000075 -:044345000000000074 -:044346000000000073 -:044347000000000072 -:044348000000000071 -:044349000000000070 -:04434A00000000006F -:04434B00000000006E -:04434C00000000006D -:04434D00000000006C -:04434E00000000006B -:04434F00000000006A -:044350000000000069 -:044351000000000068 -:044352000000000067 -:044353000000000066 -:044354000000000065 -:044355000000000064 -:044356000000000063 -:044357000000000062 -:044358000000000061 -:044359000000000060 -:04435A00000000005F -:04435B00000000005E -:04435C00000000005D -:04435D00000000005C -:04435E00000000005B -:04435F00000000005A -:044360000000000059 -:044361000000000058 -:044362000000000057 -:044363000000000056 -:044364000000000055 -:044365000000000054 -:044366000000000053 -:044367000000000052 -:044368000000000051 -:044369000000000050 -:04436A00000000004F -:04436B00000000004E -:04436C00000000004D -:04436D00000000004C -:04436E00000000004B -:04436F00000000004A -:044370000000000049 -:044371000000000048 -:044372000000000047 -:044373000000000046 -:044374000000000045 -:044375000000000044 -:044376000000000043 -:044377000000000042 -:044378000000000041 -:044379000000000040 -:04437A00000000003F -:04437B00000000003E -:04437C00000000003D -:04437D00000000003C -:04437E00000000003B -:04437F00000000003A -:044380000000000039 -:044381000000000038 -:044382000000000037 -:044383000000000036 -:044384000000000035 -:044385000000000034 -:044386000000000033 -:044387000000000032 -:044388000000000031 -:044389000000000030 -:04438A00000000002F -:04438B00000000002E -:04438C00000000002D -:04438D00000000002C -:04438E00000000002B -:04438F00000000002A -:044390000000000029 -:044391000000000028 -:044392000000000027 -:044393000000000026 -:044394000000000025 -:044395000000000024 -:044396000000000023 -:044397000000000022 -:044398000000000021 -:044399000000000020 -:04439A00000000001F -:04439B00000000001E -:04439C00000000001D -:04439D00000000001C -:04439E00000000001B -:04439F00000000001A -:0443A0000000000019 -:0443A1000000000018 -:0443A2000000000017 -:0443A3000000000016 -:0443A4000000000015 -:0443A5000000000014 -:0443A6000000000013 -:0443A7000000000012 -:0443A8000000000011 -:0443A9000000000010 -:0443AA00000000000F -:0443AB00000000000E -:0443AC00000000000D -:0443AD00000000000C -:0443AE00000000000B -:0443AF00000000000A -:0443B0000000000009 -:0443B1000000000008 -:0443B2000000000007 -:0443B3000000000006 -:0443B4000000000005 -:0443B5000000000004 -:0443B6000000000003 -:0443B7000000000002 -:0443B8000000000001 -:0443B9000000000000 -:0443BA0000000000FF -:0443BB0000000000FE -:0443BC0000000000FD -:0443BD0000000000FC -:0443BE0000000000FB -:0443BF0000000000FA -:0443C00000000000F9 -:0443C10000000000F8 -:0443C20000000000F7 -:0443C30000000000F6 -:0443C40000000000F5 -:0443C50000000000F4 -:0443C60000000000F3 -:0443C70000000000F2 -:0443C80000000000F1 -:0443C90000000000F0 -:0443CA0000000000EF -:0443CB0000000000EE -:0443CC0000000000ED -:0443CD0000000000EC -:0443CE0000000000EB -:0443CF0000000000EA -:0443D00000000000E9 -:0443D10000000000E8 -:0443D20000000000E7 -:0443D30000000000E6 -:0443D40000000000E5 -:0443D50000000000E4 -:0443D60000000000E3 -:0443D70000000000E2 -:0443D80000000000E1 -:0443D90000000000E0 -:0443DA0000000000DF -:0443DB0000000000DE -:0443DC0000000000DD -:0443DD0000000000DC -:0443DE0000000000DB -:0443DF0000000000DA -:0443E00000000000D9 -:0443E10000000000D8 -:0443E20000000000D7 -:0443E30000000000D6 -:0443E40000000000D5 -:0443E50000000000D4 -:0443E60000000000D3 -:0443E70000000000D2 -:0443E80000000000D1 -:0443E90000000000D0 -:0443EA0000000000CF -:0443EB0000000000CE -:0443EC0000000000CD -:0443ED0000000000CC -:0443EE0000000000CB -:0443EF0000000000CA -:0443F00000000000C9 -:0443F10000000000C8 -:0443F20000000000C7 -:0443F30000000000C6 -:0443F40000000000C5 -:0443F50000000000C4 -:0443F60000000000C3 -:0443F70000000000C2 -:0443F80000000000C1 -:0443F90000000000C0 -:0443FA0000000000BF -:0443FB0000000000BE -:0443FC0000000000BD -:0443FD0000000000BC -:0443FE0000000000BB -:0443FF0000000000BA -:0444000000000000B8 -:0444010000000000B7 -:0444020000000000B6 -:0444030000000000B5 -:0444040000000000B4 -:0444050000000000B3 -:0444060000000000B2 -:0444070000000000B1 -:0444080000000000B0 -:0444090000000000AF -:04440A0000000000AE -:04440B0000000000AD -:04440C0000000000AC -:04440D0000000000AB -:04440E0000000000AA -:04440F0000000000A9 -:0444100000000000A8 -:0444110000000000A7 -:0444120000000000A6 -:0444130000000000A5 -:0444140000000000A4 -:0444150000000000A3 -:0444160000000000A2 -:0444170000000000A1 -:0444180000000000A0 -:04441900000000009F -:04441A00000000009E -:04441B00000000009D -:04441C00000000009C -:04441D00000000009B -:04441E00000000009A -:04441F000000000099 -:044420000000000098 -:044421000000000097 -:044422000000000096 -:044423000000000095 -:044424000000000094 -:044425000000000093 -:044426000000000092 -:044427000000000091 -:044428000000000090 -:04442900000000008F -:04442A00000000008E -:04442B00000000008D -:04442C00000000008C -:04442D00000000008B -:04442E00000000008A -:04442F000000000089 -:044430000000000088 -:044431000000000087 -:044432000000000086 -:044433000000000085 -:044434000000000084 -:044435000000000083 -:044436000000000082 -:044437000000000081 -:044438000000000080 -:04443900000000007F -:04443A00000000007E -:04443B00000000007D -:04443C00000000007C -:04443D00000000007B -:04443E00000000007A -:04443F000000000079 -:044440000000000078 -:044441000000000077 -:044442000000000076 -:044443000000000075 -:044444000000000074 -:044445000000000073 -:044446000000000072 -:044447000000000071 -:044448000000000070 -:04444900000000006F -:04444A00000000006E -:04444B00000000006D -:04444C00000000006C -:04444D00000000006B -:04444E00000000006A -:04444F000000000069 -:044450000000000068 -:044451000000000067 -:044452000000000066 -:044453000000000065 -:044454000000000064 -:044455000000000063 -:044456000000000062 -:044457000000000061 -:044458000000000060 -:04445900000000005F -:04445A00000000005E -:04445B00000000005D -:04445C00000000005C -:04445D00000000005B -:04445E00000000005A -:04445F000000000059 -:044460000000000058 -:044461000000000057 -:044462000000000056 -:044463000000000055 -:044464000000000054 -:044465000000000053 -:044466000000000052 -:044467000000000051 -:044468000000000050 -:04446900000000004F -:04446A00000000004E -:04446B00000000004D -:04446C00000000004C -:04446D00000000004B -:04446E00000000004A -:04446F000000000049 -:044470000000000048 -:044471000000000047 -:044472000000000046 -:044473000000000045 -:044474000000000044 -:044475000000000043 -:044476000000000042 -:044477000000000041 -:044478000000000040 -:04447900000000003F -:04447A00000000003E -:04447B00000000003D -:04447C00000000003C -:04447D00000000003B -:04447E00000000003A -:04447F000000000039 -:044480000000000038 -:044481000000000037 -:044482000000000036 -:044483000000000035 -:044484000000000034 -:044485000000000033 -:044486000000000032 -:044487000000000031 -:044488000000000030 -:04448900000000002F -:04448A00000000002E -:04448B00000000002D -:04448C00000000002C -:04448D00000000002B -:04448E00000000002A -:04448F000000000029 -:044490000000000028 -:044491000000000027 -:044492000000000026 -:044493000000000025 -:044494000000000024 -:044495000000000023 -:044496000000000022 -:044497000000000021 -:044498000000000020 -:04449900000000001F -:04449A00000000001E -:04449B00000000001D -:04449C00000000001C -:04449D00000000001B -:04449E00000000001A -:04449F000000000019 -:0444A0000000000018 -:0444A1000000000017 -:0444A2000000000016 -:0444A3000000000015 -:0444A4000000000014 -:0444A5000000000013 -:0444A6000000000012 -:0444A7000000000011 -:0444A8000000000010 -:0444A900000000000F -:0444AA00000000000E -:0444AB00000000000D -:0444AC00000000000C -:0444AD00000000000B -:0444AE00000000000A -:0444AF000000000009 -:0444B0000000000008 -:0444B1000000000007 -:0444B2000000000006 -:0444B3000000000005 -:0444B4000000000004 -:0444B5000000000003 -:0444B6000000000002 -:0444B7000000000001 -:0444B8000000000000 -:0444B90000000000FF -:0444BA0000000000FE -:0444BB0000000000FD -:0444BC0000000000FC -:0444BD0000000000FB -:0444BE0000000000FA -:0444BF0000000000F9 -:0444C00000000000F8 -:0444C10000000000F7 -:0444C20000000000F6 -:0444C30000000000F5 -:0444C40000000000F4 -:0444C50000000000F3 -:0444C60000000000F2 -:0444C70000000000F1 -:0444C80000000000F0 -:0444C90000000000EF -:0444CA0000000000EE -:0444CB0000000000ED -:0444CC0000000000EC -:0444CD0000000000EB -:0444CE0000000000EA -:0444CF0000000000E9 -:0444D00000000000E8 -:0444D10000000000E7 -:0444D20000000000E6 -:0444D30000000000E5 -:0444D40000000000E4 -:0444D50000000000E3 -:0444D60000000000E2 -:0444D70000000000E1 -:0444D80000000000E0 -:0444D90000000000DF -:0444DA0000000000DE -:0444DB0000000000DD -:0444DC0000000000DC -:0444DD0000000000DB -:0444DE0000000000DA -:0444DF0000000000D9 -:0444E00000000000D8 -:0444E10000000000D7 -:0444E20000000000D6 -:0444E30000000000D5 -:0444E40000000000D4 -:0444E50000000000D3 -:0444E60000000000D2 -:0444E70000000000D1 -:0444E80000000000D0 -:0444E90000000000CF -:0444EA0000000000CE -:0444EB0000000000CD -:0444EC0000000000CC -:0444ED0000000000CB -:0444EE0000000000CA -:0444EF0000000000C9 -:0444F00000000000C8 -:0444F10000000000C7 -:0444F20000000000C6 -:0444F30000000000C5 -:0444F40000000000C4 -:0444F50000000000C3 -:0444F60000000000C2 -:0444F70000000000C1 -:0444F80000000000C0 -:0444F90000000000BF -:0444FA0000000000BE -:0444FB0000000000BD -:0444FC0000000000BC -:0444FD0000000000BB -:0444FE0000000000BA -:0444FF0000000000B9 -:0445000000000000B7 -:0445010000000000B6 -:0445020000000000B5 -:0445030000000000B4 -:0445040000000000B3 -:0445050000000000B2 -:0445060000000000B1 -:0445070000000000B0 -:0445080000000000AF -:0445090000000000AE -:04450A0000000000AD -:04450B0000000000AC -:04450C0000000000AB -:04450D0000000000AA -:04450E0000000000A9 -:04450F0000000000A8 -:0445100000000000A7 -:0445110000000000A6 -:0445120000000000A5 -:0445130000000000A4 -:0445140000000000A3 -:0445150000000000A2 -:0445160000000000A1 -:0445170000000000A0 -:04451800000000009F -:04451900000000009E -:04451A00000000009D -:04451B00000000009C -:04451C00000000009B -:04451D00000000009A -:04451E000000000099 -:04451F000000000098 -:044520000000000097 -:044521000000000096 -:044522000000000095 -:044523000000000094 -:044524000000000093 -:044525000000000092 -:044526000000000091 -:044527000000000090 -:04452800000000008F -:04452900000000008E -:04452A00000000008D -:04452B00000000008C -:04452C00000000008B -:04452D00000000008A -:04452E000000000089 -:04452F000000000088 -:044530000000000087 -:044531000000000086 -:044532000000000085 -:044533000000000084 -:044534000000000083 -:044535000000000082 -:044536000000000081 -:044537000000000080 -:04453800000000007F -:04453900000000007E -:04453A00000000007D -:04453B00000000007C -:04453C00000000007B -:04453D00000000007A -:04453E000000000079 -:04453F000000000078 -:044540000000000077 -:044541000000000076 -:044542000000000075 -:044543000000000074 -:044544000000000073 -:044545000000000072 -:044546000000000071 -:044547000000000070 -:04454800000000006F -:04454900000000006E -:04454A00000000006D -:04454B00000000006C -:04454C00000000006B -:04454D00000000006A -:04454E000000000069 -:04454F000000000068 -:044550000000000067 -:044551000000000066 -:044552000000000065 -:044553000000000064 -:044554000000000063 -:044555000000000062 -:044556000000000061 -:044557000000000060 -:04455800000000005F -:04455900000000005E -:04455A00000000005D -:04455B00000000005C -:04455C00000000005B -:04455D00000000005A -:04455E000000000059 -:04455F000000000058 -:044560000000000057 -:044561000000000056 -:044562000000000055 -:044563000000000054 -:044564000000000053 -:044565000000000052 -:044566000000000051 -:044567000000000050 -:04456800000000004F -:04456900000000004E -:04456A00000000004D -:04456B00000000004C -:04456C00000000004B -:04456D00000000004A -:04456E000000000049 -:04456F000000000048 -:044570000000000047 -:044571000000000046 -:044572000000000045 -:044573000000000044 -:044574000000000043 -:044575000000000042 -:044576000000000041 -:044577000000000040 -:04457800000000003F -:04457900000000003E -:04457A00000000003D -:04457B00000000003C -:04457C00000000003B -:04457D00000000003A -:04457E000000000039 -:04457F000000000038 -:044580000000000037 -:044581000000000036 -:044582000000000035 -:044583000000000034 -:044584000000000033 -:044585000000000032 -:044586000000000031 -:044587000000000030 -:04458800000000002F -:04458900000000002E -:04458A00000000002D -:04458B00000000002C -:04458C00000000002B -:04458D00000000002A -:04458E000000000029 -:04458F000000000028 -:044590000000000027 -:044591000000000026 -:044592000000000025 -:044593000000000024 -:044594000000000023 -:044595000000000022 -:044596000000000021 -:044597000000000020 -:04459800000000001F -:04459900000000001E -:04459A00000000001D -:04459B00000000001C -:04459C00000000001B -:04459D00000000001A -:04459E000000000019 -:04459F000000000018 -:0445A0000000000017 -:0445A1000000000016 -:0445A2000000000015 -:0445A3000000000014 -:0445A4000000000013 -:0445A5000000000012 -:0445A6000000000011 -:0445A7000000000010 -:0445A800000000000F -:0445A900000000000E -:0445AA00000000000D -:0445AB00000000000C -:0445AC00000000000B -:0445AD00000000000A -:0445AE000000000009 -:0445AF000000000008 -:0445B0000000000007 -:0445B1000000000006 -:0445B2000000000005 -:0445B3000000000004 -:0445B4000000000003 -:0445B5000000000002 -:0445B6000000000001 -:0445B7000000000000 -:0445B80000000000FF -:0445B90000000000FE -:0445BA0000000000FD -:0445BB0000000000FC -:0445BC0000000000FB -:0445BD0000000000FA -:0445BE0000000000F9 -:0445BF0000000000F8 -:0445C00000000000F7 -:0445C10000000000F6 -:0445C20000000000F5 -:0445C30000000000F4 -:0445C40000000000F3 -:0445C50000000000F2 -:0445C60000000000F1 -:0445C70000000000F0 -:0445C80000000000EF -:0445C90000000000EE -:0445CA0000000000ED -:0445CB0000000000EC -:0445CC0000000000EB -:0445CD0000000000EA -:0445CE0000000000E9 -:0445CF0000000000E8 -:0445D00000000000E7 -:0445D10000000000E6 -:0445D20000000000E5 -:0445D30000000000E4 -:0445D40000000000E3 -:0445D50000000000E2 -:0445D60000000000E1 -:0445D70000000000E0 -:0445D80000000000DF -:0445D90000000000DE -:0445DA0000000000DD -:0445DB0000000000DC -:0445DC0000000000DB -:0445DD0000000000DA -:0445DE0000000000D9 -:0445DF0000000000D8 -:0445E00000000000D7 -:0445E10000000000D6 -:0445E20000000000D5 -:0445E30000000000D4 -:0445E40000000000D3 -:0445E50000000000D2 -:0445E60000000000D1 -:0445E70000000000D0 -:0445E80000000000CF -:0445E90000000000CE -:0445EA0000000000CD -:0445EB0000000000CC -:0445EC0000000000CB -:0445ED0000000000CA -:0445EE0000000000C9 -:0445EF0000000000C8 -:0445F00000000000C7 -:0445F10000000000C6 -:0445F20000000000C5 -:0445F30000000000C4 -:0445F40000000000C3 -:0445F50000000000C2 -:0445F60000000000C1 -:0445F70000000000C0 -:0445F80000000000BF -:0445F90000000000BE -:0445FA0000000000BD -:0445FB0000000000BC -:0445FC0000000000BB -:0445FD0000000000BA -:0445FE0000000000B9 -:0445FF0000000000B8 -:0446000000000000B6 -:0446010000000000B5 -:0446020000000000B4 -:0446030000000000B3 -:0446040000000000B2 -:0446050000000000B1 -:0446060000000000B0 -:0446070000000000AF -:0446080000000000AE -:0446090000000000AD -:04460A0000000000AC -:04460B0000000000AB -:04460C0000000000AA -:04460D0000000000A9 -:04460E0000000000A8 -:04460F0000000000A7 -:0446100000000000A6 -:0446110000000000A5 -:0446120000000000A4 -:0446130000000000A3 -:0446140000000000A2 -:0446150000000000A1 -:0446160000000000A0 -:04461700000000009F -:04461800000000009E -:04461900000000009D -:04461A00000000009C -:04461B00000000009B -:04461C00000000009A -:04461D000000000099 -:04461E000000000098 -:04461F000000000097 -:044620000000000096 -:044621000000000095 -:044622000000000094 -:044623000000000093 -:044624000000000092 -:044625000000000091 -:044626000000000090 -:04462700000000008F -:04462800000000008E -:04462900000000008D -:04462A00000000008C -:04462B00000000008B -:04462C00000000008A -:04462D000000000089 -:04462E000000000088 -:04462F000000000087 -:044630000000000086 -:044631000000000085 -:044632000000000084 -:044633000000000083 -:044634000000000082 -:044635000000000081 -:044636000000000080 -:04463700000000007F -:04463800000000007E -:04463900000000007D -:04463A00000000007C -:04463B00000000007B -:04463C00000000007A -:04463D000000000079 -:04463E000000000078 -:04463F000000000077 -:044640000000000076 -:044641000000000075 -:044642000000000074 -:044643000000000073 -:044644000000000072 -:044645000000000071 -:044646000000000070 -:04464700000000006F -:04464800000000006E -:04464900000000006D -:04464A00000000006C -:04464B00000000006B -:04464C00000000006A -:04464D000000000069 -:04464E000000000068 -:04464F000000000067 -:044650000000000066 -:044651000000000065 -:044652000000000064 -:044653000000000063 -:044654000000000062 -:044655000000000061 -:044656000000000060 -:04465700000000005F -:04465800000000005E -:04465900000000005D -:04465A00000000005C -:04465B00000000005B -:04465C00000000005A -:04465D000000000059 -:04465E000000000058 -:04465F000000000057 -:044660000000000056 -:044661000000000055 -:044662000000000054 -:044663000000000053 -:044664000000000052 -:044665000000000051 -:044666000000000050 -:04466700000000004F -:04466800000000004E -:04466900000000004D -:04466A00000000004C -:04466B00000000004B -:04466C00000000004A -:04466D000000000049 -:04466E000000000048 -:04466F000000000047 -:044670000000000046 -:044671000000000045 -:044672000000000044 -:044673000000000043 -:044674000000000042 -:044675000000000041 -:044676000000000040 -:04467700000000003F -:04467800000000003E -:04467900000000003D -:04467A00000000003C -:04467B00000000003B -:04467C00000000003A -:04467D000000000039 -:04467E000000000038 -:04467F000000000037 -:044680000000000036 -:044681000000000035 -:044682000000000034 -:044683000000000033 -:044684000000000032 -:044685000000000031 -:044686000000000030 -:04468700000000002F -:04468800000000002E -:04468900000000002D -:04468A00000000002C -:04468B00000000002B -:04468C00000000002A -:04468D000000000029 -:04468E000000000028 -:04468F000000000027 -:044690000000000026 -:044691000000000025 -:044692000000000024 -:044693000000000023 -:044694000000000022 -:044695000000000021 -:044696000000000020 -:04469700000000001F -:04469800000000001E -:04469900000000001D -:04469A00000000001C -:04469B00000000001B -:04469C00000000001A -:04469D000000000019 -:04469E000000000018 -:04469F000000000017 -:0446A0000000000016 -:0446A1000000000015 -:0446A2000000000014 -:0446A3000000000013 -:0446A4000000000012 -:0446A5000000000011 -:0446A6000000000010 -:0446A700000000000F -:0446A800000000000E -:0446A900000000000D -:0446AA00000000000C -:0446AB00000000000B -:0446AC00000000000A -:0446AD000000000009 -:0446AE000000000008 -:0446AF000000000007 -:0446B0000000000006 -:0446B1000000000005 -:0446B2000000000004 -:0446B3000000000003 -:0446B4000000000002 -:0446B5000000000001 -:0446B6000000000000 -:0446B70000000000FF -:0446B80000000000FE -:0446B90000000000FD -:0446BA0000000000FC -:0446BB0000000000FB -:0446BC0000000000FA -:0446BD0000000000F9 -:0446BE0000000000F8 -:0446BF0000000000F7 -:0446C00000000000F6 -:0446C10000000000F5 -:0446C20000000000F4 -:0446C30000000000F3 -:0446C40000000000F2 -:0446C50000000000F1 -:0446C60000000000F0 -:0446C70000000000EF -:0446C80000000000EE -:0446C90000000000ED -:0446CA0000000000EC -:0446CB0000000000EB -:0446CC0000000000EA -:0446CD0000000000E9 -:0446CE0000000000E8 -:0446CF0000000000E7 -:0446D00000000000E6 -:0446D10000000000E5 -:0446D20000000000E4 -:0446D30000000000E3 -:0446D40000000000E2 -:0446D50000000000E1 -:0446D60000000000E0 -:0446D70000000000DF -:0446D80000000000DE -:0446D90000000000DD -:0446DA0000000000DC -:0446DB0000000000DB -:0446DC0000000000DA -:0446DD0000000000D9 -:0446DE0000000000D8 -:0446DF0000000000D7 -:0446E00000000000D6 -:0446E10000000000D5 -:0446E20000000000D4 -:0446E30000000000D3 -:0446E40000000000D2 -:0446E50000000000D1 -:0446E60000000000D0 -:0446E70000000000CF -:0446E80000000000CE -:0446E90000000000CD -:0446EA0000000000CC -:0446EB0000000000CB -:0446EC0000000000CA -:0446ED0000000000C9 -:0446EE0000000000C8 -:0446EF0000000000C7 -:0446F00000000000C6 -:0446F10000000000C5 -:0446F20000000000C4 -:0446F30000000000C3 -:0446F40000000000C2 -:0446F50000000000C1 -:0446F60000000000C0 -:0446F70000000000BF -:0446F80000000000BE -:0446F90000000000BD -:0446FA0000000000BC -:0446FB0000000000BB -:0446FC0000000000BA -:0446FD0000000000B9 -:0446FE0000000000B8 -:0446FF0000000000B7 -:0447000000000000B5 -:0447010000000000B4 -:0447020000000000B3 -:0447030000000000B2 -:0447040000000000B1 -:0447050000000000B0 -:0447060000000000AF -:0447070000000000AE -:0447080000000000AD -:0447090000000000AC -:04470A0000000000AB -:04470B0000000000AA -:04470C0000000000A9 -:04470D0000000000A8 -:04470E0000000000A7 -:04470F0000000000A6 -:0447100000000000A5 -:0447110000000000A4 -:0447120000000000A3 -:0447130000000000A2 -:0447140000000000A1 -:0447150000000000A0 -:04471600000000009F -:04471700000000009E -:04471800000000009D -:04471900000000009C -:04471A00000000009B -:04471B00000000009A -:04471C000000000099 -:04471D000000000098 -:04471E000000000097 -:04471F000000000096 -:044720000000000095 -:044721000000000094 -:044722000000000093 -:044723000000000092 -:044724000000000091 -:044725000000000090 -:04472600000000008F -:04472700000000008E -:04472800000000008D -:04472900000000008C -:04472A00000000008B -:04472B00000000008A -:04472C000000000089 -:04472D000000000088 -:04472E000000000087 -:04472F000000000086 -:044730000000000085 -:044731000000000084 -:044732000000000083 -:044733000000000082 -:044734000000000081 -:044735000000000080 -:04473600000000007F -:04473700000000007E -:04473800000000007D -:04473900000000007C -:04473A00000000007B -:04473B00000000007A -:04473C000000000079 -:04473D000000000078 -:04473E000000000077 -:04473F000000000076 -:044740000000000075 -:044741000000000074 -:044742000000000073 -:044743000000000072 -:044744000000000071 -:044745000000000070 -:04474600000000006F -:04474700000000006E -:04474800000000006D -:04474900000000006C -:04474A00000000006B -:04474B00000000006A -:04474C000000000069 -:04474D000000000068 -:04474E000000000067 -:04474F000000000066 -:044750000000000065 -:044751000000000064 -:044752000000000063 -:044753000000000062 -:044754000000000061 -:044755000000000060 -:04475600000000005F -:04475700000000005E -:04475800000000005D -:04475900000000005C -:04475A00000000005B -:04475B00000000005A -:04475C000000000059 -:04475D000000000058 -:04475E000000000057 -:04475F000000000056 -:044760000000000055 -:044761000000000054 -:044762000000000053 -:044763000000000052 -:044764000000000051 -:044765000000000050 -:04476600000000004F -:04476700000000004E -:04476800000000004D -:04476900000000004C -:04476A00000000004B -:04476B00000000004A -:04476C000000000049 -:04476D000000000048 -:04476E000000000047 -:04476F000000000046 -:044770000000000045 -:044771000000000044 -:044772000000000043 -:044773000000000042 -:044774000000000041 -:044775000000000040 -:04477600000000003F -:04477700000000003E -:04477800000000003D -:04477900000000003C -:04477A00000000003B -:04477B00000000003A -:04477C000000000039 -:04477D000000000038 -:04477E000000000037 -:04477F000000000036 -:044780000000000035 -:044781000000000034 -:044782000000000033 -:044783000000000032 -:044784000000000031 -:044785000000000030 -:04478600000000002F -:04478700000000002E -:04478800000000002D -:04478900000000002C -:04478A00000000002B -:04478B00000000002A -:04478C000000000029 -:04478D000000000028 -:04478E000000000027 -:04478F000000000026 -:044790000000000025 -:044791000000000024 -:044792000000000023 -:044793000000000022 -:044794000000000021 -:044795000000000020 -:04479600000000001F -:04479700000000001E -:04479800000000001D -:04479900000000001C -:04479A00000000001B -:04479B00000000001A -:04479C000000000019 -:04479D000000000018 -:04479E000000000017 -:04479F000000000016 -:0447A0000000000015 -:0447A1000000000014 -:0447A2000000000013 -:0447A3000000000012 -:0447A4000000000011 -:0447A5000000000010 -:0447A600000000000F -:0447A700000000000E -:0447A800000000000D -:0447A900000000000C -:0447AA00000000000B -:0447AB00000000000A -:0447AC000000000009 -:0447AD000000000008 -:0447AE000000000007 -:0447AF000000000006 -:0447B0000000000005 -:0447B1000000000004 -:0447B2000000000003 -:0447B3000000000002 -:0447B4000000000001 -:0447B5000000000000 -:0447B60000000000FF -:0447B70000000000FE -:0447B80000000000FD -:0447B90000000000FC -:0447BA0000000000FB -:0447BB0000000000FA -:0447BC0000000000F9 -:0447BD0000000000F8 -:0447BE0000000000F7 -:0447BF0000000000F6 -:0447C00000000000F5 -:0447C10000000000F4 -:0447C20000000000F3 -:0447C30000000000F2 -:0447C40000000000F1 -:0447C50000000000F0 -:0447C60000000000EF -:0447C70000000000EE -:0447C80000000000ED -:0447C90000000000EC -:0447CA0000000000EB -:0447CB0000000000EA -:0447CC0000000000E9 -:0447CD0000000000E8 -:0447CE0000000000E7 -:0447CF0000000000E6 -:0447D00000000000E5 -:0447D10000000000E4 -:0447D20000000000E3 -:0447D30000000000E2 -:0447D40000000000E1 -:0447D50000000000E0 -:0447D60000000000DF -:0447D70000000000DE -:0447D80000000000DD -:0447D90000000000DC -:0447DA0000000000DB -:0447DB0000000000DA -:0447DC0000000000D9 -:0447DD0000000000D8 -:0447DE0000000000D7 -:0447DF0000000000D6 -:0447E00000000000D5 -:0447E10000000000D4 -:0447E20000000000D3 -:0447E30000000000D2 -:0447E40000000000D1 -:0447E50000000000D0 -:0447E60000000000CF -:0447E70000000000CE -:0447E80000000000CD -:0447E90000000000CC -:0447EA0000000000CB -:0447EB0000000000CA -:0447EC0000000000C9 -:0447ED0000000000C8 -:0447EE0000000000C7 -:0447EF0000000000C6 -:0447F00000000000C5 -:0447F10000000000C4 -:0447F20000000000C3 -:0447F30000000000C2 -:0447F40000000000C1 -:0447F50000000000C0 -:0447F60000000000BF -:0447F70000000000BE -:0447F80000000000BD -:0447F90000000000BC -:0447FA0000000000BB -:0447FB0000000000BA -:0447FC0000000000B9 -:0447FD0000000000B8 -:0447FE0000000000B7 -:0447FF0000000000B6 -:0448000000000000B4 -:0448010000000000B3 -:0448020000000000B2 -:0448030000000000B1 -:0448040000000000B0 -:0448050000000000AF -:0448060000000000AE -:0448070000000000AD -:0448080000000000AC -:0448090000000000AB -:04480A0000000000AA -:04480B0000000000A9 -:04480C0000000000A8 -:04480D0000000000A7 -:04480E0000000000A6 -:04480F0000000000A5 -:0448100000000000A4 -:0448110000000000A3 -:0448120000000000A2 -:0448130000000000A1 -:0448140000000000A0 -:04481500000000009F -:04481600000000009E -:04481700000000009D -:04481800000000009C -:04481900000000009B -:04481A00000000009A -:04481B000000000099 -:04481C000000000098 -:04481D000000000097 -:04481E000000000096 -:04481F000000000095 -:044820000000000094 -:044821000000000093 -:044822000000000092 -:044823000000000091 -:044824000000000090 -:04482500000000008F -:04482600000000008E -:04482700000000008D -:04482800000000008C -:04482900000000008B -:04482A00000000008A -:04482B000000000089 -:04482C000000000088 -:04482D000000000087 -:04482E000000000086 -:04482F000000000085 -:044830000000000084 -:044831000000000083 -:044832000000000082 -:044833000000000081 -:044834000000000080 -:04483500000000007F -:04483600000000007E -:04483700000000007D -:04483800000000007C -:04483900000000007B -:04483A00000000007A -:04483B000000000079 -:04483C000000000078 -:04483D000000000077 -:04483E000000000076 -:04483F000000000075 -:044840000000000074 -:044841000000000073 -:044842000000000072 -:044843000000000071 -:044844000000000070 -:04484500000000006F -:04484600000000006E -:04484700000000006D -:04484800000000006C -:04484900000000006B -:04484A00000000006A -:04484B000000000069 -:04484C000000000068 -:04484D000000000067 -:04484E000000000066 -:04484F000000000065 -:044850000000000064 -:044851000000000063 -:044852000000000062 -:044853000000000061 -:044854000000000060 -:04485500000000005F -:04485600000000005E -:04485700000000005D -:04485800000000005C -:04485900000000005B -:04485A00000000005A -:04485B000000000059 -:04485C000000000058 -:04485D000000000057 -:04485E000000000056 -:04485F000000000055 -:044860000000000054 -:044861000000000053 -:044862000000000052 -:044863000000000051 -:044864000000000050 -:04486500000000004F -:04486600000000004E -:04486700000000004D -:04486800000000004C -:04486900000000004B -:04486A00000000004A -:04486B000000000049 -:04486C000000000048 -:04486D000000000047 -:04486E000000000046 -:04486F000000000045 -:044870000000000044 -:044871000000000043 -:044872000000000042 -:044873000000000041 -:044874000000000040 -:04487500000000003F -:04487600000000003E -:04487700000000003D -:04487800000000003C -:04487900000000003B -:04487A00000000003A -:04487B000000000039 -:04487C000000000038 -:04487D000000000037 -:04487E000000000036 -:04487F000000000035 -:044880000000000034 -:044881000000000033 -:044882000000000032 -:044883000000000031 -:044884000000000030 -:04488500000000002F -:04488600000000002E -:04488700000000002D -:04488800000000002C -:04488900000000002B -:04488A00000000002A -:04488B000000000029 -:04488C000000000028 -:04488D000000000027 -:04488E000000000026 -:04488F000000000025 -:044890000000000024 -:044891000000000023 -:044892000000000022 -:044893000000000021 -:044894000000000020 -:04489500000000001F -:04489600000000001E -:04489700000000001D -:04489800000000001C -:04489900000000001B -:04489A00000000001A -:04489B000000000019 -:04489C000000000018 -:04489D000000000017 -:04489E000000000016 -:04489F000000000015 -:0448A0000000000014 -:0448A1000000000013 -:0448A2000000000012 -:0448A3000000000011 -:0448A4000000000010 -:0448A500000000000F -:0448A600000000000E -:0448A700000000000D -:0448A800000000000C -:0448A900000000000B -:0448AA00000000000A -:0448AB000000000009 -:0448AC000000000008 -:0448AD000000000007 -:0448AE000000000006 -:0448AF000000000005 -:0448B0000000000004 -:0448B1000000000003 -:0448B2000000000002 -:0448B3000000000001 -:0448B4000000000000 -:0448B50000000000FF -:0448B60000000000FE -:0448B70000000000FD -:0448B80000000000FC -:0448B90000000000FB -:0448BA0000000000FA -:0448BB0000000000F9 -:0448BC0000000000F8 -:0448BD0000000000F7 -:0448BE0000000000F6 -:0448BF0000000000F5 -:0448C00000000000F4 -:0448C10000000000F3 -:0448C20000000000F2 -:0448C30000000000F1 -:0448C40000000000F0 -:0448C50000000000EF -:0448C60000000000EE -:0448C70000000000ED -:0448C80000000000EC -:0448C90000000000EB -:0448CA0000000000EA -:0448CB0000000000E9 -:0448CC0000000000E8 -:0448CD0000000000E7 -:0448CE0000000000E6 -:0448CF0000000000E5 -:0448D00000000000E4 -:0448D10000000000E3 -:0448D20000000000E2 -:0448D30000000000E1 -:0448D40000000000E0 -:0448D50000000000DF -:0448D60000000000DE -:0448D70000000000DD -:0448D80000000000DC -:0448D90000000000DB -:0448DA0000000000DA -:0448DB0000000000D9 -:0448DC0000000000D8 -:0448DD0000000000D7 -:0448DE0000000000D6 -:0448DF0000000000D5 -:0448E00000000000D4 -:0448E10000000000D3 -:0448E20000000000D2 -:0448E30000000000D1 -:0448E40000000000D0 -:0448E50000000000CF -:0448E60000000000CE -:0448E70000000000CD -:0448E80000000000CC -:0448E90000000000CB -:0448EA0000000000CA -:0448EB0000000000C9 -:0448EC0000000000C8 -:0448ED0000000000C7 -:0448EE0000000000C6 -:0448EF0000000000C5 -:0448F00000000000C4 -:0448F10000000000C3 -:0448F20000000000C2 -:0448F30000000000C1 -:0448F40000000000C0 -:0448F50000000000BF -:0448F60000000000BE -:0448F70000000000BD -:0448F80000000000BC -:0448F90000000000BB -:0448FA0000000000BA -:0448FB0000000000B9 -:0448FC0000000000B8 -:0448FD0000000000B7 -:0448FE0000000000B6 -:0448FF0000000000B5 -:0449000000000000B3 -:0449010000000000B2 -:0449020000000000B1 -:0449030000000000B0 -:0449040000000000AF -:0449050000000000AE -:0449060000000000AD -:0449070000000000AC -:0449080000000000AB -:0449090000000000AA -:04490A0000000000A9 -:04490B0000000000A8 -:04490C0000000000A7 -:04490D0000000000A6 -:04490E0000000000A5 -:04490F0000000000A4 -:0449100000000000A3 -:0449110000000000A2 -:0449120000000000A1 -:0449130000000000A0 -:04491400000000009F -:04491500000000009E -:04491600000000009D -:04491700000000009C -:04491800000000009B -:04491900000000009A -:04491A000000000099 -:04491B000000000098 -:04491C000000000097 -:04491D000000000096 -:04491E000000000095 -:04491F000000000094 -:044920000000000093 -:044921000000000092 -:044922000000000091 -:044923000000000090 -:04492400000000008F -:04492500000000008E -:04492600000000008D -:04492700000000008C -:04492800000000008B -:04492900000000008A -:04492A000000000089 -:04492B000000000088 -:04492C000000000087 -:04492D000000000086 -:04492E000000000085 -:04492F000000000084 -:044930000000000083 -:044931000000000082 -:044932000000000081 -:044933000000000080 -:04493400000000007F -:04493500000000007E -:04493600000000007D -:04493700000000007C -:04493800000000007B -:04493900000000007A -:04493A000000000079 -:04493B000000000078 -:04493C000000000077 -:04493D000000000076 -:04493E000000000075 -:04493F000000000074 -:044940000000000073 -:044941000000000072 -:044942000000000071 -:044943000000000070 -:04494400000000006F -:04494500000000006E -:04494600000000006D -:04494700000000006C -:04494800000000006B -:04494900000000006A -:04494A000000000069 -:04494B000000000068 -:04494C000000000067 -:04494D000000000066 -:04494E000000000065 -:04494F000000000064 -:044950000000000063 -:044951000000000062 -:044952000000000061 -:044953000000000060 -:04495400000000005F -:04495500000000005E -:04495600000000005D -:04495700000000005C -:04495800000000005B -:04495900000000005A -:04495A000000000059 -:04495B000000000058 -:04495C000000000057 -:04495D000000000056 -:04495E000000000055 -:04495F000000000054 -:044960000000000053 -:044961000000000052 -:044962000000000051 -:044963000000000050 -:04496400000000004F -:04496500000000004E -:04496600000000004D -:04496700000000004C -:04496800000000004B -:04496900000000004A -:04496A000000000049 -:04496B000000000048 -:04496C000000000047 -:04496D000000000046 -:04496E000000000045 -:04496F000000000044 -:044970000000000043 -:044971000000000042 -:044972000000000041 -:044973000000000040 -:04497400000000003F -:04497500000000003E -:04497600000000003D -:04497700000000003C -:04497800000000003B -:04497900000000003A -:04497A000000000039 -:04497B000000000038 -:04497C000000000037 -:04497D000000000036 -:04497E000000000035 -:04497F000000000034 -:044980000000000033 -:044981000000000032 -:044982000000000031 -:044983000000000030 -:04498400000000002F -:04498500000000002E -:04498600000000002D -:04498700000000002C -:04498800000000002B -:04498900000000002A -:04498A000000000029 -:04498B000000000028 -:04498C000000000027 -:04498D000000000026 -:04498E000000000025 -:04498F000000000024 -:044990000000000023 -:044991000000000022 -:044992000000000021 -:044993000000000020 -:04499400000000001F -:04499500000000001E -:04499600000000001D -:04499700000000001C -:04499800000000001B -:04499900000000001A -:04499A000000000019 -:04499B000000000018 -:04499C000000000017 -:04499D000000000016 -:04499E000000000015 -:04499F000000000014 -:0449A0000000000013 -:0449A1000000000012 -:0449A2000000000011 -:0449A3000000000010 -:0449A400000000000F -:0449A500000000000E -:0449A600000000000D -:0449A700000000000C -:0449A800000000000B -:0449A900000000000A -:0449AA000000000009 -:0449AB000000000008 -:0449AC000000000007 -:0449AD000000000006 -:0449AE000000000005 -:0449AF000000000004 -:0449B0000000000003 -:0449B1000000000002 -:0449B2000000000001 -:0449B3000000000000 -:0449B40000000000FF -:0449B50000000000FE -:0449B60000000000FD -:0449B70000000000FC -:0449B80000000000FB -:0449B90000000000FA -:0449BA0000000000F9 -:0449BB0000000000F8 -:0449BC0000000000F7 -:0449BD0000000000F6 -:0449BE0000000000F5 -:0449BF0000000000F4 -:0449C00000000000F3 -:0449C10000000000F2 -:0449C20000000000F1 -:0449C30000000000F0 -:0449C40000000000EF -:0449C50000000000EE -:0449C60000000000ED -:0449C70000000000EC -:0449C80000000000EB -:0449C90000000000EA -:0449CA0000000000E9 -:0449CB0000000000E8 -:0449CC0000000000E7 -:0449CD0000000000E6 -:0449CE0000000000E5 -:0449CF0000000000E4 -:0449D00000000000E3 -:0449D10000000000E2 -:0449D20000000000E1 -:0449D30000000000E0 -:0449D40000000000DF -:0449D50000000000DE -:0449D60000000000DD -:0449D70000000000DC -:0449D80000000000DB -:0449D90000000000DA -:0449DA0000000000D9 -:0449DB0000000000D8 -:0449DC0000000000D7 -:0449DD0000000000D6 -:0449DE0000000000D5 -:0449DF0000000000D4 -:0449E00000000000D3 -:0449E10000000000D2 -:0449E20000000000D1 -:0449E30000000000D0 -:0449E40000000000CF -:0449E50000000000CE -:0449E60000000000CD -:0449E70000000000CC -:0449E80000000000CB -:0449E90000000000CA -:0449EA0000000000C9 -:0449EB0000000000C8 -:0449EC0000000000C7 -:0449ED0000000000C6 -:0449EE0000000000C5 -:0449EF0000000000C4 -:0449F00000000000C3 -:0449F10000000000C2 -:0449F20000000000C1 -:0449F30000000000C0 -:0449F40000000000BF -:0449F50000000000BE -:0449F60000000000BD -:0449F70000000000BC -:0449F80000000000BB -:0449F90000000000BA -:0449FA0000000000B9 -:0449FB0000000000B8 -:0449FC0000000000B7 -:0449FD0000000000B6 -:0449FE0000000000B5 -:0449FF0000000000B4 -:044A000000000000B2 -:044A010000000000B1 -:044A020000000000B0 -:044A030000000000AF -:044A040000000000AE -:044A050000000000AD -:044A060000000000AC -:044A070000000000AB -:044A080000000000AA -:044A090000000000A9 -:044A0A0000000000A8 -:044A0B0000000000A7 -:044A0C0000000000A6 -:044A0D0000000000A5 -:044A0E0000000000A4 -:044A0F0000000000A3 -:044A100000000000A2 -:044A110000000000A1 -:044A120000000000A0 -:044A1300000000009F -:044A1400000000009E -:044A1500000000009D -:044A1600000000009C -:044A1700000000009B -:044A1800000000009A -:044A19000000000099 -:044A1A000000000098 -:044A1B000000000097 -:044A1C000000000096 -:044A1D000000000095 -:044A1E000000000094 -:044A1F000000000093 -:044A20000000000092 -:044A21000000000091 -:044A22000000000090 -:044A2300000000008F -:044A2400000000008E -:044A2500000000008D -:044A2600000000008C -:044A2700000000008B -:044A2800000000008A -:044A29000000000089 -:044A2A000000000088 -:044A2B000000000087 -:044A2C000000000086 -:044A2D000000000085 -:044A2E000000000084 -:044A2F000000000083 -:044A30000000000082 -:044A31000000000081 -:044A32000000000080 -:044A3300000000007F -:044A3400000000007E -:044A3500000000007D -:044A3600000000007C -:044A3700000000007B -:044A3800000000007A -:044A39000000000079 -:044A3A000000000078 -:044A3B000000000077 -:044A3C000000000076 -:044A3D000000000075 -:044A3E000000000074 -:044A3F000000000073 -:044A40000000000072 -:044A41000000000071 -:044A42000000000070 -:044A4300000000006F -:044A4400000000006E -:044A4500000000006D -:044A4600000000006C -:044A4700000000006B -:044A4800000000006A -:044A49000000000069 -:044A4A000000000068 -:044A4B000000000067 -:044A4C000000000066 -:044A4D000000000065 -:044A4E000000000064 -:044A4F000000000063 -:044A50000000000062 -:044A51000000000061 -:044A52000000000060 -:044A5300000000005F -:044A5400000000005E -:044A5500000000005D -:044A5600000000005C -:044A5700000000005B -:044A5800000000005A -:044A59000000000059 -:044A5A000000000058 -:044A5B000000000057 -:044A5C000000000056 -:044A5D000000000055 -:044A5E000000000054 -:044A5F000000000053 -:044A60000000000052 -:044A61000000000051 -:044A62000000000050 -:044A6300000000004F -:044A6400000000004E -:044A6500000000004D -:044A6600000000004C -:044A6700000000004B -:044A6800000000004A -:044A69000000000049 -:044A6A000000000048 -:044A6B000000000047 -:044A6C000000000046 -:044A6D000000000045 -:044A6E000000000044 -:044A6F000000000043 -:044A70000000000042 -:044A71000000000041 -:044A72000000000040 -:044A7300000000003F -:044A7400000000003E -:044A7500000000003D -:044A7600000000003C -:044A7700000000003B -:044A7800000000003A -:044A79000000000039 -:044A7A000000000038 -:044A7B000000000037 -:044A7C000000000036 -:044A7D000000000035 -:044A7E000000000034 -:044A7F000000000033 -:044A80000000000032 -:044A81000000000031 -:044A82000000000030 -:044A8300000000002F -:044A8400000000002E -:044A8500000000002D -:044A8600000000002C -:044A8700000000002B -:044A8800000000002A -:044A89000000000029 -:044A8A000000000028 -:044A8B000000000027 -:044A8C000000000026 -:044A8D000000000025 -:044A8E000000000024 -:044A8F000000000023 -:044A90000000000022 -:044A91000000000021 -:044A92000000000020 -:044A9300000000001F -:044A9400000000001E -:044A9500000000001D -:044A9600000000001C -:044A9700000000001B -:044A9800000000001A -:044A99000000000019 -:044A9A000000000018 -:044A9B000000000017 -:044A9C000000000016 -:044A9D000000000015 -:044A9E000000000014 -:044A9F000000000013 -:044AA0000000000012 -:044AA1000000000011 -:044AA2000000000010 -:044AA300000000000F -:044AA400000000000E -:044AA500000000000D -:044AA600000000000C -:044AA700000000000B -:044AA800000000000A -:044AA9000000000009 -:044AAA000000000008 -:044AAB000000000007 -:044AAC000000000006 -:044AAD000000000005 -:044AAE000000000004 -:044AAF000000000003 -:044AB0000000000002 -:044AB1000000000001 -:044AB2000000000000 -:044AB30000000000FF -:044AB40000000000FE -:044AB50000000000FD -:044AB60000000000FC -:044AB70000000000FB -:044AB80000000000FA -:044AB90000000000F9 -:044ABA0000000000F8 -:044ABB0000000000F7 -:044ABC0000000000F6 -:044ABD0000000000F5 -:044ABE0000000000F4 -:044ABF0000000000F3 -:044AC00000000000F2 -:044AC10000000000F1 -:044AC20000000000F0 -:044AC30000000000EF -:044AC40000000000EE -:044AC50000000000ED -:044AC60000000000EC -:044AC70000000000EB -:044AC80000000000EA -:044AC90000000000E9 -:044ACA0000000000E8 -:044ACB0000000000E7 -:044ACC0000000000E6 -:044ACD0000000000E5 -:044ACE0000000000E4 -:044ACF0000000000E3 -:044AD00000000000E2 -:044AD10000000000E1 -:044AD20000000000E0 -:044AD30000000000DF -:044AD40000000000DE -:044AD50000000000DD -:044AD60000000000DC -:044AD70000000000DB -:044AD80000000000DA -:044AD90000000000D9 -:044ADA0000000000D8 -:044ADB0000000000D7 -:044ADC0000000000D6 -:044ADD0000000000D5 -:044ADE0000000000D4 -:044ADF0000000000D3 -:044AE00000000000D2 -:044AE10000000000D1 -:044AE20000000000D0 -:044AE30000000000CF -:044AE40000000000CE -:044AE50000000000CD -:044AE60000000000CC -:044AE70000000000CB -:044AE80000000000CA -:044AE90000000000C9 -:044AEA0000000000C8 -:044AEB0000000000C7 -:044AEC0000000000C6 -:044AED0000000000C5 -:044AEE0000000000C4 -:044AEF0000000000C3 -:044AF00000000000C2 -:044AF10000000000C1 -:044AF20000000000C0 -:044AF30000000000BF -:044AF40000000000BE -:044AF50000000000BD -:044AF60000000000BC -:044AF70000000000BB -:044AF80000000000BA -:044AF90000000000B9 -:044AFA0000000000B8 -:044AFB0000000000B7 -:044AFC0000000000B6 -:044AFD0000000000B5 -:044AFE0000000000B4 -:044AFF0000000000B3 -:044B000000000000B1 -:044B010000000000B0 -:044B020000000000AF -:044B030000000000AE -:044B040000000000AD -:044B050000000000AC -:044B060000000000AB -:044B070000000000AA -:044B080000000000A9 -:044B090000000000A8 -:044B0A0000000000A7 -:044B0B0000000000A6 -:044B0C0000000000A5 -:044B0D0000000000A4 -:044B0E0000000000A3 -:044B0F0000000000A2 -:044B100000000000A1 -:044B110000000000A0 -:044B1200000000009F -:044B1300000000009E -:044B1400000000009D -:044B1500000000009C -:044B1600000000009B -:044B1700000000009A -:044B18000000000099 -:044B19000000000098 -:044B1A000000000097 -:044B1B000000000096 -:044B1C000000000095 -:044B1D000000000094 -:044B1E000000000093 -:044B1F000000000092 -:044B20000000000091 -:044B21000000000090 -:044B2200000000008F -:044B2300000000008E -:044B2400000000008D -:044B2500000000008C -:044B2600000000008B -:044B2700000000008A -:044B28000000000089 -:044B29000000000088 -:044B2A000000000087 -:044B2B000000000086 -:044B2C000000000085 -:044B2D000000000084 -:044B2E000000000083 -:044B2F000000000082 -:044B30000000000081 -:044B31000000000080 -:044B3200000000007F -:044B3300000000007E -:044B3400000000007D -:044B3500000000007C -:044B3600000000007B -:044B3700000000007A -:044B38000000000079 -:044B39000000000078 -:044B3A000000000077 -:044B3B000000000076 -:044B3C000000000075 -:044B3D000000000074 -:044B3E000000000073 -:044B3F000000000072 -:044B40000000000071 -:044B41000000000070 -:044B4200000000006F -:044B4300000000006E -:044B4400000000006D -:044B4500000000006C -:044B4600000000006B -:044B4700000000006A -:044B48000000000069 -:044B49000000000068 -:044B4A000000000067 -:044B4B000000000066 -:044B4C000000000065 -:044B4D000000000064 -:044B4E000000000063 -:044B4F000000000062 -:044B50000000000061 -:044B51000000000060 -:044B5200000000005F -:044B5300000000005E -:044B5400000000005D -:044B5500000000005C -:044B5600000000005B -:044B5700000000005A -:044B58000000000059 -:044B59000000000058 -:044B5A000000000057 -:044B5B000000000056 -:044B5C000000000055 -:044B5D000000000054 -:044B5E000000000053 -:044B5F000000000052 -:044B60000000000051 -:044B61000000000050 -:044B6200000000004F -:044B6300000000004E -:044B6400000000004D -:044B6500000000004C -:044B6600000000004B -:044B6700000000004A -:044B68000000000049 -:044B69000000000048 -:044B6A000000000047 -:044B6B000000000046 -:044B6C000000000045 -:044B6D000000000044 -:044B6E000000000043 -:044B6F000000000042 -:044B70000000000041 -:044B71000000000040 -:044B7200000000003F -:044B7300000000003E -:044B7400000000003D -:044B7500000000003C -:044B7600000000003B -:044B7700000000003A -:044B78000000000039 -:044B79000000000038 -:044B7A000000000037 -:044B7B000000000036 -:044B7C000000000035 -:044B7D000000000034 -:044B7E000000000033 -:044B7F000000000032 -:044B80000000000031 -:044B81000000000030 -:044B8200000000002F -:044B8300000000002E -:044B8400000000002D -:044B8500000000002C -:044B8600000000002B -:044B8700000000002A -:044B88000000000029 -:044B89000000000028 -:044B8A000000000027 -:044B8B000000000026 -:044B8C000000000025 -:044B8D000000000024 -:044B8E000000000023 -:044B8F000000000022 -:044B90000000000021 -:044B91000000000020 -:044B9200000000001F -:044B9300000000001E -:044B9400000000001D -:044B9500000000001C -:044B9600000000001B -:044B9700000000001A -:044B98000000000019 -:044B99000000000018 -:044B9A000000000017 -:044B9B000000000016 -:044B9C000000000015 -:044B9D000000000014 -:044B9E000000000013 -:044B9F000000000012 -:044BA0000000000011 -:044BA1000000000010 -:044BA200000000000F -:044BA300000000000E -:044BA400000000000D -:044BA500000000000C -:044BA600000000000B -:044BA700000000000A -:044BA8000000000009 -:044BA9000000000008 -:044BAA000000000007 -:044BAB000000000006 -:044BAC000000000005 -:044BAD000000000004 -:044BAE000000000003 -:044BAF000000000002 -:044BB0000000000001 -:044BB1000000000000 -:044BB20000000000FF -:044BB30000000000FE -:044BB40000000000FD -:044BB50000000000FC -:044BB60000000000FB -:044BB70000000000FA -:044BB80000000000F9 -:044BB90000000000F8 -:044BBA0000000000F7 -:044BBB0000000000F6 -:044BBC0000000000F5 -:044BBD0000000000F4 -:044BBE0000000000F3 -:044BBF0000000000F2 -:044BC00000000000F1 -:044BC10000000000F0 -:044BC20000000000EF -:044BC30000000000EE -:044BC40000000000ED -:044BC50000000000EC -:044BC60000000000EB -:044BC70000000000EA -:044BC80000000000E9 -:044BC90000000000E8 -:044BCA0000000000E7 -:044BCB0000000000E6 -:044BCC0000000000E5 -:044BCD0000000000E4 -:044BCE0000000000E3 -:044BCF0000000000E2 -:044BD00000000000E1 -:044BD10000000000E0 -:044BD20000000000DF -:044BD30000000000DE -:044BD40000000000DD -:044BD50000000000DC -:044BD60000000000DB -:044BD70000000000DA -:044BD80000000000D9 -:044BD90000000000D8 -:044BDA0000000000D7 -:044BDB0000000000D6 -:044BDC0000000000D5 -:044BDD0000000000D4 -:044BDE0000000000D3 -:044BDF0000000000D2 -:044BE00000000000D1 -:044BE10000000000D0 -:044BE20000000000CF -:044BE30000000000CE -:044BE40000000000CD -:044BE50000000000CC -:044BE60000000000CB -:044BE70000000000CA -:044BE80000000000C9 -:044BE90000000000C8 -:044BEA0000000000C7 -:044BEB0000000000C6 -:044BEC0000000000C5 -:044BED0000000000C4 -:044BEE0000000000C3 -:044BEF0000000000C2 -:044BF00000000000C1 -:044BF10000000000C0 -:044BF20000000000BF -:044BF30000000000BE -:044BF40000000000BD -:044BF50000000000BC -:044BF60000000000BB -:044BF70000000000BA -:044BF80000000000B9 -:044BF90000000000B8 -:044BFA0000000000B7 -:044BFB0000000000B6 -:044BFC0000000000B5 -:044BFD0000000000B4 -:044BFE0000000000B3 -:044BFF0000000000B2 -:044C000000000000B0 -:044C010000000000AF -:044C020000000000AE -:044C030000000000AD -:044C040000000000AC -:044C050000000000AB -:044C060000000000AA -:044C070000000000A9 -:044C080000000000A8 -:044C090000000000A7 -:044C0A0000000000A6 -:044C0B0000000000A5 -:044C0C0000000000A4 -:044C0D0000000000A3 -:044C0E0000000000A2 -:044C0F0000000000A1 -:044C100000000000A0 -:044C1100000000009F -:044C1200000000009E -:044C1300000000009D -:044C1400000000009C -:044C1500000000009B -:044C1600000000009A -:044C17000000000099 -:044C18000000000098 -:044C19000000000097 -:044C1A000000000096 -:044C1B000000000095 -:044C1C000000000094 -:044C1D000000000093 -:044C1E000000000092 -:044C1F000000000091 -:044C20000000000090 -:044C2100000000008F -:044C2200000000008E -:044C2300000000008D -:044C2400000000008C -:044C2500000000008B -:044C2600000000008A -:044C27000000000089 -:044C28000000000088 -:044C29000000000087 -:044C2A000000000086 -:044C2B000000000085 -:044C2C000000000084 -:044C2D000000000083 -:044C2E000000000082 -:044C2F000000000081 -:044C30000000000080 -:044C3100000000007F -:044C3200000000007E -:044C3300000000007D -:044C3400000000007C -:044C3500000000007B -:044C3600000000007A -:044C37000000000079 -:044C38000000000078 -:044C39000000000077 -:044C3A000000000076 -:044C3B000000000075 -:044C3C000000000074 -:044C3D000000000073 -:044C3E000000000072 -:044C3F000000000071 -:044C40000000000070 -:044C4100000000006F -:044C4200000000006E -:044C4300000000006D -:044C4400000000006C -:044C4500000000006B -:044C4600000000006A -:044C47000000000069 -:044C48000000000068 -:044C49000000000067 -:044C4A000000000066 -:044C4B000000000065 -:044C4C000000000064 -:044C4D000000000063 -:044C4E000000000062 -:044C4F000000000061 -:044C50000000000060 -:044C5100000000005F -:044C5200000000005E -:044C5300000000005D -:044C5400000000005C -:044C5500000000005B -:044C5600000000005A -:044C57000000000059 -:044C58000000000058 -:044C59000000000057 -:044C5A000000000056 -:044C5B000000000055 -:044C5C000000000054 -:044C5D000000000053 -:044C5E000000000052 -:044C5F000000000051 -:044C60000000000050 -:044C6100000000004F -:044C6200000000004E -:044C6300000000004D -:044C6400000000004C -:044C6500000000004B -:044C6600000000004A -:044C67000000000049 -:044C68000000000048 -:044C69000000000047 -:044C6A000000000046 -:044C6B000000000045 -:044C6C000000000044 -:044C6D000000000043 -:044C6E000000000042 -:044C6F000000000041 -:044C70000000000040 -:044C7100000000003F -:044C7200000000003E -:044C7300000000003D -:044C7400000000003C -:044C7500000000003B -:044C7600000000003A -:044C77000000000039 -:044C78000000000038 -:044C79000000000037 -:044C7A000000000036 -:044C7B000000000035 -:044C7C000000000034 -:044C7D000000000033 -:044C7E000000000032 -:044C7F000000000031 -:044C80000000000030 -:044C8100000000002F -:044C8200000000002E -:044C8300000000002D -:044C8400000000002C -:044C8500000000002B -:044C8600000000002A -:044C87000000000029 -:044C88000000000028 -:044C89000000000027 -:044C8A000000000026 -:044C8B000000000025 -:044C8C000000000024 -:044C8D000000000023 -:044C8E000000000022 -:044C8F000000000021 -:044C90000000000020 -:044C9100000000001F -:044C9200000000001E -:044C9300000000001D -:044C9400000000001C -:044C9500000000001B -:044C9600000000001A -:044C97000000000019 -:044C98000000000018 -:044C99000000000017 -:044C9A000000000016 -:044C9B000000000015 -:044C9C000000000014 -:044C9D000000000013 -:044C9E000000000012 -:044C9F000000000011 -:044CA0000000000010 -:044CA100000000000F -:044CA200000000000E -:044CA300000000000D -:044CA400000000000C -:044CA500000000000B -:044CA600000000000A -:044CA7000000000009 -:044CA8000000000008 -:044CA9000000000007 -:044CAA000000000006 -:044CAB000000000005 -:044CAC000000000004 -:044CAD000000000003 -:044CAE000000000002 -:044CAF000000000001 -:044CB0000000000000 -:044CB10000000000FF -:044CB20000000000FE -:044CB30000000000FD -:044CB40000000000FC -:044CB50000000000FB -:044CB60000000000FA -:044CB70000000000F9 -:044CB80000000000F8 -:044CB90000000000F7 -:044CBA0000000000F6 -:044CBB0000000000F5 -:044CBC0000000000F4 -:044CBD0000000000F3 -:044CBE0000000000F2 -:044CBF0000000000F1 -:044CC00000000000F0 -:044CC10000000000EF -:044CC20000000000EE -:044CC30000000000ED -:044CC40000000000EC -:044CC50000000000EB -:044CC60000000000EA -:044CC70000000000E9 -:044CC80000000000E8 -:044CC90000000000E7 -:044CCA0000000000E6 -:044CCB0000000000E5 -:044CCC0000000000E4 -:044CCD0000000000E3 -:044CCE0000000000E2 -:044CCF0000000000E1 -:044CD00000000000E0 -:044CD10000000000DF -:044CD20000000000DE -:044CD30000000000DD -:044CD40000000000DC -:044CD50000000000DB -:044CD60000000000DA -:044CD70000000000D9 -:044CD80000000000D8 -:044CD90000000000D7 -:044CDA0000000000D6 -:044CDB0000000000D5 -:044CDC0000000000D4 -:044CDD0000000000D3 -:044CDE0000000000D2 -:044CDF0000000000D1 -:044CE00000000000D0 -:044CE10000000000CF -:044CE20000000000CE -:044CE30000000000CD -:044CE40000000000CC -:044CE50000000000CB -:044CE60000000000CA -:044CE70000000000C9 -:044CE80000000000C8 -:044CE90000000000C7 -:044CEA0000000000C6 -:044CEB0000000000C5 -:044CEC0000000000C4 -:044CED0000000000C3 -:044CEE0000000000C2 -:044CEF0000000000C1 -:044CF00000000000C0 -:044CF10000000000BF -:044CF20000000000BE -:044CF30000000000BD -:044CF40000000000BC -:044CF50000000000BB -:044CF60000000000BA -:044CF70000000000B9 -:044CF80000000000B8 -:044CF90000000000B7 -:044CFA0000000000B6 -:044CFB0000000000B5 -:044CFC0000000000B4 -:044CFD0000000000B3 -:044CFE0000000000B2 -:044CFF0000000000B1 -:044D000000000000AF -:044D010000000000AE -:044D020000000000AD -:044D030000000000AC -:044D040000000000AB -:044D050000000000AA -:044D060000000000A9 -:044D070000000000A8 -:044D080000000000A7 -:044D090000000000A6 -:044D0A0000000000A5 -:044D0B0000000000A4 -:044D0C0000000000A3 -:044D0D0000000000A2 -:044D0E0000000000A1 -:044D0F0000000000A0 -:044D1000000000009F -:044D1100000000009E -:044D1200000000009D -:044D1300000000009C -:044D1400000000009B -:044D1500000000009A -:044D16000000000099 -:044D17000000000098 -:044D18000000000097 -:044D19000000000096 -:044D1A000000000095 -:044D1B000000000094 -:044D1C000000000093 -:044D1D000000000092 -:044D1E000000000091 -:044D1F000000000090 -:044D2000000000008F -:044D2100000000008E -:044D2200000000008D -:044D2300000000008C -:044D2400000000008B -:044D2500000000008A -:044D26000000000089 -:044D27000000000088 -:044D28000000000087 -:044D29000000000086 -:044D2A000000000085 -:044D2B000000000084 -:044D2C000000000083 -:044D2D000000000082 -:044D2E000000000081 -:044D2F000000000080 -:044D3000000000007F -:044D3100000000007E -:044D3200000000007D -:044D3300000000007C -:044D3400000000007B -:044D3500000000007A -:044D36000000000079 -:044D37000000000078 -:044D38000000000077 -:044D39000000000076 -:044D3A000000000075 -:044D3B000000000074 -:044D3C000000000073 -:044D3D000000000072 -:044D3E000000000071 -:044D3F000000000070 -:044D4000000000006F -:044D4100000000006E -:044D4200000000006D -:044D4300000000006C -:044D4400000000006B -:044D4500000000006A -:044D46000000000069 -:044D47000000000068 -:044D48000000000067 -:044D49000000000066 -:044D4A000000000065 -:044D4B000000000064 -:044D4C000000000063 -:044D4D000000000062 -:044D4E000000000061 -:044D4F000000000060 -:044D5000000000005F -:044D5100000000005E -:044D5200000000005D -:044D5300000000005C -:044D5400000000005B -:044D5500000000005A -:044D56000000000059 -:044D57000000000058 -:044D58000000000057 -:044D59000000000056 -:044D5A000000000055 -:044D5B000000000054 -:044D5C000000000053 -:044D5D000000000052 -:044D5E000000000051 -:044D5F000000000050 -:044D6000000000004F -:044D6100000000004E -:044D6200000000004D -:044D6300000000004C -:044D6400000000004B -:044D6500000000004A -:044D66000000000049 -:044D67000000000048 -:044D68000000000047 -:044D69000000000046 -:044D6A000000000045 -:044D6B000000000044 -:044D6C000000000043 -:044D6D000000000042 -:044D6E000000000041 -:044D6F000000000040 -:044D7000000000003F -:044D7100000000003E -:044D7200000000003D -:044D7300000000003C -:044D7400000000003B -:044D7500000000003A -:044D76000000000039 -:044D77000000000038 -:044D78000000000037 -:044D79000000000036 -:044D7A000000000035 -:044D7B000000000034 -:044D7C000000000033 -:044D7D000000000032 -:044D7E000000000031 -:044D7F000000000030 -:044D8000000000002F -:044D8100000000002E -:044D8200000000002D -:044D8300000000002C -:044D8400000000002B -:044D8500000000002A -:044D86000000000029 -:044D87000000000028 -:044D88000000000027 -:044D89000000000026 -:044D8A000000000025 -:044D8B000000000024 -:044D8C000000000023 -:044D8D000000000022 -:044D8E000000000021 -:044D8F000000000020 -:044D9000000000001F -:044D9100000000001E -:044D9200000000001D -:044D9300000000001C -:044D9400000000001B -:044D9500000000001A -:044D96000000000019 -:044D97000000000018 -:044D98000000000017 -:044D99000000000016 -:044D9A000000000015 -:044D9B000000000014 -:044D9C000000000013 -:044D9D000000000012 -:044D9E000000000011 -:044D9F000000000010 -:044DA000000000000F -:044DA100000000000E -:044DA200000000000D -:044DA300000000000C -:044DA400000000000B -:044DA500000000000A -:044DA6000000000009 -:044DA7000000000008 -:044DA8000000000007 -:044DA9000000000006 -:044DAA000000000005 -:044DAB000000000004 -:044DAC000000000003 -:044DAD000000000002 -:044DAE000000000001 -:044DAF000000000000 -:044DB00000000000FF -:044DB10000000000FE -:044DB20000000000FD -:044DB30000000000FC -:044DB40000000000FB -:044DB50000000000FA -:044DB60000000000F9 -:044DB70000000000F8 -:044DB80000000000F7 -:044DB90000000000F6 -:044DBA0000000000F5 -:044DBB0000000000F4 -:044DBC0000000000F3 -:044DBD0000000000F2 -:044DBE0000000000F1 -:044DBF0000000000F0 -:044DC00000000000EF -:044DC10000000000EE -:044DC20000000000ED -:044DC30000000000EC -:044DC40000000000EB -:044DC50000000000EA -:044DC60000000000E9 -:044DC70000000000E8 -:044DC80000000000E7 -:044DC90000000000E6 -:044DCA0000000000E5 -:044DCB0000000000E4 -:044DCC0000000000E3 -:044DCD0000000000E2 -:044DCE0000000000E1 -:044DCF0000000000E0 -:044DD00000000000DF -:044DD10000000000DE -:044DD20000000000DD -:044DD30000000000DC -:044DD40000000000DB -:044DD50000000000DA -:044DD60000000000D9 -:044DD70000000000D8 -:044DD80000000000D7 -:044DD90000000000D6 -:044DDA0000000000D5 -:044DDB0000000000D4 -:044DDC0000000000D3 -:044DDD0000000000D2 -:044DDE0000000000D1 -:044DDF0000000000D0 -:044DE00000000000CF -:044DE10000000000CE -:044DE20000000000CD -:044DE30000000000CC -:044DE40000000000CB -:044DE50000000000CA -:044DE60000000000C9 -:044DE70000000000C8 -:044DE80000000000C7 -:044DE90000000000C6 -:044DEA0000000000C5 -:044DEB0000000000C4 -:044DEC0000000000C3 -:044DED0000000000C2 -:044DEE0000000000C1 -:044DEF0000000000C0 -:044DF00000000000BF -:044DF10000000000BE -:044DF20000000000BD -:044DF30000000000BC -:044DF40000000000BB -:044DF50000000000BA -:044DF60000000000B9 -:044DF70000000000B8 -:044DF80000000000B7 -:044DF90000000000B6 -:044DFA0000000000B5 -:044DFB0000000000B4 -:044DFC0000000000B3 -:044DFD0000000000B2 -:044DFE0000000000B1 -:044DFF0000000000B0 -:044E000000000000AE -:044E010000000000AD -:044E020000000000AC -:044E030000000000AB -:044E040000000000AA -:044E050000000000A9 -:044E060000000000A8 -:044E070000000000A7 -:044E080000000000A6 -:044E090000000000A5 -:044E0A0000000000A4 -:044E0B0000000000A3 -:044E0C0000000000A2 -:044E0D0000000000A1 -:044E0E0000000000A0 -:044E0F00000000009F -:044E1000000000009E -:044E1100000000009D -:044E1200000000009C -:044E1300000000009B -:044E1400000000009A -:044E15000000000099 -:044E16000000000098 -:044E17000000000097 -:044E18000000000096 -:044E19000000000095 -:044E1A000000000094 -:044E1B000000000093 -:044E1C000000000092 -:044E1D000000000091 -:044E1E000000000090 -:044E1F00000000008F -:044E2000000000008E -:044E2100000000008D -:044E2200000000008C -:044E2300000000008B -:044E2400000000008A -:044E25000000000089 -:044E26000000000088 -:044E27000000000087 -:044E28000000000086 -:044E29000000000085 -:044E2A000000000084 -:044E2B000000000083 -:044E2C000000000082 -:044E2D000000000081 -:044E2E000000000080 -:044E2F00000000007F -:044E3000000000007E -:044E3100000000007D -:044E3200000000007C -:044E3300000000007B -:044E3400000000007A -:044E35000000000079 -:044E36000000000078 -:044E37000000000077 -:044E38000000000076 -:044E39000000000075 -:044E3A000000000074 -:044E3B000000000073 -:044E3C000000000072 -:044E3D000000000071 -:044E3E000000000070 -:044E3F00000000006F -:044E4000000000006E -:044E4100000000006D -:044E4200000000006C -:044E4300000000006B -:044E4400000000006A -:044E45000000000069 -:044E46000000000068 -:044E47000000000067 -:044E48000000000066 -:044E49000000000065 -:044E4A000000000064 -:044E4B000000000063 -:044E4C000000000062 -:044E4D000000000061 -:044E4E000000000060 -:044E4F00000000005F -:044E5000000000005E -:044E5100000000005D -:044E5200000000005C -:044E5300000000005B -:044E5400000000005A -:044E55000000000059 -:044E56000000000058 -:044E57000000000057 -:044E58000000000056 -:044E59000000000055 -:044E5A000000000054 -:044E5B000000000053 -:044E5C000000000052 -:044E5D000000000051 -:044E5E000000000050 -:044E5F00000000004F -:044E6000000000004E -:044E6100000000004D -:044E6200000000004C -:044E6300000000004B -:044E6400000000004A -:044E65000000000049 -:044E66000000000048 -:044E67000000000047 -:044E68000000000046 -:044E69000000000045 -:044E6A000000000044 -:044E6B000000000043 -:044E6C000000000042 -:044E6D000000000041 -:044E6E000000000040 -:044E6F00000000003F -:044E7000000000003E -:044E7100000000003D -:044E7200000000003C -:044E7300000000003B -:044E7400000000003A -:044E75000000000039 -:044E76000000000038 -:044E77000000000037 -:044E78000000000036 -:044E79000000000035 -:044E7A000000000034 -:044E7B000000000033 -:044E7C000000000032 -:044E7D000000000031 -:044E7E000000000030 -:044E7F00000000002F -:044E8000000000002E -:044E8100000000002D -:044E8200000000002C -:044E8300000000002B -:044E8400000000002A -:044E85000000000029 -:044E86000000000028 -:044E87000000000027 -:044E88000000000026 -:044E89000000000025 -:044E8A000000000024 -:044E8B000000000023 -:044E8C000000000022 -:044E8D000000000021 -:044E8E000000000020 -:044E8F00000000001F -:044E9000000000001E -:044E9100000000001D -:044E9200000000001C -:044E9300000000001B -:044E9400000000001A -:044E95000000000019 -:044E96000000000018 -:044E97000000000017 -:044E98000000000016 -:044E99000000000015 -:044E9A000000000014 -:044E9B000000000013 -:044E9C000000000012 -:044E9D000000000011 -:044E9E000000000010 -:044E9F00000000000F -:044EA000000000000E -:044EA100000000000D -:044EA200000000000C -:044EA300000000000B -:044EA400000000000A -:044EA5000000000009 -:044EA6000000000008 -:044EA7000000000007 -:044EA8000000000006 -:044EA9000000000005 -:044EAA000000000004 -:044EAB000000000003 -:044EAC000000000002 -:044EAD000000000001 -:044EAE000000000000 -:044EAF0000000000FF -:044EB00000000000FE -:044EB10000000000FD -:044EB20000000000FC -:044EB30000000000FB -:044EB40000000000FA -:044EB50000000000F9 -:044EB60000000000F8 -:044EB70000000000F7 -:044EB80000000000F6 -:044EB90000000000F5 -:044EBA0000000000F4 -:044EBB0000000000F3 -:044EBC0000000000F2 -:044EBD0000000000F1 -:044EBE0000000000F0 -:044EBF0000000000EF -:044EC00000000000EE -:044EC10000000000ED -:044EC20000000000EC -:044EC30000000000EB -:044EC40000000000EA -:044EC50000000000E9 -:044EC60000000000E8 -:044EC70000000000E7 -:044EC80000000000E6 -:044EC90000000000E5 -:044ECA0000000000E4 -:044ECB0000000000E3 -:044ECC0000000000E2 -:044ECD0000000000E1 -:044ECE0000000000E0 -:044ECF0000000000DF -:044ED00000000000DE -:044ED10000000000DD -:044ED20000000000DC -:044ED30000000000DB -:044ED40000000000DA -:044ED50000000000D9 -:044ED60000000000D8 -:044ED70000000000D7 -:044ED80000000000D6 -:044ED90000000000D5 -:044EDA0000000000D4 -:044EDB0000000000D3 -:044EDC0000000000D2 -:044EDD0000000000D1 -:044EDE0000000000D0 -:044EDF0000000000CF -:044EE00000000000CE -:044EE10000000000CD -:044EE20000000000CC -:044EE30000000000CB -:044EE40000000000CA -:044EE50000000000C9 -:044EE60000000000C8 -:044EE70000000000C7 -:044EE80000000000C6 -:044EE90000000000C5 -:044EEA0000000000C4 -:044EEB0000000000C3 -:044EEC0000000000C2 -:044EED0000000000C1 -:044EEE0000000000C0 -:044EEF0000000000BF -:044EF00000000000BE -:044EF10000000000BD -:044EF20000000000BC -:044EF30000000000BB -:044EF40000000000BA -:044EF50000000000B9 -:044EF60000000000B8 -:044EF70000000000B7 -:044EF80000000000B6 -:044EF90000000000B5 -:044EFA0000000000B4 -:044EFB0000000000B3 -:044EFC0000000000B2 -:044EFD0000000000B1 -:044EFE0000000000B0 -:044EFF0000000000AF -:044F000000000000AD -:044F010000000000AC -:044F020000000000AB -:044F030000000000AA -:044F040000000000A9 -:044F050000000000A8 -:044F060000000000A7 -:044F070000000000A6 -:044F080000000000A5 -:044F090000000000A4 -:044F0A0000000000A3 -:044F0B0000000000A2 -:044F0C0000000000A1 -:044F0D0000000000A0 -:044F0E00000000009F -:044F0F00000000009E -:044F1000000000009D -:044F1100000000009C -:044F1200000000009B -:044F1300000000009A -:044F14000000000099 -:044F15000000000098 -:044F16000000000097 -:044F17000000000096 -:044F18000000000095 -:044F19000000000094 -:044F1A000000000093 -:044F1B000000000092 -:044F1C000000000091 -:044F1D000000000090 -:044F1E00000000008F -:044F1F00000000008E -:044F2000000000008D -:044F2100000000008C -:044F2200000000008B -:044F2300000000008A -:044F24000000000089 -:044F25000000000088 -:044F26000000000087 -:044F27000000000086 -:044F28000000000085 -:044F29000000000084 -:044F2A000000000083 -:044F2B000000000082 -:044F2C000000000081 -:044F2D000000000080 -:044F2E00000000007F -:044F2F00000000007E -:044F3000000000007D -:044F3100000000007C -:044F3200000000007B -:044F3300000000007A -:044F34000000000079 -:044F35000000000078 -:044F36000000000077 -:044F37000000000076 -:044F38000000000075 -:044F39000000000074 -:044F3A000000000073 -:044F3B000000000072 -:044F3C000000000071 -:044F3D000000000070 -:044F3E00000000006F -:044F3F00000000006E -:044F4000000000006D -:044F4100000000006C -:044F4200000000006B -:044F4300000000006A -:044F44000000000069 -:044F45000000000068 -:044F46000000000067 -:044F47000000000066 -:044F48000000000065 -:044F49000000000064 -:044F4A000000000063 -:044F4B000000000062 -:044F4C000000000061 -:044F4D000000000060 -:044F4E00000000005F -:044F4F00000000005E -:044F5000000000005D -:044F5100000000005C -:044F5200000000005B -:044F5300000000005A -:044F54000000000059 -:044F55000000000058 -:044F56000000000057 -:044F57000000000056 -:044F58000000000055 -:044F59000000000054 -:044F5A000000000053 -:044F5B000000000052 -:044F5C000000000051 -:044F5D000000000050 -:044F5E00000000004F -:044F5F00000000004E -:044F6000000000004D -:044F6100000000004C -:044F6200000000004B -:044F6300000000004A -:044F64000000000049 -:044F65000000000048 -:044F66000000000047 -:044F67000000000046 -:044F68000000000045 -:044F69000000000044 -:044F6A000000000043 -:044F6B000000000042 -:044F6C000000000041 -:044F6D000000000040 -:044F6E00000000003F -:044F6F00000000003E -:044F7000000000003D -:044F7100000000003C -:044F7200000000003B -:044F7300000000003A -:044F74000000000039 -:044F75000000000038 -:044F76000000000037 -:044F77000000000036 -:044F78000000000035 -:044F79000000000034 -:044F7A000000000033 -:044F7B000000000032 -:044F7C000000000031 -:044F7D000000000030 -:044F7E00000000002F -:044F7F00000000002E -:044F8000000000002D -:044F8100000000002C -:044F8200000000002B -:044F8300000000002A -:044F84000000000029 -:044F85000000000028 -:044F86000000000027 -:044F87000000000026 -:044F88000000000025 -:044F89000000000024 -:044F8A000000000023 -:044F8B000000000022 -:044F8C000000000021 -:044F8D000000000020 -:044F8E00000000001F -:044F8F00000000001E -:044F9000000000001D -:044F9100000000001C -:044F9200000000001B -:044F9300000000001A -:044F94000000000019 -:044F95000000000018 -:044F96000000000017 -:044F97000000000016 -:044F98000000000015 -:044F99000000000014 -:044F9A000000000013 -:044F9B000000000012 -:044F9C000000000011 -:044F9D000000000010 -:044F9E00000000000F -:044F9F00000000000E -:044FA000000000000D -:044FA100000000000C -:044FA200000000000B -:044FA300000000000A -:044FA4000000000009 -:044FA5000000000008 -:044FA6000000000007 -:044FA7000000000006 -:044FA8000000000005 -:044FA9000000000004 -:044FAA000000000003 -:044FAB000000000002 -:044FAC000000000001 -:044FAD000000000000 -:044FAE0000000000FF -:044FAF0000000000FE -:044FB00000000000FD -:044FB10000000000FC -:044FB20000000000FB -:044FB30000000000FA -:044FB40000000000F9 -:044FB50000000000F8 -:044FB60000000000F7 -:044FB70000000000F6 -:044FB80000000000F5 -:044FB90000000000F4 -:044FBA0000000000F3 -:044FBB0000000000F2 -:044FBC0000000000F1 -:044FBD0000000000F0 -:044FBE0000000000EF -:044FBF0000000000EE -:044FC00000000000ED -:044FC10000000000EC -:044FC20000000000EB -:044FC30000000000EA -:044FC40000000000E9 -:044FC50000000000E8 -:044FC60000000000E7 -:044FC70000000000E6 -:044FC80000000000E5 -:044FC90000000000E4 -:044FCA0000000000E3 -:044FCB0000000000E2 -:044FCC0000000000E1 -:044FCD0000000000E0 -:044FCE0000000000DF -:044FCF0000000000DE -:044FD00000000000DD -:044FD10000000000DC -:044FD20000000000DB -:044FD30000000000DA -:044FD40000000000D9 -:044FD50000000000D8 -:044FD60000000000D7 -:044FD70000000000D6 -:044FD80000000000D5 -:044FD90000000000D4 -:044FDA0000000000D3 -:044FDB0000000000D2 -:044FDC0000000000D1 -:044FDD0000000000D0 -:044FDE0000000000CF -:044FDF0000000000CE -:044FE00000000000CD -:044FE10000000000CC -:044FE20000000000CB -:044FE30000000000CA -:044FE40000000000C9 -:044FE50000000000C8 -:044FE60000000000C7 -:044FE70000000000C6 -:044FE80000000000C5 -:044FE90000000000C4 -:044FEA0000000000C3 -:044FEB0000000000C2 -:044FEC0000000000C1 -:044FED0000000000C0 -:044FEE0000000000BF -:044FEF0000000000BE -:044FF00000000000BD -:044FF10000000000BC -:044FF20000000000BB -:044FF30000000000BA -:044FF40000000000B9 -:044FF50000000000B8 -:044FF60000000000B7 -:044FF70000000000B6 -:044FF80000000000B5 -:044FF90000000000B4 -:044FFA0000000000B3 -:044FFB0000000000B2 -:044FFC0000000000B1 -:044FFD0000000000B0 -:044FFE0000000000AF -:044FFF0000000000AE -:0450000000000000AC -:0450010000000000AB -:0450020000000000AA -:0450030000000000A9 -:0450040000000000A8 -:0450050000000000A7 -:0450060000000000A6 -:0450070000000000A5 -:0450080000000000A4 -:0450090000000000A3 -:04500A0000000000A2 -:04500B0000000000A1 -:04500C0000000000A0 -:04500D00000000009F -:04500E00000000009E -:04500F00000000009D -:04501000000000009C -:04501100000000009B -:04501200000000009A -:045013000000000099 -:045014000000000098 -:045015000000000097 -:045016000000000096 -:045017000000000095 -:045018000000000094 -:045019000000000093 -:04501A000000000092 -:04501B000000000091 -:04501C000000000090 -:04501D00000000008F -:04501E00000000008E -:04501F00000000008D -:04502000000000008C -:04502100000000008B -:04502200000000008A -:045023000000000089 -:045024000000000088 -:045025000000000087 -:045026000000000086 -:045027000000000085 -:045028000000000084 -:045029000000000083 -:04502A000000000082 -:04502B000000000081 -:04502C000000000080 -:04502D00000000007F -:04502E00000000007E -:04502F00000000007D -:04503000000000007C -:04503100000000007B -:04503200000000007A -:045033000000000079 -:045034000000000078 -:045035000000000077 -:045036000000000076 -:045037000000000075 -:045038000000000074 -:045039000000000073 -:04503A000000000072 -:04503B000000000071 -:04503C000000000070 -:04503D00000000006F -:04503E00000000006E -:04503F00000000006D -:04504000000000006C -:04504100000000006B -:04504200000000006A -:045043000000000069 -:045044000000000068 -:045045000000000067 -:045046000000000066 -:045047000000000065 -:045048000000000064 -:045049000000000063 -:04504A000000000062 -:04504B000000000061 -:04504C000000000060 -:04504D00000000005F -:04504E00000000005E -:04504F00000000005D -:04505000000000005C -:04505100000000005B -:04505200000000005A -:045053000000000059 -:045054000000000058 -:045055000000000057 -:045056000000000056 -:045057000000000055 -:045058000000000054 -:045059000000000053 -:04505A000000000052 -:04505B000000000051 -:04505C000000000050 -:04505D00000000004F -:04505E00000000004E -:04505F00000000004D -:04506000000000004C -:04506100000000004B -:04506200000000004A -:045063000000000049 -:045064000000000048 -:045065000000000047 -:045066000000000046 -:045067000000000045 -:045068000000000044 -:045069000000000043 -:04506A000000000042 -:04506B000000000041 -:04506C000000000040 -:04506D00000000003F -:04506E00000000003E -:04506F00000000003D -:04507000000000003C -:04507100000000003B -:04507200000000003A -:045073000000000039 -:045074000000000038 -:045075000000000037 -:045076000000000036 -:045077000000000035 -:045078000000000034 -:045079000000000033 -:04507A000000000032 -:04507B000000000031 -:04507C000000000030 -:04507D00000000002F -:04507E00000000002E -:04507F00000000002D -:04508000000000002C -:04508100000000002B -:04508200000000002A -:045083000000000029 -:045084000000000028 -:045085000000000027 -:045086000000000026 -:045087000000000025 -:045088000000000024 -:045089000000000023 -:04508A000000000022 -:04508B000000000021 -:04508C000000000020 -:04508D00000000001F -:04508E00000000001E -:04508F00000000001D -:04509000000000001C -:04509100000000001B -:04509200000000001A -:045093000000000019 -:045094000000000018 -:045095000000000017 -:045096000000000016 -:045097000000000015 -:045098000000000014 -:045099000000000013 -:04509A000000000012 -:04509B000000000011 -:04509C000000000010 -:04509D00000000000F -:04509E00000000000E -:04509F00000000000D -:0450A000000000000C -:0450A100000000000B -:0450A200000000000A -:0450A3000000000009 -:0450A4000000000008 -:0450A5000000000007 -:0450A6000000000006 -:0450A7000000000005 -:0450A8000000000004 -:0450A9000000000003 -:0450AA000000000002 -:0450AB000000000001 -:0450AC000000000000 -:0450AD0000000000FF -:0450AE0000000000FE -:0450AF0000000000FD -:0450B00000000000FC -:0450B10000000000FB -:0450B20000000000FA -:0450B30000000000F9 -:0450B40000000000F8 -:0450B50000000000F7 -:0450B60000000000F6 -:0450B70000000000F5 -:0450B80000000000F4 -:0450B90000000000F3 -:0450BA0000000000F2 -:0450BB0000000000F1 -:0450BC0000000000F0 -:0450BD0000000000EF -:0450BE0000000000EE -:0450BF0000000000ED -:0450C00000000000EC -:0450C10000000000EB -:0450C20000000000EA -:0450C30000000000E9 -:0450C40000000000E8 -:0450C50000000000E7 -:0450C60000000000E6 -:0450C70000000000E5 -:0450C80000000000E4 -:0450C90000000000E3 -:0450CA0000000000E2 -:0450CB0000000000E1 -:0450CC0000000000E0 -:0450CD0000000000DF -:0450CE0000000000DE -:0450CF0000000000DD -:0450D00000000000DC -:0450D10000000000DB -:0450D20000000000DA -:0450D30000000000D9 -:0450D40000000000D8 -:0450D50000000000D7 -:0450D60000000000D6 -:0450D70000000000D5 -:0450D80000000000D4 -:0450D90000000000D3 -:0450DA0000000000D2 -:0450DB0000000000D1 -:0450DC0000000000D0 -:0450DD0000000000CF -:0450DE0000000000CE -:0450DF0000000000CD -:0450E00000000000CC -:0450E10000000000CB -:0450E20000000000CA -:0450E30000000000C9 -:0450E40000000000C8 -:0450E50000000000C7 -:0450E60000000000C6 -:0450E70000000000C5 -:0450E80000000000C4 -:0450E90000000000C3 -:0450EA0000000000C2 -:0450EB0000000000C1 -:0450EC0000000000C0 -:0450ED0000000000BF -:0450EE0000000000BE -:0450EF0000000000BD -:0450F00000000000BC -:0450F10000000000BB -:0450F20000000000BA -:0450F30000000000B9 -:0450F40000000000B8 -:0450F50000000000B7 -:0450F60000000000B6 -:0450F70000000000B5 -:0450F80000000000B4 -:0450F90000000000B3 -:0450FA0000000000B2 -:0450FB0000000000B1 -:0450FC0000000000B0 -:0450FD0000000000AF -:0450FE0000000000AE -:0450FF0000000000AD -:0451000000000000AB -:0451010000000000AA -:0451020000000000A9 -:0451030000000000A8 -:0451040000000000A7 -:0451050000000000A6 -:0451060000000000A5 -:0451070000000000A4 -:0451080000000000A3 -:0451090000000000A2 -:04510A0000000000A1 -:04510B0000000000A0 -:04510C00000000009F -:04510D00000000009E -:04510E00000000009D -:04510F00000000009C -:04511000000000009B -:04511100000000009A -:045112000000000099 -:045113000000000098 -:045114000000000097 -:045115000000000096 -:045116000000000095 -:045117000000000094 -:045118000000000093 -:045119000000000092 -:04511A000000000091 -:04511B000000000090 -:04511C00000000008F -:04511D00000000008E -:04511E00000000008D -:04511F00000000008C -:04512000000000008B -:04512100000000008A -:045122000000000089 -:045123000000000088 -:045124000000000087 -:045125000000000086 -:045126000000000085 -:045127000000000084 -:045128000000000083 -:045129000000000082 -:04512A000000000081 -:04512B000000000080 -:04512C00000000007F -:04512D00000000007E -:04512E00000000007D -:04512F00000000007C -:04513000000000007B -:04513100000000007A -:045132000000000079 -:045133000000000078 -:045134000000000077 -:045135000000000076 -:045136000000000075 -:045137000000000074 -:045138000000000073 -:045139000000000072 -:04513A000000000071 -:04513B000000000070 -:04513C00000000006F -:04513D00000000006E -:04513E00000000006D -:04513F00000000006C -:04514000000000006B -:04514100000000006A -:045142000000000069 -:045143000000000068 -:045144000000000067 -:045145000000000066 -:045146000000000065 -:045147000000000064 -:045148000000000063 -:045149000000000062 -:04514A000000000061 -:04514B000000000060 -:04514C00000000005F -:04514D00000000005E -:04514E00000000005D -:04514F00000000005C -:04515000000000005B -:04515100000000005A -:045152000000000059 -:045153000000000058 -:045154000000000057 -:045155000000000056 -:045156000000000055 -:045157000000000054 -:045158000000000053 -:045159000000000052 -:04515A000000000051 -:04515B000000000050 -:04515C00000000004F -:04515D00000000004E -:04515E00000000004D -:04515F00000000004C -:04516000000000004B -:04516100000000004A -:045162000000000049 -:045163000000000048 -:045164000000000047 -:045165000000000046 -:045166000000000045 -:045167000000000044 -:045168000000000043 -:045169000000000042 -:04516A000000000041 -:04516B000000000040 -:04516C00000000003F -:04516D00000000003E -:04516E00000000003D -:04516F00000000003C -:04517000000000003B -:04517100000000003A -:045172000000000039 -:045173000000000038 -:045174000000000037 -:045175000000000036 -:045176000000000035 -:045177000000000034 -:045178000000000033 -:045179000000000032 -:04517A000000000031 -:04517B000000000030 -:04517C00000000002F -:04517D00000000002E -:04517E00000000002D -:04517F00000000002C -:04518000000000002B -:04518100000000002A -:045182000000000029 -:045183000000000028 -:045184000000000027 -:045185000000000026 -:045186000000000025 -:045187000000000024 -:045188000000000023 -:045189000000000022 -:04518A000000000021 -:04518B000000000020 -:04518C00000000001F -:04518D00000000001E -:04518E00000000001D -:04518F00000000001C -:04519000000000001B -:04519100000000001A -:045192000000000019 -:045193000000000018 -:045194000000000017 -:045195000000000016 -:045196000000000015 -:045197000000000014 -:045198000000000013 -:045199000000000012 -:04519A000000000011 -:04519B000000000010 -:04519C00000000000F -:04519D00000000000E -:04519E00000000000D -:04519F00000000000C -:0451A000000000000B -:0451A100000000000A -:0451A2000000000009 -:0451A3000000000008 -:0451A4000000000007 -:0451A5000000000006 -:0451A6000000000005 -:0451A7000000000004 -:0451A8000000000003 -:0451A9000000000002 -:0451AA000000000001 -:0451AB000000000000 -:0451AC0000000000FF -:0451AD0000000000FE -:0451AE0000000000FD -:0451AF0000000000FC -:0451B00000000000FB -:0451B10000000000FA -:0451B20000000000F9 -:0451B30000000000F8 -:0451B40000000000F7 -:0451B50000000000F6 -:0451B60000000000F5 -:0451B70000000000F4 -:0451B80000000000F3 -:0451B90000000000F2 -:0451BA0000000000F1 -:0451BB0000000000F0 -:0451BC0000000000EF -:0451BD0000000000EE -:0451BE0000000000ED -:0451BF0000000000EC -:0451C00000000000EB -:0451C10000000000EA -:0451C20000000000E9 -:0451C30000000000E8 -:0451C40000000000E7 -:0451C50000000000E6 -:0451C60000000000E5 -:0451C70000000000E4 -:0451C80000000000E3 -:0451C90000000000E2 -:0451CA0000000000E1 -:0451CB0000000000E0 -:0451CC0000000000DF -:0451CD0000000000DE -:0451CE0000000000DD -:0451CF0000000000DC -:0451D00000000000DB -:0451D10000000000DA -:0451D20000000000D9 -:0451D30000000000D8 -:0451D40000000000D7 -:0451D50000000000D6 -:0451D60000000000D5 -:0451D70000000000D4 -:0451D80000000000D3 -:0451D90000000000D2 -:0451DA0000000000D1 -:0451DB0000000000D0 -:0451DC0000000000CF -:0451DD0000000000CE -:0451DE0000000000CD -:0451DF0000000000CC -:0451E00000000000CB -:0451E10000000000CA -:0451E20000000000C9 -:0451E30000000000C8 -:0451E40000000000C7 -:0451E50000000000C6 -:0451E60000000000C5 -:0451E70000000000C4 -:0451E80000000000C3 -:0451E90000000000C2 -:0451EA0000000000C1 -:0451EB0000000000C0 -:0451EC0000000000BF -:0451ED0000000000BE -:0451EE0000000000BD -:0451EF0000000000BC -:0451F00000000000BB -:0451F10000000000BA -:0451F20000000000B9 -:0451F30000000000B8 -:0451F40000000000B7 -:0451F50000000000B6 -:0451F60000000000B5 -:0451F70000000000B4 -:0451F80000000000B3 -:0451F90000000000B2 -:0451FA0000000000B1 -:0451FB0000000000B0 -:0451FC0000000000AF -:0451FD0000000000AE -:0451FE0000000000AD -:0451FF0000000000AC -:0452000000000000AA -:0452010000000000A9 -:0452020000000000A8 -:0452030000000000A7 -:0452040000000000A6 -:0452050000000000A5 -:0452060000000000A4 -:0452070000000000A3 -:0452080000000000A2 -:0452090000000000A1 -:04520A0000000000A0 -:04520B00000000009F -:04520C00000000009E -:04520D00000000009D -:04520E00000000009C -:04520F00000000009B -:04521000000000009A -:045211000000000099 -:045212000000000098 -:045213000000000097 -:045214000000000096 -:045215000000000095 -:045216000000000094 -:045217000000000093 -:045218000000000092 -:045219000000000091 -:04521A000000000090 -:04521B00000000008F -:04521C00000000008E -:04521D00000000008D -:04521E00000000008C -:04521F00000000008B -:04522000000000008A -:045221000000000089 -:045222000000000088 -:045223000000000087 -:045224000000000086 -:045225000000000085 -:045226000000000084 -:045227000000000083 -:045228000000000082 -:045229000000000081 -:04522A000000000080 -:04522B00000000007F -:04522C00000000007E -:04522D00000000007D -:04522E00000000007C -:04522F00000000007B -:04523000000000007A -:045231000000000079 -:045232000000000078 -:045233000000000077 -:045234000000000076 -:045235000000000075 -:045236000000000074 -:045237000000000073 -:045238000000000072 -:045239000000000071 -:04523A000000000070 -:04523B00000000006F -:04523C00000000006E -:04523D00000000006D -:04523E00000000006C -:04523F00000000006B -:04524000000000006A -:045241000000000069 -:045242000000000068 -:045243000000000067 -:045244000000000066 -:045245000000000065 -:045246000000000064 -:045247000000000063 -:045248000000000062 -:045249000000000061 -:04524A000000000060 -:04524B00000000005F -:04524C00000000005E -:04524D00000000005D -:04524E00000000005C -:04524F00000000005B -:04525000000000005A -:045251000000000059 -:045252000000000058 -:045253000000000057 -:045254000000000056 -:045255000000000055 -:045256000000000054 -:045257000000000053 -:045258000000000052 -:045259000000000051 -:04525A000000000050 -:04525B00000000004F -:04525C00000000004E -:04525D00000000004D -:04525E00000000004C -:04525F00000000004B -:04526000000000004A -:045261000000000049 -:045262000000000048 -:045263000000000047 -:045264000000000046 -:045265000000000045 -:045266000000000044 -:045267000000000043 -:045268000000000042 -:045269000000000041 -:04526A000000000040 -:04526B00000000003F -:04526C00000000003E -:04526D00000000003D -:04526E00000000003C -:04526F00000000003B -:04527000000000003A -:045271000000000039 -:045272000000000038 -:045273000000000037 -:045274000000000036 -:045275000000000035 -:045276000000000034 -:045277000000000033 -:045278000000000032 -:045279000000000031 -:04527A000000000030 -:04527B00000000002F -:04527C00000000002E -:04527D00000000002D -:04527E00000000002C -:04527F00000000002B -:04528000000000002A -:045281000000000029 -:045282000000000028 -:045283000000000027 -:045284000000000026 -:045285000000000025 -:045286000000000024 -:045287000000000023 -:045288000000000022 -:045289000000000021 -:04528A000000000020 -:04528B00000000001F -:04528C00000000001E -:04528D00000000001D -:04528E00000000001C -:04528F00000000001B -:04529000000000001A -:045291000000000019 -:045292000000000018 -:045293000000000017 -:045294000000000016 -:045295000000000015 -:045296000000000014 -:045297000000000013 -:045298000000000012 -:045299000000000011 -:04529A000000000010 -:04529B00000000000F -:04529C00000000000E -:04529D00000000000D -:04529E00000000000C -:04529F00000000000B -:0452A000000000000A -:0452A1000000000009 -:0452A2000000000008 -:0452A3000000000007 -:0452A4000000000006 -:0452A5000000000005 -:0452A6000000000004 -:0452A7000000000003 -:0452A8000000000002 -:0452A9000000000001 -:0452AA000000000000 -:0452AB0000000000FF -:0452AC0000000000FE -:0452AD0000000000FD -:0452AE0000000000FC -:0452AF0000000000FB -:0452B00000000000FA -:0452B10000000000F9 -:0452B20000000000F8 -:0452B30000000000F7 -:0452B40000000000F6 -:0452B50000000000F5 -:0452B60000000000F4 -:0452B70000000000F3 -:0452B80000000000F2 -:0452B90000000000F1 -:0452BA0000000000F0 -:0452BB0000000000EF -:0452BC0000000000EE -:0452BD0000000000ED -:0452BE0000000000EC -:0452BF0000000000EB -:0452C00000000000EA -:0452C10000000000E9 -:0452C20000000000E8 -:0452C30000000000E7 -:0452C40000000000E6 -:0452C50000000000E5 -:0452C60000000000E4 -:0452C70000000000E3 -:0452C80000000000E2 -:0452C90000000000E1 -:0452CA0000000000E0 -:0452CB0000000000DF -:0452CC0000000000DE -:0452CD0000000000DD -:0452CE0000000000DC -:0452CF0000000000DB -:0452D00000000000DA -:0452D10000000000D9 -:0452D20000000000D8 -:0452D30000000000D7 -:0452D40000000000D6 -:0452D50000000000D5 -:0452D60000000000D4 -:0452D70000000000D3 -:0452D80000000000D2 -:0452D90000000000D1 -:0452DA0000000000D0 -:0452DB0000000000CF -:0452DC0000000000CE -:0452DD0000000000CD -:0452DE0000000000CC -:0452DF0000000000CB -:0452E00000000000CA -:0452E10000000000C9 -:0452E20000000000C8 -:0452E30000000000C7 -:0452E40000000000C6 -:0452E50000000000C5 -:0452E60000000000C4 -:0452E70000000000C3 -:0452E80000000000C2 -:0452E90000000000C1 -:0452EA0000000000C0 -:0452EB0000000000BF -:0452EC0000000000BE -:0452ED0000000000BD -:0452EE0000000000BC -:0452EF0000000000BB -:0452F00000000000BA -:0452F10000000000B9 -:0452F20000000000B8 -:0452F30000000000B7 -:0452F40000000000B6 -:0452F50000000000B5 -:0452F60000000000B4 -:0452F70000000000B3 -:0452F80000000000B2 -:0452F90000000000B1 -:0452FA0000000000B0 -:0452FB0000000000AF -:0452FC0000000000AE -:0452FD0000000000AD -:0452FE0000000000AC -:0452FF0000000000AB -:0453000000000000A9 -:0453010000000000A8 -:0453020000000000A7 -:0453030000000000A6 -:0453040000000000A5 -:0453050000000000A4 -:0453060000000000A3 -:0453070000000000A2 -:0453080000000000A1 -:0453090000000000A0 -:04530A00000000009F -:04530B00000000009E -:04530C00000000009D -:04530D00000000009C -:04530E00000000009B -:04530F00000000009A -:045310000000000099 -:045311000000000098 -:045312000000000097 -:045313000000000096 -:045314000000000095 -:045315000000000094 -:045316000000000093 -:045317000000000092 -:045318000000000091 -:045319000000000090 -:04531A00000000008F -:04531B00000000008E -:04531C00000000008D -:04531D00000000008C -:04531E00000000008B -:04531F00000000008A -:045320000000000089 -:045321000000000088 -:045322000000000087 -:045323000000000086 -:045324000000000085 -:045325000000000084 -:045326000000000083 -:045327000000000082 -:045328000000000081 -:045329000000000080 -:04532A00000000007F -:04532B00000000007E -:04532C00000000007D -:04532D00000000007C -:04532E00000000007B -:04532F00000000007A -:045330000000000079 -:045331000000000078 -:045332000000000077 -:045333000000000076 -:045334000000000075 -:045335000000000074 -:045336000000000073 -:045337000000000072 -:045338000000000071 -:045339000000000070 -:04533A00000000006F -:04533B00000000006E -:04533C00000000006D -:04533D00000000006C -:04533E00000000006B -:04533F00000000006A -:045340000000000069 -:045341000000000068 -:045342000000000067 -:045343000000000066 -:045344000000000065 -:045345000000000064 -:045346000000000063 -:045347000000000062 -:045348000000000061 -:045349000000000060 -:04534A00000000005F -:04534B00000000005E -:04534C00000000005D -:04534D00000000005C -:04534E00000000005B -:04534F00000000005A -:045350000000000059 -:045351000000000058 -:045352000000000057 -:045353000000000056 -:045354000000000055 -:045355000000000054 -:045356000000000053 -:045357000000000052 -:045358000000000051 -:045359000000000050 -:04535A00000000004F -:04535B00000000004E -:04535C00000000004D -:04535D00000000004C -:04535E00000000004B -:04535F00000000004A -:045360000000000049 -:045361000000000048 -:045362000000000047 -:045363000000000046 -:045364000000000045 -:045365000000000044 -:045366000000000043 -:045367000000000042 -:045368000000000041 -:045369000000000040 -:04536A00000000003F -:04536B00000000003E -:04536C00000000003D -:04536D00000000003C -:04536E00000000003B -:04536F00000000003A -:045370000000000039 -:045371000000000038 -:045372000000000037 -:045373000000000036 -:045374000000000035 -:045375000000000034 -:045376000000000033 -:045377000000000032 -:045378000000000031 -:045379000000000030 -:04537A00000000002F -:04537B00000000002E -:04537C00000000002D -:04537D00000000002C -:04537E00000000002B -:04537F00000000002A -:045380000000000029 -:045381000000000028 -:045382000000000027 -:045383000000000026 -:045384000000000025 -:045385000000000024 -:045386000000000023 -:045387000000000022 -:045388000000000021 -:045389000000000020 -:04538A00000000001F -:04538B00000000001E -:04538C00000000001D -:04538D00000000001C -:04538E00000000001B -:04538F00000000001A -:045390000000000019 -:045391000000000018 -:045392000000000017 -:045393000000000016 -:045394000000000015 -:045395000000000014 -:045396000000000013 -:045397000000000012 -:045398000000000011 -:045399000000000010 -:04539A00000000000F -:04539B00000000000E -:04539C00000000000D -:04539D00000000000C -:04539E00000000000B -:04539F00000000000A -:0453A0000000000009 -:0453A1000000000008 -:0453A2000000000007 -:0453A3000000000006 -:0453A4000000000005 -:0453A5000000000004 -:0453A6000000000003 -:0453A7000000000002 -:0453A8000000000001 -:0453A9000000000000 -:0453AA0000000000FF -:0453AB0000000000FE -:0453AC0000000000FD -:0453AD0000000000FC -:0453AE0000000000FB -:0453AF0000000000FA -:0453B00000000000F9 -:0453B10000000000F8 -:0453B20000000000F7 -:0453B30000000000F6 -:0453B40000000000F5 -:0453B50000000000F4 -:0453B60000000000F3 -:0453B70000000000F2 -:0453B80000000000F1 -:0453B90000000000F0 -:0453BA0000000000EF -:0453BB0000000000EE -:0453BC0000000000ED -:0453BD0000000000EC -:0453BE0000000000EB -:0453BF0000000000EA -:0453C00000000000E9 -:0453C10000000000E8 -:0453C20000000000E7 -:0453C30000000000E6 -:0453C40000000000E5 -:0453C50000000000E4 -:0453C60000000000E3 -:0453C70000000000E2 -:0453C80000000000E1 -:0453C90000000000E0 -:0453CA0000000000DF -:0453CB0000000000DE -:0453CC0000000000DD -:0453CD0000000000DC -:0453CE0000000000DB -:0453CF0000000000DA -:0453D00000000000D9 -:0453D10000000000D8 -:0453D20000000000D7 -:0453D30000000000D6 -:0453D40000000000D5 -:0453D50000000000D4 -:0453D60000000000D3 -:0453D70000000000D2 -:0453D80000000000D1 -:0453D90000000000D0 -:0453DA0000000000CF -:0453DB0000000000CE -:0453DC0000000000CD -:0453DD0000000000CC -:0453DE0000000000CB -:0453DF0000000000CA -:0453E00000000000C9 -:0453E10000000000C8 -:0453E20000000000C7 -:0453E30000000000C6 -:0453E40000000000C5 -:0453E50000000000C4 -:0453E60000000000C3 -:0453E70000000000C2 -:0453E80000000000C1 -:0453E90000000000C0 -:0453EA0000000000BF -:0453EB0000000000BE -:0453EC0000000000BD -:0453ED0000000000BC -:0453EE0000000000BB -:0453EF0000000000BA -:0453F00000000000B9 -:0453F10000000000B8 -:0453F20000000000B7 -:0453F30000000000B6 -:0453F40000000000B5 -:0453F50000000000B4 -:0453F60000000000B3 -:0453F70000000000B2 -:0453F80000000000B1 -:0453F90000000000B0 -:0453FA0000000000AF -:0453FB0000000000AE -:0453FC0000000000AD -:0453FD0000000000AC -:0453FE0000000000AB -:0453FF0000000000AA -:0454000000000000A8 -:0454010000000000A7 -:0454020000000000A6 -:0454030000000000A5 -:0454040000000000A4 -:0454050000000000A3 -:0454060000000000A2 -:0454070000000000A1 -:0454080000000000A0 -:04540900000000009F -:04540A00000000009E -:04540B00000000009D -:04540C00000000009C -:04540D00000000009B -:04540E00000000009A -:04540F000000000099 -:045410000000000098 -:045411000000000097 -:045412000000000096 -:045413000000000095 -:045414000000000094 -:045415000000000093 -:045416000000000092 -:045417000000000091 -:045418000000000090 -:04541900000000008F -:04541A00000000008E -:04541B00000000008D -:04541C00000000008C -:04541D00000000008B -:04541E00000000008A -:04541F000000000089 -:045420000000000088 -:045421000000000087 -:045422000000000086 -:045423000000000085 -:045424000000000084 -:045425000000000083 -:045426000000000082 -:045427000000000081 -:045428000000000080 -:04542900000000007F -:04542A00000000007E -:04542B00000000007D -:04542C00000000007C -:04542D00000000007B -:04542E00000000007A -:04542F000000000079 -:045430000000000078 -:045431000000000077 -:045432000000000076 -:045433000000000075 -:045434000000000074 -:045435000000000073 -:045436000000000072 -:045437000000000071 -:045438000000000070 -:04543900000000006F -:04543A00000000006E -:04543B00000000006D -:04543C00000000006C -:04543D00000000006B -:04543E00000000006A -:04543F000000000069 -:045440000000000068 -:045441000000000067 -:045442000000000066 -:045443000000000065 -:045444000000000064 -:045445000000000063 -:045446000000000062 -:045447000000000061 -:045448000000000060 -:04544900000000005F -:04544A00000000005E -:04544B00000000005D -:04544C00000000005C -:04544D00000000005B -:04544E00000000005A -:04544F000000000059 -:045450000000000058 -:045451000000000057 -:045452000000000056 -:045453000000000055 -:045454000000000054 -:045455000000000053 -:045456000000000052 -:045457000000000051 -:045458000000000050 -:04545900000000004F -:04545A00000000004E -:04545B00000000004D -:04545C00000000004C -:04545D00000000004B -:04545E00000000004A -:04545F000000000049 -:045460000000000048 -:045461000000000047 -:045462000000000046 -:045463000000000045 -:045464000000000044 -:045465000000000043 -:045466000000000042 -:045467000000000041 -:045468000000000040 -:04546900000000003F -:04546A00000000003E -:04546B00000000003D -:04546C00000000003C -:04546D00000000003B -:04546E00000000003A -:04546F000000000039 -:045470000000000038 -:045471000000000037 -:045472000000000036 -:045473000000000035 -:045474000000000034 -:045475000000000033 -:045476000000000032 -:045477000000000031 -:045478000000000030 -:04547900000000002F -:04547A00000000002E -:04547B00000000002D -:04547C00000000002C -:04547D00000000002B -:04547E00000000002A -:04547F000000000029 -:045480000000000028 -:045481000000000027 -:045482000000000026 -:045483000000000025 -:045484000000000024 -:045485000000000023 -:045486000000000022 -:045487000000000021 -:045488000000000020 -:04548900000000001F -:04548A00000000001E -:04548B00000000001D -:04548C00000000001C -:04548D00000000001B -:04548E00000000001A -:04548F000000000019 -:045490000000000018 -:045491000000000017 -:045492000000000016 -:045493000000000015 -:045494000000000014 -:045495000000000013 -:045496000000000012 -:045497000000000011 -:045498000000000010 -:04549900000000000F -:04549A00000000000E -:04549B00000000000D -:04549C00000000000C -:04549D00000000000B -:04549E00000000000A -:04549F000000000009 -:0454A0000000000008 -:0454A1000000000007 -:0454A2000000000006 -:0454A3000000000005 -:0454A4000000000004 -:0454A5000000000003 -:0454A6000000000002 -:0454A7000000000001 -:0454A8000000000000 -:0454A90000000000FF -:0454AA0000000000FE -:0454AB0000000000FD -:0454AC0000000000FC -:0454AD0000000000FB -:0454AE0000000000FA -:0454AF0000000000F9 -:0454B00000000000F8 -:0454B10000000000F7 -:0454B20000000000F6 -:0454B30000000000F5 -:0454B40000000000F4 -:0454B50000000000F3 -:0454B60000000000F2 -:0454B70000000000F1 -:0454B80000000000F0 -:0454B90000000000EF -:0454BA0000000000EE -:0454BB0000000000ED -:0454BC0000000000EC -:0454BD0000000000EB -:0454BE0000000000EA -:0454BF0000000000E9 -:0454C00000000000E8 -:0454C10000000000E7 -:0454C20000000000E6 -:0454C30000000000E5 -:0454C40000000000E4 -:0454C50000000000E3 -:0454C60000000000E2 -:0454C70000000000E1 -:0454C80000000000E0 -:0454C90000000000DF -:0454CA0000000000DE -:0454CB0000000000DD -:0454CC0000000000DC -:0454CD0000000000DB -:0454CE0000000000DA -:0454CF0000000000D9 -:0454D00000000000D8 -:0454D10000000000D7 -:0454D20000000000D6 -:0454D30000000000D5 -:0454D40000000000D4 -:0454D50000000000D3 -:0454D60000000000D2 -:0454D70000000000D1 -:0454D80000000000D0 -:0454D90000000000CF -:0454DA0000000000CE -:0454DB0000000000CD -:0454DC0000000000CC -:0454DD0000000000CB -:0454DE0000000000CA -:0454DF0000000000C9 -:0454E00000000000C8 -:0454E10000000000C7 -:0454E20000000000C6 -:0454E30000000000C5 -:0454E40000000000C4 -:0454E50000000000C3 -:0454E60000000000C2 -:0454E70000000000C1 -:0454E80000000000C0 -:0454E90000000000BF -:0454EA0000000000BE -:0454EB0000000000BD -:0454EC0000000000BC -:0454ED0000000000BB -:0454EE0000000000BA -:0454EF0000000000B9 -:0454F00000000000B8 -:0454F10000000000B7 -:0454F20000000000B6 -:0454F30000000000B5 -:0454F40000000000B4 -:0454F50000000000B3 -:0454F60000000000B2 -:0454F70000000000B1 -:0454F80000000000B0 -:0454F90000000000AF -:0454FA0000000000AE -:0454FB0000000000AD -:0454FC0000000000AC -:0454FD0000000000AB -:0454FE0000000000AA -:0454FF0000000000A9 -:0455000000000000A7 -:0455010000000000A6 -:0455020000000000A5 -:0455030000000000A4 -:0455040000000000A3 -:0455050000000000A2 -:0455060000000000A1 -:0455070000000000A0 -:04550800000000009F -:04550900000000009E -:04550A00000000009D -:04550B00000000009C -:04550C00000000009B -:04550D00000000009A -:04550E000000000099 -:04550F000000000098 -:045510000000000097 -:045511000000000096 -:045512000000000095 -:045513000000000094 -:045514000000000093 -:045515000000000092 -:045516000000000091 -:045517000000000090 -:04551800000000008F -:04551900000000008E -:04551A00000000008D -:04551B00000000008C -:04551C00000000008B -:04551D00000000008A -:04551E000000000089 -:04551F000000000088 -:045520000000000087 -:045521000000000086 -:045522000000000085 -:045523000000000084 -:045524000000000083 -:045525000000000082 -:045526000000000081 -:045527000000000080 -:04552800000000007F -:04552900000000007E -:04552A00000000007D -:04552B00000000007C -:04552C00000000007B -:04552D00000000007A -:04552E000000000079 -:04552F000000000078 -:045530000000000077 -:045531000000000076 -:045532000000000075 -:045533000000000074 -:045534000000000073 -:045535000000000072 -:045536000000000071 -:045537000000000070 -:04553800000000006F -:04553900000000006E -:04553A00000000006D -:04553B00000000006C -:04553C00000000006B -:04553D00000000006A -:04553E000000000069 -:04553F000000000068 -:045540000000000067 -:045541000000000066 -:045542000000000065 -:045543000000000064 -:045544000000000063 -:045545000000000062 -:045546000000000061 -:045547000000000060 -:04554800000000005F -:04554900000000005E -:04554A00000000005D -:04554B00000000005C -:04554C00000000005B -:04554D00000000005A -:04554E000000000059 -:04554F000000000058 -:045550000000000057 -:045551000000000056 -:045552000000000055 -:045553000000000054 -:045554000000000053 -:045555000000000052 -:045556000000000051 -:045557000000000050 -:04555800000000004F -:04555900000000004E -:04555A00000000004D -:04555B00000000004C -:04555C00000000004B -:04555D00000000004A -:04555E000000000049 -:04555F000000000048 -:045560000000000047 -:045561000000000046 -:045562000000000045 -:045563000000000044 -:045564000000000043 -:045565000000000042 -:045566000000000041 -:045567000000000040 -:04556800000000003F -:04556900000000003E -:04556A00000000003D -:04556B00000000003C -:04556C00000000003B -:04556D00000000003A -:04556E000000000039 -:04556F000000000038 -:045570000000000037 -:045571000000000036 -:045572000000000035 -:045573000000000034 -:045574000000000033 -:045575000000000032 -:045576000000000031 -:045577000000000030 -:04557800000000002F -:04557900000000002E -:04557A00000000002D -:04557B00000000002C -:04557C00000000002B -:04557D00000000002A -:04557E000000000029 -:04557F000000000028 -:045580000000000027 -:045581000000000026 -:045582000000000025 -:045583000000000024 -:045584000000000023 -:045585000000000022 -:045586000000000021 -:045587000000000020 -:04558800000000001F -:04558900000000001E -:04558A00000000001D -:04558B00000000001C -:04558C00000000001B -:04558D00000000001A -:04558E000000000019 -:04558F000000000018 -:045590000000000017 -:045591000000000016 -:045592000000000015 -:045593000000000014 -:045594000000000013 -:045595000000000012 -:045596000000000011 -:045597000000000010 -:04559800000000000F -:04559900000000000E -:04559A00000000000D -:04559B00000000000C -:04559C00000000000B -:04559D00000000000A -:04559E000000000009 -:04559F000000000008 -:0455A0000000000007 -:0455A1000000000006 -:0455A2000000000005 -:0455A3000000000004 -:0455A4000000000003 -:0455A5000000000002 -:0455A6000000000001 -:0455A7000000000000 -:0455A80000000000FF -:0455A90000000000FE -:0455AA0000000000FD -:0455AB0000000000FC -:0455AC0000000000FB -:0455AD0000000000FA -:0455AE0000000000F9 -:0455AF0000000000F8 -:0455B00000000000F7 -:0455B10000000000F6 -:0455B20000000000F5 -:0455B30000000000F4 -:0455B40000000000F3 -:0455B50000000000F2 -:0455B60000000000F1 -:0455B70000000000F0 -:0455B80000000000EF -:0455B90000000000EE -:0455BA0000000000ED -:0455BB0000000000EC -:0455BC0000000000EB -:0455BD0000000000EA -:0455BE0000000000E9 -:0455BF0000000000E8 -:0455C00000000000E7 -:0455C10000000000E6 -:0455C20000000000E5 -:0455C30000000000E4 -:0455C40000000000E3 -:0455C50000000000E2 -:0455C60000000000E1 -:0455C70000000000E0 -:0455C80000000000DF -:0455C90000000000DE -:0455CA0000000000DD -:0455CB0000000000DC -:0455CC0000000000DB -:0455CD0000000000DA -:0455CE0000000000D9 -:0455CF0000000000D8 -:0455D00000000000D7 -:0455D10000000000D6 -:0455D20000000000D5 -:0455D30000000000D4 -:0455D40000000000D3 -:0455D50000000000D2 -:0455D60000000000D1 -:0455D70000000000D0 -:0455D80000000000CF -:0455D90000000000CE -:0455DA0000000000CD -:0455DB0000000000CC -:0455DC0000000000CB -:0455DD0000000000CA -:0455DE0000000000C9 -:0455DF0000000000C8 -:0455E00000000000C7 -:0455E10000000000C6 -:0455E20000000000C5 -:0455E30000000000C4 -:0455E40000000000C3 -:0455E50000000000C2 -:0455E60000000000C1 -:0455E70000000000C0 -:0455E80000000000BF -:0455E90000000000BE -:0455EA0000000000BD -:0455EB0000000000BC -:0455EC0000000000BB -:0455ED0000000000BA -:0455EE0000000000B9 -:0455EF0000000000B8 -:0455F00000000000B7 -:0455F10000000000B6 -:0455F20000000000B5 -:0455F30000000000B4 -:0455F40000000000B3 -:0455F50000000000B2 -:0455F60000000000B1 -:0455F70000000000B0 -:0455F80000000000AF -:0455F90000000000AE -:0455FA0000000000AD -:0455FB0000000000AC -:0455FC0000000000AB -:0455FD0000000000AA -:0455FE0000000000A9 -:0455FF0000000000A8 -:0456000000000000A6 -:0456010000000000A5 -:0456020000000000A4 -:0456030000000000A3 -:0456040000000000A2 -:0456050000000000A1 -:0456060000000000A0 -:04560700000000009F -:04560800000000009E -:04560900000000009D -:04560A00000000009C -:04560B00000000009B -:04560C00000000009A -:04560D000000000099 -:04560E000000000098 -:04560F000000000097 -:045610000000000096 -:045611000000000095 -:045612000000000094 -:045613000000000093 -:045614000000000092 -:045615000000000091 -:045616000000000090 -:04561700000000008F -:04561800000000008E -:04561900000000008D -:04561A00000000008C -:04561B00000000008B -:04561C00000000008A -:04561D000000000089 -:04561E000000000088 -:04561F000000000087 -:045620000000000086 -:045621000000000085 -:045622000000000084 -:045623000000000083 -:045624000000000082 -:045625000000000081 -:045626000000000080 -:04562700000000007F -:04562800000000007E -:04562900000000007D -:04562A00000000007C -:04562B00000000007B -:04562C00000000007A -:04562D000000000079 -:04562E000000000078 -:04562F000000000077 -:045630000000000076 -:045631000000000075 -:045632000000000074 -:045633000000000073 -:045634000000000072 -:045635000000000071 -:045636000000000070 -:04563700000000006F -:04563800000000006E -:04563900000000006D -:04563A00000000006C -:04563B00000000006B -:04563C00000000006A -:04563D000000000069 -:04563E000000000068 -:04563F000000000067 -:045640000000000066 -:045641000000000065 -:045642000000000064 -:045643000000000063 -:045644000000000062 -:045645000000000061 -:045646000000000060 -:04564700000000005F -:04564800000000005E -:04564900000000005D -:04564A00000000005C -:04564B00000000005B -:04564C00000000005A -:04564D000000000059 -:04564E000000000058 -:04564F000000000057 -:045650000000000056 -:045651000000000055 -:045652000000000054 -:045653000000000053 -:045654000000000052 -:045655000000000051 -:045656000000000050 -:04565700000000004F -:04565800000000004E -:04565900000000004D -:04565A00000000004C -:04565B00000000004B -:04565C00000000004A -:04565D000000000049 -:04565E000000000048 -:04565F000000000047 -:045660000000000046 -:045661000000000045 -:045662000000000044 -:045663000000000043 -:045664000000000042 -:045665000000000041 -:045666000000000040 -:04566700000000003F -:04566800000000003E -:04566900000000003D -:04566A00000000003C -:04566B00000000003B -:04566C00000000003A -:04566D000000000039 -:04566E000000000038 -:04566F000000000037 -:045670000000000036 -:045671000000000035 -:045672000000000034 -:045673000000000033 -:045674000000000032 -:045675000000000031 -:045676000000000030 -:04567700000000002F -:04567800000000002E -:04567900000000002D -:04567A00000000002C -:04567B00000000002B -:04567C00000000002A -:04567D000000000029 -:04567E000000000028 -:04567F000000000027 -:045680000000000026 -:045681000000000025 -:045682000000000024 -:045683000000000023 -:045684000000000022 -:045685000000000021 -:045686000000000020 -:04568700000000001F -:04568800000000001E -:04568900000000001D -:04568A00000000001C -:04568B00000000001B -:04568C00000000001A -:04568D000000000019 -:04568E000000000018 -:04568F000000000017 -:045690000000000016 -:045691000000000015 -:045692000000000014 -:045693000000000013 -:045694000000000012 -:045695000000000011 -:045696000000000010 -:04569700000000000F -:04569800000000000E -:04569900000000000D -:04569A00000000000C -:04569B00000000000B -:04569C00000000000A -:04569D000000000009 -:04569E000000000008 -:04569F000000000007 -:0456A0000000000006 -:0456A1000000000005 -:0456A2000000000004 -:0456A3000000000003 -:0456A4000000000002 -:0456A5000000000001 -:0456A6000000000000 -:0456A70000000000FF -:0456A80000000000FE -:0456A90000000000FD -:0456AA0000000000FC -:0456AB0000000000FB -:0456AC0000000000FA -:0456AD0000000000F9 -:0456AE0000000000F8 -:0456AF0000000000F7 -:0456B00000000000F6 -:0456B10000000000F5 -:0456B20000000000F4 -:0456B30000000000F3 -:0456B40000000000F2 -:0456B50000000000F1 -:0456B60000000000F0 -:0456B70000000000EF -:0456B80000000000EE -:0456B90000000000ED -:0456BA0000000000EC -:0456BB0000000000EB -:0456BC0000000000EA -:0456BD0000000000E9 -:0456BE0000000000E8 -:0456BF0000000000E7 -:0456C00000000000E6 -:0456C10000000000E5 -:0456C20000000000E4 -:0456C30000000000E3 -:0456C40000000000E2 -:0456C50000000000E1 -:0456C60000000000E0 -:0456C70000000000DF -:0456C80000000000DE -:0456C90000000000DD -:0456CA0000000000DC -:0456CB0000000000DB -:0456CC0000000000DA -:0456CD0000000000D9 -:0456CE0000000000D8 -:0456CF0000000000D7 -:0456D00000000000D6 -:0456D10000000000D5 -:0456D20000000000D4 -:0456D30000000000D3 -:0456D40000000000D2 -:0456D50000000000D1 -:0456D60000000000D0 -:0456D70000000000CF -:0456D80000000000CE -:0456D90000000000CD -:0456DA0000000000CC -:0456DB0000000000CB -:0456DC0000000000CA -:0456DD0000000000C9 -:0456DE0000000000C8 -:0456DF0000000000C7 -:0456E00000000000C6 -:0456E10000000000C5 -:0456E20000000000C4 -:0456E30000000000C3 -:0456E40000000000C2 -:0456E50000000000C1 -:0456E60000000000C0 -:0456E70000000000BF -:0456E80000000000BE -:0456E90000000000BD -:0456EA0000000000BC -:0456EB0000000000BB -:0456EC0000000000BA -:0456ED0000000000B9 -:0456EE0000000000B8 -:0456EF0000000000B7 -:0456F00000000000B6 -:0456F10000000000B5 -:0456F20000000000B4 -:0456F30000000000B3 -:0456F40000000000B2 -:0456F50000000000B1 -:0456F60000000000B0 -:0456F70000000000AF -:0456F80000000000AE -:0456F90000000000AD -:0456FA0000000000AC -:0456FB0000000000AB -:0456FC0000000000AA -:0456FD0000000000A9 -:0456FE0000000000A8 -:0456FF0000000000A7 -:0457000000000000A5 -:0457010000000000A4 -:0457020000000000A3 -:0457030000000000A2 -:0457040000000000A1 -:0457050000000000A0 -:04570600000000009F -:04570700000000009E -:04570800000000009D -:04570900000000009C -:04570A00000000009B -:04570B00000000009A -:04570C000000000099 -:04570D000000000098 -:04570E000000000097 -:04570F000000000096 -:045710000000000095 -:045711000000000094 -:045712000000000093 -:045713000000000092 -:045714000000000091 -:045715000000000090 -:04571600000000008F -:04571700000000008E -:04571800000000008D -:04571900000000008C -:04571A00000000008B -:04571B00000000008A -:04571C000000000089 -:04571D000000000088 -:04571E000000000087 -:04571F000000000086 -:045720000000000085 -:045721000000000084 -:045722000000000083 -:045723000000000082 -:045724000000000081 -:045725000000000080 -:04572600000000007F -:04572700000000007E -:04572800000000007D -:04572900000000007C -:04572A00000000007B -:04572B00000000007A -:04572C000000000079 -:04572D000000000078 -:04572E000000000077 -:04572F000000000076 -:045730000000000075 -:045731000000000074 -:045732000000000073 -:045733000000000072 -:045734000000000071 -:045735000000000070 -:04573600000000006F -:04573700000000006E -:04573800000000006D -:04573900000000006C -:04573A00000000006B -:04573B00000000006A -:04573C000000000069 -:04573D000000000068 -:04573E000000000067 -:04573F000000000066 -:045740000000000065 -:045741000000000064 -:045742000000000063 -:045743000000000062 -:045744000000000061 -:045745000000000060 -:04574600000000005F -:04574700000000005E -:04574800000000005D -:04574900000000005C -:04574A00000000005B -:04574B00000000005A -:04574C000000000059 -:04574D000000000058 -:04574E000000000057 -:04574F000000000056 -:045750000000000055 -:045751000000000054 -:045752000000000053 -:045753000000000052 -:045754000000000051 -:045755000000000050 -:04575600000000004F -:04575700000000004E -:04575800000000004D -:04575900000000004C -:04575A00000000004B -:04575B00000000004A -:04575C000000000049 -:04575D000000000048 -:04575E000000000047 -:04575F000000000046 -:045760000000000045 -:045761000000000044 -:045762000000000043 -:045763000000000042 -:045764000000000041 -:045765000000000040 -:04576600000000003F -:04576700000000003E -:04576800000000003D -:04576900000000003C -:04576A00000000003B -:04576B00000000003A -:04576C000000000039 -:04576D000000000038 -:04576E000000000037 -:04576F000000000036 -:045770000000000035 -:045771000000000034 -:045772000000000033 -:045773000000000032 -:045774000000000031 -:045775000000000030 -:04577600000000002F -:04577700000000002E -:04577800000000002D -:04577900000000002C -:04577A00000000002B -:04577B00000000002A -:04577C000000000029 -:04577D000000000028 -:04577E000000000027 -:04577F000000000026 -:045780000000000025 -:045781000000000024 -:045782000000000023 -:045783000000000022 -:045784000000000021 -:045785000000000020 -:04578600000000001F -:04578700000000001E -:04578800000000001D -:04578900000000001C -:04578A00000000001B -:04578B00000000001A -:04578C000000000019 -:04578D000000000018 -:04578E000000000017 -:04578F000000000016 -:045790000000000015 -:045791000000000014 -:045792000000000013 -:045793000000000012 -:045794000000000011 -:045795000000000010 -:04579600000000000F -:04579700000000000E -:04579800000000000D -:04579900000000000C -:04579A00000000000B -:04579B00000000000A -:04579C000000000009 -:04579D000000000008 -:04579E000000000007 -:04579F000000000006 -:0457A0000000000005 -:0457A1000000000004 -:0457A2000000000003 -:0457A3000000000002 -:0457A4000000000001 -:0457A5000000000000 -:0457A60000000000FF -:0457A70000000000FE -:0457A80000000000FD -:0457A90000000000FC -:0457AA0000000000FB -:0457AB0000000000FA -:0457AC0000000000F9 -:0457AD0000000000F8 -:0457AE0000000000F7 -:0457AF0000000000F6 -:0457B00000000000F5 -:0457B10000000000F4 -:0457B20000000000F3 -:0457B30000000000F2 -:0457B40000000000F1 -:0457B50000000000F0 -:0457B60000000000EF -:0457B70000000000EE -:0457B80000000000ED -:0457B90000000000EC -:0457BA0000000000EB -:0457BB0000000000EA -:0457BC0000000000E9 -:0457BD0000000000E8 -:0457BE0000000000E7 -:0457BF0000000000E6 -:0457C00000000000E5 -:0457C10000000000E4 -:0457C20000000000E3 -:0457C30000000000E2 -:0457C40000000000E1 -:0457C50000000000E0 -:0457C60000000000DF -:0457C70000000000DE -:0457C80000000000DD -:0457C90000000000DC -:0457CA0000000000DB -:0457CB0000000000DA -:0457CC0000000000D9 -:0457CD0000000000D8 -:0457CE0000000000D7 -:0457CF0000000000D6 -:0457D00000000000D5 -:0457D10000000000D4 -:0457D20000000000D3 -:0457D30000000000D2 -:0457D40000000000D1 -:0457D50000000000D0 -:0457D60000000000CF -:0457D70000000000CE -:0457D80000000000CD -:0457D90000000000CC -:0457DA0000000000CB -:0457DB0000000000CA -:0457DC0000000000C9 -:0457DD0000000000C8 -:0457DE0000000000C7 -:0457DF0000000000C6 -:0457E00000000000C5 -:0457E10000000000C4 -:0457E20000000000C3 -:0457E30000000000C2 -:0457E40000000000C1 -:0457E50000000000C0 -:0457E60000000000BF -:0457E70000000000BE -:0457E80000000000BD -:0457E90000000000BC -:0457EA0000000000BB -:0457EB0000000000BA -:0457EC0000000000B9 -:0457ED0000000000B8 -:0457EE0000000000B7 -:0457EF0000000000B6 -:0457F00000000000B5 -:0457F10000000000B4 -:0457F20000000000B3 -:0457F30000000000B2 -:0457F40000000000B1 -:0457F50000000000B0 -:0457F60000000000AF -:0457F70000000000AE -:0457F80000000000AD -:0457F90000000000AC -:0457FA0000000000AB -:0457FB0000000000AA -:0457FC0000000000A9 -:0457FD0000000000A8 -:0457FE0000000000A7 -:0457FF0000000000A6 -:0458000000000000A4 -:0458010000000000A3 -:0458020000000000A2 -:0458030000000000A1 -:0458040000000000A0 -:04580500000000009F -:04580600000000009E -:04580700000000009D -:04580800000000009C -:04580900000000009B -:04580A00000000009A -:04580B000000000099 -:04580C000000000098 -:04580D000000000097 -:04580E000000000096 -:04580F000000000095 -:045810000000000094 -:045811000000000093 -:045812000000000092 -:045813000000000091 -:045814000000000090 -:04581500000000008F -:04581600000000008E -:04581700000000008D -:04581800000000008C -:04581900000000008B -:04581A00000000008A -:04581B000000000089 -:04581C000000000088 -:04581D000000000087 -:04581E000000000086 -:04581F000000000085 -:045820000000000084 -:045821000000000083 -:045822000000000082 -:045823000000000081 -:045824000000000080 -:04582500000000007F -:04582600000000007E -:04582700000000007D -:04582800000000007C -:04582900000000007B -:04582A00000000007A -:04582B000000000079 -:04582C000000000078 -:04582D000000000077 -:04582E000000000076 -:04582F000000000075 -:045830000000000074 -:045831000000000073 -:045832000000000072 -:045833000000000071 -:045834000000000070 -:04583500000000006F -:04583600000000006E -:04583700000000006D -:04583800000000006C -:04583900000000006B -:04583A00000000006A -:04583B000000000069 -:04583C000000000068 -:04583D000000000067 -:04583E000000000066 -:04583F000000000065 -:045840000000000064 -:045841000000000063 -:045842000000000062 -:045843000000000061 -:045844000000000060 -:04584500000000005F -:04584600000000005E -:04584700000000005D -:04584800000000005C -:04584900000000005B -:04584A00000000005A -:04584B000000000059 -:04584C000000000058 -:04584D000000000057 -:04584E000000000056 -:04584F000000000055 -:045850000000000054 -:045851000000000053 -:045852000000000052 -:045853000000000051 -:045854000000000050 -:04585500000000004F -:04585600000000004E -:04585700000000004D -:04585800000000004C -:04585900000000004B -:04585A00000000004A -:04585B000000000049 -:04585C000000000048 -:04585D000000000047 -:04585E000000000046 -:04585F000000000045 -:045860000000000044 -:045861000000000043 -:045862000000000042 -:045863000000000041 -:045864000000000040 -:04586500000000003F -:04586600000000003E -:04586700000000003D -:04586800000000003C -:04586900000000003B -:04586A00000000003A -:04586B000000000039 -:04586C000000000038 -:04586D000000000037 -:04586E000000000036 -:04586F000000000035 -:045870000000000034 -:045871000000000033 -:045872000000000032 -:045873000000000031 -:045874000000000030 -:04587500000000002F -:04587600000000002E -:04587700000000002D -:04587800000000002C -:04587900000000002B -:04587A00000000002A -:04587B000000000029 -:04587C000000000028 -:04587D000000000027 -:04587E000000000026 -:04587F000000000025 -:045880000000000024 -:045881000000000023 -:045882000000000022 -:045883000000000021 -:045884000000000020 -:04588500000000001F -:04588600000000001E -:04588700000000001D -:04588800000000001C -:04588900000000001B -:04588A00000000001A -:04588B000000000019 -:04588C000000000018 -:04588D000000000017 -:04588E000000000016 -:04588F000000000015 -:045890000000000014 -:045891000000000013 -:045892000000000012 -:045893000000000011 -:045894000000000010 -:04589500000000000F -:04589600000000000E -:04589700000000000D -:04589800000000000C -:04589900000000000B -:04589A00000000000A -:04589B000000000009 -:04589C000000000008 -:04589D000000000007 -:04589E000000000006 -:04589F000000000005 -:0458A0000000000004 -:0458A1000000000003 -:0458A2000000000002 -:0458A3000000000001 -:0458A4000000000000 -:0458A50000000000FF -:0458A60000000000FE -:0458A70000000000FD -:0458A80000000000FC -:0458A90000000000FB -:0458AA0000000000FA -:0458AB0000000000F9 -:0458AC0000000000F8 -:0458AD0000000000F7 -:0458AE0000000000F6 -:0458AF0000000000F5 -:0458B00000000000F4 -:0458B10000000000F3 -:0458B20000000000F2 -:0458B30000000000F1 -:0458B40000000000F0 -:0458B50000000000EF -:0458B60000000000EE -:0458B70000000000ED -:0458B80000000000EC -:0458B90000000000EB -:0458BA0000000000EA -:0458BB0000000000E9 -:0458BC0000000000E8 -:0458BD0000000000E7 -:0458BE0000000000E6 -:0458BF0000000000E5 -:0458C00000000000E4 -:0458C10000000000E3 -:0458C20000000000E2 -:0458C30000000000E1 -:0458C40000000000E0 -:0458C50000000000DF -:0458C60000000000DE -:0458C70000000000DD -:0458C80000000000DC -:0458C90000000000DB -:0458CA0000000000DA -:0458CB0000000000D9 -:0458CC0000000000D8 -:0458CD0000000000D7 -:0458CE0000000000D6 -:0458CF0000000000D5 -:0458D00000000000D4 -:0458D10000000000D3 -:0458D20000000000D2 -:0458D30000000000D1 -:0458D40000000000D0 -:0458D50000000000CF -:0458D60000000000CE -:0458D70000000000CD -:0458D80000000000CC -:0458D90000000000CB -:0458DA0000000000CA -:0458DB0000000000C9 -:0458DC0000000000C8 -:0458DD0000000000C7 -:0458DE0000000000C6 -:0458DF0000000000C5 -:0458E00000000000C4 -:0458E10000000000C3 -:0458E20000000000C2 -:0458E30000000000C1 -:0458E40000000000C0 -:0458E50000000000BF -:0458E60000000000BE -:0458E70000000000BD -:0458E80000000000BC -:0458E90000000000BB -:0458EA0000000000BA -:0458EB0000000000B9 -:0458EC0000000000B8 -:0458ED0000000000B7 -:0458EE0000000000B6 -:0458EF0000000000B5 -:0458F00000000000B4 -:0458F10000000000B3 -:0458F20000000000B2 -:0458F30000000000B1 -:0458F40000000000B0 -:0458F50000000000AF -:0458F60000000000AE -:0458F70000000000AD -:0458F80000000000AC -:0458F90000000000AB -:0458FA0000000000AA -:0458FB0000000000A9 -:0458FC0000000000A8 -:0458FD0000000000A7 -:0458FE0000000000A6 -:0458FF0000000000A5 -:0459000000000000A3 -:0459010000000000A2 -:0459020000000000A1 -:0459030000000000A0 -:04590400000000009F -:04590500000000009E -:04590600000000009D -:04590700000000009C -:04590800000000009B -:04590900000000009A -:04590A000000000099 -:04590B000000000098 -:04590C000000000097 -:04590D000000000096 -:04590E000000000095 -:04590F000000000094 -:045910000000000093 -:045911000000000092 -:045912000000000091 -:045913000000000090 -:04591400000000008F -:04591500000000008E -:04591600000000008D -:04591700000000008C -:04591800000000008B -:04591900000000008A -:04591A000000000089 -:04591B000000000088 -:04591C000000000087 -:04591D000000000086 -:04591E000000000085 -:04591F000000000084 -:045920000000000083 -:045921000000000082 -:045922000000000081 -:045923000000000080 -:04592400000000007F -:04592500000000007E -:04592600000000007D -:04592700000000007C -:04592800000000007B -:04592900000000007A -:04592A000000000079 -:04592B000000000078 -:04592C000000000077 -:04592D000000000076 -:04592E000000000075 -:04592F000000000074 -:045930000000000073 -:045931000000000072 -:045932000000000071 -:045933000000000070 -:04593400000000006F -:04593500000000006E -:04593600000000006D -:04593700000000006C -:04593800000000006B -:04593900000000006A -:04593A000000000069 -:04593B000000000068 -:04593C000000000067 -:04593D000000000066 -:04593E000000000065 -:04593F000000000064 -:045940000000000063 -:045941000000000062 -:045942000000000061 -:045943000000000060 -:04594400000000005F -:04594500000000005E -:04594600000000005D -:04594700000000005C -:04594800000000005B -:04594900000000005A -:04594A000000000059 -:04594B000000000058 -:04594C000000000057 -:04594D000000000056 -:04594E000000000055 -:04594F000000000054 -:045950000000000053 -:045951000000000052 -:045952000000000051 -:045953000000000050 -:04595400000000004F -:04595500000000004E -:04595600000000004D -:04595700000000004C -:04595800000000004B -:04595900000000004A -:04595A000000000049 -:04595B000000000048 -:04595C000000000047 -:04595D000000000046 -:04595E000000000045 -:04595F000000000044 -:045960000000000043 -:045961000000000042 -:045962000000000041 -:045963000000000040 -:04596400000000003F -:04596500000000003E -:04596600000000003D -:04596700000000003C -:04596800000000003B -:04596900000000003A -:04596A000000000039 -:04596B000000000038 -:04596C000000000037 -:04596D000000000036 -:04596E000000000035 -:04596F000000000034 -:045970000000000033 -:045971000000000032 -:045972000000000031 -:045973000000000030 -:04597400000000002F -:04597500000000002E -:04597600000000002D -:04597700000000002C -:04597800000000002B -:04597900000000002A -:04597A000000000029 -:04597B000000000028 -:04597C000000000027 -:04597D000000000026 -:04597E000000000025 -:04597F000000000024 -:045980000000000023 -:045981000000000022 -:045982000000000021 -:045983000000000020 -:04598400000000001F -:04598500000000001E -:04598600000000001D -:04598700000000001C -:04598800000000001B -:04598900000000001A -:04598A000000000019 -:04598B000000000018 -:04598C000000000017 -:04598D000000000016 -:04598E000000000015 -:04598F000000000014 -:045990000000000013 -:045991000000000012 -:045992000000000011 -:045993000000000010 -:04599400000000000F -:04599500000000000E -:04599600000000000D -:04599700000000000C -:04599800000000000B -:04599900000000000A -:04599A000000000009 -:04599B000000000008 -:04599C000000000007 -:04599D000000000006 -:04599E000000000005 -:04599F000000000004 -:0459A0000000000003 -:0459A1000000000002 -:0459A2000000000001 -:0459A3000000000000 -:0459A40000000000FF -:0459A50000000000FE -:0459A60000000000FD -:0459A70000000000FC -:0459A80000000000FB -:0459A90000000000FA -:0459AA0000000000F9 -:0459AB0000000000F8 -:0459AC0000000000F7 -:0459AD0000000000F6 -:0459AE0000000000F5 -:0459AF0000000000F4 -:0459B00000000000F3 -:0459B10000000000F2 -:0459B20000000000F1 -:0459B30000000000F0 -:0459B40000000000EF -:0459B50000000000EE -:0459B60000000000ED -:0459B70000000000EC -:0459B80000000000EB -:0459B90000000000EA -:0459BA0000000000E9 -:0459BB0000000000E8 -:0459BC0000000000E7 -:0459BD0000000000E6 -:0459BE0000000000E5 -:0459BF0000000000E4 -:0459C00000000000E3 -:0459C10000000000E2 -:0459C20000000000E1 -:0459C30000000000E0 -:0459C40000000000DF -:0459C50000000000DE -:0459C60000000000DD -:0459C70000000000DC -:0459C80000000000DB -:0459C90000000000DA -:0459CA0000000000D9 -:0459CB0000000000D8 -:0459CC0000000000D7 -:0459CD0000000000D6 -:0459CE0000000000D5 -:0459CF0000000000D4 -:0459D00000000000D3 -:0459D10000000000D2 -:0459D20000000000D1 -:0459D30000000000D0 -:0459D40000000000CF -:0459D50000000000CE -:0459D60000000000CD -:0459D70000000000CC -:0459D80000000000CB -:0459D90000000000CA -:0459DA0000000000C9 -:0459DB0000000000C8 -:0459DC0000000000C7 -:0459DD0000000000C6 -:0459DE0000000000C5 -:0459DF0000000000C4 -:0459E00000000000C3 -:0459E10000000000C2 -:0459E20000000000C1 -:0459E30000000000C0 -:0459E40000000000BF -:0459E50000000000BE -:0459E60000000000BD -:0459E70000000000BC -:0459E80000000000BB -:0459E90000000000BA -:0459EA0000000000B9 -:0459EB0000000000B8 -:0459EC0000000000B7 -:0459ED0000000000B6 -:0459EE0000000000B5 -:0459EF0000000000B4 -:0459F00000000000B3 -:0459F10000000000B2 -:0459F20000000000B1 -:0459F30000000000B0 -:0459F40000000000AF -:0459F50000000000AE -:0459F60000000000AD -:0459F70000000000AC -:0459F80000000000AB -:0459F90000000000AA -:0459FA0000000000A9 -:0459FB0000000000A8 -:0459FC0000000000A7 -:0459FD0000000000A6 -:0459FE0000000000A5 -:0459FF0000000000A4 -:045A000000000000A2 -:045A010000000000A1 -:045A020000000000A0 -:045A0300000000009F -:045A0400000000009E -:045A0500000000009D -:045A0600000000009C -:045A0700000000009B -:045A0800000000009A -:045A09000000000099 -:045A0A000000000098 -:045A0B000000000097 -:045A0C000000000096 -:045A0D000000000095 -:045A0E000000000094 -:045A0F000000000093 -:045A10000000000092 -:045A11000000000091 -:045A12000000000090 -:045A1300000000008F -:045A1400000000008E -:045A1500000000008D -:045A1600000000008C -:045A1700000000008B -:045A1800000000008A -:045A19000000000089 -:045A1A000000000088 -:045A1B000000000087 -:045A1C000000000086 -:045A1D000000000085 -:045A1E000000000084 -:045A1F000000000083 -:045A20000000000082 -:045A21000000000081 -:045A22000000000080 -:045A2300000000007F -:045A2400000000007E -:045A2500000000007D -:045A2600000000007C -:045A2700000000007B -:045A2800000000007A -:045A29000000000079 -:045A2A000000000078 -:045A2B000000000077 -:045A2C000000000076 -:045A2D000000000075 -:045A2E000000000074 -:045A2F000000000073 -:045A30000000000072 -:045A31000000000071 -:045A32000000000070 -:045A3300000000006F -:045A3400000000006E -:045A3500000000006D -:045A3600000000006C -:045A3700000000006B -:045A3800000000006A -:045A39000000000069 -:045A3A000000000068 -:045A3B000000000067 -:045A3C000000000066 -:045A3D000000000065 -:045A3E000000000064 -:045A3F000000000063 -:045A40000000000062 -:045A41000000000061 -:045A42000000000060 -:045A4300000000005F -:045A4400000000005E -:045A4500000000005D -:045A4600000000005C -:045A4700000000005B -:045A4800000000005A -:045A49000000000059 -:045A4A000000000058 -:045A4B000000000057 -:045A4C000000000056 -:045A4D000000000055 -:045A4E000000000054 -:045A4F000000000053 -:045A50000000000052 -:045A51000000000051 -:045A52000000000050 -:045A5300000000004F -:045A5400000000004E -:045A5500000000004D -:045A5600000000004C -:045A5700000000004B -:045A5800000000004A -:045A59000000000049 -:045A5A000000000048 -:045A5B000000000047 -:045A5C000000000046 -:045A5D000000000045 -:045A5E000000000044 -:045A5F000000000043 -:045A60000000000042 -:045A61000000000041 -:045A62000000000040 -:045A6300000000003F -:045A6400000000003E -:045A6500000000003D -:045A6600000000003C -:045A6700000000003B -:045A6800000000003A -:045A69000000000039 -:045A6A000000000038 -:045A6B000000000037 -:045A6C000000000036 -:045A6D000000000035 -:045A6E000000000034 -:045A6F000000000033 -:045A70000000000032 -:045A71000000000031 -:045A72000000000030 -:045A7300000000002F -:045A7400000000002E -:045A7500000000002D -:045A7600000000002C -:045A7700000000002B -:045A7800000000002A -:045A79000000000029 -:045A7A000000000028 -:045A7B000000000027 -:045A7C000000000026 -:045A7D000000000025 -:045A7E000000000024 -:045A7F000000000023 -:045A80000000000022 -:045A81000000000021 -:045A82000000000020 -:045A8300000000001F -:045A8400000000001E -:045A8500000000001D -:045A8600000000001C -:045A8700000000001B -:045A8800000000001A -:045A89000000000019 -:045A8A000000000018 -:045A8B000000000017 -:045A8C000000000016 -:045A8D000000000015 -:045A8E000000000014 -:045A8F000000000013 -:045A90000000000012 -:045A91000000000011 -:045A92000000000010 -:045A9300000000000F -:045A9400000000000E -:045A9500000000000D -:045A9600000000000C -:045A9700000000000B -:045A9800000000000A -:045A99000000000009 -:045A9A000000000008 -:045A9B000000000007 -:045A9C000000000006 -:045A9D000000000005 -:045A9E000000000004 -:045A9F000000000003 -:045AA0000000000002 -:045AA1000000000001 -:045AA2000000000000 -:045AA30000000000FF -:045AA40000000000FE -:045AA50000000000FD -:045AA60000000000FC -:045AA70000000000FB -:045AA80000000000FA -:045AA90000000000F9 -:045AAA0000000000F8 -:045AAB0000000000F7 -:045AAC0000000000F6 -:045AAD0000000000F5 -:045AAE0000000000F4 -:045AAF0000000000F3 -:045AB00000000000F2 -:045AB10000000000F1 -:045AB20000000000F0 -:045AB30000000000EF -:045AB40000000000EE -:045AB50000000000ED -:045AB60000000000EC -:045AB70000000000EB -:045AB80000000000EA -:045AB90000000000E9 -:045ABA0000000000E8 -:045ABB0000000000E7 -:045ABC0000000000E6 -:045ABD0000000000E5 -:045ABE0000000000E4 -:045ABF0000000000E3 -:045AC00000000000E2 -:045AC10000000000E1 -:045AC20000000000E0 -:045AC30000000000DF -:045AC40000000000DE -:045AC50000000000DD -:045AC60000000000DC -:045AC70000000000DB -:045AC80000000000DA -:045AC90000000000D9 -:045ACA0000000000D8 -:045ACB0000000000D7 -:045ACC0000000000D6 -:045ACD0000000000D5 -:045ACE0000000000D4 -:045ACF0000000000D3 -:045AD00000000000D2 -:045AD10000000000D1 -:045AD20000000000D0 -:045AD30000000000CF -:045AD40000000000CE -:045AD50000000000CD -:045AD60000000000CC -:045AD70000000000CB -:045AD80000000000CA -:045AD90000000000C9 -:045ADA0000000000C8 -:045ADB0000000000C7 -:045ADC0000000000C6 -:045ADD0000000000C5 -:045ADE0000000000C4 -:045ADF0000000000C3 -:045AE00000000000C2 -:045AE10000000000C1 -:045AE20000000000C0 -:045AE30000000000BF -:045AE40000000000BE -:045AE50000000000BD -:045AE60000000000BC -:045AE70000000000BB -:045AE80000000000BA -:045AE90000000000B9 -:045AEA0000000000B8 -:045AEB0000000000B7 -:045AEC0000000000B6 -:045AED0000000000B5 -:045AEE0000000000B4 -:045AEF0000000000B3 -:045AF00000000000B2 -:045AF10000000000B1 -:045AF20000000000B0 -:045AF30000000000AF -:045AF40000000000AE -:045AF50000000000AD -:045AF60000000000AC -:045AF70000000000AB -:045AF80000000000AA -:045AF90000000000A9 -:045AFA0000000000A8 -:045AFB0000000000A7 -:045AFC0000000000A6 -:045AFD0000000000A5 -:045AFE0000000000A4 -:045AFF0000000000A3 -:045B000000000000A1 -:045B010000000000A0 -:045B0200000000009F -:045B0300000000009E -:045B0400000000009D -:045B0500000000009C -:045B0600000000009B -:045B0700000000009A -:045B08000000000099 -:045B09000000000098 -:045B0A000000000097 -:045B0B000000000096 -:045B0C000000000095 -:045B0D000000000094 -:045B0E000000000093 -:045B0F000000000092 -:045B10000000000091 -:045B11000000000090 -:045B1200000000008F -:045B1300000000008E -:045B1400000000008D -:045B1500000000008C -:045B1600000000008B -:045B1700000000008A -:045B18000000000089 -:045B19000000000088 -:045B1A000000000087 -:045B1B000000000086 -:045B1C000000000085 -:045B1D000000000084 -:045B1E000000000083 -:045B1F000000000082 -:045B20000000000081 -:045B21000000000080 -:045B2200000000007F -:045B2300000000007E -:045B2400000000007D -:045B2500000000007C -:045B2600000000007B -:045B2700000000007A -:045B28000000000079 -:045B29000000000078 -:045B2A000000000077 -:045B2B000000000076 -:045B2C000000000075 -:045B2D000000000074 -:045B2E000000000073 -:045B2F000000000072 -:045B30000000000071 -:045B31000000000070 -:045B3200000000006F -:045B3300000000006E -:045B3400000000006D -:045B3500000000006C -:045B3600000000006B -:045B3700000000006A -:045B38000000000069 -:045B39000000000068 -:045B3A000000000067 -:045B3B000000000066 -:045B3C000000000065 -:045B3D000000000064 -:045B3E000000000063 -:045B3F000000000062 -:045B40000000000061 -:045B41000000000060 -:045B4200000000005F -:045B4300000000005E -:045B4400000000005D -:045B4500000000005C -:045B4600000000005B -:045B4700000000005A -:045B48000000000059 -:045B49000000000058 -:045B4A000000000057 -:045B4B000000000056 -:045B4C000000000055 -:045B4D000000000054 -:045B4E000000000053 -:045B4F000000000052 -:045B50000000000051 -:045B51000000000050 -:045B5200000000004F -:045B5300000000004E -:045B5400000000004D -:045B5500000000004C -:045B5600000000004B -:045B5700000000004A -:045B58000000000049 -:045B59000000000048 -:045B5A000000000047 -:045B5B000000000046 -:045B5C000000000045 -:045B5D000000000044 -:045B5E000000000043 -:045B5F000000000042 -:045B60000000000041 -:045B61000000000040 -:045B6200000000003F -:045B6300000000003E -:045B6400000000003D -:045B6500000000003C -:045B6600000000003B -:045B6700000000003A -:045B68000000000039 -:045B69000000000038 -:045B6A000000000037 -:045B6B000000000036 -:045B6C000000000035 -:045B6D000000000034 -:045B6E000000000033 -:045B6F000000000032 -:045B70000000000031 -:045B71000000000030 -:045B7200000000002F -:045B7300000000002E -:045B7400000000002D -:045B7500000000002C -:045B7600000000002B -:045B7700000000002A -:045B78000000000029 -:045B79000000000028 -:045B7A000000000027 -:045B7B000000000026 -:045B7C000000000025 -:045B7D000000000024 -:045B7E000000000023 -:045B7F000000000022 -:045B80000000000021 -:045B81000000000020 -:045B8200000000001F -:045B8300000000001E -:045B8400000000001D -:045B8500000000001C -:045B8600000000001B -:045B8700000000001A -:045B88000000000019 -:045B89000000000018 -:045B8A000000000017 -:045B8B000000000016 -:045B8C000000000015 -:045B8D000000000014 -:045B8E000000000013 -:045B8F000000000012 -:045B90000000000011 -:045B91000000000010 -:045B9200000000000F -:045B9300000000000E -:045B9400000000000D -:045B9500000000000C -:045B9600000000000B -:045B9700000000000A -:045B98000000000009 -:045B99000000000008 -:045B9A000000000007 -:045B9B000000000006 -:045B9C000000000005 -:045B9D000000000004 -:045B9E000000000003 -:045B9F000000000002 -:045BA0000000000001 -:045BA1000000000000 -:045BA20000000000FF -:045BA30000000000FE -:045BA40000000000FD -:045BA50000000000FC -:045BA60000000000FB -:045BA70000000000FA -:045BA80000000000F9 -:045BA90000000000F8 -:045BAA0000000000F7 -:045BAB0000000000F6 -:045BAC0000000000F5 -:045BAD0000000000F4 -:045BAE0000000000F3 -:045BAF0000000000F2 -:045BB00000000000F1 -:045BB10000000000F0 -:045BB20000000000EF -:045BB30000000000EE -:045BB40000000000ED -:045BB50000000000EC -:045BB60000000000EB -:045BB70000000000EA -:045BB80000000000E9 -:045BB90000000000E8 -:045BBA0000000000E7 -:045BBB0000000000E6 -:045BBC0000000000E5 -:045BBD0000000000E4 -:045BBE0000000000E3 -:045BBF0000000000E2 -:045BC00000000000E1 -:045BC10000000000E0 -:045BC20000000000DF -:045BC30000000000DE -:045BC40000000000DD -:045BC50000000000DC -:045BC60000000000DB -:045BC70000000000DA -:045BC80000000000D9 -:045BC90000000000D8 -:045BCA0000000000D7 -:045BCB0000000000D6 -:045BCC0000000000D5 -:045BCD0000000000D4 -:045BCE0000000000D3 -:045BCF0000000000D2 -:045BD00000000000D1 -:045BD10000000000D0 -:045BD20000000000CF -:045BD30000000000CE -:045BD40000000000CD -:045BD50000000000CC -:045BD60000000000CB -:045BD70000000000CA -:045BD80000000000C9 -:045BD90000000000C8 -:045BDA0000000000C7 -:045BDB0000000000C6 -:045BDC0000000000C5 -:045BDD0000000000C4 -:045BDE0000000000C3 -:045BDF0000000000C2 -:045BE00000000000C1 -:045BE10000000000C0 -:045BE20000000000BF -:045BE30000000000BE -:045BE40000000000BD -:045BE50000000000BC -:045BE60000000000BB -:045BE70000000000BA -:045BE80000000000B9 -:045BE90000000000B8 -:045BEA0000000000B7 -:045BEB0000000000B6 -:045BEC0000000000B5 -:045BED0000000000B4 -:045BEE0000000000B3 -:045BEF0000000000B2 -:045BF00000000000B1 -:045BF10000000000B0 -:045BF20000000000AF -:045BF30000000000AE -:045BF40000000000AD -:045BF50000000000AC -:045BF60000000000AB -:045BF70000000000AA -:045BF80000000000A9 -:045BF90000000000A8 -:045BFA0000000000A7 -:045BFB0000000000A6 -:045BFC0000000000A5 -:045BFD0000000000A4 -:045BFE0000000000A3 -:045BFF0000000000A2 -:045C000000000000A0 -:045C0100000000009F -:045C0200000000009E -:045C0300000000009D -:045C0400000000009C -:045C0500000000009B -:045C0600000000009A -:045C07000000000099 -:045C08000000000098 -:045C09000000000097 -:045C0A000000000096 -:045C0B000000000095 -:045C0C000000000094 -:045C0D000000000093 -:045C0E000000000092 -:045C0F000000000091 -:045C10000000000090 -:045C1100000000008F -:045C1200000000008E -:045C1300000000008D -:045C1400000000008C -:045C1500000000008B -:045C1600000000008A -:045C17000000000089 -:045C18000000000088 -:045C19000000000087 -:045C1A000000000086 -:045C1B000000000085 -:045C1C000000000084 -:045C1D000000000083 -:045C1E000000000082 -:045C1F000000000081 -:045C20000000000080 -:045C2100000000007F -:045C2200000000007E -:045C2300000000007D -:045C2400000000007C -:045C2500000000007B -:045C2600000000007A -:045C27000000000079 -:045C28000000000078 -:045C29000000000077 -:045C2A000000000076 -:045C2B000000000075 -:045C2C000000000074 -:045C2D000000000073 -:045C2E000000000072 -:045C2F000000000071 -:045C30000000000070 -:045C3100000000006F -:045C3200000000006E -:045C3300000000006D -:045C3400000000006C -:045C3500000000006B -:045C3600000000006A -:045C37000000000069 -:045C38000000000068 -:045C39000000000067 -:045C3A000000000066 -:045C3B000000000065 -:045C3C000000000064 -:045C3D000000000063 -:045C3E000000000062 -:045C3F000000000061 -:045C40000000000060 -:045C4100000000005F -:045C4200000000005E -:045C4300000000005D -:045C4400000000005C -:045C4500000000005B -:045C4600000000005A -:045C47000000000059 -:045C48000000000058 -:045C49000000000057 -:045C4A000000000056 -:045C4B000000000055 -:045C4C000000000054 -:045C4D000000000053 -:045C4E000000000052 -:045C4F000000000051 -:045C50000000000050 -:045C5100000000004F -:045C5200000000004E -:045C5300000000004D -:045C5400000000004C -:045C5500000000004B -:045C5600000000004A -:045C57000000000049 -:045C58000000000048 -:045C59000000000047 -:045C5A000000000046 -:045C5B000000000045 -:045C5C000000000044 -:045C5D000000000043 -:045C5E000000000042 -:045C5F000000000041 -:045C60000000000040 -:045C6100000000003F -:045C6200000000003E -:045C6300000000003D -:045C6400000000003C -:045C6500000000003B -:045C6600000000003A -:045C67000000000039 -:045C68000000000038 -:045C69000000000037 -:045C6A000000000036 -:045C6B000000000035 -:045C6C000000000034 -:045C6D000000000033 -:045C6E000000000032 -:045C6F000000000031 -:045C70000000000030 -:045C7100000000002F -:045C7200000000002E -:045C7300000000002D -:045C7400000000002C -:045C7500000000002B -:045C7600000000002A -:045C77000000000029 -:045C78000000000028 -:045C79000000000027 -:045C7A000000000026 -:045C7B000000000025 -:045C7C000000000024 -:045C7D000000000023 -:045C7E000000000022 -:045C7F000000000021 -:045C80000000000020 -:045C8100000000001F -:045C8200000000001E -:045C8300000000001D -:045C8400000000001C -:045C8500000000001B -:045C8600000000001A -:045C87000000000019 -:045C88000000000018 -:045C89000000000017 -:045C8A000000000016 -:045C8B000000000015 -:045C8C000000000014 -:045C8D000000000013 -:045C8E000000000012 -:045C8F000000000011 -:045C90000000000010 -:045C9100000000000F -:045C9200000000000E -:045C9300000000000D -:045C9400000000000C -:045C9500000000000B -:045C9600000000000A -:045C97000000000009 -:045C98000000000008 -:045C99000000000007 -:045C9A000000000006 -:045C9B000000000005 -:045C9C000000000004 -:045C9D000000000003 -:045C9E000000000002 -:045C9F000000000001 -:045CA0000000000000 -:045CA10000000000FF -:045CA20000000000FE -:045CA30000000000FD -:045CA40000000000FC -:045CA50000000000FB -:045CA60000000000FA -:045CA70000000000F9 -:045CA80000000000F8 -:045CA90000000000F7 -:045CAA0000000000F6 -:045CAB0000000000F5 -:045CAC0000000000F4 -:045CAD0000000000F3 -:045CAE0000000000F2 -:045CAF0000000000F1 -:045CB00000000000F0 -:045CB10000000000EF -:045CB20000000000EE -:045CB30000000000ED -:045CB40000000000EC -:045CB50000000000EB -:045CB60000000000EA -:045CB70000000000E9 -:045CB80000000000E8 -:045CB90000000000E7 -:045CBA0000000000E6 -:045CBB0000000000E5 -:045CBC0000000000E4 -:045CBD0000000000E3 -:045CBE0000000000E2 -:045CBF0000000000E1 -:045CC00000000000E0 -:045CC10000000000DF -:045CC20000000000DE -:045CC30000000000DD -:045CC40000000000DC -:045CC50000000000DB -:045CC60000000000DA -:045CC70000000000D9 -:045CC80000000000D8 -:045CC90000000000D7 -:045CCA0000000000D6 -:045CCB0000000000D5 -:045CCC0000000000D4 -:045CCD0000000000D3 -:045CCE0000000000D2 -:045CCF0000000000D1 -:045CD00000000000D0 -:045CD10000000000CF -:045CD20000000000CE -:045CD30000000000CD -:045CD40000000000CC -:045CD50000000000CB -:045CD60000000000CA -:045CD70000000000C9 -:045CD80000000000C8 -:045CD90000000000C7 -:045CDA0000000000C6 -:045CDB0000000000C5 -:045CDC0000000000C4 -:045CDD0000000000C3 -:045CDE0000000000C2 -:045CDF0000000000C1 -:045CE00000000000C0 -:045CE10000000000BF -:045CE20000000000BE -:045CE30000000000BD -:045CE40000000000BC -:045CE50000000000BB -:045CE60000000000BA -:045CE70000000000B9 -:045CE80000000000B8 -:045CE90000000000B7 -:045CEA0000000000B6 -:045CEB0000000000B5 -:045CEC0000000000B4 -:045CED0000000000B3 -:045CEE0000000000B2 -:045CEF0000000000B1 -:045CF00000000000B0 -:045CF10000000000AF -:045CF20000000000AE -:045CF30000000000AD -:045CF40000000000AC -:045CF50000000000AB -:045CF60000000000AA -:045CF70000000000A9 -:045CF80000000000A8 -:045CF90000000000A7 -:045CFA0000000000A6 -:045CFB0000000000A5 -:045CFC0000000000A4 -:045CFD0000000000A3 -:045CFE0000000000A2 -:045CFF0000000000A1 -:045D0000000000009F -:045D0100000000009E -:045D0200000000009D -:045D0300000000009C -:045D0400000000009B -:045D0500000000009A -:045D06000000000099 -:045D07000000000098 -:045D08000000000097 -:045D09000000000096 -:045D0A000000000095 -:045D0B000000000094 -:045D0C000000000093 -:045D0D000000000092 -:045D0E000000000091 -:045D0F000000000090 -:045D1000000000008F -:045D1100000000008E -:045D1200000000008D -:045D1300000000008C -:045D1400000000008B -:045D1500000000008A -:045D16000000000089 -:045D17000000000088 -:045D18000000000087 -:045D19000000000086 -:045D1A000000000085 -:045D1B000000000084 -:045D1C000000000083 -:045D1D000000000082 -:045D1E000000000081 -:045D1F000000000080 -:045D2000000000007F -:045D2100000000007E -:045D2200000000007D -:045D2300000000007C -:045D2400000000007B -:045D2500000000007A -:045D26000000000079 -:045D27000000000078 -:045D28000000000077 -:045D29000000000076 -:045D2A000000000075 -:045D2B000000000074 -:045D2C000000000073 -:045D2D000000000072 -:045D2E000000000071 -:045D2F000000000070 -:045D3000000000006F -:045D3100000000006E -:045D3200000000006D -:045D3300000000006C -:045D3400000000006B -:045D3500000000006A -:045D36000000000069 -:045D37000000000068 -:045D38000000000067 -:045D39000000000066 -:045D3A000000000065 -:045D3B000000000064 -:045D3C000000000063 -:045D3D000000000062 -:045D3E000000000061 -:045D3F000000000060 -:045D4000000000005F -:045D4100000000005E -:045D4200000000005D -:045D4300000000005C -:045D4400000000005B -:045D4500000000005A -:045D46000000000059 -:045D47000000000058 -:045D48000000000057 -:045D49000000000056 -:045D4A000000000055 -:045D4B000000000054 -:045D4C000000000053 -:045D4D000000000052 -:045D4E000000000051 -:045D4F000000000050 -:045D5000000000004F -:045D5100000000004E -:045D5200000000004D -:045D5300000000004C -:045D5400000000004B -:045D5500000000004A -:045D56000000000049 -:045D57000000000048 -:045D58000000000047 -:045D59000000000046 -:045D5A000000000045 -:045D5B000000000044 -:045D5C000000000043 -:045D5D000000000042 -:045D5E000000000041 -:045D5F000000000040 -:045D6000000000003F -:045D6100000000003E -:045D6200000000003D -:045D6300000000003C -:045D6400000000003B -:045D6500000000003A -:045D66000000000039 -:045D67000000000038 -:045D68000000000037 -:045D69000000000036 -:045D6A000000000035 -:045D6B000000000034 -:045D6C000000000033 -:045D6D000000000032 -:045D6E000000000031 -:045D6F000000000030 -:045D7000000000002F -:045D7100000000002E -:045D7200000000002D -:045D7300000000002C -:045D7400000000002B -:045D7500000000002A -:045D76000000000029 -:045D77000000000028 -:045D78000000000027 -:045D79000000000026 -:045D7A000000000025 -:045D7B000000000024 -:045D7C000000000023 -:045D7D000000000022 -:045D7E000000000021 -:045D7F000000000020 -:045D8000000000001F -:045D8100000000001E -:045D8200000000001D -:045D8300000000001C -:045D8400000000001B -:045D8500000000001A -:045D86000000000019 -:045D87000000000018 -:045D88000000000017 -:045D89000000000016 -:045D8A000000000015 -:045D8B000000000014 -:045D8C000000000013 -:045D8D000000000012 -:045D8E000000000011 -:045D8F000000000010 -:045D9000000000000F -:045D9100000000000E -:045D9200000000000D -:045D9300000000000C -:045D9400000000000B -:045D9500000000000A -:045D96000000000009 -:045D97000000000008 -:045D98000000000007 -:045D99000000000006 -:045D9A000000000005 -:045D9B000000000004 -:045D9C000000000003 -:045D9D000000000002 -:045D9E000000000001 -:045D9F000000000000 -:045DA00000000000FF -:045DA10000000000FE -:045DA20000000000FD -:045DA30000000000FC -:045DA40000000000FB -:045DA50000000000FA -:045DA60000000000F9 -:045DA70000000000F8 -:045DA80000000000F7 -:045DA90000000000F6 -:045DAA0000000000F5 -:045DAB0000000000F4 -:045DAC0000000000F3 -:045DAD0000000000F2 -:045DAE0000000000F1 -:045DAF0000000000F0 -:045DB00000000000EF -:045DB10000000000EE -:045DB20000000000ED -:045DB30000000000EC -:045DB40000000000EB -:045DB50000000000EA -:045DB60000000000E9 -:045DB70000000000E8 -:045DB80000000000E7 -:045DB90000000000E6 -:045DBA0000000000E5 -:045DBB0000000000E4 -:045DBC0000000000E3 -:045DBD0000000000E2 -:045DBE0000000000E1 -:045DBF0000000000E0 -:045DC00000000000DF -:045DC10000000000DE -:045DC20000000000DD -:045DC30000000000DC -:045DC40000000000DB -:045DC50000000000DA -:045DC60000000000D9 -:045DC70000000000D8 -:045DC80000000000D7 -:045DC90000000000D6 -:045DCA0000000000D5 -:045DCB0000000000D4 -:045DCC0000000000D3 -:045DCD0000000000D2 -:045DCE0000000000D1 -:045DCF0000000000D0 -:045DD00000000000CF -:045DD10000000000CE -:045DD20000000000CD -:045DD30000000000CC -:045DD40000000000CB -:045DD50000000000CA -:045DD60000000000C9 -:045DD70000000000C8 -:045DD80000000000C7 -:045DD90000000000C6 -:045DDA0000000000C5 -:045DDB0000000000C4 -:045DDC0000000000C3 -:045DDD0000000000C2 -:045DDE0000000000C1 -:045DDF0000000000C0 -:045DE00000000000BF -:045DE10000000000BE -:045DE20000000000BD -:045DE30000000000BC -:045DE40000000000BB -:045DE50000000000BA -:045DE60000000000B9 -:045DE70000000000B8 -:045DE80000000000B7 -:045DE90000000000B6 -:045DEA0000000000B5 -:045DEB0000000000B4 -:045DEC0000000000B3 -:045DED0000000000B2 -:045DEE0000000000B1 -:045DEF0000000000B0 -:045DF00000000000AF -:045DF10000000000AE -:045DF20000000000AD -:045DF30000000000AC -:045DF40000000000AB -:045DF50000000000AA -:045DF60000000000A9 -:045DF70000000000A8 -:045DF80000000000A7 -:045DF90000000000A6 -:045DFA0000000000A5 -:045DFB0000000000A4 -:045DFC0000000000A3 -:045DFD0000000000A2 -:045DFE0000000000A1 -:045DFF0000000000A0 -:045E0000000000009E -:045E0100000000009D -:045E0200000000009C -:045E0300000000009B -:045E0400000000009A -:045E05000000000099 -:045E06000000000098 -:045E07000000000097 -:045E08000000000096 -:045E09000000000095 -:045E0A000000000094 -:045E0B000000000093 -:045E0C000000000092 -:045E0D000000000091 -:045E0E000000000090 -:045E0F00000000008F -:045E1000000000008E -:045E1100000000008D -:045E1200000000008C -:045E1300000000008B -:045E1400000000008A -:045E15000000000089 -:045E16000000000088 -:045E17000000000087 -:045E18000000000086 -:045E19000000000085 -:045E1A000000000084 -:045E1B000000000083 -:045E1C000000000082 -:045E1D000000000081 -:045E1E000000000080 -:045E1F00000000007F -:045E2000000000007E -:045E2100000000007D -:045E2200000000007C -:045E2300000000007B -:045E2400000000007A -:045E25000000000079 -:045E26000000000078 -:045E27000000000077 -:045E28000000000076 -:045E29000000000075 -:045E2A000000000074 -:045E2B000000000073 -:045E2C000000000072 -:045E2D000000000071 -:045E2E000000000070 -:045E2F00000000006F -:045E3000000000006E -:045E3100000000006D -:045E3200000000006C -:045E3300000000006B -:045E3400000000006A -:045E35000000000069 -:045E36000000000068 -:045E37000000000067 -:045E38000000000066 -:045E39000000000065 -:045E3A000000000064 -:045E3B000000000063 -:045E3C000000000062 -:045E3D000000000061 -:045E3E000000000060 -:045E3F00000000005F -:045E4000000000005E -:045E4100000000005D -:045E4200000000005C -:045E4300000000005B -:045E4400000000005A -:045E45000000000059 -:045E46000000000058 -:045E47000000000057 -:045E48000000000056 -:045E49000000000055 -:045E4A000000000054 -:045E4B000000000053 -:045E4C000000000052 -:045E4D000000000051 -:045E4E000000000050 -:045E4F00000000004F -:045E5000000000004E -:045E5100000000004D -:045E5200000000004C -:045E5300000000004B -:045E5400000000004A -:045E55000000000049 -:045E56000000000048 -:045E57000000000047 -:045E58000000000046 -:045E59000000000045 -:045E5A000000000044 -:045E5B000000000043 -:045E5C000000000042 -:045E5D000000000041 -:045E5E000000000040 -:045E5F00000000003F -:045E6000000000003E -:045E6100000000003D -:045E6200000000003C -:045E6300000000003B -:045E6400000000003A -:045E65000000000039 -:045E66000000000038 -:045E67000000000037 -:045E68000000000036 -:045E69000000000035 -:045E6A000000000034 -:045E6B000000000033 -:045E6C000000000032 -:045E6D000000000031 -:045E6E000000000030 -:045E6F00000000002F -:045E7000000000002E -:045E7100000000002D -:045E7200000000002C -:045E7300000000002B -:045E7400000000002A -:045E75000000000029 -:045E76000000000028 -:045E77000000000027 -:045E78000000000026 -:045E79000000000025 -:045E7A000000000024 -:045E7B000000000023 -:045E7C000000000022 -:045E7D000000000021 -:045E7E000000000020 -:045E7F00000000001F -:045E8000000000001E -:045E8100000000001D -:045E8200000000001C -:045E8300000000001B -:045E8400000000001A -:045E85000000000019 -:045E86000000000018 -:045E87000000000017 -:045E88000000000016 -:045E89000000000015 -:045E8A000000000014 -:045E8B000000000013 -:045E8C000000000012 -:045E8D000000000011 -:045E8E000000000010 -:045E8F00000000000F -:045E9000000000000E -:045E9100000000000D -:045E9200000000000C -:045E9300000000000B -:045E9400000000000A -:045E95000000000009 -:045E96000000000008 -:045E97000000000007 -:045E98000000000006 -:045E99000000000005 -:045E9A000000000004 -:045E9B000000000003 -:045E9C000000000002 -:045E9D000000000001 -:045E9E000000000000 -:045E9F0000000000FF -:045EA00000000000FE -:045EA10000000000FD -:045EA20000000000FC -:045EA30000000000FB -:045EA40000000000FA -:045EA50000000000F9 -:045EA60000000000F8 -:045EA70000000000F7 -:045EA80000000000F6 -:045EA90000000000F5 -:045EAA0000000000F4 -:045EAB0000000000F3 -:045EAC0000000000F2 -:045EAD0000000000F1 -:045EAE0000000000F0 -:045EAF0000000000EF -:045EB00000000000EE -:045EB10000000000ED -:045EB20000000000EC -:045EB30000000000EB -:045EB40000000000EA -:045EB50000000000E9 -:045EB60000000000E8 -:045EB70000000000E7 -:045EB80000000000E6 -:045EB90000000000E5 -:045EBA0000000000E4 -:045EBB0000000000E3 -:045EBC0000000000E2 -:045EBD0000000000E1 -:045EBE0000000000E0 -:045EBF0000000000DF -:045EC00000000000DE -:045EC10000000000DD -:045EC20000000000DC -:045EC30000000000DB -:045EC40000000000DA -:045EC50000000000D9 -:045EC60000000000D8 -:045EC70000000000D7 -:045EC80000000000D6 -:045EC90000000000D5 -:045ECA0000000000D4 -:045ECB0000000000D3 -:045ECC0000000000D2 -:045ECD0000000000D1 -:045ECE0000000000D0 -:045ECF0000000000CF -:045ED00000000000CE -:045ED10000000000CD -:045ED20000000000CC -:045ED30000000000CB -:045ED40000000000CA -:045ED50000000000C9 -:045ED60000000000C8 -:045ED70000000000C7 -:045ED80000000000C6 -:045ED90000000000C5 -:045EDA0000000000C4 -:045EDB0000000000C3 -:045EDC0000000000C2 -:045EDD0000000000C1 -:045EDE0000000000C0 -:045EDF0000000000BF -:045EE00000000000BE -:045EE10000000000BD -:045EE20000000000BC -:045EE30000000000BB -:045EE40000000000BA -:045EE50000000000B9 -:045EE60000000000B8 -:045EE70000000000B7 -:045EE80000000000B6 -:045EE90000000000B5 -:045EEA0000000000B4 -:045EEB0000000000B3 -:045EEC0000000000B2 -:045EED0000000000B1 -:045EEE0000000000B0 -:045EEF0000000000AF -:045EF00000000000AE -:045EF10000000000AD -:045EF20000000000AC -:045EF30000000000AB -:045EF40000000000AA -:045EF50000000000A9 -:045EF60000000000A8 -:045EF70000000000A7 -:045EF80000000000A6 -:045EF90000000000A5 -:045EFA0000000000A4 -:045EFB0000000000A3 -:045EFC0000000000A2 -:045EFD0000000000A1 -:045EFE0000000000A0 -:045EFF00000000009F -:045F0000000000009D -:045F0100000000009C -:045F0200000000009B -:045F0300000000009A -:045F04000000000099 -:045F05000000000098 -:045F06000000000097 -:045F07000000000096 -:045F08000000000095 -:045F09000000000094 -:045F0A000000000093 -:045F0B000000000092 -:045F0C000000000091 -:045F0D000000000090 -:045F0E00000000008F -:045F0F00000000008E -:045F1000000000008D -:045F1100000000008C -:045F1200000000008B -:045F1300000000008A -:045F14000000000089 -:045F15000000000088 -:045F16000000000087 -:045F17000000000086 -:045F18000000000085 -:045F19000000000084 -:045F1A000000000083 -:045F1B000000000082 -:045F1C000000000081 -:045F1D000000000080 -:045F1E00000000007F -:045F1F00000000007E -:045F2000000000007D -:045F2100000000007C -:045F2200000000007B -:045F2300000000007A -:045F24000000000079 -:045F25000000000078 -:045F26000000000077 -:045F27000000000076 -:045F28000000000075 -:045F29000000000074 -:045F2A000000000073 -:045F2B000000000072 -:045F2C000000000071 -:045F2D000000000070 -:045F2E00000000006F -:045F2F00000000006E -:045F3000000000006D -:045F3100000000006C -:045F3200000000006B -:045F3300000000006A -:045F34000000000069 -:045F35000000000068 -:045F36000000000067 -:045F37000000000066 -:045F38000000000065 -:045F39000000000064 -:045F3A000000000063 -:045F3B000000000062 -:045F3C000000000061 -:045F3D000000000060 -:045F3E00000000005F -:045F3F00000000005E -:045F4000000000005D -:045F4100000000005C -:045F4200000000005B -:045F4300000000005A -:045F44000000000059 -:045F45000000000058 -:045F46000000000057 -:045F47000000000056 -:045F48000000000055 -:045F49000000000054 -:045F4A000000000053 -:045F4B000000000052 -:045F4C000000000051 -:045F4D000000000050 -:045F4E00000000004F -:045F4F00000000004E -:045F5000000000004D -:045F5100000000004C -:045F5200000000004B -:045F5300000000004A -:045F54000000000049 -:045F55000000000048 -:045F56000000000047 -:045F57000000000046 -:045F58000000000045 -:045F59000000000044 -:045F5A000000000043 -:045F5B000000000042 -:045F5C000000000041 -:045F5D000000000040 -:045F5E00000000003F -:045F5F00000000003E -:045F6000000000003D -:045F6100000000003C -:045F6200000000003B -:045F6300000000003A -:045F64000000000039 -:045F65000000000038 -:045F66000000000037 -:045F67000000000036 -:045F68000000000035 -:045F69000000000034 -:045F6A000000000033 -:045F6B000000000032 -:045F6C000000000031 -:045F6D000000000030 -:045F6E00000000002F -:045F6F00000000002E -:045F7000000000002D -:045F7100000000002C -:045F7200000000002B -:045F7300000000002A -:045F74000000000029 -:045F75000000000028 -:045F76000000000027 -:045F77000000000026 -:045F78000000000025 -:045F79000000000024 -:045F7A000000000023 -:045F7B000000000022 -:045F7C000000000021 -:045F7D000000000020 -:045F7E00000000001F -:045F7F00000000001E -:045F8000000000001D -:045F8100000000001C -:045F8200000000001B -:045F8300000000001A -:045F84000000000019 -:045F85000000000018 -:045F86000000000017 -:045F87000000000016 -:045F88000000000015 -:045F89000000000014 -:045F8A000000000013 -:045F8B000000000012 -:045F8C000000000011 -:045F8D000000000010 -:045F8E00000000000F -:045F8F00000000000E -:045F9000000000000D -:045F9100000000000C -:045F9200000000000B -:045F9300000000000A -:045F94000000000009 -:045F95000000000008 -:045F96000000000007 -:045F97000000000006 -:045F98000000000005 -:045F99000000000004 -:045F9A000000000003 -:045F9B000000000002 -:045F9C000000000001 -:045F9D000000000000 -:045F9E0000000000FF -:045F9F0000000000FE -:045FA00000000000FD -:045FA10000000000FC -:045FA20000000000FB -:045FA30000000000FA -:045FA40000000000F9 -:045FA50000000000F8 -:045FA60000000000F7 -:045FA70000000000F6 -:045FA80000000000F5 -:045FA90000000000F4 -:045FAA0000000000F3 -:045FAB0000000000F2 -:045FAC0000000000F1 -:045FAD0000000000F0 -:045FAE0000000000EF -:045FAF0000000000EE -:045FB00000000000ED -:045FB10000000000EC -:045FB20000000000EB -:045FB30000000000EA -:045FB40000000000E9 -:045FB50000000000E8 -:045FB60000000000E7 -:045FB70000000000E6 -:045FB80000000000E5 -:045FB90000000000E4 -:045FBA0000000000E3 -:045FBB0000000000E2 -:045FBC0000000000E1 -:045FBD0000000000E0 -:045FBE0000000000DF -:045FBF0000000000DE -:045FC00000000000DD -:045FC10000000000DC -:045FC20000000000DB -:045FC30000000000DA -:045FC40000000000D9 -:045FC50000000000D8 -:045FC60000000000D7 -:045FC70000000000D6 -:045FC80000000000D5 -:045FC90000000000D4 -:045FCA0000000000D3 -:045FCB0000000000D2 -:045FCC0000000000D1 -:045FCD0000000000D0 -:045FCE0000000000CF -:045FCF0000000000CE -:045FD00000000000CD -:045FD10000000000CC -:045FD20000000000CB -:045FD30000000000CA -:045FD40000000000C9 -:045FD50000000000C8 -:045FD60000000000C7 -:045FD70000000000C6 -:045FD80000000000C5 -:045FD90000000000C4 -:045FDA0000000000C3 -:045FDB0000000000C2 -:045FDC0000000000C1 -:045FDD0000000000C0 -:045FDE0000000000BF -:045FDF0000000000BE -:045FE00000000000BD -:045FE10000000000BC -:045FE20000000000BB -:045FE30000000000BA -:045FE40000000000B9 -:045FE50000000000B8 -:045FE60000000000B7 -:045FE70000000000B6 -:045FE80000000000B5 -:045FE90000000000B4 -:045FEA0000000000B3 -:045FEB0000000000B2 -:045FEC0000000000B1 -:045FED0000000000B0 -:045FEE0000000000AF -:045FEF0000000000AE -:045FF00000000000AD -:045FF10000000000AC -:045FF20000000000AB -:045FF30000000000AA -:045FF40000000000A9 -:045FF50000000000A8 -:045FF60000000000A7 -:045FF70000000000A6 -:045FF80000000000A5 -:045FF90000000000A4 -:045FFA0000000000A3 -:045FFB0000000000A2 -:045FFC0000000000A1 -:045FFD0000000000A0 -:045FFE00000000009F -:045FFF00000000009E -:04600000000000009C -:04600100000000009B -:04600200000000009A -:046003000000000099 -:046004000000000098 -:046005000000000097 -:046006000000000096 -:046007000000000095 -:046008000000000094 -:046009000000000093 -:04600A000000000092 -:04600B000000000091 -:04600C000000000090 -:04600D00000000008F -:04600E00000000008E -:04600F00000000008D -:04601000000000008C -:04601100000000008B -:04601200000000008A -:046013000000000089 -:046014000000000088 -:046015000000000087 -:046016000000000086 -:046017000000000085 -:046018000000000084 -:046019000000000083 -:04601A000000000082 -:04601B000000000081 -:04601C000000000080 -:04601D00000000007F -:04601E00000000007E -:04601F00000000007D -:04602000000000007C -:04602100000000007B -:04602200000000007A -:046023000000000079 -:046024000000000078 -:046025000000000077 -:046026000000000076 -:046027000000000075 -:046028000000000074 -:046029000000000073 -:04602A000000000072 -:04602B000000000071 -:04602C000000000070 -:04602D00000000006F -:04602E00000000006E -:04602F00000000006D -:04603000000000006C -:04603100000000006B -:04603200000000006A -:046033000000000069 -:046034000000000068 -:046035000000000067 -:046036000000000066 -:046037000000000065 -:046038000000000064 -:046039000000000063 -:04603A000000000062 -:04603B000000000061 -:04603C000000000060 -:04603D00000000005F -:04603E00000000005E -:04603F00000000005D -:04604000000000005C -:04604100000000005B -:04604200000000005A -:046043000000000059 -:046044000000000058 -:046045000000000057 -:046046000000000056 -:046047000000000055 -:046048000000000054 -:046049000000000053 -:04604A000000000052 -:04604B000000000051 -:04604C000000000050 -:04604D00000000004F -:04604E00000000004E -:04604F00000000004D -:04605000000000004C -:04605100000000004B -:04605200000000004A -:046053000000000049 -:046054000000000048 -:046055000000000047 -:046056000000000046 -:046057000000000045 -:046058000000000044 -:046059000000000043 -:04605A000000000042 -:04605B000000000041 -:04605C000000000040 -:04605D00000000003F -:04605E00000000003E -:04605F00000000003D -:04606000000000003C -:04606100000000003B -:04606200000000003A -:046063000000000039 -:046064000000000038 -:046065000000000037 -:046066000000000036 -:046067000000000035 -:046068000000000034 -:046069000000000033 -:04606A000000000032 -:04606B000000000031 -:04606C000000000030 -:04606D00000000002F -:04606E00000000002E -:04606F00000000002D -:04607000000000002C -:04607100000000002B -:04607200000000002A -:046073000000000029 -:046074000000000028 -:046075000000000027 -:046076000000000026 -:046077000000000025 -:046078000000000024 -:046079000000000023 -:04607A000000000022 -:04607B000000000021 -:04607C000000000020 -:04607D00000000001F -:04607E00000000001E -:04607F00000000001D -:04608000000000001C -:04608100000000001B -:04608200000000001A -:046083000000000019 -:046084000000000018 -:046085000000000017 -:046086000000000016 -:046087000000000015 -:046088000000000014 -:046089000000000013 -:04608A000000000012 -:04608B000000000011 -:04608C000000000010 -:04608D00000000000F -:04608E00000000000E -:04608F00000000000D -:04609000000000000C -:04609100000000000B -:04609200000000000A -:046093000000000009 -:046094000000000008 -:046095000000000007 -:046096000000000006 -:046097000000000005 -:046098000000000004 -:046099000000000003 -:04609A000000000002 -:04609B000000000001 -:04609C000000000000 -:04609D0000000000FF -:04609E0000000000FE -:04609F0000000000FD -:0460A00000000000FC -:0460A10000000000FB -:0460A20000000000FA -:0460A30000000000F9 -:0460A40000000000F8 -:0460A50000000000F7 -:0460A60000000000F6 -:0460A70000000000F5 -:0460A80000000000F4 -:0460A90000000000F3 -:0460AA0000000000F2 -:0460AB0000000000F1 -:0460AC0000000000F0 -:0460AD0000000000EF -:0460AE0000000000EE -:0460AF0000000000ED -:0460B00000000000EC -:0460B10000000000EB -:0460B20000000000EA -:0460B30000000000E9 -:0460B40000000000E8 -:0460B50000000000E7 -:0460B60000000000E6 -:0460B70000000000E5 -:0460B80000000000E4 -:0460B90000000000E3 -:0460BA0000000000E2 -:0460BB0000000000E1 -:0460BC0000000000E0 -:0460BD0000000000DF -:0460BE0000000000DE -:0460BF0000000000DD -:0460C00000000000DC -:0460C10000000000DB -:0460C20000000000DA -:0460C30000000000D9 -:0460C40000000000D8 -:0460C50000000000D7 -:0460C60000000000D6 -:0460C70000000000D5 -:0460C80000000000D4 -:0460C90000000000D3 -:0460CA0000000000D2 -:0460CB0000000000D1 -:0460CC0000000000D0 -:0460CD0000000000CF -:0460CE0000000000CE -:0460CF0000000000CD -:0460D00000000000CC -:0460D10000000000CB -:0460D20000000000CA -:0460D30000000000C9 -:0460D40000000000C8 -:0460D50000000000C7 -:0460D60000000000C6 -:0460D70000000000C5 -:0460D80000000000C4 -:0460D90000000000C3 -:0460DA0000000000C2 -:0460DB0000000000C1 -:0460DC0000000000C0 -:0460DD0000000000BF -:0460DE0000000000BE -:0460DF0000000000BD -:0460E00000000000BC -:0460E10000000000BB -:0460E20000000000BA -:0460E30000000000B9 -:0460E40000000000B8 -:0460E50000000000B7 -:0460E60000000000B6 -:0460E70000000000B5 -:0460E80000000000B4 -:0460E90000000000B3 -:0460EA0000000000B2 -:0460EB0000000000B1 -:0460EC0000000000B0 -:0460ED0000000000AF -:0460EE0000000000AE -:0460EF0000000000AD -:0460F00000000000AC -:0460F10000000000AB -:0460F20000000000AA -:0460F30000000000A9 -:0460F40000000000A8 -:0460F50000000000A7 -:0460F60000000000A6 -:0460F70000000000A5 -:0460F80000000000A4 -:0460F90000000000A3 -:0460FA0000000000A2 -:0460FB0000000000A1 -:0460FC0000000000A0 -:0460FD00000000009F -:0460FE00000000009E -:0460FF00000000009D -:04610000000000009B -:04610100000000009A -:046102000000000099 -:046103000000000098 -:046104000000000097 -:046105000000000096 -:046106000000000095 -:046107000000000094 -:046108000000000093 -:046109000000000092 -:04610A000000000091 -:04610B000000000090 -:04610C00000000008F -:04610D00000000008E -:04610E00000000008D -:04610F00000000008C -:04611000000000008B -:04611100000000008A -:046112000000000089 -:046113000000000088 -:046114000000000087 -:046115000000000086 -:046116000000000085 -:046117000000000084 -:046118000000000083 -:046119000000000082 -:04611A000000000081 -:04611B000000000080 -:04611C00000000007F -:04611D00000000007E -:04611E00000000007D -:04611F00000000007C -:04612000000000007B -:04612100000000007A -:046122000000000079 -:046123000000000078 -:046124000000000077 -:046125000000000076 -:046126000000000075 -:046127000000000074 -:046128000000000073 -:046129000000000072 -:04612A000000000071 -:04612B000000000070 -:04612C00000000006F -:04612D00000000006E -:04612E00000000006D -:04612F00000000006C -:04613000000000006B -:04613100000000006A -:046132000000000069 -:046133000000000068 -:046134000000000067 -:046135000000000066 -:046136000000000065 -:046137000000000064 -:046138000000000063 -:046139000000000062 -:04613A000000000061 -:04613B000000000060 -:04613C00000000005F -:04613D00000000005E -:04613E00000000005D -:04613F00000000005C -:04614000000000005B -:04614100000000005A -:046142000000000059 -:046143000000000058 -:046144000000000057 -:046145000000000056 -:046146000000000055 -:046147000000000054 -:046148000000000053 -:046149000000000052 -:04614A000000000051 -:04614B000000000050 -:04614C00000000004F -:04614D00000000004E -:04614E00000000004D -:04614F00000000004C -:04615000000000004B -:04615100000000004A -:046152000000000049 -:046153000000000048 -:046154000000000047 -:046155000000000046 -:046156000000000045 -:046157000000000044 -:046158000000000043 -:046159000000000042 -:04615A000000000041 -:04615B000000000040 -:04615C00000000003F -:04615D00000000003E -:04615E00000000003D -:04615F00000000003C -:04616000000000003B -:04616100000000003A -:046162000000000039 -:046163000000000038 -:046164000000000037 -:046165000000000036 -:046166000000000035 -:046167000000000034 -:046168000000000033 -:046169000000000032 -:04616A000000000031 -:04616B000000000030 -:04616C00000000002F -:04616D00000000002E -:04616E00000000002D -:04616F00000000002C -:04617000000000002B -:04617100000000002A -:046172000000000029 -:046173000000000028 -:046174000000000027 -:046175000000000026 -:046176000000000025 -:046177000000000024 -:046178000000000023 -:046179000000000022 -:04617A000000000021 -:04617B000000000020 -:04617C00000000001F -:04617D00000000001E -:04617E00000000001D -:04617F00000000001C -:04618000000000001B -:04618100000000001A -:046182000000000019 -:046183000000000018 -:046184000000000017 -:046185000000000016 -:046186000000000015 -:046187000000000014 -:046188000000000013 -:046189000000000012 -:04618A000000000011 -:04618B000000000010 -:04618C00000000000F -:04618D00000000000E -:04618E00000000000D -:04618F00000000000C -:04619000000000000B -:04619100000000000A -:046192000000000009 -:046193000000000008 -:046194000000000007 -:046195000000000006 -:046196000000000005 -:046197000000000004 -:046198000000000003 -:046199000000000002 -:04619A000000000001 -:04619B000000000000 -:04619C0000000000FF -:04619D0000000000FE -:04619E0000000000FD -:04619F0000000000FC -:0461A00000000000FB -:0461A10000000000FA -:0461A20000000000F9 -:0461A30000000000F8 -:0461A40000000000F7 -:0461A50000000000F6 -:0461A60000000000F5 -:0461A70000000000F4 -:0461A80000000000F3 -:0461A90000000000F2 -:0461AA0000000000F1 -:0461AB0000000000F0 -:0461AC0000000000EF -:0461AD0000000000EE -:0461AE0000000000ED -:0461AF0000000000EC -:0461B00000000000EB -:0461B10000000000EA -:0461B20000000000E9 -:0461B30000000000E8 -:0461B40000000000E7 -:0461B50000000000E6 -:0461B60000000000E5 -:0461B70000000000E4 -:0461B80000000000E3 -:0461B90000000000E2 -:0461BA0000000000E1 -:0461BB0000000000E0 -:0461BC0000000000DF -:0461BD0000000000DE -:0461BE0000000000DD -:0461BF0000000000DC -:0461C00000000000DB -:0461C10000000000DA -:0461C20000000000D9 -:0461C30000000000D8 -:0461C40000000000D7 -:0461C50000000000D6 -:0461C60000000000D5 -:0461C70000000000D4 -:0461C80000000000D3 -:0461C90000000000D2 -:0461CA0000000000D1 -:0461CB0000000000D0 -:0461CC0000000000CF -:0461CD0000000000CE -:0461CE0000000000CD -:0461CF0000000000CC -:0461D00000000000CB -:0461D10000000000CA -:0461D20000000000C9 -:0461D30000000000C8 -:0461D40000000000C7 -:0461D50000000000C6 -:0461D60000000000C5 -:0461D70000000000C4 -:0461D80000000000C3 -:0461D90000000000C2 -:0461DA0000000000C1 -:0461DB0000000000C0 -:0461DC0000000000BF -:0461DD0000000000BE -:0461DE0000000000BD -:0461DF0000000000BC -:0461E00000000000BB -:0461E10000000000BA -:0461E20000000000B9 -:0461E30000000000B8 -:0461E40000000000B7 -:0461E50000000000B6 -:0461E60000000000B5 -:0461E70000000000B4 -:0461E80000000000B3 -:0461E90000000000B2 -:0461EA0000000000B1 -:0461EB0000000000B0 -:0461EC0000000000AF -:0461ED0000000000AE -:0461EE0000000000AD -:0461EF0000000000AC -:0461F00000000000AB -:0461F10000000000AA -:0461F20000000000A9 -:0461F30000000000A8 -:0461F40000000000A7 -:0461F50000000000A6 -:0461F60000000000A5 -:0461F70000000000A4 -:0461F80000000000A3 -:0461F90000000000A2 -:0461FA0000000000A1 -:0461FB0000000000A0 -:0461FC00000000009F -:0461FD00000000009E -:0461FE00000000009D -:0461FF00000000009C -:04620000000000009A -:046201000000000099 -:046202000000000098 -:046203000000000097 -:046204000000000096 -:046205000000000095 -:046206000000000094 -:046207000000000093 -:046208000000000092 -:046209000000000091 -:04620A000000000090 -:04620B00000000008F -:04620C00000000008E -:04620D00000000008D -:04620E00000000008C -:04620F00000000008B -:04621000000000008A -:046211000000000089 -:046212000000000088 -:046213000000000087 -:046214000000000086 -:046215000000000085 -:046216000000000084 -:046217000000000083 -:046218000000000082 -:046219000000000081 -:04621A000000000080 -:04621B00000000007F -:04621C00000000007E -:04621D00000000007D -:04621E00000000007C -:04621F00000000007B -:04622000000000007A -:046221000000000079 -:046222000000000078 -:046223000000000077 -:046224000000000076 -:046225000000000075 -:046226000000000074 -:046227000000000073 -:046228000000000072 -:046229000000000071 -:04622A000000000070 -:04622B00000000006F -:04622C00000000006E -:04622D00000000006D -:04622E00000000006C -:04622F00000000006B -:04623000000000006A -:046231000000000069 -:046232000000000068 -:046233000000000067 -:046234000000000066 -:046235000000000065 -:046236000000000064 -:046237000000000063 -:046238000000000062 -:046239000000000061 -:04623A000000000060 -:04623B00000000005F -:04623C00000000005E -:04623D00000000005D -:04623E00000000005C -:04623F00000000005B -:04624000000000005A -:046241000000000059 -:046242000000000058 -:046243000000000057 -:046244000000000056 -:046245000000000055 -:046246000000000054 -:046247000000000053 -:046248000000000052 -:046249000000000051 -:04624A000000000050 -:04624B00000000004F -:04624C00000000004E -:04624D00000000004D -:04624E00000000004C -:04624F00000000004B -:04625000000000004A -:046251000000000049 -:046252000000000048 -:046253000000000047 -:046254000000000046 -:046255000000000045 -:046256000000000044 -:046257000000000043 -:046258000000000042 -:046259000000000041 -:04625A000000000040 -:04625B00000000003F -:04625C00000000003E -:04625D00000000003D -:04625E00000000003C -:04625F00000000003B -:04626000000000003A -:046261000000000039 -:046262000000000038 -:046263000000000037 -:046264000000000036 -:046265000000000035 -:046266000000000034 -:046267000000000033 -:046268000000000032 -:046269000000000031 -:04626A000000000030 -:04626B00000000002F -:04626C00000000002E -:04626D00000000002D -:04626E00000000002C -:04626F00000000002B -:04627000000000002A -:046271000000000029 -:046272000000000028 -:046273000000000027 -:046274000000000026 -:046275000000000025 -:046276000000000024 -:046277000000000023 -:046278000000000022 -:046279000000000021 -:04627A000000000020 -:04627B00000000001F -:04627C00000000001E -:04627D00000000001D -:04627E00000000001C -:04627F00000000001B -:04628000000000001A -:046281000000000019 -:046282000000000018 -:046283000000000017 -:046284000000000016 -:046285000000000015 -:046286000000000014 -:046287000000000013 -:046288000000000012 -:046289000000000011 -:04628A000000000010 -:04628B00000000000F -:04628C00000000000E -:04628D00000000000D -:04628E00000000000C -:04628F00000000000B -:04629000000000000A -:046291000000000009 -:046292000000000008 -:046293000000000007 -:046294000000000006 -:046295000000000005 -:046296000000000004 -:046297000000000003 -:046298000000000002 -:046299000000000001 -:04629A000000000000 -:04629B0000000000FF -:04629C0000000000FE -:04629D0000000000FD -:04629E0000000000FC -:04629F0000000000FB -:0462A00000000000FA -:0462A10000000000F9 -:0462A20000000000F8 -:0462A30000000000F7 -:0462A40000000000F6 -:0462A50000000000F5 -:0462A60000000000F4 -:0462A70000000000F3 -:0462A80000000000F2 -:0462A90000000000F1 -:0462AA0000000000F0 -:0462AB0000000000EF -:0462AC0000000000EE -:0462AD0000000000ED -:0462AE0000000000EC -:0462AF0000000000EB -:0462B00000000000EA -:0462B10000000000E9 -:0462B20000000000E8 -:0462B30000000000E7 -:0462B40000000000E6 -:0462B50000000000E5 -:0462B60000000000E4 -:0462B70000000000E3 -:0462B80000000000E2 -:0462B90000000000E1 -:0462BA0000000000E0 -:0462BB0000000000DF -:0462BC0000000000DE -:0462BD0000000000DD -:0462BE0000000000DC -:0462BF0000000000DB -:0462C00000000000DA -:0462C10000000000D9 -:0462C20000000000D8 -:0462C30000000000D7 -:0462C40000000000D6 -:0462C50000000000D5 -:0462C60000000000D4 -:0462C70000000000D3 -:0462C80000000000D2 -:0462C90000000000D1 -:0462CA0000000000D0 -:0462CB0000000000CF -:0462CC0000000000CE -:0462CD0000000000CD -:0462CE0000000000CC -:0462CF0000000000CB -:0462D00000000000CA -:0462D10000000000C9 -:0462D20000000000C8 -:0462D30000000000C7 -:0462D40000000000C6 -:0462D50000000000C5 -:0462D60000000000C4 -:0462D70000000000C3 -:0462D80000000000C2 -:0462D90000000000C1 -:0462DA0000000000C0 -:0462DB0000000000BF -:0462DC0000000000BE -:0462DD0000000000BD -:0462DE0000000000BC -:0462DF0000000000BB -:0462E00000000000BA -:0462E10000000000B9 -:0462E20000000000B8 -:0462E30000000000B7 -:0462E40000000000B6 -:0462E50000000000B5 -:0462E60000000000B4 -:0462E70000000000B3 -:0462E80000000000B2 -:0462E90000000000B1 -:0462EA0000000000B0 -:0462EB0000000000AF -:0462EC0000000000AE -:0462ED0000000000AD -:0462EE0000000000AC -:0462EF0000000000AB -:0462F00000000000AA -:0462F10000000000A9 -:0462F20000000000A8 -:0462F30000000000A7 -:0462F40000000000A6 -:0462F50000000000A5 -:0462F60000000000A4 -:0462F70000000000A3 -:0462F80000000000A2 -:0462F90000000000A1 -:0462FA0000000000A0 -:0462FB00000000009F -:0462FC00000000009E -:0462FD00000000009D -:0462FE00000000009C -:0462FF00000000009B -:046300000000000099 -:046301000000000098 -:046302000000000097 -:046303000000000096 -:046304000000000095 -:046305000000000094 -:046306000000000093 -:046307000000000092 -:046308000000000091 -:046309000000000090 -:04630A00000000008F -:04630B00000000008E -:04630C00000000008D -:04630D00000000008C -:04630E00000000008B -:04630F00000000008A -:046310000000000089 -:046311000000000088 -:046312000000000087 -:046313000000000086 -:046314000000000085 -:046315000000000084 -:046316000000000083 -:046317000000000082 -:046318000000000081 -:046319000000000080 -:04631A00000000007F -:04631B00000000007E -:04631C00000000007D -:04631D00000000007C -:04631E00000000007B -:04631F00000000007A -:046320000000000079 -:046321000000000078 -:046322000000000077 -:046323000000000076 -:046324000000000075 -:046325000000000074 -:046326000000000073 -:046327000000000072 -:046328000000000071 -:046329000000000070 -:04632A00000000006F -:04632B00000000006E -:04632C00000000006D -:04632D00000000006C -:04632E00000000006B -:04632F00000000006A -:046330000000000069 -:046331000000000068 -:046332000000000067 -:046333000000000066 -:046334000000000065 -:046335000000000064 -:046336000000000063 -:046337000000000062 -:046338000000000061 -:046339000000000060 -:04633A00000000005F -:04633B00000000005E -:04633C00000000005D -:04633D00000000005C -:04633E00000000005B -:04633F00000000005A -:046340000000000059 -:046341000000000058 -:046342000000000057 -:046343000000000056 -:046344000000000055 -:046345000000000054 -:046346000000000053 -:046347000000000052 -:046348000000000051 -:046349000000000050 -:04634A00000000004F -:04634B00000000004E -:04634C00000000004D -:04634D00000000004C -:04634E00000000004B -:04634F00000000004A -:046350000000000049 -:046351000000000048 -:046352000000000047 -:046353000000000046 -:046354000000000045 -:046355000000000044 -:046356000000000043 -:046357000000000042 -:046358000000000041 -:046359000000000040 -:04635A00000000003F -:04635B00000000003E -:04635C00000000003D -:04635D00000000003C -:04635E00000000003B -:04635F00000000003A -:046360000000000039 -:046361000000000038 -:046362000000000037 -:046363000000000036 -:046364000000000035 -:046365000000000034 -:046366000000000033 -:046367000000000032 -:046368000000000031 -:046369000000000030 -:04636A00000000002F -:04636B00000000002E -:04636C00000000002D -:04636D00000000002C -:04636E00000000002B -:04636F00000000002A -:046370000000000029 -:046371000000000028 -:046372000000000027 -:046373000000000026 -:046374000000000025 -:046375000000000024 -:046376000000000023 -:046377000000000022 -:046378000000000021 -:046379000000000020 -:04637A00000000001F -:04637B00000000001E -:04637C00000000001D -:04637D00000000001C -:04637E00000000001B -:04637F00000000001A -:046380000000000019 -:046381000000000018 -:046382000000000017 -:046383000000000016 -:046384000000000015 -:046385000000000014 -:046386000000000013 -:046387000000000012 -:046388000000000011 -:046389000000000010 -:04638A00000000000F -:04638B00000000000E -:04638C00000000000D -:04638D00000000000C -:04638E00000000000B -:04638F00000000000A -:046390000000000009 -:046391000000000008 -:046392000000000007 -:046393000000000006 -:046394000000000005 -:046395000000000004 -:046396000000000003 -:046397000000000002 -:046398000000000001 -:046399000000000000 -:04639A0000000000FF -:04639B0000000000FE -:04639C0000000000FD -:04639D0000000000FC -:04639E0000000000FB -:04639F0000000000FA -:0463A00000000000F9 -:0463A10000000000F8 -:0463A20000000000F7 -:0463A30000000000F6 -:0463A40000000000F5 -:0463A50000000000F4 -:0463A60000000000F3 -:0463A70000000000F2 -:0463A80000000000F1 -:0463A90000000000F0 -:0463AA0000000000EF -:0463AB0000000000EE -:0463AC0000000000ED -:0463AD0000000000EC -:0463AE0000000000EB -:0463AF0000000000EA -:0463B00000000000E9 -:0463B10000000000E8 -:0463B20000000000E7 -:0463B30000000000E6 -:0463B40000000000E5 -:0463B50000000000E4 -:0463B60000000000E3 -:0463B70000000000E2 -:0463B80000000000E1 -:0463B90000000000E0 -:0463BA0000000000DF -:0463BB0000000000DE -:0463BC0000000000DD -:0463BD0000000000DC -:0463BE0000000000DB -:0463BF0000000000DA -:0463C00000000000D9 -:0463C10000000000D8 -:0463C20000000000D7 -:0463C30000000000D6 -:0463C40000000000D5 -:0463C50000000000D4 -:0463C60000000000D3 -:0463C70000000000D2 -:0463C80000000000D1 -:0463C90000000000D0 -:0463CA0000000000CF -:0463CB0000000000CE -:0463CC0000000000CD -:0463CD0000000000CC -:0463CE0000000000CB -:0463CF0000000000CA -:0463D00000000000C9 -:0463D10000000000C8 -:0463D20000000000C7 -:0463D30000000000C6 -:0463D40000000000C5 -:0463D50000000000C4 -:0463D60000000000C3 -:0463D70000000000C2 -:0463D80000000000C1 -:0463D90000000000C0 -:0463DA0000000000BF -:0463DB0000000000BE -:0463DC0000000000BD -:0463DD0000000000BC -:0463DE0000000000BB -:0463DF0000000000BA -:0463E00000000000B9 -:0463E10000000000B8 -:0463E20000000000B7 -:0463E30000000000B6 -:0463E40000000000B5 -:0463E50000000000B4 -:0463E60000000000B3 -:0463E70000000000B2 -:0463E80000000000B1 -:0463E90000000000B0 -:0463EA0000000000AF -:0463EB0000000000AE -:0463EC0000000000AD -:0463ED0000000000AC -:0463EE0000000000AB -:0463EF0000000000AA -:0463F00000000000A9 -:0463F10000000000A8 -:0463F20000000000A7 -:0463F30000000000A6 -:0463F40000000000A5 -:0463F50000000000A4 -:0463F60000000000A3 -:0463F70000000000A2 -:0463F80000000000A1 -:0463F90000000000A0 -:0463FA00000000009F -:0463FB00000000009E -:0463FC00000000009D -:0463FD00000000009C -:0463FE00000000009B -:0463FF00000000009A -:046400000000000098 -:046401000000000097 -:046402000000000096 -:046403000000000095 -:046404000000000094 -:046405000000000093 -:046406000000000092 -:046407000000000091 -:046408000000000090 -:04640900000000008F -:04640A00000000008E -:04640B00000000008D -:04640C00000000008C -:04640D00000000008B -:04640E00000000008A -:04640F000000000089 -:046410000000000088 -:046411000000000087 -:046412000000000086 -:046413000000000085 -:046414000000000084 -:046415000000000083 -:046416000000000082 -:046417000000000081 -:046418000000000080 -:04641900000000007F -:04641A00000000007E -:04641B00000000007D -:04641C00000000007C -:04641D00000000007B -:04641E00000000007A -:04641F000000000079 -:046420000000000078 -:046421000000000077 -:046422000000000076 -:046423000000000075 -:046424000000000074 -:046425000000000073 -:046426000000000072 -:046427000000000071 -:046428000000000070 -:04642900000000006F -:04642A00000000006E -:04642B00000000006D -:04642C00000000006C -:04642D00000000006B -:04642E00000000006A -:04642F000000000069 -:046430000000000068 -:046431000000000067 -:046432000000000066 -:046433000000000065 -:046434000000000064 -:046435000000000063 -:046436000000000062 -:046437000000000061 -:046438000000000060 -:04643900000000005F -:04643A00000000005E -:04643B00000000005D -:04643C00000000005C -:04643D00000000005B -:04643E00000000005A -:04643F000000000059 -:046440000000000058 -:046441000000000057 -:046442000000000056 -:046443000000000055 -:046444000000000054 -:046445000000000053 -:046446000000000052 -:046447000000000051 -:046448000000000050 -:04644900000000004F -:04644A00000000004E -:04644B00000000004D -:04644C00000000004C -:04644D00000000004B -:04644E00000000004A -:04644F000000000049 -:046450000000000048 -:046451000000000047 -:046452000000000046 -:046453000000000045 -:046454000000000044 -:046455000000000043 -:046456000000000042 -:046457000000000041 -:046458000000000040 -:04645900000000003F -:04645A00000000003E -:04645B00000000003D -:04645C00000000003C -:04645D00000000003B -:04645E00000000003A -:04645F000000000039 -:046460000000000038 -:046461000000000037 -:046462000000000036 -:046463000000000035 -:046464000000000034 -:046465000000000033 -:046466000000000032 -:046467000000000031 -:046468000000000030 -:04646900000000002F -:04646A00000000002E -:04646B00000000002D -:04646C00000000002C -:04646D00000000002B -:04646E00000000002A -:04646F000000000029 -:046470000000000028 -:046471000000000027 -:046472000000000026 -:046473000000000025 -:046474000000000024 -:046475000000000023 -:046476000000000022 -:046477000000000021 -:046478000000000020 -:04647900000000001F -:04647A00000000001E -:04647B00000000001D -:04647C00000000001C -:04647D00000000001B -:04647E00000000001A -:04647F000000000019 -:046480000000000018 -:046481000000000017 -:046482000000000016 -:046483000000000015 -:046484000000000014 -:046485000000000013 -:046486000000000012 -:046487000000000011 -:046488000000000010 -:04648900000000000F -:04648A00000000000E -:04648B00000000000D -:04648C00000000000C -:04648D00000000000B -:04648E00000000000A -:04648F000000000009 -:046490000000000008 -:046491000000000007 -:046492000000000006 -:046493000000000005 -:046494000000000004 -:046495000000000003 -:046496000000000002 -:046497000000000001 -:046498000000000000 -:0464990000000000FF -:04649A0000000000FE -:04649B0000000000FD -:04649C0000000000FC -:04649D0000000000FB -:04649E0000000000FA -:04649F0000000000F9 -:0464A00000000000F8 -:0464A10000000000F7 -:0464A20000000000F6 -:0464A30000000000F5 -:0464A40000000000F4 -:0464A50000000000F3 -:0464A60000000000F2 -:0464A70000000000F1 -:0464A80000000000F0 -:0464A90000000000EF -:0464AA0000000000EE -:0464AB0000000000ED -:0464AC0000000000EC -:0464AD0000000000EB -:0464AE0000000000EA -:0464AF0000000000E9 -:0464B00000000000E8 -:0464B10000000000E7 -:0464B20000000000E6 -:0464B30000000000E5 -:0464B40000000000E4 -:0464B50000000000E3 -:0464B60000000000E2 -:0464B70000000000E1 -:0464B80000000000E0 -:0464B90000000000DF -:0464BA0000000000DE -:0464BB0000000000DD -:0464BC0000000000DC -:0464BD0000000000DB -:0464BE0000000000DA -:0464BF0000000000D9 -:0464C00000000000D8 -:0464C10000000000D7 -:0464C20000000000D6 -:0464C30000000000D5 -:0464C40000000000D4 -:0464C50000000000D3 -:0464C60000000000D2 -:0464C70000000000D1 -:0464C80000000000D0 -:0464C90000000000CF -:0464CA0000000000CE -:0464CB0000000000CD -:0464CC0000000000CC -:0464CD0000000000CB -:0464CE0000000000CA -:0464CF0000000000C9 -:0464D00000000000C8 -:0464D10000000000C7 -:0464D20000000000C6 -:0464D30000000000C5 -:0464D40000000000C4 -:0464D50000000000C3 -:0464D60000000000C2 -:0464D70000000000C1 -:0464D80000000000C0 -:0464D90000000000BF -:0464DA0000000000BE -:0464DB0000000000BD -:0464DC0000000000BC -:0464DD0000000000BB -:0464DE0000000000BA -:0464DF0000000000B9 -:0464E00000000000B8 -:0464E10000000000B7 -:0464E20000000000B6 -:0464E30000000000B5 -:0464E40000000000B4 -:0464E50000000000B3 -:0464E60000000000B2 -:0464E70000000000B1 -:0464E80000000000B0 -:0464E90000000000AF -:0464EA0000000000AE -:0464EB0000000000AD -:0464EC0000000000AC -:0464ED0000000000AB -:0464EE0000000000AA -:0464EF0000000000A9 -:0464F00000000000A8 -:0464F10000000000A7 -:0464F20000000000A6 -:0464F30000000000A5 -:0464F40000000000A4 -:0464F50000000000A3 -:0464F60000000000A2 -:0464F70000000000A1 -:0464F80000000000A0 -:0464F900000000009F -:0464FA00000000009E -:0464FB00000000009D -:0464FC00000000009C -:0464FD00000000009B -:0464FE00000000009A -:0464FF000000000099 -:046500000000000097 -:046501000000000096 -:046502000000000095 -:046503000000000094 -:046504000000000093 -:046505000000000092 -:046506000000000091 -:046507000000000090 -:04650800000000008F -:04650900000000008E -:04650A00000000008D -:04650B00000000008C -:04650C00000000008B -:04650D00000000008A -:04650E000000000089 -:04650F000000000088 -:046510000000000087 -:046511000000000086 -:046512000000000085 -:046513000000000084 -:046514000000000083 -:046515000000000082 -:046516000000000081 -:046517000000000080 -:04651800000000007F -:04651900000000007E -:04651A00000000007D -:04651B00000000007C -:04651C00000000007B -:04651D00000000007A -:04651E000000000079 -:04651F000000000078 -:046520000000000077 -:046521000000000076 -:046522000000000075 -:046523000000000074 -:046524000000000073 -:046525000000000072 -:046526000000000071 -:046527000000000070 -:04652800000000006F -:04652900000000006E -:04652A00000000006D -:04652B00000000006C -:04652C00000000006B -:04652D00000000006A -:04652E000000000069 -:04652F000000000068 -:046530000000000067 -:046531000000000066 -:046532000000000065 -:046533000000000064 -:046534000000000063 -:046535000000000062 -:046536000000000061 -:046537000000000060 -:04653800000000005F -:04653900000000005E -:04653A00000000005D -:04653B00000000005C -:04653C00000000005B -:04653D00000000005A -:04653E000000000059 -:04653F000000000058 -:046540000000000057 -:046541000000000056 -:046542000000000055 -:046543000000000054 -:046544000000000053 -:046545000000000052 -:046546000000000051 -:046547000000000050 -:04654800000000004F -:04654900000000004E -:04654A00000000004D -:04654B00000000004C -:04654C00000000004B -:04654D00000000004A -:04654E000000000049 -:04654F000000000048 -:046550000000000047 -:046551000000000046 -:046552000000000045 -:046553000000000044 -:046554000000000043 -:046555000000000042 -:046556000000000041 -:046557000000000040 -:04655800000000003F -:04655900000000003E -:04655A00000000003D -:04655B00000000003C -:04655C00000000003B -:04655D00000000003A -:04655E000000000039 -:04655F000000000038 -:046560000000000037 -:046561000000000036 -:046562000000000035 -:046563000000000034 -:046564000000000033 -:046565000000000032 -:046566000000000031 -:046567000000000030 -:04656800000000002F -:04656900000000002E -:04656A00000000002D -:04656B00000000002C -:04656C00000000002B -:04656D00000000002A -:04656E000000000029 -:04656F000000000028 -:046570000000000027 -:046571000000000026 -:046572000000000025 -:046573000000000024 -:046574000000000023 -:046575000000000022 -:046576000000000021 -:046577000000000020 -:04657800000000001F -:04657900000000001E -:04657A00000000001D -:04657B00000000001C -:04657C00000000001B -:04657D00000000001A -:04657E000000000019 -:04657F000000000018 -:046580000000000017 -:046581000000000016 -:046582000000000015 -:046583000000000014 -:046584000000000013 -:046585000000000012 -:046586000000000011 -:046587000000000010 -:04658800000000000F -:04658900000000000E -:04658A00000000000D -:04658B00000000000C -:04658C00000000000B -:04658D00000000000A -:04658E000000000009 -:04658F000000000008 -:046590000000000007 -:046591000000000006 -:046592000000000005 -:046593000000000004 -:046594000000000003 -:046595000000000002 -:046596000000000001 -:046597000000000000 -:0465980000000000FF -:0465990000000000FE -:04659A0000000000FD -:04659B0000000000FC -:04659C0000000000FB -:04659D0000000000FA -:04659E0000000000F9 -:04659F0000000000F8 -:0465A00000000000F7 -:0465A10000000000F6 -:0465A20000000000F5 -:0465A30000000000F4 -:0465A40000000000F3 -:0465A50000000000F2 -:0465A60000000000F1 -:0465A70000000000F0 -:0465A80000000000EF -:0465A90000000000EE -:0465AA0000000000ED -:0465AB0000000000EC -:0465AC0000000000EB -:0465AD0000000000EA -:0465AE0000000000E9 -:0465AF0000000000E8 -:0465B00000000000E7 -:0465B10000000000E6 -:0465B20000000000E5 -:0465B30000000000E4 -:0465B40000000000E3 -:0465B50000000000E2 -:0465B60000000000E1 -:0465B70000000000E0 -:0465B80000000000DF -:0465B90000000000DE -:0465BA0000000000DD -:0465BB0000000000DC -:0465BC0000000000DB -:0465BD0000000000DA -:0465BE0000000000D9 -:0465BF0000000000D8 -:0465C00000000000D7 -:0465C10000000000D6 -:0465C20000000000D5 -:0465C30000000000D4 -:0465C40000000000D3 -:0465C50000000000D2 -:0465C60000000000D1 -:0465C70000000000D0 -:0465C80000000000CF -:0465C90000000000CE -:0465CA0000000000CD -:0465CB0000000000CC -:0465CC0000000000CB -:0465CD0000000000CA -:0465CE0000000000C9 -:0465CF0000000000C8 -:0465D00000000000C7 -:0465D10000000000C6 -:0465D20000000000C5 -:0465D30000000000C4 -:0465D40000000000C3 -:0465D50000000000C2 -:0465D60000000000C1 -:0465D70000000000C0 -:0465D80000000000BF -:0465D90000000000BE -:0465DA0000000000BD -:0465DB0000000000BC -:0465DC0000000000BB -:0465DD0000000000BA -:0465DE0000000000B9 -:0465DF0000000000B8 -:0465E00000000000B7 -:0465E10000000000B6 -:0465E20000000000B5 -:0465E30000000000B4 -:0465E40000000000B3 -:0465E50000000000B2 -:0465E60000000000B1 -:0465E70000000000B0 -:0465E80000000000AF -:0465E90000000000AE -:0465EA0000000000AD -:0465EB0000000000AC -:0465EC0000000000AB -:0465ED0000000000AA -:0465EE0000000000A9 -:0465EF0000000000A8 -:0465F00000000000A7 -:0465F10000000000A6 -:0465F20000000000A5 -:0465F30000000000A4 -:0465F40000000000A3 -:0465F50000000000A2 -:0465F60000000000A1 -:0465F70000000000A0 -:0465F800000000009F -:0465F900000000009E -:0465FA00000000009D -:0465FB00000000009C -:0465FC00000000009B -:0465FD00000000009A -:0465FE000000000099 -:0465FF000000000098 -:046600000000000096 -:046601000000000095 -:046602000000000094 -:046603000000000093 -:046604000000000092 -:046605000000000091 -:046606000000000090 -:04660700000000008F -:04660800000000008E -:04660900000000008D -:04660A00000000008C -:04660B00000000008B -:04660C00000000008A -:04660D000000000089 -:04660E000000000088 -:04660F000000000087 -:046610000000000086 -:046611000000000085 -:046612000000000084 -:046613000000000083 -:046614000000000082 -:046615000000000081 -:046616000000000080 -:04661700000000007F -:04661800000000007E -:04661900000000007D -:04661A00000000007C -:04661B00000000007B -:04661C00000000007A -:04661D000000000079 -:04661E000000000078 -:04661F000000000077 -:046620000000000076 -:046621000000000075 -:046622000000000074 -:046623000000000073 -:046624000000000072 -:046625000000000071 -:046626000000000070 -:04662700000000006F -:04662800000000006E -:04662900000000006D -:04662A00000000006C -:04662B00000000006B -:04662C00000000006A -:04662D000000000069 -:04662E000000000068 -:04662F000000000067 -:046630000000000066 -:046631000000000065 -:046632000000000064 -:046633000000000063 -:046634000000000062 -:046635000000000061 -:046636000000000060 -:04663700000000005F -:04663800000000005E -:04663900000000005D -:04663A00000000005C -:04663B00000000005B -:04663C00000000005A -:04663D000000000059 -:04663E000000000058 -:04663F000000000057 -:046640000000000056 -:046641000000000055 -:046642000000000054 -:046643000000000053 -:046644000000000052 -:046645000000000051 -:046646000000000050 -:04664700000000004F -:04664800000000004E -:04664900000000004D -:04664A00000000004C -:04664B00000000004B -:04664C00000000004A -:04664D000000000049 -:04664E000000000048 -:04664F000000000047 -:046650000000000046 -:046651000000000045 -:046652000000000044 -:046653000000000043 -:046654000000000042 -:046655000000000041 -:046656000000000040 -:04665700000000003F -:04665800000000003E -:04665900000000003D -:04665A00000000003C -:04665B00000000003B -:04665C00000000003A -:04665D000000000039 -:04665E000000000038 -:04665F000000000037 -:046660000000000036 -:046661000000000035 -:046662000000000034 -:046663000000000033 -:046664000000000032 -:046665000000000031 -:046666000000000030 -:04666700000000002F -:04666800000000002E -:04666900000000002D -:04666A00000000002C -:04666B00000000002B -:04666C00000000002A -:04666D000000000029 -:04666E000000000028 -:04666F000000000027 -:046670000000000026 -:046671000000000025 -:046672000000000024 -:046673000000000023 -:046674000000000022 -:046675000000000021 -:046676000000000020 -:04667700000000001F -:04667800000000001E -:04667900000000001D -:04667A00000000001C -:04667B00000000001B -:04667C00000000001A -:04667D000000000019 -:04667E000000000018 -:04667F000000000017 -:046680000000000016 -:046681000000000015 -:046682000000000014 -:046683000000000013 -:046684000000000012 -:046685000000000011 -:046686000000000010 -:04668700000000000F -:04668800000000000E -:04668900000000000D -:04668A00000000000C -:04668B00000000000B -:04668C00000000000A -:04668D000000000009 -:04668E000000000008 -:04668F000000000007 -:046690000000000006 -:046691000000000005 -:046692000000000004 -:046693000000000003 -:046694000000000002 -:046695000000000001 -:046696000000000000 -:0466970000000000FF -:0466980000000000FE -:0466990000000000FD -:04669A0000000000FC -:04669B0000000000FB -:04669C0000000000FA -:04669D0000000000F9 -:04669E0000000000F8 -:04669F0000000000F7 -:0466A00000000000F6 -:0466A10000000000F5 -:0466A20000000000F4 -:0466A30000000000F3 -:0466A40000000000F2 -:0466A50000000000F1 -:0466A60000000000F0 -:0466A70000000000EF -:0466A80000000000EE -:0466A90000000000ED -:0466AA0000000000EC -:0466AB0000000000EB -:0466AC0000000000EA -:0466AD0000000000E9 -:0466AE0000000000E8 -:0466AF0000000000E7 -:0466B00000000000E6 -:0466B10000000000E5 -:0466B20000000000E4 -:0466B30000000000E3 -:0466B40000000000E2 -:0466B50000000000E1 -:0466B60000000000E0 -:0466B70000000000DF -:0466B80000000000DE -:0466B90000000000DD -:0466BA0000000000DC -:0466BB0000000000DB -:0466BC0000000000DA -:0466BD0000000000D9 -:0466BE0000000000D8 -:0466BF0000000000D7 -:0466C00000000000D6 -:0466C10000000000D5 -:0466C20000000000D4 -:0466C30000000000D3 -:0466C40000000000D2 -:0466C50000000000D1 -:0466C60000000000D0 -:0466C70000000000CF -:0466C80000000000CE -:0466C90000000000CD -:0466CA0000000000CC -:0466CB0000000000CB -:0466CC0000000000CA -:0466CD0000000000C9 -:0466CE0000000000C8 -:0466CF0000000000C7 -:0466D00000000000C6 -:0466D10000000000C5 -:0466D20000000000C4 -:0466D30000000000C3 -:0466D40000000000C2 -:0466D50000000000C1 -:0466D60000000000C0 -:0466D70000000000BF -:0466D80000000000BE -:0466D90000000000BD -:0466DA0000000000BC -:0466DB0000000000BB -:0466DC0000000000BA -:0466DD0000000000B9 -:0466DE0000000000B8 -:0466DF0000000000B7 -:0466E00000000000B6 -:0466E10000000000B5 -:0466E20000000000B4 -:0466E30000000000B3 -:0466E40000000000B2 -:0466E50000000000B1 -:0466E60000000000B0 -:0466E70000000000AF -:0466E80000000000AE -:0466E90000000000AD -:0466EA0000000000AC -:0466EB0000000000AB -:0466EC0000000000AA -:0466ED0000000000A9 -:0466EE0000000000A8 -:0466EF0000000000A7 -:0466F00000000000A6 -:0466F10000000000A5 -:0466F20000000000A4 -:0466F30000000000A3 -:0466F40000000000A2 -:0466F50000000000A1 -:0466F60000000000A0 -:0466F700000000009F -:0466F800000000009E -:0466F900000000009D -:0466FA00000000009C -:0466FB00000000009B -:0466FC00000000009A -:0466FD000000000099 -:0466FE000000000098 -:0466FF000000000097 -:046700000000000095 -:046701000000000094 -:046702000000000093 -:046703000000000092 -:046704000000000091 -:046705000000000090 -:04670600000000008F -:04670700000000008E -:04670800000000008D -:04670900000000008C -:04670A00000000008B -:04670B00000000008A -:04670C000000000089 -:04670D000000000088 -:04670E000000000087 -:04670F000000000086 -:046710000000000085 -:046711000000000084 -:046712000000000083 -:046713000000000082 -:046714000000000081 -:046715000000000080 -:04671600000000007F -:04671700000000007E -:04671800000000007D -:04671900000000007C -:04671A00000000007B -:04671B00000000007A -:04671C000000000079 -:04671D000000000078 -:04671E000000000077 -:04671F000000000076 -:046720000000000075 -:046721000000000074 -:046722000000000073 -:046723000000000072 -:046724000000000071 -:046725000000000070 -:04672600000000006F -:04672700000000006E -:04672800000000006D -:04672900000000006C -:04672A00000000006B -:04672B00000000006A -:04672C000000000069 -:04672D000000000068 -:04672E000000000067 -:04672F000000000066 -:046730000000000065 -:046731000000000064 -:046732000000000063 -:046733000000000062 -:046734000000000061 -:046735000000000060 -:04673600000000005F -:04673700000000005E -:04673800000000005D -:04673900000000005C -:04673A00000000005B -:04673B00000000005A -:04673C000000000059 -:04673D000000000058 -:04673E000000000057 -:04673F000000000056 -:046740000000000055 -:046741000000000054 -:046742000000000053 -:046743000000000052 -:046744000000000051 -:046745000000000050 -:04674600000000004F -:04674700000000004E -:04674800000000004D -:04674900000000004C -:04674A00000000004B -:04674B00000000004A -:04674C000000000049 -:04674D000000000048 -:04674E000000000047 -:04674F000000000046 -:046750000000000045 -:046751000000000044 -:046752000000000043 -:046753000000000042 -:046754000000000041 -:046755000000000040 -:04675600000000003F -:04675700000000003E -:04675800000000003D -:04675900000000003C -:04675A00000000003B -:04675B00000000003A -:04675C000000000039 -:04675D000000000038 -:04675E000000000037 -:04675F000000000036 -:046760000000000035 -:046761000000000034 -:046762000000000033 -:046763000000000032 -:046764000000000031 -:046765000000000030 -:04676600000000002F -:04676700000000002E -:04676800000000002D -:04676900000000002C -:04676A00000000002B -:04676B00000000002A -:04676C000000000029 -:04676D000000000028 -:04676E000000000027 -:04676F000000000026 -:046770000000000025 -:046771000000000024 -:046772000000000023 -:046773000000000022 -:046774000000000021 -:046775000000000020 -:04677600000000001F -:04677700000000001E -:04677800000000001D -:04677900000000001C -:04677A00000000001B -:04677B00000000001A -:04677C000000000019 -:04677D000000000018 -:04677E000000000017 -:04677F000000000016 -:046780000000000015 -:046781000000000014 -:046782000000000013 -:046783000000000012 -:046784000000000011 -:046785000000000010 -:04678600000000000F -:04678700000000000E -:04678800000000000D -:04678900000000000C -:04678A00000000000B -:04678B00000000000A -:04678C000000000009 -:04678D000000000008 -:04678E000000000007 -:04678F000000000006 -:046790000000000005 -:046791000000000004 -:046792000000000003 -:046793000000000002 -:046794000000000001 -:046795000000000000 -:0467960000000000FF -:0467970000000000FE -:0467980000000000FD -:0467990000000000FC -:04679A0000000000FB -:04679B0000000000FA -:04679C0000000000F9 -:04679D0000000000F8 -:04679E0000000000F7 -:04679F0000000000F6 -:0467A00000000000F5 -:0467A10000000000F4 -:0467A20000000000F3 -:0467A30000000000F2 -:0467A40000000000F1 -:0467A50000000000F0 -:0467A60000000000EF -:0467A70000000000EE -:0467A80000000000ED -:0467A90000000000EC -:0467AA0000000000EB -:0467AB0000000000EA -:0467AC0000000000E9 -:0467AD0000000000E8 -:0467AE0000000000E7 -:0467AF0000000000E6 -:0467B00000000000E5 -:0467B10000000000E4 -:0467B20000000000E3 -:0467B30000000000E2 -:0467B40000000000E1 -:0467B50000000000E0 -:0467B60000000000DF -:0467B70000000000DE -:0467B80000000000DD -:0467B90000000000DC -:0467BA0000000000DB -:0467BB0000000000DA -:0467BC0000000000D9 -:0467BD0000000000D8 -:0467BE0000000000D7 -:0467BF0000000000D6 -:0467C00000000000D5 -:0467C10000000000D4 -:0467C20000000000D3 -:0467C30000000000D2 -:0467C40000000000D1 -:0467C50000000000D0 -:0467C60000000000CF -:0467C70000000000CE -:0467C80000000000CD -:0467C90000000000CC -:0467CA0000000000CB -:0467CB0000000000CA -:0467CC0000000000C9 -:0467CD0000000000C8 -:0467CE0000000000C7 -:0467CF0000000000C6 -:0467D00000000000C5 -:0467D10000000000C4 -:0467D20000000000C3 -:0467D30000000000C2 -:0467D40000000000C1 -:0467D50000000000C0 -:0467D60000000000BF -:0467D70000000000BE -:0467D80000000000BD -:0467D90000000000BC -:0467DA0000000000BB -:0467DB0000000000BA -:0467DC0000000000B9 -:0467DD0000000000B8 -:0467DE0000000000B7 -:0467DF0000000000B6 -:0467E00000000000B5 -:0467E10000000000B4 -:0467E20000000000B3 -:0467E30000000000B2 -:0467E40000000000B1 -:0467E50000000000B0 -:0467E60000000000AF -:0467E70000000000AE -:0467E80000000000AD -:0467E90000000000AC -:0467EA0000000000AB -:0467EB0000000000AA -:0467EC0000000000A9 -:0467ED0000000000A8 -:0467EE0000000000A7 -:0467EF0000000000A6 -:0467F00000000000A5 -:0467F10000000000A4 -:0467F20000000000A3 -:0467F30000000000A2 -:0467F40000000000A1 -:0467F50000000000A0 -:0467F600000000009F -:0467F700000000009E -:0467F800000000009D -:0467F900000000009C -:0467FA00000000009B -:0467FB00000000009A -:0467FC000000000099 -:0467FD000000000098 -:0467FE000000000097 -:0467FF000000000096 -:046800000000000094 -:046801000000000093 -:046802000000000092 -:046803000000000091 -:046804000000000090 -:04680500000000008F -:04680600000000008E -:04680700000000008D -:04680800000000008C -:04680900000000008B -:04680A00000000008A -:04680B000000000089 -:04680C000000000088 -:04680D000000000087 -:04680E000000000086 -:04680F000000000085 -:046810000000000084 -:046811000000000083 -:046812000000000082 -:046813000000000081 -:046814000000000080 -:04681500000000007F -:04681600000000007E -:04681700000000007D -:04681800000000007C -:04681900000000007B -:04681A00000000007A -:04681B000000000079 -:04681C000000000078 -:04681D000000000077 -:04681E000000000076 -:04681F000000000075 -:046820000000000074 -:046821000000000073 -:046822000000000072 -:046823000000000071 -:046824000000000070 -:04682500000000006F -:04682600000000006E -:04682700000000006D -:04682800000000006C -:04682900000000006B -:04682A00000000006A -:04682B000000000069 -:04682C000000000068 -:04682D000000000067 -:04682E000000000066 -:04682F000000000065 -:046830000000000064 -:046831000000000063 -:046832000000000062 -:046833000000000061 -:046834000000000060 -:04683500000000005F -:04683600000000005E -:04683700000000005D -:04683800000000005C -:04683900000000005B -:04683A00000000005A -:04683B000000000059 -:04683C000000000058 -:04683D000000000057 -:04683E000000000056 -:04683F000000000055 -:046840000000000054 -:046841000000000053 -:046842000000000052 -:046843000000000051 -:046844000000000050 -:04684500000000004F -:04684600000000004E -:04684700000000004D -:04684800000000004C -:04684900000000004B -:04684A00000000004A -:04684B000000000049 -:04684C000000000048 -:04684D000000000047 -:04684E000000000046 -:04684F000000000045 -:046850000000000044 -:046851000000000043 -:046852000000000042 -:046853000000000041 -:046854000000000040 -:04685500000000003F -:04685600000000003E -:04685700000000003D -:04685800000000003C -:04685900000000003B -:04685A00000000003A -:04685B000000000039 -:04685C000000000038 -:04685D000000000037 -:04685E000000000036 -:04685F000000000035 -:046860000000000034 -:046861000000000033 -:046862000000000032 -:046863000000000031 -:046864000000000030 -:04686500000000002F -:04686600000000002E -:04686700000000002D -:04686800000000002C -:04686900000000002B -:04686A00000000002A -:04686B000000000029 -:04686C000000000028 -:04686D000000000027 -:04686E000000000026 -:04686F000000000025 -:046870000000000024 -:046871000000000023 -:046872000000000022 -:046873000000000021 -:046874000000000020 -:04687500000000001F -:04687600000000001E -:04687700000000001D -:04687800000000001C -:04687900000000001B -:04687A00000000001A -:04687B000000000019 -:04687C000000000018 -:04687D000000000017 -:04687E000000000016 -:04687F000000000015 -:046880000000000014 -:046881000000000013 -:046882000000000012 -:046883000000000011 -:046884000000000010 -:04688500000000000F -:04688600000000000E -:04688700000000000D -:04688800000000000C -:04688900000000000B -:04688A00000000000A -:04688B000000000009 -:04688C000000000008 -:04688D000000000007 -:04688E000000000006 -:04688F000000000005 -:046890000000000004 -:046891000000000003 -:046892000000000002 -:046893000000000001 -:046894000000000000 -:0468950000000000FF -:0468960000000000FE -:0468970000000000FD -:0468980000000000FC -:0468990000000000FB -:04689A0000000000FA -:04689B0000000000F9 -:04689C0000000000F8 -:04689D0000000000F7 -:04689E0000000000F6 -:04689F0000000000F5 -:0468A00000000000F4 -:0468A10000000000F3 -:0468A20000000000F2 -:0468A30000000000F1 -:0468A40000000000F0 -:0468A50000000000EF -:0468A60000000000EE -:0468A70000000000ED -:0468A80000000000EC -:0468A90000000000EB -:0468AA0000000000EA -:0468AB0000000000E9 -:0468AC0000000000E8 -:0468AD0000000000E7 -:0468AE0000000000E6 -:0468AF0000000000E5 -:0468B00000000000E4 -:0468B10000000000E3 -:0468B20000000000E2 -:0468B30000000000E1 -:0468B40000000000E0 -:0468B50000000000DF -:0468B60000000000DE -:0468B70000000000DD -:0468B80000000000DC -:0468B90000000000DB -:0468BA0000000000DA -:0468BB0000000000D9 -:0468BC0000000000D8 -:0468BD0000000000D7 -:0468BE0000000000D6 -:0468BF0000000000D5 -:0468C00000000000D4 -:0468C10000000000D3 -:0468C20000000000D2 -:0468C30000000000D1 -:0468C40000000000D0 -:0468C50000000000CF -:0468C60000000000CE -:0468C70000000000CD -:0468C80000000000CC -:0468C90000000000CB -:0468CA0000000000CA -:0468CB0000000000C9 -:0468CC0000000000C8 -:0468CD0000000000C7 -:0468CE0000000000C6 -:0468CF0000000000C5 -:0468D00000000000C4 -:0468D10000000000C3 -:0468D20000000000C2 -:0468D30000000000C1 -:0468D40000000000C0 -:0468D50000000000BF -:0468D60000000000BE -:0468D70000000000BD -:0468D80000000000BC -:0468D90000000000BB -:0468DA0000000000BA -:0468DB0000000000B9 -:0468DC0000000000B8 -:0468DD0000000000B7 -:0468DE0000000000B6 -:0468DF0000000000B5 -:0468E00000000000B4 -:0468E10000000000B3 -:0468E20000000000B2 -:0468E30000000000B1 -:0468E40000000000B0 -:0468E50000000000AF -:0468E60000000000AE -:0468E70000000000AD -:0468E80000000000AC -:0468E90000000000AB -:0468EA0000000000AA -:0468EB0000000000A9 -:0468EC0000000000A8 -:0468ED0000000000A7 -:0468EE0000000000A6 -:0468EF0000000000A5 -:0468F00000000000A4 -:0468F10000000000A3 -:0468F20000000000A2 -:0468F30000000000A1 -:0468F40000000000A0 -:0468F500000000009F -:0468F600000000009E -:0468F700000000009D -:0468F800000000009C -:0468F900000000009B -:0468FA00000000009A -:0468FB000000000099 -:0468FC000000000098 -:0468FD000000000097 -:0468FE000000000096 -:0468FF000000000095 -:046900000000000093 -:046901000000000092 -:046902000000000091 -:046903000000000090 -:04690400000000008F -:04690500000000008E -:04690600000000008D -:04690700000000008C -:04690800000000008B -:04690900000000008A -:04690A000000000089 -:04690B000000000088 -:04690C000000000087 -:04690D000000000086 -:04690E000000000085 -:04690F000000000084 -:046910000000000083 -:046911000000000082 -:046912000000000081 -:046913000000000080 -:04691400000000007F -:04691500000000007E -:04691600000000007D -:04691700000000007C -:04691800000000007B -:04691900000000007A -:04691A000000000079 -:04691B000000000078 -:04691C000000000077 -:04691D000000000076 -:04691E000000000075 -:04691F000000000074 -:046920000000000073 -:046921000000000072 -:046922000000000071 -:046923000000000070 -:04692400000000006F -:04692500000000006E -:04692600000000006D -:04692700000000006C -:04692800000000006B -:04692900000000006A -:04692A000000000069 -:04692B000000000068 -:04692C000000000067 -:04692D000000000066 -:04692E000000000065 -:04692F000000000064 -:046930000000000063 -:046931000000000062 -:046932000000000061 -:046933000000000060 -:04693400000000005F -:04693500000000005E -:04693600000000005D -:04693700000000005C -:04693800000000005B -:04693900000000005A -:04693A000000000059 -:04693B000000000058 -:04693C000000000057 -:04693D000000000056 -:04693E000000000055 -:04693F000000000054 -:046940000000000053 -:046941000000000052 -:046942000000000051 -:046943000000000050 -:04694400000000004F -:04694500000000004E -:04694600000000004D -:04694700000000004C -:04694800000000004B -:04694900000000004A -:04694A000000000049 -:04694B000000000048 -:04694C000000000047 -:04694D000000000046 -:04694E000000000045 -:04694F000000000044 -:046950000000000043 -:046951000000000042 -:046952000000000041 -:046953000000000040 -:04695400000000003F -:04695500000000003E -:04695600000000003D -:04695700000000003C -:04695800000000003B -:04695900000000003A -:04695A000000000039 -:04695B000000000038 -:04695C000000000037 -:04695D000000000036 -:04695E000000000035 -:04695F000000000034 -:046960000000000033 -:046961000000000032 -:046962000000000031 -:046963000000000030 -:04696400000000002F -:04696500000000002E -:04696600000000002D -:04696700000000002C -:04696800000000002B -:04696900000000002A -:04696A000000000029 -:04696B000000000028 -:04696C000000000027 -:04696D000000000026 -:04696E000000000025 -:04696F000000000024 -:046970000000000023 -:046971000000000022 -:046972000000000021 -:046973000000000020 -:04697400000000001F -:04697500000000001E -:04697600000000001D -:04697700000000001C -:04697800000000001B -:04697900000000001A -:04697A000000000019 -:04697B000000000018 -:04697C000000000017 -:04697D000000000016 -:04697E000000000015 -:04697F000000000014 -:046980000000000013 -:046981000000000012 -:046982000000000011 -:046983000000000010 -:04698400000000000F -:04698500000000000E -:04698600000000000D -:04698700000000000C -:04698800000000000B -:04698900000000000A -:04698A000000000009 -:04698B000000000008 -:04698C000000000007 -:04698D000000000006 -:04698E000000000005 -:04698F000000000004 -:046990000000000003 -:046991000000000002 -:046992000000000001 -:046993000000000000 -:0469940000000000FF -:0469950000000000FE -:0469960000000000FD -:0469970000000000FC -:0469980000000000FB -:0469990000000000FA -:04699A0000000000F9 -:04699B0000000000F8 -:04699C0000000000F7 -:04699D0000000000F6 -:04699E0000000000F5 -:04699F0000000000F4 -:0469A00000000000F3 -:0469A10000000000F2 -:0469A20000000000F1 -:0469A30000000000F0 -:0469A40000000000EF -:0469A50000000000EE -:0469A60000000000ED -:0469A70000000000EC -:0469A80000000000EB -:0469A90000000000EA -:0469AA0000000000E9 -:0469AB0000000000E8 -:0469AC0000000000E7 -:0469AD0000000000E6 -:0469AE0000000000E5 -:0469AF0000000000E4 -:0469B00000000000E3 -:0469B10000000000E2 -:0469B20000000000E1 -:0469B30000000000E0 -:0469B40000000000DF -:0469B50000000000DE -:0469B60000000000DD -:0469B70000000000DC -:0469B80000000000DB -:0469B90000000000DA -:0469BA0000000000D9 -:0469BB0000000000D8 -:0469BC0000000000D7 -:0469BD0000000000D6 -:0469BE0000000000D5 -:0469BF0000000000D4 -:0469C00000000000D3 -:0469C10000000000D2 -:0469C20000000000D1 -:0469C30000000000D0 -:0469C40000000000CF -:0469C50000000000CE -:0469C60000000000CD -:0469C70000000000CC -:0469C80000000000CB -:0469C90000000000CA -:0469CA0000000000C9 -:0469CB0000000000C8 -:0469CC0000000000C7 -:0469CD0000000000C6 -:0469CE0000000000C5 -:0469CF0000000000C4 -:0469D00000000000C3 -:0469D10000000000C2 -:0469D20000000000C1 -:0469D30000000000C0 -:0469D40000000000BF -:0469D50000000000BE -:0469D60000000000BD -:0469D70000000000BC -:0469D80000000000BB -:0469D90000000000BA -:0469DA0000000000B9 -:0469DB0000000000B8 -:0469DC0000000000B7 -:0469DD0000000000B6 -:0469DE0000000000B5 -:0469DF0000000000B4 -:0469E00000000000B3 -:0469E10000000000B2 -:0469E20000000000B1 -:0469E30000000000B0 -:0469E40000000000AF -:0469E50000000000AE -:0469E60000000000AD -:0469E70000000000AC -:0469E80000000000AB -:0469E90000000000AA -:0469EA0000000000A9 -:0469EB0000000000A8 -:0469EC0000000000A7 -:0469ED0000000000A6 -:0469EE0000000000A5 -:0469EF0000000000A4 -:0469F00000000000A3 -:0469F10000000000A2 -:0469F20000000000A1 -:0469F30000000000A0 -:0469F400000000009F -:0469F500000000009E -:0469F600000000009D -:0469F700000000009C -:0469F800000000009B -:0469F900000000009A -:0469FA000000000099 -:0469FB000000000098 -:0469FC000000000097 -:0469FD000000000096 -:0469FE000000000095 -:0469FF000000000094 -:046A00000000000092 -:046A01000000000091 -:046A02000000000090 -:046A0300000000008F -:046A0400000000008E -:046A0500000000008D -:046A0600000000008C -:046A0700000000008B -:046A0800000000008A -:046A09000000000089 -:046A0A000000000088 -:046A0B000000000087 -:046A0C000000000086 -:046A0D000000000085 -:046A0E000000000084 -:046A0F000000000083 -:046A10000000000082 -:046A11000000000081 -:046A12000000000080 -:046A1300000000007F -:046A1400000000007E -:046A1500000000007D -:046A1600000000007C -:046A1700000000007B -:046A1800000000007A -:046A19000000000079 -:046A1A000000000078 -:046A1B000000000077 -:046A1C000000000076 -:046A1D000000000075 -:046A1E000000000074 -:046A1F000000000073 -:046A20000000000072 -:046A21000000000071 -:046A22000000000070 -:046A2300000000006F -:046A2400000000006E -:046A2500000000006D -:046A2600000000006C -:046A2700000000006B -:046A2800000000006A -:046A29000000000069 -:046A2A000000000068 -:046A2B000000000067 -:046A2C000000000066 -:046A2D000000000065 -:046A2E000000000064 -:046A2F000000000063 -:046A30000000000062 -:046A31000000000061 -:046A32000000000060 -:046A3300000000005F -:046A3400000000005E -:046A3500000000005D -:046A3600000000005C -:046A3700000000005B -:046A3800000000005A -:046A39000000000059 -:046A3A000000000058 -:046A3B000000000057 -:046A3C000000000056 -:046A3D000000000055 -:046A3E000000000054 -:046A3F000000000053 -:046A40000000000052 -:046A41000000000051 -:046A42000000000050 -:046A4300000000004F -:046A4400000000004E -:046A4500000000004D -:046A4600000000004C -:046A4700000000004B -:046A4800000000004A -:046A49000000000049 -:046A4A000000000048 -:046A4B000000000047 -:046A4C000000000046 -:046A4D000000000045 -:046A4E000000000044 -:046A4F000000000043 -:046A50000000000042 -:046A51000000000041 -:046A52000000000040 -:046A5300000000003F -:046A5400000000003E -:046A5500000000003D -:046A5600000000003C -:046A5700000000003B -:046A5800000000003A -:046A59000000000039 -:046A5A000000000038 -:046A5B000000000037 -:046A5C000000000036 -:046A5D000000000035 -:046A5E000000000034 -:046A5F000000000033 -:046A60000000000032 -:046A61000000000031 -:046A62000000000030 -:046A6300000000002F -:046A6400000000002E -:046A6500000000002D -:046A6600000000002C -:046A6700000000002B -:046A6800000000002A -:046A69000000000029 -:046A6A000000000028 -:046A6B000000000027 -:046A6C000000000026 -:046A6D000000000025 -:046A6E000000000024 -:046A6F000000000023 -:046A70000000000022 -:046A71000000000021 -:046A72000000000020 -:046A7300000000001F -:046A7400000000001E -:046A7500000000001D -:046A7600000000001C -:046A7700000000001B -:046A7800000000001A -:046A79000000000019 -:046A7A000000000018 -:046A7B000000000017 -:046A7C000000000016 -:046A7D000000000015 -:046A7E000000000014 -:046A7F000000000013 -:046A80000000000012 -:046A81000000000011 -:046A82000000000010 -:046A8300000000000F -:046A8400000000000E -:046A8500000000000D -:046A8600000000000C -:046A8700000000000B -:046A8800000000000A -:046A89000000000009 -:046A8A000000000008 -:046A8B000000000007 -:046A8C000000000006 -:046A8D000000000005 -:046A8E000000000004 -:046A8F000000000003 -:046A90000000000002 -:046A91000000000001 -:046A92000000000000 -:046A930000000000FF -:046A940000000000FE -:046A950000000000FD -:046A960000000000FC -:046A970000000000FB -:046A980000000000FA -:046A990000000000F9 -:046A9A0000000000F8 -:046A9B0000000000F7 -:046A9C0000000000F6 -:046A9D0000000000F5 -:046A9E0000000000F4 -:046A9F0000000000F3 -:046AA00000000000F2 -:046AA10000000000F1 -:046AA20000000000F0 -:046AA30000000000EF -:046AA40000000000EE -:046AA50000000000ED -:046AA60000000000EC -:046AA70000000000EB -:046AA80000000000EA -:046AA90000000000E9 -:046AAA0000000000E8 -:046AAB0000000000E7 -:046AAC0000000000E6 -:046AAD0000000000E5 -:046AAE0000000000E4 -:046AAF0000000000E3 -:046AB00000000000E2 -:046AB10000000000E1 -:046AB20000000000E0 -:046AB30000000000DF -:046AB40000000000DE -:046AB50000000000DD -:046AB60000000000DC -:046AB70000000000DB -:046AB80000000000DA -:046AB90000000000D9 -:046ABA0000000000D8 -:046ABB0000000000D7 -:046ABC0000000000D6 -:046ABD0000000000D5 -:046ABE0000000000D4 -:046ABF0000000000D3 -:046AC00000000000D2 -:046AC10000000000D1 -:046AC20000000000D0 -:046AC30000000000CF -:046AC40000000000CE -:046AC50000000000CD -:046AC60000000000CC -:046AC70000000000CB -:046AC80000000000CA -:046AC90000000000C9 -:046ACA0000000000C8 -:046ACB0000000000C7 -:046ACC0000000000C6 -:046ACD0000000000C5 -:046ACE0000000000C4 -:046ACF0000000000C3 -:046AD00000000000C2 -:046AD10000000000C1 -:046AD20000000000C0 -:046AD30000000000BF -:046AD40000000000BE -:046AD50000000000BD -:046AD60000000000BC -:046AD70000000000BB -:046AD80000000000BA -:046AD90000000000B9 -:046ADA0000000000B8 -:046ADB0000000000B7 -:046ADC0000000000B6 -:046ADD0000000000B5 -:046ADE0000000000B4 -:046ADF0000000000B3 -:046AE00000000000B2 -:046AE10000000000B1 -:046AE20000000000B0 -:046AE30000000000AF -:046AE40000000000AE -:046AE50000000000AD -:046AE60000000000AC -:046AE70000000000AB -:046AE80000000000AA -:046AE90000000000A9 -:046AEA0000000000A8 -:046AEB0000000000A7 -:046AEC0000000000A6 -:046AED0000000000A5 -:046AEE0000000000A4 -:046AEF0000000000A3 -:046AF00000000000A2 -:046AF10000000000A1 -:046AF20000000000A0 -:046AF300000000009F -:046AF400000000009E -:046AF500000000009D -:046AF600000000009C -:046AF700000000009B -:046AF800000000009A -:046AF9000000000099 -:046AFA000000000098 -:046AFB000000000097 -:046AFC000000000096 -:046AFD000000000095 -:046AFE000000000094 -:046AFF000000000093 -:046B00000000000091 -:046B01000000000090 -:046B0200000000008F -:046B0300000000008E -:046B0400000000008D -:046B0500000000008C -:046B0600000000008B -:046B0700000000008A -:046B08000000000089 -:046B09000000000088 -:046B0A000000000087 -:046B0B000000000086 -:046B0C000000000085 -:046B0D000000000084 -:046B0E000000000083 -:046B0F000000000082 -:046B10000000000081 -:046B11000000000080 -:046B1200000000007F -:046B1300000000007E -:046B1400000000007D -:046B1500000000007C -:046B1600000000007B -:046B1700000000007A -:046B18000000000079 -:046B19000000000078 -:046B1A000000000077 -:046B1B000000000076 -:046B1C000000000075 -:046B1D000000000074 -:046B1E000000000073 -:046B1F000000000072 -:046B20000000000071 -:046B21000000000070 -:046B2200000000006F -:046B2300000000006E -:046B2400000000006D -:046B2500000000006C -:046B2600000000006B -:046B2700000000006A -:046B28000000000069 -:046B29000000000068 -:046B2A000000000067 -:046B2B000000000066 -:046B2C000000000065 -:046B2D000000000064 -:046B2E000000000063 -:046B2F000000000062 -:046B30000000000061 -:046B31000000000060 -:046B3200000000005F -:046B3300000000005E -:046B3400000000005D -:046B3500000000005C -:046B3600000000005B -:046B3700000000005A -:046B38000000000059 -:046B39000000000058 -:046B3A000000000057 -:046B3B000000000056 -:046B3C000000000055 -:046B3D000000000054 -:046B3E000000000053 -:046B3F000000000052 -:046B40000000000051 -:046B41000000000050 -:046B4200000000004F -:046B4300000000004E -:046B4400000000004D -:046B4500000000004C -:046B4600000000004B -:046B4700000000004A -:046B48000000000049 -:046B49000000000048 -:046B4A000000000047 -:046B4B000000000046 -:046B4C000000000045 -:046B4D000000000044 -:046B4E000000000043 -:046B4F000000000042 -:046B50000000000041 -:046B51000000000040 -:046B5200000000003F -:046B5300000000003E -:046B5400000000003D -:046B5500000000003C -:046B5600000000003B -:046B5700000000003A -:046B58000000000039 -:046B59000000000038 -:046B5A000000000037 -:046B5B000000000036 -:046B5C000000000035 -:046B5D000000000034 -:046B5E000000000033 -:046B5F000000000032 -:046B60000000000031 -:046B61000000000030 -:046B6200000000002F -:046B6300000000002E -:046B6400000000002D -:046B6500000000002C -:046B6600000000002B -:046B6700000000002A -:046B68000000000029 -:046B69000000000028 -:046B6A000000000027 -:046B6B000000000026 -:046B6C000000000025 -:046B6D000000000024 -:046B6E000000000023 -:046B6F000000000022 -:046B70000000000021 -:046B71000000000020 -:046B7200000000001F -:046B7300000000001E -:046B7400000000001D -:046B7500000000001C -:046B7600000000001B -:046B7700000000001A -:046B78000000000019 -:046B79000000000018 -:046B7A000000000017 -:046B7B000000000016 -:046B7C000000000015 -:046B7D000000000014 -:046B7E000000000013 -:046B7F000000000012 -:046B80000000000011 -:046B81000000000010 -:046B8200000000000F -:046B8300000000000E -:046B8400000000000D -:046B8500000000000C -:046B8600000000000B -:046B8700000000000A -:046B88000000000009 -:046B89000000000008 -:046B8A000000000007 -:046B8B000000000006 -:046B8C000000000005 -:046B8D000000000004 -:046B8E000000000003 -:046B8F000000000002 -:046B90000000000001 -:046B91000000000000 -:046B920000000000FF -:046B930000000000FE -:046B940000000000FD -:046B950000000000FC -:046B960000000000FB -:046B970000000000FA -:046B980000000000F9 -:046B990000000000F8 -:046B9A0000000000F7 -:046B9B0000000000F6 -:046B9C0000000000F5 -:046B9D0000000000F4 -:046B9E0000000000F3 -:046B9F0000000000F2 -:046BA00000000000F1 -:046BA10000000000F0 -:046BA20000000000EF -:046BA30000000000EE -:046BA40000000000ED -:046BA50000000000EC -:046BA60000000000EB -:046BA70000000000EA -:046BA80000000000E9 -:046BA90000000000E8 -:046BAA0000000000E7 -:046BAB0000000000E6 -:046BAC0000000000E5 -:046BAD0000000000E4 -:046BAE0000000000E3 -:046BAF0000000000E2 -:046BB00000000000E1 -:046BB10000000000E0 -:046BB20000000000DF -:046BB30000000000DE -:046BB40000000000DD -:046BB50000000000DC -:046BB60000000000DB -:046BB70000000000DA -:046BB80000000000D9 -:046BB90000000000D8 -:046BBA0000000000D7 -:046BBB0000000000D6 -:046BBC0000000000D5 -:046BBD0000000000D4 -:046BBE0000000000D3 -:046BBF0000000000D2 -:046BC00000000000D1 -:046BC10000000000D0 -:046BC20000000000CF -:046BC30000000000CE -:046BC40000000000CD -:046BC50000000000CC -:046BC60000000000CB -:046BC70000000000CA -:046BC80000000000C9 -:046BC90000000000C8 -:046BCA0000000000C7 -:046BCB0000000000C6 -:046BCC0000000000C5 -:046BCD0000000000C4 -:046BCE0000000000C3 -:046BCF0000000000C2 -:046BD00000000000C1 -:046BD10000000000C0 -:046BD20000000000BF -:046BD30000000000BE -:046BD40000000000BD -:046BD50000000000BC -:046BD60000000000BB -:046BD70000000000BA -:046BD80000000000B9 -:046BD90000000000B8 -:046BDA0000000000B7 -:046BDB0000000000B6 -:046BDC0000000000B5 -:046BDD0000000000B4 -:046BDE0000000000B3 -:046BDF0000000000B2 -:046BE00000000000B1 -:046BE10000000000B0 -:046BE20000000000AF -:046BE30000000000AE -:046BE40000000000AD -:046BE50000000000AC -:046BE60000000000AB -:046BE70000000000AA -:046BE80000000000A9 -:046BE90000000000A8 -:046BEA0000000000A7 -:046BEB0000000000A6 -:046BEC0000000000A5 -:046BED0000000000A4 -:046BEE0000000000A3 -:046BEF0000000000A2 -:046BF00000000000A1 -:046BF10000000000A0 -:046BF200000000009F -:046BF300000000009E -:046BF400000000009D -:046BF500000000009C -:046BF600000000009B -:046BF700000000009A -:046BF8000000000099 -:046BF9000000000098 -:046BFA000000000097 -:046BFB000000000096 -:046BFC000000000095 -:046BFD000000000094 -:046BFE000000000093 -:046BFF000000000092 -:046C00000000000090 -:046C0100000000008F -:046C0200000000008E -:046C0300000000008D -:046C0400000000008C -:046C0500000000008B -:046C0600000000008A -:046C07000000000089 -:046C08000000000088 -:046C09000000000087 -:046C0A000000000086 -:046C0B000000000085 -:046C0C000000000084 -:046C0D000000000083 -:046C0E000000000082 -:046C0F000000000081 -:046C10000000000080 -:046C1100000000007F -:046C1200000000007E -:046C1300000000007D -:046C1400000000007C -:046C1500000000007B -:046C1600000000007A -:046C17000000000079 -:046C18000000000078 -:046C19000000000077 -:046C1A000000000076 -:046C1B000000000075 -:046C1C000000000074 -:046C1D000000000073 -:046C1E000000000072 -:046C1F000000000071 -:046C20000000000070 -:046C2100000000006F -:046C2200000000006E -:046C2300000000006D -:046C2400000000006C -:046C2500000000006B -:046C2600000000006A -:046C27000000000069 -:046C28000000000068 -:046C29000000000067 -:046C2A000000000066 -:046C2B000000000065 -:046C2C000000000064 -:046C2D000000000063 -:046C2E000000000062 -:046C2F000000000061 -:046C30000000000060 -:046C3100000000005F -:046C3200000000005E -:046C3300000000005D -:046C3400000000005C -:046C3500000000005B -:046C3600000000005A -:046C37000000000059 -:046C38000000000058 -:046C39000000000057 -:046C3A000000000056 -:046C3B000000000055 -:046C3C000000000054 -:046C3D000000000053 -:046C3E000000000052 -:046C3F000000000051 -:046C40000000000050 -:046C4100000000004F -:046C4200000000004E -:046C4300000000004D -:046C4400000000004C -:046C4500000000004B -:046C4600000000004A -:046C47000000000049 -:046C48000000000048 -:046C49000000000047 -:046C4A000000000046 -:046C4B000000000045 -:046C4C000000000044 -:046C4D000000000043 -:046C4E000000000042 -:046C4F000000000041 -:046C50000000000040 -:046C5100000000003F -:046C5200000000003E -:046C5300000000003D -:046C5400000000003C -:046C5500000000003B -:046C5600000000003A -:046C57000000000039 -:046C58000000000038 -:046C59000000000037 -:046C5A000000000036 -:046C5B000000000035 -:046C5C000000000034 -:046C5D000000000033 -:046C5E000000000032 -:046C5F000000000031 -:046C60000000000030 -:046C6100000000002F -:046C6200000000002E -:046C6300000000002D -:046C6400000000002C -:046C6500000000002B -:046C6600000000002A -:046C67000000000029 -:046C68000000000028 -:046C69000000000027 -:046C6A000000000026 -:046C6B000000000025 -:046C6C000000000024 -:046C6D000000000023 -:046C6E000000000022 -:046C6F000000000021 -:046C70000000000020 -:046C7100000000001F -:046C7200000000001E -:046C7300000000001D -:046C7400000000001C -:046C7500000000001B -:046C7600000000001A -:046C77000000000019 -:046C78000000000018 -:046C79000000000017 -:046C7A000000000016 -:046C7B000000000015 -:046C7C000000000014 -:046C7D000000000013 -:046C7E000000000012 -:046C7F000000000011 -:046C80000000000010 -:046C8100000000000F -:046C8200000000000E -:046C8300000000000D -:046C8400000000000C -:046C8500000000000B -:046C8600000000000A -:046C87000000000009 -:046C88000000000008 -:046C89000000000007 -:046C8A000000000006 -:046C8B000000000005 -:046C8C000000000004 -:046C8D000000000003 -:046C8E000000000002 -:046C8F000000000001 -:046C90000000000000 -:046C910000000000FF -:046C920000000000FE -:046C930000000000FD -:046C940000000000FC -:046C950000000000FB -:046C960000000000FA -:046C970000000000F9 -:046C980000000000F8 -:046C990000000000F7 -:046C9A0000000000F6 -:046C9B0000000000F5 -:046C9C0000000000F4 -:046C9D0000000000F3 -:046C9E0000000000F2 -:046C9F0000000000F1 -:046CA00000000000F0 -:046CA10000000000EF -:046CA20000000000EE -:046CA30000000000ED -:046CA40000000000EC -:046CA50000000000EB -:046CA60000000000EA -:046CA70000000000E9 -:046CA80000000000E8 -:046CA90000000000E7 -:046CAA0000000000E6 -:046CAB0000000000E5 -:046CAC0000000000E4 -:046CAD0000000000E3 -:046CAE0000000000E2 -:046CAF0000000000E1 -:046CB00000000000E0 -:046CB10000000000DF -:046CB20000000000DE -:046CB30000000000DD -:046CB40000000000DC -:046CB50000000000DB -:046CB60000000000DA -:046CB70000000000D9 -:046CB80000000000D8 -:046CB90000000000D7 -:046CBA0000000000D6 -:046CBB0000000000D5 -:046CBC0000000000D4 -:046CBD0000000000D3 -:046CBE0000000000D2 -:046CBF0000000000D1 -:046CC00000000000D0 -:046CC10000000000CF -:046CC20000000000CE -:046CC30000000000CD -:046CC40000000000CC -:046CC50000000000CB -:046CC60000000000CA -:046CC70000000000C9 -:046CC80000000000C8 -:046CC90000000000C7 -:046CCA0000000000C6 -:046CCB0000000000C5 -:046CCC0000000000C4 -:046CCD0000000000C3 -:046CCE0000000000C2 -:046CCF0000000000C1 -:046CD00000000000C0 -:046CD10000000000BF -:046CD20000000000BE -:046CD30000000000BD -:046CD40000000000BC -:046CD50000000000BB -:046CD60000000000BA -:046CD70000000000B9 -:046CD80000000000B8 -:046CD90000000000B7 -:046CDA0000000000B6 -:046CDB0000000000B5 -:046CDC0000000000B4 -:046CDD0000000000B3 -:046CDE0000000000B2 -:046CDF0000000000B1 -:046CE00000000000B0 -:046CE10000000000AF -:046CE20000000000AE -:046CE30000000000AD -:046CE40000000000AC -:046CE50000000000AB -:046CE60000000000AA -:046CE70000000000A9 -:046CE80000000000A8 -:046CE90000000000A7 -:046CEA0000000000A6 -:046CEB0000000000A5 -:046CEC0000000000A4 -:046CED0000000000A3 -:046CEE0000000000A2 -:046CEF0000000000A1 -:046CF00000000000A0 -:046CF100000000009F -:046CF200000000009E -:046CF300000000009D -:046CF400000000009C -:046CF500000000009B -:046CF600000000009A -:046CF7000000000099 -:046CF8000000000098 -:046CF9000000000097 -:046CFA000000000096 -:046CFB000000000095 -:046CFC000000000094 -:046CFD000000000093 -:046CFE000000000092 -:046CFF000000000091 -:046D0000000000008F -:046D0100000000008E -:046D0200000000008D -:046D0300000000008C -:046D0400000000008B -:046D0500000000008A -:046D06000000000089 -:046D07000000000088 -:046D08000000000087 -:046D09000000000086 -:046D0A000000000085 -:046D0B000000000084 -:046D0C000000000083 -:046D0D000000000082 -:046D0E000000000081 -:046D0F000000000080 -:046D1000000000007F -:046D1100000000007E -:046D1200000000007D -:046D1300000000007C -:046D1400000000007B -:046D1500000000007A -:046D16000000000079 -:046D17000000000078 -:046D18000000000077 -:046D19000000000076 -:046D1A000000000075 -:046D1B000000000074 -:046D1C000000000073 -:046D1D000000000072 -:046D1E000000000071 -:046D1F000000000070 -:046D2000000000006F -:046D2100000000006E -:046D2200000000006D -:046D2300000000006C -:046D2400000000006B -:046D2500000000006A -:046D26000000000069 -:046D27000000000068 -:046D28000000000067 -:046D29000000000066 -:046D2A000000000065 -:046D2B000000000064 -:046D2C000000000063 -:046D2D000000000062 -:046D2E000000000061 -:046D2F000000000060 -:046D3000000000005F -:046D3100000000005E -:046D3200000000005D -:046D3300000000005C -:046D3400000000005B -:046D3500000000005A -:046D36000000000059 -:046D37000000000058 -:046D38000000000057 -:046D39000000000056 -:046D3A000000000055 -:046D3B000000000054 -:046D3C000000000053 -:046D3D000000000052 -:046D3E000000000051 -:046D3F000000000050 -:046D4000000000004F -:046D4100000000004E -:046D4200000000004D -:046D4300000000004C -:046D4400000000004B -:046D4500000000004A -:046D46000000000049 -:046D47000000000048 -:046D48000000000047 -:046D49000000000046 -:046D4A000000000045 -:046D4B000000000044 -:046D4C000000000043 -:046D4D000000000042 -:046D4E000000000041 -:046D4F000000000040 -:046D5000000000003F -:046D5100000000003E -:046D5200000000003D -:046D5300000000003C -:046D5400000000003B -:046D5500000000003A -:046D56000000000039 -:046D57000000000038 -:046D58000000000037 -:046D59000000000036 -:046D5A000000000035 -:046D5B000000000034 -:046D5C000000000033 -:046D5D000000000032 -:046D5E000000000031 -:046D5F000000000030 -:046D6000000000002F -:046D6100000000002E -:046D6200000000002D -:046D6300000000002C -:046D6400000000002B -:046D6500000000002A -:046D66000000000029 -:046D67000000000028 -:046D68000000000027 -:046D69000000000026 -:046D6A000000000025 -:046D6B000000000024 -:046D6C000000000023 -:046D6D000000000022 -:046D6E000000000021 -:046D6F000000000020 -:046D7000000000001F -:046D7100000000001E -:046D7200000000001D -:046D7300000000001C -:046D7400000000001B -:046D7500000000001A -:046D76000000000019 -:046D77000000000018 -:046D78000000000017 -:046D79000000000016 -:046D7A000000000015 -:046D7B000000000014 -:046D7C000000000013 -:046D7D000000000012 -:046D7E000000000011 -:046D7F000000000010 -:046D8000000000000F -:046D8100000000000E -:046D8200000000000D -:046D8300000000000C -:046D8400000000000B -:046D8500000000000A -:046D86000000000009 -:046D87000000000008 -:046D88000000000007 -:046D89000000000006 -:046D8A000000000005 -:046D8B000000000004 -:046D8C000000000003 -:046D8D000000000002 -:046D8E000000000001 -:046D8F000000000000 -:046D900000000000FF -:046D910000000000FE -:046D920000000000FD -:046D930000000000FC -:046D940000000000FB -:046D950000000000FA -:046D960000000000F9 -:046D970000000000F8 -:046D980000000000F7 -:046D990000000000F6 -:046D9A0000000000F5 -:046D9B0000000000F4 -:046D9C0000000000F3 -:046D9D0000000000F2 -:046D9E0000000000F1 -:046D9F0000000000F0 -:046DA00000000000EF -:046DA10000000000EE -:046DA20000000000ED -:046DA30000000000EC -:046DA40000000000EB -:046DA50000000000EA -:046DA60000000000E9 -:046DA70000000000E8 -:046DA80000000000E7 -:046DA90000000000E6 -:046DAA0000000000E5 -:046DAB0000000000E4 -:046DAC0000000000E3 -:046DAD0000000000E2 -:046DAE0000000000E1 -:046DAF0000000000E0 -:046DB00000000000DF -:046DB10000000000DE -:046DB20000000000DD -:046DB30000000000DC -:046DB40000000000DB -:046DB50000000000DA -:046DB60000000000D9 -:046DB70000000000D8 -:046DB80000000000D7 -:046DB90000000000D6 -:046DBA0000000000D5 -:046DBB0000000000D4 -:046DBC0000000000D3 -:046DBD0000000000D2 -:046DBE0000000000D1 -:046DBF0000000000D0 -:046DC00000000000CF -:046DC10000000000CE -:046DC20000000000CD -:046DC30000000000CC -:046DC40000000000CB -:046DC50000000000CA -:046DC60000000000C9 -:046DC70000000000C8 -:046DC80000000000C7 -:046DC90000000000C6 -:046DCA0000000000C5 -:046DCB0000000000C4 -:046DCC0000000000C3 -:046DCD0000000000C2 -:046DCE0000000000C1 -:046DCF0000000000C0 -:046DD00000000000BF -:046DD10000000000BE -:046DD20000000000BD -:046DD30000000000BC -:046DD40000000000BB -:046DD50000000000BA -:046DD60000000000B9 -:046DD70000000000B8 -:046DD80000000000B7 -:046DD90000000000B6 -:046DDA0000000000B5 -:046DDB0000000000B4 -:046DDC0000000000B3 -:046DDD0000000000B2 -:046DDE0000000000B1 -:046DDF0000000000B0 -:046DE00000000000AF -:046DE10000000000AE -:046DE20000000000AD -:046DE30000000000AC -:046DE40000000000AB -:046DE50000000000AA -:046DE60000000000A9 -:046DE70000000000A8 -:046DE80000000000A7 -:046DE90000000000A6 -:046DEA0000000000A5 -:046DEB0000000000A4 -:046DEC0000000000A3 -:046DED0000000000A2 -:046DEE0000000000A1 -:046DEF0000000000A0 -:046DF000000000009F -:046DF100000000009E -:046DF200000000009D -:046DF300000000009C -:046DF400000000009B -:046DF500000000009A -:046DF6000000000099 -:046DF7000000000098 -:046DF8000000000097 -:046DF9000000000096 -:046DFA000000000095 -:046DFB000000000094 -:046DFC000000000093 -:046DFD000000000092 -:046DFE000000000091 -:046DFF000000000090 -:046E0000000000008E -:046E0100000000008D -:046E0200000000008C -:046E0300000000008B -:046E0400000000008A -:046E05000000000089 -:046E06000000000088 -:046E07000000000087 -:046E08000000000086 -:046E09000000000085 -:046E0A000000000084 -:046E0B000000000083 -:046E0C000000000082 -:046E0D000000000081 -:046E0E000000000080 -:046E0F00000000007F -:046E1000000000007E -:046E1100000000007D -:046E1200000000007C -:046E1300000000007B -:046E1400000000007A -:046E15000000000079 -:046E16000000000078 -:046E17000000000077 -:046E18000000000076 -:046E19000000000075 -:046E1A000000000074 -:046E1B000000000073 -:046E1C000000000072 -:046E1D000000000071 -:046E1E000000000070 -:046E1F00000000006F -:046E2000000000006E -:046E2100000000006D -:046E2200000000006C -:046E2300000000006B -:046E2400000000006A -:046E25000000000069 -:046E26000000000068 -:046E27000000000067 -:046E28000000000066 -:046E29000000000065 -:046E2A000000000064 -:046E2B000000000063 -:046E2C000000000062 -:046E2D000000000061 -:046E2E000000000060 -:046E2F00000000005F -:046E3000000000005E -:046E3100000000005D -:046E3200000000005C -:046E3300000000005B -:046E3400000000005A -:046E35000000000059 -:046E36000000000058 -:046E37000000000057 -:046E38000000000056 -:046E39000000000055 -:046E3A000000000054 -:046E3B000000000053 -:046E3C000000000052 -:046E3D000000000051 -:046E3E000000000050 -:046E3F00000000004F -:046E4000000000004E -:046E4100000000004D -:046E4200000000004C -:046E4300000000004B -:046E4400000000004A -:046E45000000000049 -:046E46000000000048 -:046E47000000000047 -:046E48000000000046 -:046E49000000000045 -:046E4A000000000044 -:046E4B000000000043 -:046E4C000000000042 -:046E4D000000000041 -:046E4E000000000040 -:046E4F00000000003F -:046E5000000000003E -:046E5100000000003D -:046E5200000000003C -:046E5300000000003B -:046E5400000000003A -:046E55000000000039 -:046E56000000000038 -:046E57000000000037 -:046E58000000000036 -:046E59000000000035 -:046E5A000000000034 -:046E5B000000000033 -:046E5C000000000032 -:046E5D000000000031 -:046E5E000000000030 -:046E5F00000000002F -:046E6000000000002E -:046E6100000000002D -:046E6200000000002C -:046E6300000000002B -:046E6400000000002A -:046E65000000000029 -:046E66000000000028 -:046E67000000000027 -:046E68000000000026 -:046E69000000000025 -:046E6A000000000024 -:046E6B000000000023 -:046E6C000000000022 -:046E6D000000000021 -:046E6E000000000020 -:046E6F00000000001F -:046E7000000000001E -:046E7100000000001D -:046E7200000000001C -:046E7300000000001B -:046E7400000000001A -:046E75000000000019 -:046E76000000000018 -:046E77000000000017 -:046E78000000000016 -:046E79000000000015 -:046E7A000000000014 -:046E7B000000000013 -:046E7C000000000012 -:046E7D000000000011 -:046E7E000000000010 -:046E7F00000000000F -:046E8000000000000E -:046E8100000000000D -:046E8200000000000C -:046E8300000000000B -:046E8400000000000A -:046E85000000000009 -:046E86000000000008 -:046E87000000000007 -:046E88000000000006 -:046E89000000000005 -:046E8A000000000004 -:046E8B000000000003 -:046E8C000000000002 -:046E8D000000000001 -:046E8E000000000000 -:046E8F0000000000FF -:046E900000000000FE -:046E910000000000FD -:046E920000000000FC -:046E930000000000FB -:046E940000000000FA -:046E950000000000F9 -:046E960000000000F8 -:046E970000000000F7 -:046E980000000000F6 -:046E990000000000F5 -:046E9A0000000000F4 -:046E9B0000000000F3 -:046E9C0000000000F2 -:046E9D0000000000F1 -:046E9E0000000000F0 -:046E9F0000000000EF -:046EA00000000000EE -:046EA10000000000ED -:046EA20000000000EC -:046EA30000000000EB -:046EA40000000000EA -:046EA50000000000E9 -:046EA60000000000E8 -:046EA70000000000E7 -:046EA80000000000E6 -:046EA90000000000E5 -:046EAA0000000000E4 -:046EAB0000000000E3 -:046EAC0000000000E2 -:046EAD0000000000E1 -:046EAE0000000000E0 -:046EAF0000000000DF -:046EB00000000000DE -:046EB10000000000DD -:046EB20000000000DC -:046EB30000000000DB -:046EB40000000000DA -:046EB50000000000D9 -:046EB60000000000D8 -:046EB70000000000D7 -:046EB80000000000D6 -:046EB90000000000D5 -:046EBA0000000000D4 -:046EBB0000000000D3 -:046EBC0000000000D2 -:046EBD0000000000D1 -:046EBE0000000000D0 -:046EBF0000000000CF -:046EC00000000000CE -:046EC10000000000CD -:046EC20000000000CC -:046EC30000000000CB -:046EC40000000000CA -:046EC50000000000C9 -:046EC60000000000C8 -:046EC70000000000C7 -:046EC80000000000C6 -:046EC90000000000C5 -:046ECA0000000000C4 -:046ECB0000000000C3 -:046ECC0000000000C2 -:046ECD0000000000C1 -:046ECE0000000000C0 -:046ECF0000000000BF -:046ED00000000000BE -:046ED10000000000BD -:046ED20000000000BC -:046ED30000000000BB -:046ED40000000000BA -:046ED50000000000B9 -:046ED60000000000B8 -:046ED70000000000B7 -:046ED80000000000B6 -:046ED90000000000B5 -:046EDA0000000000B4 -:046EDB0000000000B3 -:046EDC0000000000B2 -:046EDD0000000000B1 -:046EDE0000000000B0 -:046EDF0000000000AF -:046EE00000000000AE -:046EE10000000000AD -:046EE20000000000AC -:046EE30000000000AB -:046EE40000000000AA -:046EE50000000000A9 -:046EE60000000000A8 -:046EE70000000000A7 -:046EE80000000000A6 -:046EE90000000000A5 -:046EEA0000000000A4 -:046EEB0000000000A3 -:046EEC0000000000A2 -:046EED0000000000A1 -:046EEE0000000000A0 -:046EEF00000000009F -:046EF000000000009E -:046EF100000000009D -:046EF200000000009C -:046EF300000000009B -:046EF400000000009A -:046EF5000000000099 -:046EF6000000000098 -:046EF7000000000097 -:046EF8000000000096 -:046EF9000000000095 -:046EFA000000000094 -:046EFB000000000093 -:046EFC000000000092 -:046EFD000000000091 -:046EFE000000000090 -:046EFF00000000008F -:046F0000000000008D -:046F0100000000008C -:046F0200000000008B -:046F0300000000008A -:046F04000000000089 -:046F05000000000088 -:046F06000000000087 -:046F07000000000086 -:046F08000000000085 -:046F09000000000084 -:046F0A000000000083 -:046F0B000000000082 -:046F0C000000000081 -:046F0D000000000080 -:046F0E00000000007F -:046F0F00000000007E -:046F1000000000007D -:046F1100000000007C -:046F1200000000007B -:046F1300000000007A -:046F14000000000079 -:046F15000000000078 -:046F16000000000077 -:046F17000000000076 -:046F18000000000075 -:046F19000000000074 -:046F1A000000000073 -:046F1B000000000072 -:046F1C000000000071 -:046F1D000000000070 -:046F1E00000000006F -:046F1F00000000006E -:046F2000000000006D -:046F2100000000006C -:046F2200000000006B -:046F2300000000006A -:046F24000000000069 -:046F25000000000068 -:046F26000000000067 -:046F27000000000066 -:046F28000000000065 -:046F29000000000064 -:046F2A000000000063 -:046F2B000000000062 -:046F2C000000000061 -:046F2D000000000060 -:046F2E00000000005F -:046F2F00000000005E -:046F3000000000005D -:046F3100000000005C -:046F3200000000005B -:046F3300000000005A -:046F34000000000059 -:046F35000000000058 -:046F36000000000057 -:046F37000000000056 -:046F38000000000055 -:046F39000000000054 -:046F3A000000000053 -:046F3B000000000052 -:046F3C000000000051 -:046F3D000000000050 -:046F3E00000000004F -:046F3F00000000004E -:046F4000000000004D -:046F4100000000004C -:046F4200000000004B -:046F4300000000004A -:046F44000000000049 -:046F45000000000048 -:046F46000000000047 -:046F47000000000046 -:046F48000000000045 -:046F49000000000044 -:046F4A000000000043 -:046F4B000000000042 -:046F4C000000000041 -:046F4D000000000040 -:046F4E00000000003F -:046F4F00000000003E -:046F5000000000003D -:046F5100000000003C -:046F5200000000003B -:046F5300000000003A -:046F54000000000039 -:046F55000000000038 -:046F56000000000037 -:046F57000000000036 -:046F58000000000035 -:046F59000000000034 -:046F5A000000000033 -:046F5B000000000032 -:046F5C000000000031 -:046F5D000000000030 -:046F5E00000000002F -:046F5F00000000002E -:046F6000000000002D -:046F6100000000002C -:046F6200000000002B -:046F6300000000002A -:046F64000000000029 -:046F65000000000028 -:046F66000000000027 -:046F67000000000026 -:046F68000000000025 -:046F69000000000024 -:046F6A000000000023 -:046F6B000000000022 -:046F6C000000000021 -:046F6D000000000020 -:046F6E00000000001F -:046F6F00000000001E -:046F7000000000001D -:046F7100000000001C -:046F7200000000001B -:046F7300000000001A -:046F74000000000019 -:046F75000000000018 -:046F76000000000017 -:046F77000000000016 -:046F78000000000015 -:046F79000000000014 -:046F7A000000000013 -:046F7B000000000012 -:046F7C000000000011 -:046F7D000000000010 -:046F7E00000000000F -:046F7F00000000000E -:046F8000000000000D -:046F8100000000000C -:046F8200000000000B -:046F8300000000000A -:046F84000000000009 -:046F85000000000008 -:046F86000000000007 -:046F87000000000006 -:046F88000000000005 -:046F89000000000004 -:046F8A000000000003 -:046F8B000000000002 -:046F8C000000000001 -:046F8D000000000000 -:046F8E0000000000FF -:046F8F0000000000FE -:046F900000000000FD -:046F910000000000FC -:046F920000000000FB -:046F930000000000FA -:046F940000000000F9 -:046F950000000000F8 -:046F960000000000F7 -:046F970000000000F6 -:046F980000000000F5 -:046F990000000000F4 -:046F9A0000000000F3 -:046F9B0000000000F2 -:046F9C0000000000F1 -:046F9D0000000000F0 -:046F9E0000000000EF -:046F9F0000000000EE -:046FA00000000000ED -:046FA10000000000EC -:046FA20000000000EB -:046FA30000000000EA -:046FA40000000000E9 -:046FA50000000000E8 -:046FA60000000000E7 -:046FA70000000000E6 -:046FA80000000000E5 -:046FA90000000000E4 -:046FAA0000000000E3 -:046FAB0000000000E2 -:046FAC0000000000E1 -:046FAD0000000000E0 -:046FAE0000000000DF -:046FAF0000000000DE -:046FB00000000000DD -:046FB10000000000DC -:046FB20000000000DB -:046FB30000000000DA -:046FB40000000000D9 -:046FB50000000000D8 -:046FB60000000000D7 -:046FB70000000000D6 -:046FB80000000000D5 -:046FB90000000000D4 -:046FBA0000000000D3 -:046FBB0000000000D2 -:046FBC0000000000D1 -:046FBD0000000000D0 -:046FBE0000000000CF -:046FBF0000000000CE -:046FC00000000000CD -:046FC10000000000CC -:046FC20000000000CB -:046FC30000000000CA -:046FC40000000000C9 -:046FC50000000000C8 -:046FC60000000000C7 -:046FC70000000000C6 -:046FC80000000000C5 -:046FC90000000000C4 -:046FCA0000000000C3 -:046FCB0000000000C2 -:046FCC0000000000C1 -:046FCD0000000000C0 -:046FCE0000000000BF -:046FCF0000000000BE -:046FD00000000000BD -:046FD10000000000BC -:046FD20000000000BB -:046FD30000000000BA -:046FD40000000000B9 -:046FD50000000000B8 -:046FD60000000000B7 -:046FD70000000000B6 -:046FD80000000000B5 -:046FD90000000000B4 -:046FDA0000000000B3 -:046FDB0000000000B2 -:046FDC0000000000B1 -:046FDD0000000000B0 -:046FDE0000000000AF -:046FDF0000000000AE -:046FE00000000000AD -:046FE10000000000AC -:046FE20000000000AB -:046FE30000000000AA -:046FE40000000000A9 -:046FE50000000000A8 -:046FE60000000000A7 -:046FE70000000000A6 -:046FE80000000000A5 -:046FE90000000000A4 -:046FEA0000000000A3 -:046FEB0000000000A2 -:046FEC0000000000A1 -:046FED0000000000A0 -:046FEE00000000009F -:046FEF00000000009E -:046FF000000000009D -:046FF100000000009C -:046FF200000000009B -:046FF300000000009A -:046FF4000000000099 -:046FF5000000000098 -:046FF6000000000097 -:046FF7000000000096 -:046FF8000000000095 -:046FF9000000000094 -:046FFA000000000093 -:046FFB000000000092 -:046FFC000000000091 -:046FFD000000000090 -:046FFE00000000008F -:046FFF00000000008E -:04700000000000008C -:04700100000000008B -:04700200000000008A -:047003000000000089 -:047004000000000088 -:047005000000000087 -:047006000000000086 -:047007000000000085 -:047008000000000084 -:047009000000000083 -:04700A000000000082 -:04700B000000000081 -:04700C000000000080 -:04700D00000000007F -:04700E00000000007E -:04700F00000000007D -:04701000000000007C -:04701100000000007B -:04701200000000007A -:047013000000000079 -:047014000000000078 -:047015000000000077 -:047016000000000076 -:047017000000000075 -:047018000000000074 -:047019000000000073 -:04701A000000000072 -:04701B000000000071 -:04701C000000000070 -:04701D00000000006F -:04701E00000000006E -:04701F00000000006D -:04702000000000006C -:04702100000000006B -:04702200000000006A -:047023000000000069 -:047024000000000068 -:047025000000000067 -:047026000000000066 -:047027000000000065 -:047028000000000064 -:047029000000000063 -:04702A000000000062 -:04702B000000000061 -:04702C000000000060 -:04702D00000000005F -:04702E00000000005E -:04702F00000000005D -:04703000000000005C -:04703100000000005B -:04703200000000005A -:047033000000000059 -:047034000000000058 -:047035000000000057 -:047036000000000056 -:047037000000000055 -:047038000000000054 -:047039000000000053 -:04703A000000000052 -:04703B000000000051 -:04703C000000000050 -:04703D00000000004F -:04703E00000000004E -:04703F00000000004D -:04704000000000004C -:04704100000000004B -:04704200000000004A -:047043000000000049 -:047044000000000048 -:047045000000000047 -:047046000000000046 -:047047000000000045 -:047048000000000044 -:047049000000000043 -:04704A000000000042 -:04704B000000000041 -:04704C000000000040 -:04704D00000000003F -:04704E00000000003E -:04704F00000000003D -:04705000000000003C -:04705100000000003B -:04705200000000003A -:047053000000000039 -:047054000000000038 -:047055000000000037 -:047056000000000036 -:047057000000000035 -:047058000000000034 -:047059000000000033 -:04705A000000000032 -:04705B000000000031 -:04705C000000000030 -:04705D00000000002F -:04705E00000000002E -:04705F00000000002D -:04706000000000002C -:04706100000000002B -:04706200000000002A -:047063000000000029 -:047064000000000028 -:047065000000000027 -:047066000000000026 -:047067000000000025 -:047068000000000024 -:047069000000000023 -:04706A000000000022 -:04706B000000000021 -:04706C000000000020 -:04706D00000000001F -:04706E00000000001E -:04706F00000000001D -:04707000000000001C -:04707100000000001B -:04707200000000001A -:047073000000000019 -:047074000000000018 -:047075000000000017 -:047076000000000016 -:047077000000000015 -:047078000000000014 -:047079000000000013 -:04707A000000000012 -:04707B000000000011 -:04707C000000000010 -:04707D00000000000F -:04707E00000000000E -:04707F00000000000D -:04708000000000000C -:04708100000000000B -:04708200000000000A -:047083000000000009 -:047084000000000008 -:047085000000000007 -:047086000000000006 -:047087000000000005 -:047088000000000004 -:047089000000000003 -:04708A000000000002 -:04708B000000000001 -:04708C000000000000 -:04708D0000000000FF -:04708E0000000000FE -:04708F0000000000FD -:0470900000000000FC -:0470910000000000FB -:0470920000000000FA -:0470930000000000F9 -:0470940000000000F8 -:0470950000000000F7 -:0470960000000000F6 -:0470970000000000F5 -:0470980000000000F4 -:0470990000000000F3 -:04709A0000000000F2 -:04709B0000000000F1 -:04709C0000000000F0 -:04709D0000000000EF -:04709E0000000000EE -:04709F0000000000ED -:0470A00000000000EC -:0470A10000000000EB -:0470A20000000000EA -:0470A30000000000E9 -:0470A40000000000E8 -:0470A50000000000E7 -:0470A60000000000E6 -:0470A70000000000E5 -:0470A80000000000E4 -:0470A90000000000E3 -:0470AA0000000000E2 -:0470AB0000000000E1 -:0470AC0000000000E0 -:0470AD0000000000DF -:0470AE0000000000DE -:0470AF0000000000DD -:0470B00000000000DC -:0470B10000000000DB -:0470B20000000000DA -:0470B30000000000D9 -:0470B40000000000D8 -:0470B50000000000D7 -:0470B60000000000D6 -:0470B70000000000D5 -:0470B80000000000D4 -:0470B90000000000D3 -:0470BA0000000000D2 -:0470BB0000000000D1 -:0470BC0000000000D0 -:0470BD0000000000CF -:0470BE0000000000CE -:0470BF0000000000CD -:0470C00000000000CC -:0470C10000000000CB -:0470C20000000000CA -:0470C30000000000C9 -:0470C40000000000C8 -:0470C50000000000C7 -:0470C60000000000C6 -:0470C70000000000C5 -:0470C80000000000C4 -:0470C90000000000C3 -:0470CA0000000000C2 -:0470CB0000000000C1 -:0470CC0000000000C0 -:0470CD0000000000BF -:0470CE0000000000BE -:0470CF0000000000BD -:0470D00000000000BC -:0470D10000000000BB -:0470D20000000000BA -:0470D30000000000B9 -:0470D40000000000B8 -:0470D50000000000B7 -:0470D60000000000B6 -:0470D70000000000B5 -:0470D80000000000B4 -:0470D90000000000B3 -:0470DA0000000000B2 -:0470DB0000000000B1 -:0470DC0000000000B0 -:0470DD0000000000AF -:0470DE0000000000AE -:0470DF0000000000AD -:0470E00000000000AC -:0470E10000000000AB -:0470E20000000000AA -:0470E30000000000A9 -:0470E40000000000A8 -:0470E50000000000A7 -:0470E60000000000A6 -:0470E70000000000A5 -:0470E80000000000A4 -:0470E90000000000A3 -:0470EA0000000000A2 -:0470EB0000000000A1 -:0470EC0000000000A0 -:0470ED00000000009F -:0470EE00000000009E -:0470EF00000000009D -:0470F000000000009C -:0470F100000000009B -:0470F200000000009A -:0470F3000000000099 -:0470F4000000000098 -:0470F5000000000097 -:0470F6000000000096 -:0470F7000000000095 -:0470F8000000000094 -:0470F9000000000093 -:0470FA000000000092 -:0470FB000000000091 -:0470FC000000000090 -:0470FD00000000008F -:0470FE00000000008E -:0470FF00000000008D -:04710000000000008B -:04710100000000008A -:047102000000000089 -:047103000000000088 -:047104000000000087 -:047105000000000086 -:047106000000000085 -:047107000000000084 -:047108000000000083 -:047109000000000082 -:04710A000000000081 -:04710B000000000080 -:04710C00000000007F -:04710D00000000007E -:04710E00000000007D -:04710F00000000007C -:04711000000000007B -:04711100000000007A -:047112000000000079 -:047113000000000078 -:047114000000000077 -:047115000000000076 -:047116000000000075 -:047117000000000074 -:047118000000000073 -:047119000000000072 -:04711A000000000071 -:04711B000000000070 -:04711C00000000006F -:04711D00000000006E -:04711E00000000006D -:04711F00000000006C -:04712000000000006B -:04712100000000006A -:047122000000000069 -:047123000000000068 -:047124000000000067 -:047125000000000066 -:047126000000000065 -:047127000000000064 -:047128000000000063 -:047129000000000062 -:04712A000000000061 -:04712B000000000060 -:04712C00000000005F -:04712D00000000005E -:04712E00000000005D -:04712F00000000005C -:04713000000000005B -:04713100000000005A -:047132000000000059 -:047133000000000058 -:047134000000000057 -:047135000000000056 -:047136000000000055 -:047137000000000054 -:047138000000000053 -:047139000000000052 -:04713A000000000051 -:04713B000000000050 -:04713C00000000004F -:04713D00000000004E -:04713E00000000004D -:04713F00000000004C -:04714000000000004B -:04714100000000004A -:047142000000000049 -:047143000000000048 -:047144000000000047 -:047145000000000046 -:047146000000000045 -:047147000000000044 -:047148000000000043 -:047149000000000042 -:04714A000000000041 -:04714B000000000040 -:04714C00000000003F -:04714D00000000003E -:04714E00000000003D -:04714F00000000003C -:04715000000000003B -:04715100000000003A -:047152000000000039 -:047153000000000038 -:047154000000000037 -:047155000000000036 -:047156000000000035 -:047157000000000034 -:047158000000000033 -:047159000000000032 -:04715A000000000031 -:04715B000000000030 -:04715C00000000002F -:04715D00000000002E -:04715E00000000002D -:04715F00000000002C -:04716000000000002B -:04716100000000002A -:047162000000000029 -:047163000000000028 -:047164000000000027 -:047165000000000026 -:047166000000000025 -:047167000000000024 -:047168000000000023 -:047169000000000022 -:04716A000000000021 -:04716B000000000020 -:04716C00000000001F -:04716D00000000001E -:04716E00000000001D -:04716F00000000001C -:04717000000000001B -:04717100000000001A -:047172000000000019 -:047173000000000018 -:047174000000000017 -:047175000000000016 -:047176000000000015 -:047177000000000014 -:047178000000000013 -:047179000000000012 -:04717A000000000011 -:04717B000000000010 -:04717C00000000000F -:04717D00000000000E -:04717E00000000000D -:04717F00000000000C -:04718000000000000B -:04718100000000000A -:047182000000000009 -:047183000000000008 -:047184000000000007 -:047185000000000006 -:047186000000000005 -:047187000000000004 -:047188000000000003 -:047189000000000002 -:04718A000000000001 -:04718B000000000000 -:04718C0000000000FF -:04718D0000000000FE -:04718E0000000000FD -:04718F0000000000FC -:0471900000000000FB -:0471910000000000FA -:0471920000000000F9 -:0471930000000000F8 -:0471940000000000F7 -:0471950000000000F6 -:0471960000000000F5 -:0471970000000000F4 -:0471980000000000F3 -:0471990000000000F2 -:04719A0000000000F1 -:04719B0000000000F0 -:04719C0000000000EF -:04719D0000000000EE -:04719E0000000000ED -:04719F0000000000EC -:0471A00000000000EB -:0471A10000000000EA -:0471A20000000000E9 -:0471A30000000000E8 -:0471A40000000000E7 -:0471A50000000000E6 -:0471A60000000000E5 -:0471A70000000000E4 -:0471A80000000000E3 -:0471A90000000000E2 -:0471AA0000000000E1 -:0471AB0000000000E0 -:0471AC0000000000DF -:0471AD0000000000DE -:0471AE0000000000DD -:0471AF0000000000DC -:0471B00000000000DB -:0471B10000000000DA -:0471B20000000000D9 -:0471B30000000000D8 -:0471B40000000000D7 -:0471B50000000000D6 -:0471B60000000000D5 -:0471B70000000000D4 -:0471B80000000000D3 -:0471B90000000000D2 -:0471BA0000000000D1 -:0471BB0000000000D0 -:0471BC0000000000CF -:0471BD0000000000CE -:0471BE0000000000CD -:0471BF0000000000CC -:0471C00000000000CB -:0471C10000000000CA -:0471C20000000000C9 -:0471C30000000000C8 -:0471C40000000000C7 -:0471C50000000000C6 -:0471C60000000000C5 -:0471C70000000000C4 -:0471C80000000000C3 -:0471C90000000000C2 -:0471CA0000000000C1 -:0471CB0000000000C0 -:0471CC0000000000BF -:0471CD0000000000BE -:0471CE0000000000BD -:0471CF0000000000BC -:0471D00000000000BB -:0471D10000000000BA -:0471D20000000000B9 -:0471D30000000000B8 -:0471D40000000000B7 -:0471D50000000000B6 -:0471D60000000000B5 -:0471D70000000000B4 -:0471D80000000000B3 -:0471D90000000000B2 -:0471DA0000000000B1 -:0471DB0000000000B0 -:0471DC0000000000AF -:0471DD0000000000AE -:0471DE0000000000AD -:0471DF0000000000AC -:0471E00000000000AB -:0471E10000000000AA -:0471E20000000000A9 -:0471E30000000000A8 -:0471E40000000000A7 -:0471E50000000000A6 -:0471E60000000000A5 -:0471E70000000000A4 -:0471E80000000000A3 -:0471E90000000000A2 -:0471EA0000000000A1 -:0471EB0000000000A0 -:0471EC00000000009F -:0471ED00000000009E -:0471EE00000000009D -:0471EF00000000009C -:0471F000000000009B -:0471F100000000009A -:0471F2000000000099 -:0471F3000000000098 -:0471F4000000000097 -:0471F5000000000096 -:0471F6000000000095 -:0471F7000000000094 -:0471F8000000000093 -:0471F9000000000092 -:0471FA000000000091 -:0471FB000000000090 -:0471FC00000000008F -:0471FD00000000008E -:0471FE00000000008D -:0471FF00000000008C -:04720000000000008A -:047201000000000089 -:047202000000000088 -:047203000000000087 -:047204000000000086 -:047205000000000085 -:047206000000000084 -:047207000000000083 -:047208000000000082 -:047209000000000081 -:04720A000000000080 -:04720B00000000007F -:04720C00000000007E -:04720D00000000007D -:04720E00000000007C -:04720F00000000007B -:04721000000000007A -:047211000000000079 -:047212000000000078 -:047213000000000077 -:047214000000000076 -:047215000000000075 -:047216000000000074 -:047217000000000073 -:047218000000000072 -:047219000000000071 -:04721A000000000070 -:04721B00000000006F -:04721C00000000006E -:04721D00000000006D -:04721E00000000006C -:04721F00000000006B -:04722000000000006A -:047221000000000069 -:047222000000000068 -:047223000000000067 -:047224000000000066 -:047225000000000065 -:047226000000000064 -:047227000000000063 -:047228000000000062 -:047229000000000061 -:04722A000000000060 -:04722B00000000005F -:04722C00000000005E -:04722D00000000005D -:04722E00000000005C -:04722F00000000005B -:04723000000000005A -:047231000000000059 -:047232000000000058 -:047233000000000057 -:047234000000000056 -:047235000000000055 -:047236000000000054 -:047237000000000053 -:047238000000000052 -:047239000000000051 -:04723A000000000050 -:04723B00000000004F -:04723C00000000004E -:04723D00000000004D -:04723E00000000004C -:04723F00000000004B -:04724000000000004A -:047241000000000049 -:047242000000000048 -:047243000000000047 -:047244000000000046 -:047245000000000045 -:047246000000000044 -:047247000000000043 -:047248000000000042 -:047249000000000041 -:04724A000000000040 -:04724B00000000003F -:04724C00000000003E -:04724D00000000003D -:04724E00000000003C -:04724F00000000003B -:04725000000000003A -:047251000000000039 -:047252000000000038 -:047253000000000037 -:047254000000000036 -:047255000000000035 -:047256000000000034 -:047257000000000033 -:047258000000000032 -:047259000000000031 -:04725A000000000030 -:04725B00000000002F -:04725C00000000002E -:04725D00000000002D -:04725E00000000002C -:04725F00000000002B -:04726000000000002A -:047261000000000029 -:047262000000000028 -:047263000000000027 -:047264000000000026 -:047265000000000025 -:047266000000000024 -:047267000000000023 -:047268000000000022 -:047269000000000021 -:04726A000000000020 -:04726B00000000001F -:04726C00000000001E -:04726D00000000001D -:04726E00000000001C -:04726F00000000001B -:04727000000000001A -:047271000000000019 -:047272000000000018 -:047273000000000017 -:047274000000000016 -:047275000000000015 -:047276000000000014 -:047277000000000013 -:047278000000000012 -:047279000000000011 -:04727A000000000010 -:04727B00000000000F -:04727C00000000000E -:04727D00000000000D -:04727E00000000000C -:04727F00000000000B -:04728000000000000A -:047281000000000009 -:047282000000000008 -:047283000000000007 -:047284000000000006 -:047285000000000005 -:047286000000000004 -:047287000000000003 -:047288000000000002 -:047289000000000001 -:04728A000000000000 -:04728B0000000000FF -:04728C0000000000FE -:04728D0000000000FD -:04728E0000000000FC -:04728F0000000000FB -:0472900000000000FA -:0472910000000000F9 -:0472920000000000F8 -:0472930000000000F7 -:0472940000000000F6 -:0472950000000000F5 -:0472960000000000F4 -:0472970000000000F3 -:0472980000000000F2 -:0472990000000000F1 -:04729A0000000000F0 -:04729B0000000000EF -:04729C0000000000EE -:04729D0000000000ED -:04729E0000000000EC -:04729F0000000000EB -:0472A00000000000EA -:0472A10000000000E9 -:0472A20000000000E8 -:0472A30000000000E7 -:0472A40000000000E6 -:0472A50000000000E5 -:0472A60000000000E4 -:0472A70000000000E3 -:0472A80000000000E2 -:0472A90000000000E1 -:0472AA0000000000E0 -:0472AB0000000000DF -:0472AC0000000000DE -:0472AD0000000000DD -:0472AE0000000000DC -:0472AF0000000000DB -:0472B00000000000DA -:0472B10000000000D9 -:0472B20000000000D8 -:0472B30000000000D7 -:0472B40000000000D6 -:0472B50000000000D5 -:0472B60000000000D4 -:0472B70000000000D3 -:0472B80000000000D2 -:0472B90000000000D1 -:0472BA0000000000D0 -:0472BB0000000000CF -:0472BC0000000000CE -:0472BD0000000000CD -:0472BE0000000000CC -:0472BF0000000000CB -:0472C00000000000CA -:0472C10000000000C9 -:0472C20000000000C8 -:0472C30000000000C7 -:0472C40000000000C6 -:0472C50000000000C5 -:0472C60000000000C4 -:0472C70000000000C3 -:0472C80000000000C2 -:0472C90000000000C1 -:0472CA0000000000C0 -:0472CB0000000000BF -:0472CC0000000000BE -:0472CD0000000000BD -:0472CE0000000000BC -:0472CF0000000000BB -:0472D00000000000BA -:0472D10000000000B9 -:0472D20000000000B8 -:0472D30000000000B7 -:0472D40000000000B6 -:0472D50000000000B5 -:0472D60000000000B4 -:0472D70000000000B3 -:0472D80000000000B2 -:0472D90000000000B1 -:0472DA0000000000B0 -:0472DB0000000000AF -:0472DC0000000000AE -:0472DD0000000000AD -:0472DE0000000000AC -:0472DF0000000000AB -:0472E00000000000AA -:0472E10000000000A9 -:0472E20000000000A8 -:0472E30000000000A7 -:0472E40000000000A6 -:0472E50000000000A5 -:0472E60000000000A4 -:0472E70000000000A3 -:0472E80000000000A2 -:0472E90000000000A1 -:0472EA0000000000A0 -:0472EB00000000009F -:0472EC00000000009E -:0472ED00000000009D -:0472EE00000000009C -:0472EF00000000009B -:0472F000000000009A -:0472F1000000000099 -:0472F2000000000098 -:0472F3000000000097 -:0472F4000000000096 -:0472F5000000000095 -:0472F6000000000094 -:0472F7000000000093 -:0472F8000000000092 -:0472F9000000000091 -:0472FA000000000090 -:0472FB00000000008F -:0472FC00000000008E -:0472FD00000000008D -:0472FE00000000008C -:0472FF00000000008B -:047300000000000089 -:047301000000000088 -:047302000000000087 -:047303000000000086 -:047304000000000085 -:047305000000000084 -:047306000000000083 -:047307000000000082 -:047308000000000081 -:047309000000000080 -:04730A00000000007F -:04730B00000000007E -:04730C00000000007D -:04730D00000000007C -:04730E00000000007B -:04730F00000000007A -:047310000000000079 -:047311000000000078 -:047312000000000077 -:047313000000000076 -:047314000000000075 -:047315000000000074 -:047316000000000073 -:047317000000000072 -:047318000000000071 -:047319000000000070 -:04731A00000000006F -:04731B00000000006E -:04731C00000000006D -:04731D00000000006C -:04731E00000000006B -:04731F00000000006A -:047320000000000069 -:047321000000000068 -:047322000000000067 -:047323000000000066 -:047324000000000065 -:047325000000000064 -:047326000000000063 -:047327000000000062 -:047328000000000061 -:047329000000000060 -:04732A00000000005F -:04732B00000000005E -:04732C00000000005D -:04732D00000000005C -:04732E00000000005B -:04732F00000000005A -:047330000000000059 -:047331000000000058 -:047332000000000057 -:047333000000000056 -:047334000000000055 -:047335000000000054 -:047336000000000053 -:047337000000000052 -:047338000000000051 -:047339000000000050 -:04733A00000000004F -:04733B00000000004E -:04733C00000000004D -:04733D00000000004C -:04733E00000000004B -:04733F00000000004A -:047340000000000049 -:047341000000000048 -:047342000000000047 -:047343000000000046 -:047344000000000045 -:047345000000000044 -:047346000000000043 -:047347000000000042 -:047348000000000041 -:047349000000000040 -:04734A00000000003F -:04734B00000000003E -:04734C00000000003D -:04734D00000000003C -:04734E00000000003B -:04734F00000000003A -:047350000000000039 -:047351000000000038 -:047352000000000037 -:047353000000000036 -:047354000000000035 -:047355000000000034 -:047356000000000033 -:047357000000000032 -:047358000000000031 -:047359000000000030 -:04735A00000000002F -:04735B00000000002E -:04735C00000000002D -:04735D00000000002C -:04735E00000000002B -:04735F00000000002A -:047360000000000029 -:047361000000000028 -:047362000000000027 -:047363000000000026 -:047364000000000025 -:047365000000000024 -:047366000000000023 -:047367000000000022 -:047368000000000021 -:047369000000000020 -:04736A00000000001F -:04736B00000000001E -:04736C00000000001D -:04736D00000000001C -:04736E00000000001B -:04736F00000000001A -:047370000000000019 -:047371000000000018 -:047372000000000017 -:047373000000000016 -:047374000000000015 -:047375000000000014 -:047376000000000013 -:047377000000000012 -:047378000000000011 -:047379000000000010 -:04737A00000000000F -:04737B00000000000E -:04737C00000000000D -:04737D00000000000C -:04737E00000000000B -:04737F00000000000A -:047380000000000009 -:047381000000000008 -:047382000000000007 -:047383000000000006 -:047384000000000005 -:047385000000000004 -:047386000000000003 -:047387000000000002 -:047388000000000001 -:047389000000000000 -:04738A0000000000FF -:04738B0000000000FE -:04738C0000000000FD -:04738D0000000000FC -:04738E0000000000FB -:04738F0000000000FA -:0473900000000000F9 -:0473910000000000F8 -:0473920000000000F7 -:0473930000000000F6 -:0473940000000000F5 -:0473950000000000F4 -:0473960000000000F3 -:0473970000000000F2 -:0473980000000000F1 -:0473990000000000F0 -:04739A0000000000EF -:04739B0000000000EE -:04739C0000000000ED -:04739D0000000000EC -:04739E0000000000EB -:04739F0000000000EA -:0473A00000000000E9 -:0473A10000000000E8 -:0473A20000000000E7 -:0473A30000000000E6 -:0473A40000000000E5 -:0473A50000000000E4 -:0473A60000000000E3 -:0473A70000000000E2 -:0473A80000000000E1 -:0473A90000000000E0 -:0473AA0000000000DF -:0473AB0000000000DE -:0473AC0000000000DD -:0473AD0000000000DC -:0473AE0000000000DB -:0473AF0000000000DA -:0473B00000000000D9 -:0473B10000000000D8 -:0473B20000000000D7 -:0473B30000000000D6 -:0473B40000000000D5 -:0473B50000000000D4 -:0473B60000000000D3 -:0473B70000000000D2 -:0473B80000000000D1 -:0473B90000000000D0 -:0473BA0000000000CF -:0473BB0000000000CE -:0473BC0000000000CD -:0473BD0000000000CC -:0473BE0000000000CB -:0473BF0000000000CA -:0473C00000000000C9 -:0473C10000000000C8 -:0473C20000000000C7 -:0473C30000000000C6 -:0473C40000000000C5 -:0473C50000000000C4 -:0473C60000000000C3 -:0473C70000000000C2 -:0473C80000000000C1 -:0473C90000000000C0 -:0473CA0000000000BF -:0473CB0000000000BE -:0473CC0000000000BD -:0473CD0000000000BC -:0473CE0000000000BB -:0473CF0000000000BA -:0473D00000000000B9 -:0473D10000000000B8 -:0473D20000000000B7 -:0473D30000000000B6 -:0473D40000000000B5 -:0473D50000000000B4 -:0473D60000000000B3 -:0473D70000000000B2 -:0473D80000000000B1 -:0473D90000000000B0 -:0473DA0000000000AF -:0473DB0000000000AE -:0473DC0000000000AD -:0473DD0000000000AC -:0473DE0000000000AB -:0473DF0000000000AA -:0473E00000000000A9 -:0473E10000000000A8 -:0473E20000000000A7 -:0473E30000000000A6 -:0473E40000000000A5 -:0473E50000000000A4 -:0473E60000000000A3 -:0473E70000000000A2 -:0473E80000000000A1 -:0473E90000000000A0 -:0473EA00000000009F -:0473EB00000000009E -:0473EC00000000009D -:0473ED00000000009C -:0473EE00000000009B -:0473EF00000000009A -:0473F0000000000099 -:0473F1000000000098 -:0473F2000000000097 -:0473F3000000000096 -:0473F4000000000095 -:0473F5000000000094 -:0473F6000000000093 -:0473F7000000000092 -:0473F8000000000091 -:0473F9000000000090 -:0473FA00000000008F -:0473FB00000000008E -:0473FC00000000008D -:0473FD00000000008C -:0473FE00000000008B -:0473FF00000000008A -:047400000000000088 -:047401000000000087 -:047402000000000086 -:047403000000000085 -:047404000000000084 -:047405000000000083 -:047406000000000082 -:047407000000000081 -:047408000000000080 -:04740900000000007F -:04740A00000000007E -:04740B00000000007D -:04740C00000000007C -:04740D00000000007B -:04740E00000000007A -:04740F000000000079 -:047410000000000078 -:047411000000000077 -:047412000000000076 -:047413000000000075 -:047414000000000074 -:047415000000000073 -:047416000000000072 -:047417000000000071 -:047418000000000070 -:04741900000000006F -:04741A00000000006E -:04741B00000000006D -:04741C00000000006C -:04741D00000000006B -:04741E00000000006A -:04741F000000000069 -:047420000000000068 -:047421000000000067 -:047422000000000066 -:047423000000000065 -:047424000000000064 -:047425000000000063 -:047426000000000062 -:047427000000000061 -:047428000000000060 -:04742900000000005F -:04742A00000000005E -:04742B00000000005D -:04742C00000000005C -:04742D00000000005B -:04742E00000000005A -:04742F000000000059 -:047430000000000058 -:047431000000000057 -:047432000000000056 -:047433000000000055 -:047434000000000054 -:047435000000000053 -:047436000000000052 -:047437000000000051 -:047438000000000050 -:04743900000000004F -:04743A00000000004E -:04743B00000000004D -:04743C00000000004C -:04743D00000000004B -:04743E00000000004A -:04743F000000000049 -:047440000000000048 -:047441000000000047 -:047442000000000046 -:047443000000000045 -:047444000000000044 -:047445000000000043 -:047446000000000042 -:047447000000000041 -:047448000000000040 -:04744900000000003F -:04744A00000000003E -:04744B00000000003D -:04744C00000000003C -:04744D00000000003B -:04744E00000000003A -:04744F000000000039 -:047450000000000038 -:047451000000000037 -:047452000000000036 -:047453000000000035 -:047454000000000034 -:047455000000000033 -:047456000000000032 -:047457000000000031 -:047458000000000030 -:04745900000000002F -:04745A00000000002E -:04745B00000000002D -:04745C00000000002C -:04745D00000000002B -:04745E00000000002A -:04745F000000000029 -:047460000000000028 -:047461000000000027 -:047462000000000026 -:047463000000000025 -:047464000000000024 -:047465000000000023 -:047466000000000022 -:047467000000000021 -:047468000000000020 -:04746900000000001F -:04746A00000000001E -:04746B00000000001D -:04746C00000000001C -:04746D00000000001B -:04746E00000000001A -:04746F000000000019 -:047470000000000018 -:047471000000000017 -:047472000000000016 -:047473000000000015 -:047474000000000014 -:047475000000000013 -:047476000000000012 -:047477000000000011 -:047478000000000010 -:04747900000000000F -:04747A00000000000E -:04747B00000000000D -:04747C00000000000C -:04747D00000000000B -:04747E00000000000A -:04747F000000000009 -:047480000000000008 -:047481000000000007 -:047482000000000006 -:047483000000000005 -:047484000000000004 -:047485000000000003 -:047486000000000002 -:047487000000000001 -:047488000000000000 -:0474890000000000FF -:04748A0000000000FE -:04748B0000000000FD -:04748C0000000000FC -:04748D0000000000FB -:04748E0000000000FA -:04748F0000000000F9 -:0474900000000000F8 -:0474910000000000F7 -:0474920000000000F6 -:0474930000000000F5 -:0474940000000000F4 -:0474950000000000F3 -:0474960000000000F2 -:0474970000000000F1 -:0474980000000000F0 -:0474990000000000EF -:04749A0000000000EE -:04749B0000000000ED -:04749C0000000000EC -:04749D0000000000EB -:04749E0000000000EA -:04749F0000000000E9 -:0474A00000000000E8 -:0474A10000000000E7 -:0474A20000000000E6 -:0474A30000000000E5 -:0474A40000000000E4 -:0474A50000000000E3 -:0474A60000000000E2 -:0474A70000000000E1 -:0474A80000000000E0 -:0474A90000000000DF -:0474AA0000000000DE -:0474AB0000000000DD -:0474AC0000000000DC -:0474AD0000000000DB -:0474AE0000000000DA -:0474AF0000000000D9 -:0474B00000000000D8 -:0474B10000000000D7 -:0474B20000000000D6 -:0474B30000000000D5 -:0474B40000000000D4 -:0474B50000000000D3 -:0474B60000000000D2 -:0474B70000000000D1 -:0474B80000000000D0 -:0474B90000000000CF -:0474BA0000000000CE -:0474BB0000000000CD -:0474BC0000000000CC -:0474BD0000000000CB -:0474BE0000000000CA -:0474BF0000000000C9 -:0474C00000000000C8 -:0474C10000000000C7 -:0474C20000000000C6 -:0474C30000000000C5 -:0474C40000000000C4 -:0474C50000000000C3 -:0474C60000000000C2 -:0474C70000000000C1 -:0474C80000000000C0 -:0474C90000000000BF -:0474CA0000000000BE -:0474CB0000000000BD -:0474CC0000000000BC -:0474CD0000000000BB -:0474CE0000000000BA -:0474CF0000000000B9 -:0474D00000000000B8 -:0474D10000000000B7 -:0474D20000000000B6 -:0474D30000000000B5 -:0474D40000000000B4 -:0474D50000000000B3 -:0474D60000000000B2 -:0474D70000000000B1 -:0474D80000000000B0 -:0474D90000000000AF -:0474DA0000000000AE -:0474DB0000000000AD -:0474DC0000000000AC -:0474DD0000000000AB -:0474DE0000000000AA -:0474DF0000000000A9 -:0474E00000000000A8 -:0474E10000000000A7 -:0474E20000000000A6 -:0474E30000000000A5 -:0474E40000000000A4 -:0474E50000000000A3 -:0474E60000000000A2 -:0474E70000000000A1 -:0474E80000000000A0 -:0474E900000000009F -:0474EA00000000009E -:0474EB00000000009D -:0474EC00000000009C -:0474ED00000000009B -:0474EE00000000009A -:0474EF000000000099 -:0474F0000000000098 -:0474F1000000000097 -:0474F2000000000096 -:0474F3000000000095 -:0474F4000000000094 -:0474F5000000000093 -:0474F6000000000092 -:0474F7000000000091 -:0474F8000000000090 -:0474F900000000008F -:0474FA00000000008E -:0474FB00000000008D -:0474FC00000000008C -:0474FD00000000008B -:0474FE00000000008A -:0474FF000000000089 -:047500000000000087 -:047501000000000086 -:047502000000000085 -:047503000000000084 -:047504000000000083 -:047505000000000082 -:047506000000000081 -:047507000000000080 -:04750800000000007F -:04750900000000007E -:04750A00000000007D -:04750B00000000007C -:04750C00000000007B -:04750D00000000007A -:04750E000000000079 -:04750F000000000078 -:047510000000000077 -:047511000000000076 -:047512000000000075 -:047513000000000074 -:047514000000000073 -:047515000000000072 -:047516000000000071 -:047517000000000070 -:04751800000000006F -:04751900000000006E -:04751A00000000006D -:04751B00000000006C -:04751C00000000006B -:04751D00000000006A -:04751E000000000069 -:04751F000000000068 -:047520000000000067 -:047521000000000066 -:047522000000000065 -:047523000000000064 -:047524000000000063 -:047525000000000062 -:047526000000000061 -:047527000000000060 -:04752800000000005F -:04752900000000005E -:04752A00000000005D -:04752B00000000005C -:04752C00000000005B -:04752D00000000005A -:04752E000000000059 -:04752F000000000058 -:047530000000000057 -:047531000000000056 -:047532000000000055 -:047533000000000054 -:047534000000000053 -:047535000000000052 -:047536000000000051 -:047537000000000050 -:04753800000000004F -:04753900000000004E -:04753A00000000004D -:04753B00000000004C -:04753C00000000004B -:04753D00000000004A -:04753E000000000049 -:04753F000000000048 -:047540000000000047 -:047541000000000046 -:047542000000000045 -:047543000000000044 -:047544000000000043 -:047545000000000042 -:047546000000000041 -:047547000000000040 -:04754800000000003F -:04754900000000003E -:04754A00000000003D -:04754B00000000003C -:04754C00000000003B -:04754D00000000003A -:04754E000000000039 -:04754F000000000038 -:047550000000000037 -:047551000000000036 -:047552000000000035 -:047553000000000034 -:047554000000000033 -:047555000000000032 -:047556000000000031 -:047557000000000030 -:04755800000000002F -:04755900000000002E -:04755A00000000002D -:04755B00000000002C -:04755C00000000002B -:04755D00000000002A -:04755E000000000029 -:04755F000000000028 -:047560000000000027 -:047561000000000026 -:047562000000000025 -:047563000000000024 -:047564000000000023 -:047565000000000022 -:047566000000000021 -:047567000000000020 -:04756800000000001F -:04756900000000001E -:04756A00000000001D -:04756B00000000001C -:04756C00000000001B -:04756D00000000001A -:04756E000000000019 -:04756F000000000018 -:047570000000000017 -:047571000000000016 -:047572000000000015 -:047573000000000014 -:047574000000000013 -:047575000000000012 -:047576000000000011 -:047577000000000010 -:04757800000000000F -:04757900000000000E -:04757A00000000000D -:04757B00000000000C -:04757C00000000000B -:04757D00000000000A -:04757E000000000009 -:04757F000000000008 -:047580000000000007 -:047581000000000006 -:047582000000000005 -:047583000000000004 -:047584000000000003 -:047585000000000002 -:047586000000000001 -:047587000000000000 -:0475880000000000FF -:0475890000000000FE -:04758A0000000000FD -:04758B0000000000FC -:04758C0000000000FB -:04758D0000000000FA -:04758E0000000000F9 -:04758F0000000000F8 -:0475900000000000F7 -:0475910000000000F6 -:0475920000000000F5 -:0475930000000000F4 -:0475940000000000F3 -:0475950000000000F2 -:0475960000000000F1 -:0475970000000000F0 -:0475980000000000EF -:0475990000000000EE -:04759A0000000000ED -:04759B0000000000EC -:04759C0000000000EB -:04759D0000000000EA -:04759E0000000000E9 -:04759F0000000000E8 -:0475A00000000000E7 -:0475A10000000000E6 -:0475A20000000000E5 -:0475A30000000000E4 -:0475A40000000000E3 -:0475A50000000000E2 -:0475A60000000000E1 -:0475A70000000000E0 -:0475A80000000000DF -:0475A90000000000DE -:0475AA0000000000DD -:0475AB0000000000DC -:0475AC0000000000DB -:0475AD0000000000DA -:0475AE0000000000D9 -:0475AF0000000000D8 -:0475B00000000000D7 -:0475B10000000000D6 -:0475B20000000000D5 -:0475B30000000000D4 -:0475B40000000000D3 -:0475B50000000000D2 -:0475B60000000000D1 -:0475B70000000000D0 -:0475B80000000000CF -:0475B90000000000CE -:0475BA0000000000CD -:0475BB0000000000CC -:0475BC0000000000CB -:0475BD0000000000CA -:0475BE0000000000C9 -:0475BF0000000000C8 -:0475C00000000000C7 -:0475C10000000000C6 -:0475C20000000000C5 -:0475C30000000000C4 -:0475C40000000000C3 -:0475C50000000000C2 -:0475C60000000000C1 -:0475C70000000000C0 -:0475C80000000000BF -:0475C90000000000BE -:0475CA0000000000BD -:0475CB0000000000BC -:0475CC0000000000BB -:0475CD0000000000BA -:0475CE0000000000B9 -:0475CF0000000000B8 -:0475D00000000000B7 -:0475D10000000000B6 -:0475D20000000000B5 -:0475D30000000000B4 -:0475D40000000000B3 -:0475D50000000000B2 -:0475D60000000000B1 -:0475D70000000000B0 -:0475D80000000000AF -:0475D90000000000AE -:0475DA0000000000AD -:0475DB0000000000AC -:0475DC0000000000AB -:0475DD0000000000AA -:0475DE0000000000A9 -:0475DF0000000000A8 -:0475E00000000000A7 -:0475E10000000000A6 -:0475E20000000000A5 -:0475E30000000000A4 -:0475E40000000000A3 -:0475E50000000000A2 -:0475E60000000000A1 -:0475E70000000000A0 -:0475E800000000009F -:0475E900000000009E -:0475EA00000000009D -:0475EB00000000009C -:0475EC00000000009B -:0475ED00000000009A -:0475EE000000000099 -:0475EF000000000098 -:0475F0000000000097 -:0475F1000000000096 -:0475F2000000000095 -:0475F3000000000094 -:0475F4000000000093 -:0475F5000000000092 -:0475F6000000000091 -:0475F7000000000090 -:0475F800000000008F -:0475F900000000008E -:0475FA00000000008D -:0475FB00000000008C -:0475FC00000000008B -:0475FD00000000008A -:0475FE000000000089 -:0475FF000000000088 -:047600000000000086 -:047601000000000085 -:047602000000000084 -:047603000000000083 -:047604000000000082 -:047605000000000081 -:047606000000000080 -:04760700000000007F -:04760800000000007E -:04760900000000007D -:04760A00000000007C -:04760B00000000007B -:04760C00000000007A -:04760D000000000079 -:04760E000000000078 -:04760F000000000077 -:047610000000000076 -:047611000000000075 -:047612000000000074 -:047613000000000073 -:047614000000000072 -:047615000000000071 -:047616000000000070 -:04761700000000006F -:04761800000000006E -:04761900000000006D -:04761A00000000006C -:04761B00000000006B -:04761C00000000006A -:04761D000000000069 -:04761E000000000068 -:04761F000000000067 -:047620000000000066 -:047621000000000065 -:047622000000000064 -:047623000000000063 -:047624000000000062 -:047625000000000061 -:047626000000000060 -:04762700000000005F -:04762800000000005E -:04762900000000005D -:04762A00000000005C -:04762B00000000005B -:04762C00000000005A -:04762D000000000059 -:04762E000000000058 -:04762F000000000057 -:047630000000000056 -:047631000000000055 -:047632000000000054 -:047633000000000053 -:047634000000000052 -:047635000000000051 -:047636000000000050 -:04763700000000004F -:04763800000000004E -:04763900000000004D -:04763A00000000004C -:04763B00000000004B -:04763C00000000004A -:04763D000000000049 -:04763E000000000048 -:04763F000000000047 -:047640000000000046 -:047641000000000045 -:047642000000000044 -:047643000000000043 -:047644000000000042 -:047645000000000041 -:047646000000000040 -:04764700000000003F -:04764800000000003E -:04764900000000003D -:04764A00000000003C -:04764B00000000003B -:04764C00000000003A -:04764D000000000039 -:04764E000000000038 -:04764F000000000037 -:047650000000000036 -:047651000000000035 -:047652000000000034 -:047653000000000033 -:047654000000000032 -:047655000000000031 -:047656000000000030 -:04765700000000002F -:04765800000000002E -:04765900000000002D -:04765A00000000002C -:04765B00000000002B -:04765C00000000002A -:04765D000000000029 -:04765E000000000028 -:04765F000000000027 -:047660000000000026 -:047661000000000025 -:047662000000000024 -:047663000000000023 -:047664000000000022 -:047665000000000021 -:047666000000000020 -:04766700000000001F -:04766800000000001E -:04766900000000001D -:04766A00000000001C -:04766B00000000001B -:04766C00000000001A -:04766D000000000019 -:04766E000000000018 -:04766F000000000017 -:047670000000000016 -:047671000000000015 -:047672000000000014 -:047673000000000013 -:047674000000000012 -:047675000000000011 -:047676000000000010 -:04767700000000000F -:04767800000000000E -:04767900000000000D -:04767A00000000000C -:04767B00000000000B -:04767C00000000000A -:04767D000000000009 -:04767E000000000008 -:04767F000000000007 -:047680000000000006 -:047681000000000005 -:047682000000000004 -:047683000000000003 -:047684000000000002 -:047685000000000001 -:047686000000000000 -:0476870000000000FF -:0476880000000000FE -:0476890000000000FD -:04768A0000000000FC -:04768B0000000000FB -:04768C0000000000FA -:04768D0000000000F9 -:04768E0000000000F8 -:04768F0000000000F7 -:0476900000000000F6 -:0476910000000000F5 -:0476920000000000F4 -:0476930000000000F3 -:0476940000000000F2 -:0476950000000000F1 -:0476960000000000F0 -:0476970000000000EF -:0476980000000000EE -:0476990000000000ED -:04769A0000000000EC -:04769B0000000000EB -:04769C0000000000EA -:04769D0000000000E9 -:04769E0000000000E8 -:04769F0000000000E7 -:0476A00000000000E6 -:0476A10000000000E5 -:0476A20000000000E4 -:0476A30000000000E3 -:0476A40000000000E2 -:0476A50000000000E1 -:0476A60000000000E0 -:0476A70000000000DF -:0476A80000000000DE -:0476A90000000000DD -:0476AA0000000000DC -:0476AB0000000000DB -:0476AC0000000000DA -:0476AD0000000000D9 -:0476AE0000000000D8 -:0476AF0000000000D7 -:0476B00000000000D6 -:0476B10000000000D5 -:0476B20000000000D4 -:0476B30000000000D3 -:0476B40000000000D2 -:0476B50000000000D1 -:0476B60000000000D0 -:0476B70000000000CF -:0476B80000000000CE -:0476B90000000000CD -:0476BA0000000000CC -:0476BB0000000000CB -:0476BC0000000000CA -:0476BD0000000000C9 -:0476BE0000000000C8 -:0476BF0000000000C7 -:0476C00000000000C6 -:0476C10000000000C5 -:0476C20000000000C4 -:0476C30000000000C3 -:0476C40000000000C2 -:0476C50000000000C1 -:0476C60000000000C0 -:0476C70000000000BF -:0476C80000000000BE -:0476C90000000000BD -:0476CA0000000000BC -:0476CB0000000000BB -:0476CC0000000000BA -:0476CD0000000000B9 -:0476CE0000000000B8 -:0476CF0000000000B7 -:0476D00000000000B6 -:0476D10000000000B5 -:0476D20000000000B4 -:0476D30000000000B3 -:0476D40000000000B2 -:0476D50000000000B1 -:0476D60000000000B0 -:0476D70000000000AF -:0476D80000000000AE -:0476D90000000000AD -:0476DA0000000000AC -:0476DB0000000000AB -:0476DC0000000000AA -:0476DD0000000000A9 -:0476DE0000000000A8 -:0476DF0000000000A7 -:0476E00000000000A6 -:0476E10000000000A5 -:0476E20000000000A4 -:0476E30000000000A3 -:0476E40000000000A2 -:0476E50000000000A1 -:0476E60000000000A0 -:0476E700000000009F -:0476E800000000009E -:0476E900000000009D -:0476EA00000000009C -:0476EB00000000009B -:0476EC00000000009A -:0476ED000000000099 -:0476EE000000000098 -:0476EF000000000097 -:0476F0000000000096 -:0476F1000000000095 -:0476F2000000000094 -:0476F3000000000093 -:0476F4000000000092 -:0476F5000000000091 -:0476F6000000000090 -:0476F700000000008F -:0476F800000000008E -:0476F900000000008D -:0476FA00000000008C -:0476FB00000000008B -:0476FC00000000008A -:0476FD000000000089 -:0476FE000000000088 -:0476FF000000000087 -:047700000000000085 -:047701000000000084 -:047702000000000083 -:047703000000000082 -:047704000000000081 -:047705000000000080 -:04770600000000007F -:04770700000000007E -:04770800000000007D -:04770900000000007C -:04770A00000000007B -:04770B00000000007A -:04770C000000000079 -:04770D000000000078 -:04770E000000000077 -:04770F000000000076 -:047710000000000075 -:047711000000000074 -:047712000000000073 -:047713000000000072 -:047714000000000071 -:047715000000000070 -:04771600000000006F -:04771700000000006E -:04771800000000006D -:04771900000000006C -:04771A00000000006B -:04771B00000000006A -:04771C000000000069 -:04771D000000000068 -:04771E000000000067 -:04771F000000000066 -:047720000000000065 -:047721000000000064 -:047722000000000063 -:047723000000000062 -:047724000000000061 -:047725000000000060 -:04772600000000005F -:04772700000000005E -:04772800000000005D -:04772900000000005C -:04772A00000000005B -:04772B00000000005A -:04772C000000000059 -:04772D000000000058 -:04772E000000000057 -:04772F000000000056 -:047730000000000055 -:047731000000000054 -:047732000000000053 -:047733000000000052 -:047734000000000051 -:047735000000000050 -:04773600000000004F -:04773700000000004E -:04773800000000004D -:04773900000000004C -:04773A00000000004B -:04773B00000000004A -:04773C000000000049 -:04773D000000000048 -:04773E000000000047 -:04773F000000000046 -:047740000000000045 -:047741000000000044 -:047742000000000043 -:047743000000000042 -:047744000000000041 -:047745000000000040 -:04774600000000003F -:04774700000000003E -:04774800000000003D -:04774900000000003C -:04774A00000000003B -:04774B00000000003A -:04774C000000000039 -:04774D000000000038 -:04774E000000000037 -:04774F000000000036 -:047750000000000035 -:047751000000000034 -:047752000000000033 -:047753000000000032 -:047754000000000031 -:047755000000000030 -:04775600000000002F -:04775700000000002E -:04775800000000002D -:04775900000000002C -:04775A00000000002B -:04775B00000000002A -:04775C000000000029 -:04775D000000000028 -:04775E000000000027 -:04775F000000000026 -:047760000000000025 -:047761000000000024 -:047762000000000023 -:047763000000000022 -:047764000000000021 -:047765000000000020 -:04776600000000001F -:04776700000000001E -:04776800000000001D -:04776900000000001C -:04776A00000000001B -:04776B00000000001A -:04776C000000000019 -:04776D000000000018 -:04776E000000000017 -:04776F000000000016 -:047770000000000015 -:047771000000000014 -:047772000000000013 -:047773000000000012 -:047774000000000011 -:047775000000000010 -:04777600000000000F -:04777700000000000E -:04777800000000000D -:04777900000000000C -:04777A00000000000B -:04777B00000000000A -:04777C000000000009 -:04777D000000000008 -:04777E000000000007 -:04777F000000000006 -:047780000000000005 -:047781000000000004 -:047782000000000003 -:047783000000000002 -:047784000000000001 -:047785000000000000 -:0477860000000000FF -:0477870000000000FE -:0477880000000000FD -:0477890000000000FC -:04778A0000000000FB -:04778B0000000000FA -:04778C0000000000F9 -:04778D0000000000F8 -:04778E0000000000F7 -:04778F0000000000F6 -:0477900000000000F5 -:0477910000000000F4 -:0477920000000000F3 -:0477930000000000F2 -:0477940000000000F1 -:0477950000000000F0 -:0477960000000000EF -:0477970000000000EE -:0477980000000000ED -:0477990000000000EC -:04779A0000000000EB -:04779B0000000000EA -:04779C0000000000E9 -:04779D0000000000E8 -:04779E0000000000E7 -:04779F0000000000E6 -:0477A00000000000E5 -:0477A10000000000E4 -:0477A20000000000E3 -:0477A30000000000E2 -:0477A40000000000E1 -:0477A50000000000E0 -:0477A60000000000DF -:0477A70000000000DE -:0477A80000000000DD -:0477A90000000000DC -:0477AA0000000000DB -:0477AB0000000000DA -:0477AC0000000000D9 -:0477AD0000000000D8 -:0477AE0000000000D7 -:0477AF0000000000D6 -:0477B00000000000D5 -:0477B10000000000D4 -:0477B20000000000D3 -:0477B30000000000D2 -:0477B40000000000D1 -:0477B50000000000D0 -:0477B60000000000CF -:0477B70000000000CE -:0477B80000000000CD -:0477B90000000000CC -:0477BA0000000000CB -:0477BB0000000000CA -:0477BC0000000000C9 -:0477BD0000000000C8 -:0477BE0000000000C7 -:0477BF0000000000C6 -:0477C00000000000C5 -:0477C10000000000C4 -:0477C20000000000C3 -:0477C30000000000C2 -:0477C40000000000C1 -:0477C50000000000C0 -:0477C60000000000BF -:0477C70000000000BE -:0477C80000000000BD -:0477C90000000000BC -:0477CA0000000000BB -:0477CB0000000000BA -:0477CC0000000000B9 -:0477CD0000000000B8 -:0477CE0000000000B7 -:0477CF0000000000B6 -:0477D00000000000B5 -:0477D10000000000B4 -:0477D20000000000B3 -:0477D30000000000B2 -:0477D40000000000B1 -:0477D50000000000B0 -:0477D60000000000AF -:0477D70000000000AE -:0477D80000000000AD -:0477D90000000000AC -:0477DA0000000000AB -:0477DB0000000000AA -:0477DC0000000000A9 -:0477DD0000000000A8 -:0477DE0000000000A7 -:0477DF0000000000A6 -:0477E00000000000A5 -:0477E10000000000A4 -:0477E20000000000A3 -:0477E30000000000A2 -:0477E40000000000A1 -:0477E50000000000A0 -:0477E600000000009F -:0477E700000000009E -:0477E800000000009D -:0477E900000000009C -:0477EA00000000009B -:0477EB00000000009A -:0477EC000000000099 -:0477ED000000000098 -:0477EE000000000097 -:0477EF000000000096 -:0477F0000000000095 -:0477F1000000000094 -:0477F2000000000093 -:0477F3000000000092 -:0477F4000000000091 -:0477F5000000000090 -:0477F600000000008F -:0477F700000000008E -:0477F800000000008D -:0477F900000000008C -:0477FA00000000008B -:0477FB00000000008A -:0477FC000000000089 -:0477FD000000000088 -:0477FE000000000087 -:0477FF000000000086 -:047800000000000084 -:047801000000000083 -:047802000000000082 -:047803000000000081 -:047804000000000080 -:04780500000000007F -:04780600000000007E -:04780700000000007D -:04780800000000007C -:04780900000000007B -:04780A00000000007A -:04780B000000000079 -:04780C000000000078 -:04780D000000000077 -:04780E000000000076 -:04780F000000000075 -:047810000000000074 -:047811000000000073 -:047812000000000072 -:047813000000000071 -:047814000000000070 -:04781500000000006F -:04781600000000006E -:04781700000000006D -:04781800000000006C -:04781900000000006B -:04781A00000000006A -:04781B000000000069 -:04781C000000000068 -:04781D000000000067 -:04781E000000000066 -:04781F000000000065 -:047820000000000064 -:047821000000000063 -:047822000000000062 -:047823000000000061 -:047824000000000060 -:04782500000000005F -:04782600000000005E -:04782700000000005D -:04782800000000005C -:04782900000000005B -:04782A00000000005A -:04782B000000000059 -:04782C000000000058 -:04782D000000000057 -:04782E000000000056 -:04782F000000000055 -:047830000000000054 -:047831000000000053 -:047832000000000052 -:047833000000000051 -:047834000000000050 -:04783500000000004F -:04783600000000004E -:04783700000000004D -:04783800000000004C -:04783900000000004B -:04783A00000000004A -:04783B000000000049 -:04783C000000000048 -:04783D000000000047 -:04783E000000000046 -:04783F000000000045 -:047840000000000044 -:047841000000000043 -:047842000000000042 -:047843000000000041 -:047844000000000040 -:04784500000000003F -:04784600000000003E -:04784700000000003D -:04784800000000003C -:04784900000000003B -:04784A00000000003A -:04784B000000000039 -:04784C000000000038 -:04784D000000000037 -:04784E000000000036 -:04784F000000000035 -:047850000000000034 -:047851000000000033 -:047852000000000032 -:047853000000000031 -:047854000000000030 -:04785500000000002F -:04785600000000002E -:04785700000000002D -:04785800000000002C -:04785900000000002B -:04785A00000000002A -:04785B000000000029 -:04785C000000000028 -:04785D000000000027 -:04785E000000000026 -:04785F000000000025 -:047860000000000024 -:047861000000000023 -:047862000000000022 -:047863000000000021 -:047864000000000020 -:04786500000000001F -:04786600000000001E -:04786700000000001D -:04786800000000001C -:04786900000000001B -:04786A00000000001A -:04786B000000000019 -:04786C000000000018 -:04786D000000000017 -:04786E000000000016 -:04786F000000000015 -:047870000000000014 -:047871000000000013 -:047872000000000012 -:047873000000000011 -:047874000000000010 -:04787500000000000F -:04787600000000000E -:04787700000000000D -:04787800000000000C -:04787900000000000B -:04787A00000000000A -:04787B000000000009 -:04787C000000000008 -:04787D000000000007 -:04787E000000000006 -:04787F000000000005 -:047880000000000004 -:047881000000000003 -:047882000000000002 -:047883000000000001 -:047884000000000000 -:0478850000000000FF -:0478860000000000FE -:0478870000000000FD -:0478880000000000FC -:0478890000000000FB -:04788A0000000000FA -:04788B0000000000F9 -:04788C0000000000F8 -:04788D0000000000F7 -:04788E0000000000F6 -:04788F0000000000F5 -:0478900000000000F4 -:0478910000000000F3 -:0478920000000000F2 -:0478930000000000F1 -:0478940000000000F0 -:0478950000000000EF -:0478960000000000EE -:0478970000000000ED -:0478980000000000EC -:0478990000000000EB -:04789A0000000000EA -:04789B0000000000E9 -:04789C0000000000E8 -:04789D0000000000E7 -:04789E0000000000E6 -:04789F0000000000E5 -:0478A00000000000E4 -:0478A10000000000E3 -:0478A20000000000E2 -:0478A30000000000E1 -:0478A40000000000E0 -:0478A50000000000DF -:0478A60000000000DE -:0478A70000000000DD -:0478A80000000000DC -:0478A90000000000DB -:0478AA0000000000DA -:0478AB0000000000D9 -:0478AC0000000000D8 -:0478AD0000000000D7 -:0478AE0000000000D6 -:0478AF0000000000D5 -:0478B00000000000D4 -:0478B10000000000D3 -:0478B20000000000D2 -:0478B30000000000D1 -:0478B40000000000D0 -:0478B50000000000CF -:0478B60000000000CE -:0478B70000000000CD -:0478B80000000000CC -:0478B90000000000CB -:0478BA0000000000CA -:0478BB0000000000C9 -:0478BC0000000000C8 -:0478BD0000000000C7 -:0478BE0000000000C6 -:0478BF0000000000C5 -:0478C00000000000C4 -:0478C10000000000C3 -:0478C20000000000C2 -:0478C30000000000C1 -:0478C40000000000C0 -:0478C50000000000BF -:0478C60000000000BE -:0478C70000000000BD -:0478C80000000000BC -:0478C90000000000BB -:0478CA0000000000BA -:0478CB0000000000B9 -:0478CC0000000000B8 -:0478CD0000000000B7 -:0478CE0000000000B6 -:0478CF0000000000B5 -:0478D00000000000B4 -:0478D10000000000B3 -:0478D20000000000B2 -:0478D30000000000B1 -:0478D40000000000B0 -:0478D50000000000AF -:0478D60000000000AE -:0478D70000000000AD -:0478D80000000000AC -:0478D90000000000AB -:0478DA0000000000AA -:0478DB0000000000A9 -:0478DC0000000000A8 -:0478DD0000000000A7 -:0478DE0000000000A6 -:0478DF0000000000A5 -:0478E00000000000A4 -:0478E10000000000A3 -:0478E20000000000A2 -:0478E30000000000A1 -:0478E40000000000A0 -:0478E500000000009F -:0478E600000000009E -:0478E700000000009D -:0478E800000000009C -:0478E900000000009B -:0478EA00000000009A -:0478EB000000000099 -:0478EC000000000098 -:0478ED000000000097 -:0478EE000000000096 -:0478EF000000000095 -:0478F0000000000094 -:0478F1000000000093 -:0478F2000000000092 -:0478F3000000000091 -:0478F4000000000090 -:0478F500000000008F -:0478F600000000008E -:0478F700000000008D -:0478F800000000008C -:0478F900000000008B -:0478FA00000000008A -:0478FB000000000089 -:0478FC000000000088 -:0478FD000000000087 -:0478FE000000000086 -:0478FF000000000085 -:047900000000000083 -:047901000000000082 -:047902000000000081 -:047903000000000080 -:04790400000000007F -:04790500000000007E -:04790600000000007D -:04790700000000007C -:04790800000000007B -:04790900000000007A -:04790A000000000079 -:04790B000000000078 -:04790C000000000077 -:04790D000000000076 -:04790E000000000075 -:04790F000000000074 -:047910000000000073 -:047911000000000072 -:047912000000000071 -:047913000000000070 -:04791400000000006F -:04791500000000006E -:04791600000000006D -:04791700000000006C -:04791800000000006B -:04791900000000006A -:04791A000000000069 -:04791B000000000068 -:04791C000000000067 -:04791D000000000066 -:04791E000000000065 -:04791F000000000064 -:047920000000000063 -:047921000000000062 -:047922000000000061 -:047923000000000060 -:04792400000000005F -:04792500000000005E -:04792600000000005D -:04792700000000005C -:04792800000000005B -:04792900000000005A -:04792A000000000059 -:04792B000000000058 -:04792C000000000057 -:04792D000000000056 -:04792E000000000055 -:04792F000000000054 -:047930000000000053 -:047931000000000052 -:047932000000000051 -:047933000000000050 -:04793400000000004F -:04793500000000004E -:04793600000000004D -:04793700000000004C -:04793800000000004B -:04793900000000004A -:04793A000000000049 -:04793B000000000048 -:04793C000000000047 -:04793D000000000046 -:04793E000000000045 -:04793F000000000044 -:047940000000000043 -:047941000000000042 -:047942000000000041 -:047943000000000040 -:04794400000000003F -:04794500000000003E -:04794600000000003D -:04794700000000003C -:04794800000000003B -:04794900000000003A -:04794A000000000039 -:04794B000000000038 -:04794C000000000037 -:04794D000000000036 -:04794E000000000035 -:04794F000000000034 -:047950000000000033 -:047951000000000032 -:047952000000000031 -:047953000000000030 -:04795400000000002F -:04795500000000002E -:04795600000000002D -:04795700000000002C -:04795800000000002B -:04795900000000002A -:04795A000000000029 -:04795B000000000028 -:04795C000000000027 -:04795D000000000026 -:04795E000000000025 -:04795F000000000024 -:047960000000000023 -:047961000000000022 -:047962000000000021 -:047963000000000020 -:04796400000000001F -:04796500000000001E -:04796600000000001D -:04796700000000001C -:04796800000000001B -:04796900000000001A -:04796A000000000019 -:04796B000000000018 -:04796C000000000017 -:04796D000000000016 -:04796E000000000015 -:04796F000000000014 -:047970000000000013 -:047971000000000012 -:047972000000000011 -:047973000000000010 -:04797400000000000F -:04797500000000000E -:04797600000000000D -:04797700000000000C -:04797800000000000B -:04797900000000000A -:04797A000000000009 -:04797B000000000008 -:04797C000000000007 -:04797D000000000006 -:04797E000000000005 -:04797F000000000004 -:047980000000000003 -:047981000000000002 -:047982000000000001 -:047983000000000000 -:0479840000000000FF -:0479850000000000FE -:0479860000000000FD -:0479870000000000FC -:0479880000000000FB -:0479890000000000FA -:04798A0000000000F9 -:04798B0000000000F8 -:04798C0000000000F7 -:04798D0000000000F6 -:04798E0000000000F5 -:04798F0000000000F4 -:0479900000000000F3 -:0479910000000000F2 -:0479920000000000F1 -:0479930000000000F0 -:0479940000000000EF -:0479950000000000EE -:0479960000000000ED -:0479970000000000EC -:0479980000000000EB -:0479990000000000EA -:04799A0000000000E9 -:04799B0000000000E8 -:04799C0000000000E7 -:04799D0000000000E6 -:04799E0000000000E5 -:04799F0000000000E4 -:0479A00000000000E3 -:0479A10000000000E2 -:0479A20000000000E1 -:0479A30000000000E0 -:0479A40000000000DF -:0479A50000000000DE -:0479A60000000000DD -:0479A70000000000DC -:0479A80000000000DB -:0479A90000000000DA -:0479AA0000000000D9 -:0479AB0000000000D8 -:0479AC0000000000D7 -:0479AD0000000000D6 -:0479AE0000000000D5 -:0479AF0000000000D4 -:0479B00000000000D3 -:0479B10000000000D2 -:0479B20000000000D1 -:0479B30000000000D0 -:0479B40000000000CF -:0479B50000000000CE -:0479B60000000000CD -:0479B70000000000CC -:0479B80000000000CB -:0479B90000000000CA -:0479BA0000000000C9 -:0479BB0000000000C8 -:0479BC0000000000C7 -:0479BD0000000000C6 -:0479BE0000000000C5 -:0479BF0000000000C4 -:0479C00000000000C3 -:0479C10000000000C2 -:0479C20000000000C1 -:0479C30000000000C0 -:0479C40000000000BF -:0479C50000000000BE -:0479C60000000000BD -:0479C70000000000BC -:0479C80000000000BB -:0479C90000000000BA -:0479CA0000000000B9 -:0479CB0000000000B8 -:0479CC0000000000B7 -:0479CD0000000000B6 -:0479CE0000000000B5 -:0479CF0000000000B4 -:0479D00000000000B3 -:0479D10000000000B2 -:0479D20000000000B1 -:0479D30000000000B0 -:0479D40000000000AF -:0479D50000000000AE -:0479D60000000000AD -:0479D70000000000AC -:0479D80000000000AB -:0479D90000000000AA -:0479DA0000000000A9 -:0479DB0000000000A8 -:0479DC0000000000A7 -:0479DD0000000000A6 -:0479DE0000000000A5 -:0479DF0000000000A4 -:0479E00000000000A3 -:0479E10000000000A2 -:0479E20000000000A1 -:0479E30000000000A0 -:0479E400000000009F -:0479E500000000009E -:0479E600000000009D -:0479E700000000009C -:0479E800000000009B -:0479E900000000009A -:0479EA000000000099 -:0479EB000000000098 -:0479EC000000000097 -:0479ED000000000096 -:0479EE000000000095 -:0479EF000000000094 -:0479F0000000000093 -:0479F1000000000092 -:0479F2000000000091 -:0479F3000000000090 -:0479F400000000008F -:0479F500000000008E -:0479F600000000008D -:0479F700000000008C -:0479F800000000008B -:0479F900000000008A -:0479FA000000000089 -:0479FB000000000088 -:0479FC000000000087 -:0479FD000000000086 -:0479FE000000000085 -:0479FF000000000084 -:047A00000000000082 -:047A01000000000081 -:047A02000000000080 -:047A0300000000007F -:047A0400000000007E -:047A0500000000007D -:047A0600000000007C -:047A0700000000007B -:047A0800000000007A -:047A09000000000079 -:047A0A000000000078 -:047A0B000000000077 -:047A0C000000000076 -:047A0D000000000075 -:047A0E000000000074 -:047A0F000000000073 -:047A10000000000072 -:047A11000000000071 -:047A12000000000070 -:047A1300000000006F -:047A1400000000006E -:047A1500000000006D -:047A1600000000006C -:047A1700000000006B -:047A1800000000006A -:047A19000000000069 -:047A1A000000000068 -:047A1B000000000067 -:047A1C000000000066 -:047A1D000000000065 -:047A1E000000000064 -:047A1F000000000063 -:047A20000000000062 -:047A21000000000061 -:047A22000000000060 -:047A2300000000005F -:047A2400000000005E -:047A2500000000005D -:047A2600000000005C -:047A2700000000005B -:047A2800000000005A -:047A29000000000059 -:047A2A000000000058 -:047A2B000000000057 -:047A2C000000000056 -:047A2D000000000055 -:047A2E000000000054 -:047A2F000000000053 -:047A30000000000052 -:047A31000000000051 -:047A32000000000050 -:047A3300000000004F -:047A3400000000004E -:047A3500000000004D -:047A3600000000004C -:047A3700000000004B -:047A3800000000004A -:047A39000000000049 -:047A3A000000000048 -:047A3B000000000047 -:047A3C000000000046 -:047A3D000000000045 -:047A3E000000000044 -:047A3F000000000043 -:047A40000000000042 -:047A41000000000041 -:047A42000000000040 -:047A4300000000003F -:047A4400000000003E -:047A4500000000003D -:047A4600000000003C -:047A4700000000003B -:047A4800000000003A -:047A49000000000039 -:047A4A000000000038 -:047A4B000000000037 -:047A4C000000000036 -:047A4D000000000035 -:047A4E000000000034 -:047A4F000000000033 -:047A50000000000032 -:047A51000000000031 -:047A52000000000030 -:047A5300000000002F -:047A5400000000002E -:047A5500000000002D -:047A5600000000002C -:047A5700000000002B -:047A5800000000002A -:047A59000000000029 -:047A5A000000000028 -:047A5B000000000027 -:047A5C000000000026 -:047A5D000000000025 -:047A5E000000000024 -:047A5F000000000023 -:047A60000000000022 -:047A61000000000021 -:047A62000000000020 -:047A6300000000001F -:047A6400000000001E -:047A6500000000001D -:047A6600000000001C -:047A6700000000001B -:047A6800000000001A -:047A69000000000019 -:047A6A000000000018 -:047A6B000000000017 -:047A6C000000000016 -:047A6D000000000015 -:047A6E000000000014 -:047A6F000000000013 -:047A70000000000012 -:047A71000000000011 -:047A72000000000010 -:047A7300000000000F -:047A7400000000000E -:047A7500000000000D -:047A7600000000000C -:047A7700000000000B -:047A7800000000000A -:047A79000000000009 -:047A7A000000000008 -:047A7B000000000007 -:047A7C000000000006 -:047A7D000000000005 -:047A7E000000000004 -:047A7F000000000003 -:047A80000000000002 -:047A81000000000001 -:047A82000000000000 -:047A830000000000FF -:047A840000000000FE -:047A850000000000FD -:047A860000000000FC -:047A870000000000FB -:047A880000000000FA -:047A890000000000F9 -:047A8A0000000000F8 -:047A8B0000000000F7 -:047A8C0000000000F6 -:047A8D0000000000F5 -:047A8E0000000000F4 -:047A8F0000000000F3 -:047A900000000000F2 -:047A910000000000F1 -:047A920000000000F0 -:047A930000000000EF -:047A940000000000EE -:047A950000000000ED -:047A960000000000EC -:047A970000000000EB -:047A980000000000EA -:047A990000000000E9 -:047A9A0000000000E8 -:047A9B0000000000E7 -:047A9C0000000000E6 -:047A9D0000000000E5 -:047A9E0000000000E4 -:047A9F0000000000E3 -:047AA00000000000E2 -:047AA10000000000E1 -:047AA20000000000E0 -:047AA30000000000DF -:047AA40000000000DE -:047AA50000000000DD -:047AA60000000000DC -:047AA70000000000DB -:047AA80000000000DA -:047AA90000000000D9 -:047AAA0000000000D8 -:047AAB0000000000D7 -:047AAC0000000000D6 -:047AAD0000000000D5 -:047AAE0000000000D4 -:047AAF0000000000D3 -:047AB00000000000D2 -:047AB10000000000D1 -:047AB20000000000D0 -:047AB30000000000CF -:047AB40000000000CE -:047AB50000000000CD -:047AB60000000000CC -:047AB70000000000CB -:047AB80000000000CA -:047AB90000000000C9 -:047ABA0000000000C8 -:047ABB0000000000C7 -:047ABC0000000000C6 -:047ABD0000000000C5 -:047ABE0000000000C4 -:047ABF0000000000C3 -:047AC00000000000C2 -:047AC10000000000C1 -:047AC20000000000C0 -:047AC30000000000BF -:047AC40000000000BE -:047AC50000000000BD -:047AC60000000000BC -:047AC70000000000BB -:047AC80000000000BA -:047AC90000000000B9 -:047ACA0000000000B8 -:047ACB0000000000B7 -:047ACC0000000000B6 -:047ACD0000000000B5 -:047ACE0000000000B4 -:047ACF0000000000B3 -:047AD00000000000B2 -:047AD10000000000B1 -:047AD20000000000B0 -:047AD30000000000AF -:047AD40000000000AE -:047AD50000000000AD -:047AD60000000000AC -:047AD70000000000AB -:047AD80000000000AA -:047AD90000000000A9 -:047ADA0000000000A8 -:047ADB0000000000A7 -:047ADC0000000000A6 -:047ADD0000000000A5 -:047ADE0000000000A4 -:047ADF0000000000A3 -:047AE00000000000A2 -:047AE10000000000A1 -:047AE20000000000A0 -:047AE300000000009F -:047AE400000000009E -:047AE500000000009D -:047AE600000000009C -:047AE700000000009B -:047AE800000000009A -:047AE9000000000099 -:047AEA000000000098 -:047AEB000000000097 -:047AEC000000000096 -:047AED000000000095 -:047AEE000000000094 -:047AEF000000000093 -:047AF0000000000092 -:047AF1000000000091 -:047AF2000000000090 -:047AF300000000008F -:047AF400000000008E -:047AF500000000008D -:047AF600000000008C -:047AF700000000008B -:047AF800000000008A -:047AF9000000000089 -:047AFA000000000088 -:047AFB000000000087 -:047AFC000000000086 -:047AFD000000000085 -:047AFE000000000084 -:047AFF000000000083 -:047B00000000000081 -:047B01000000000080 -:047B0200000000007F -:047B0300000000007E -:047B0400000000007D -:047B0500000000007C -:047B0600000000007B -:047B0700000000007A -:047B08000000000079 -:047B09000000000078 -:047B0A000000000077 -:047B0B000000000076 -:047B0C000000000075 -:047B0D000000000074 -:047B0E000000000073 -:047B0F000000000072 -:047B10000000000071 -:047B11000000000070 -:047B1200000000006F -:047B1300000000006E -:047B1400000000006D -:047B1500000000006C -:047B1600000000006B -:047B1700000000006A -:047B18000000000069 -:047B19000000000068 -:047B1A000000000067 -:047B1B000000000066 -:047B1C000000000065 -:047B1D000000000064 -:047B1E000000000063 -:047B1F000000000062 -:047B20000000000061 -:047B21000000000060 -:047B2200000000005F -:047B2300000000005E -:047B2400000000005D -:047B2500000000005C -:047B2600000000005B -:047B2700000000005A -:047B28000000000059 -:047B29000000000058 -:047B2A000000000057 -:047B2B000000000056 -:047B2C000000000055 -:047B2D000000000054 -:047B2E000000000053 -:047B2F000000000052 -:047B30000000000051 -:047B31000000000050 -:047B3200000000004F -:047B3300000000004E -:047B3400000000004D -:047B3500000000004C -:047B3600000000004B -:047B3700000000004A -:047B38000000000049 -:047B39000000000048 -:047B3A000000000047 -:047B3B000000000046 -:047B3C000000000045 -:047B3D000000000044 -:047B3E000000000043 -:047B3F000000000042 -:047B40000000000041 -:047B41000000000040 -:047B4200000000003F -:047B4300000000003E -:047B4400000000003D -:047B4500000000003C -:047B4600000000003B -:047B4700000000003A -:047B48000000000039 -:047B49000000000038 -:047B4A000000000037 -:047B4B000000000036 -:047B4C000000000035 -:047B4D000000000034 -:047B4E000000000033 -:047B4F000000000032 -:047B50000000000031 -:047B51000000000030 -:047B5200000000002F -:047B5300000000002E -:047B5400000000002D -:047B5500000000002C -:047B5600000000002B -:047B5700000000002A -:047B58000000000029 -:047B59000000000028 -:047B5A000000000027 -:047B5B000000000026 -:047B5C000000000025 -:047B5D000000000024 -:047B5E000000000023 -:047B5F000000000022 -:047B60000000000021 -:047B61000000000020 -:047B6200000000001F -:047B6300000000001E -:047B6400000000001D -:047B6500000000001C -:047B6600000000001B -:047B6700000000001A -:047B68000000000019 -:047B69000000000018 -:047B6A000000000017 -:047B6B000000000016 -:047B6C000000000015 -:047B6D000000000014 -:047B6E000000000013 -:047B6F000000000012 -:047B70000000000011 -:047B71000000000010 -:047B7200000000000F -:047B7300000000000E -:047B7400000000000D -:047B7500000000000C -:047B7600000000000B -:047B7700000000000A -:047B78000000000009 -:047B79000000000008 -:047B7A000000000007 -:047B7B000000000006 -:047B7C000000000005 -:047B7D000000000004 -:047B7E000000000003 -:047B7F000000000002 -:047B80000000000001 -:047B81000000000000 -:047B820000000000FF -:047B830000000000FE -:047B840000000000FD -:047B850000000000FC -:047B860000000000FB -:047B870000000000FA -:047B880000000000F9 -:047B890000000000F8 -:047B8A0000000000F7 -:047B8B0000000000F6 -:047B8C0000000000F5 -:047B8D0000000000F4 -:047B8E0000000000F3 -:047B8F0000000000F2 -:047B900000000000F1 -:047B910000000000F0 -:047B920000000000EF -:047B930000000000EE -:047B940000000000ED -:047B950000000000EC -:047B960000000000EB -:047B970000000000EA -:047B980000000000E9 -:047B990000000000E8 -:047B9A0000000000E7 -:047B9B0000000000E6 -:047B9C0000000000E5 -:047B9D0000000000E4 -:047B9E0000000000E3 -:047B9F0000000000E2 -:047BA00000000000E1 -:047BA10000000000E0 -:047BA20000000000DF -:047BA30000000000DE -:047BA40000000000DD -:047BA50000000000DC -:047BA60000000000DB -:047BA70000000000DA -:047BA80000000000D9 -:047BA90000000000D8 -:047BAA0000000000D7 -:047BAB0000000000D6 -:047BAC0000000000D5 -:047BAD0000000000D4 -:047BAE0000000000D3 -:047BAF0000000000D2 -:047BB00000000000D1 -:047BB10000000000D0 -:047BB20000000000CF -:047BB30000000000CE -:047BB40000000000CD -:047BB50000000000CC -:047BB60000000000CB -:047BB70000000000CA -:047BB80000000000C9 -:047BB90000000000C8 -:047BBA0000000000C7 -:047BBB0000000000C6 -:047BBC0000000000C5 -:047BBD0000000000C4 -:047BBE0000000000C3 -:047BBF0000000000C2 -:047BC00000000000C1 -:047BC10000000000C0 -:047BC20000000000BF -:047BC30000000000BE -:047BC40000000000BD -:047BC50000000000BC -:047BC60000000000BB -:047BC70000000000BA -:047BC80000000000B9 -:047BC90000000000B8 -:047BCA0000000000B7 -:047BCB0000000000B6 -:047BCC0000000000B5 -:047BCD0000000000B4 -:047BCE0000000000B3 -:047BCF0000000000B2 -:047BD00000000000B1 -:047BD10000000000B0 -:047BD20000000000AF -:047BD30000000000AE -:047BD40000000000AD -:047BD50000000000AC -:047BD60000000000AB -:047BD70000000000AA -:047BD80000000000A9 -:047BD90000000000A8 -:047BDA0000000000A7 -:047BDB0000000000A6 -:047BDC0000000000A5 -:047BDD0000000000A4 -:047BDE0000000000A3 -:047BDF0000000000A2 -:047BE00000000000A1 -:047BE10000000000A0 -:047BE200000000009F -:047BE300000000009E -:047BE400000000009D -:047BE500000000009C -:047BE600000000009B -:047BE700000000009A -:047BE8000000000099 -:047BE9000000000098 -:047BEA000000000097 -:047BEB000000000096 -:047BEC000000000095 -:047BED000000000094 -:047BEE000000000093 -:047BEF000000000092 -:047BF0000000000091 -:047BF1000000000090 -:047BF200000000008F -:047BF300000000008E -:047BF400000000008D -:047BF500000000008C -:047BF600000000008B -:047BF700000000008A -:047BF8000000000089 -:047BF9000000000088 -:047BFA000000000087 -:047BFB000000000086 -:047BFC000000000085 -:047BFD000000000084 -:047BFE000000000083 -:047BFF000000000082 -:047C00000000000080 -:047C0100000000007F -:047C0200000000007E -:047C0300000000007D -:047C0400000000007C -:047C0500000000007B -:047C0600000000007A -:047C07000000000079 -:047C08000000000078 -:047C09000000000077 -:047C0A000000000076 -:047C0B000000000075 -:047C0C000000000074 -:047C0D000000000073 -:047C0E000000000072 -:047C0F000000000071 -:047C10000000000070 -:047C1100000000006F -:047C1200000000006E -:047C1300000000006D -:047C1400000000006C -:047C1500000000006B -:047C1600000000006A -:047C17000000000069 -:047C18000000000068 -:047C19000000000067 -:047C1A000000000066 -:047C1B000000000065 -:047C1C000000000064 -:047C1D000000000063 -:047C1E000000000062 -:047C1F000000000061 -:047C20000000000060 -:047C2100000000005F -:047C2200000000005E -:047C2300000000005D -:047C2400000000005C -:047C2500000000005B -:047C2600000000005A -:047C27000000000059 -:047C28000000000058 -:047C29000000000057 -:047C2A000000000056 -:047C2B000000000055 -:047C2C000000000054 -:047C2D000000000053 -:047C2E000000000052 -:047C2F000000000051 -:047C30000000000050 -:047C3100000000004F -:047C3200000000004E -:047C3300000000004D -:047C3400000000004C -:047C3500000000004B -:047C3600000000004A -:047C37000000000049 -:047C38000000000048 -:047C39000000000047 -:047C3A000000000046 -:047C3B000000000045 -:047C3C000000000044 -:047C3D000000000043 -:047C3E000000000042 -:047C3F000000000041 -:047C40000000000040 -:047C4100000000003F -:047C4200000000003E -:047C4300000000003D -:047C4400000000003C -:047C4500000000003B -:047C4600000000003A -:047C47000000000039 -:047C48000000000038 -:047C49000000000037 -:047C4A000000000036 -:047C4B000000000035 -:047C4C000000000034 -:047C4D000000000033 -:047C4E000000000032 -:047C4F000000000031 -:047C50000000000030 -:047C5100000000002F -:047C5200000000002E -:047C5300000000002D -:047C5400000000002C -:047C5500000000002B -:047C5600000000002A -:047C57000000000029 -:047C58000000000028 -:047C59000000000027 -:047C5A000000000026 -:047C5B000000000025 -:047C5C000000000024 -:047C5D000000000023 -:047C5E000000000022 -:047C5F000000000021 -:047C60000000000020 -:047C6100000000001F -:047C6200000000001E -:047C6300000000001D -:047C6400000000001C -:047C6500000000001B -:047C6600000000001A -:047C67000000000019 -:047C68000000000018 -:047C69000000000017 -:047C6A000000000016 -:047C6B000000000015 -:047C6C000000000014 -:047C6D000000000013 -:047C6E000000000012 -:047C6F000000000011 -:047C70000000000010 -:047C7100000000000F -:047C7200000000000E -:047C7300000000000D -:047C7400000000000C -:047C7500000000000B -:047C7600000000000A -:047C77000000000009 -:047C78000000000008 -:047C79000000000007 -:047C7A000000000006 -:047C7B000000000005 -:047C7C000000000004 -:047C7D000000000003 -:047C7E000000000002 -:047C7F000000000001 -:047C80000000000000 -:047C810000000000FF -:047C820000000000FE -:047C830000000000FD -:047C840000000000FC -:047C850000000000FB -:047C860000000000FA -:047C870000000000F9 -:047C880000000000F8 -:047C890000000000F7 -:047C8A0000000000F6 -:047C8B0000000000F5 -:047C8C0000000000F4 -:047C8D0000000000F3 -:047C8E0000000000F2 -:047C8F0000000000F1 -:047C900000000000F0 -:047C910000000000EF -:047C920000000000EE -:047C930000000000ED -:047C940000000000EC -:047C950000000000EB -:047C960000000000EA -:047C970000000000E9 -:047C980000000000E8 -:047C990000000000E7 -:047C9A0000000000E6 -:047C9B0000000000E5 -:047C9C0000000000E4 -:047C9D0000000000E3 -:047C9E0000000000E2 -:047C9F0000000000E1 -:047CA00000000000E0 -:047CA10000000000DF -:047CA20000000000DE -:047CA30000000000DD -:047CA40000000000DC -:047CA50000000000DB -:047CA60000000000DA -:047CA70000000000D9 -:047CA80000000000D8 -:047CA90000000000D7 -:047CAA0000000000D6 -:047CAB0000000000D5 -:047CAC0000000000D4 -:047CAD0000000000D3 -:047CAE0000000000D2 -:047CAF0000000000D1 -:047CB00000000000D0 -:047CB10000000000CF -:047CB20000000000CE -:047CB30000000000CD -:047CB40000000000CC -:047CB50000000000CB -:047CB60000000000CA -:047CB70000000000C9 -:047CB80000000000C8 -:047CB90000000000C7 -:047CBA0000000000C6 -:047CBB0000000000C5 -:047CBC0000000000C4 -:047CBD0000000000C3 -:047CBE0000000000C2 -:047CBF0000000000C1 -:047CC00000000000C0 -:047CC10000000000BF -:047CC20000000000BE -:047CC30000000000BD -:047CC40000000000BC -:047CC50000000000BB -:047CC60000000000BA -:047CC70000000000B9 -:047CC80000000000B8 -:047CC90000000000B7 -:047CCA0000000000B6 -:047CCB0000000000B5 -:047CCC0000000000B4 -:047CCD0000000000B3 -:047CCE0000000000B2 -:047CCF0000000000B1 -:047CD00000000000B0 -:047CD10000000000AF -:047CD20000000000AE -:047CD30000000000AD -:047CD40000000000AC -:047CD50000000000AB -:047CD60000000000AA -:047CD70000000000A9 -:047CD80000000000A8 -:047CD90000000000A7 -:047CDA0000000000A6 -:047CDB0000000000A5 -:047CDC0000000000A4 -:047CDD0000000000A3 -:047CDE0000000000A2 -:047CDF0000000000A1 -:047CE00000000000A0 -:047CE100000000009F -:047CE200000000009E -:047CE300000000009D -:047CE400000000009C -:047CE500000000009B -:047CE600000000009A -:047CE7000000000099 -:047CE8000000000098 -:047CE9000000000097 -:047CEA000000000096 -:047CEB000000000095 -:047CEC000000000094 -:047CED000000000093 -:047CEE000000000092 -:047CEF000000000091 -:047CF0000000000090 -:047CF100000000008F -:047CF200000000008E -:047CF300000000008D -:047CF400000000008C -:047CF500000000008B -:047CF600000000008A -:047CF7000000000089 -:047CF8000000000088 -:047CF9000000000087 -:047CFA000000000086 -:047CFB000000000085 -:047CFC000000000084 -:047CFD000000000083 -:047CFE000000000082 -:047CFF000000000081 -:047D0000000000007F -:047D0100000000007E -:047D0200000000007D -:047D0300000000007C -:047D0400000000007B -:047D0500000000007A -:047D06000000000079 -:047D07000000000078 -:047D08000000000077 -:047D09000000000076 -:047D0A000000000075 -:047D0B000000000074 -:047D0C000000000073 -:047D0D000000000072 -:047D0E000000000071 -:047D0F000000000070 -:047D1000000000006F -:047D1100000000006E -:047D1200000000006D -:047D1300000000006C -:047D1400000000006B -:047D1500000000006A -:047D16000000000069 -:047D17000000000068 -:047D18000000000067 -:047D19000000000066 -:047D1A000000000065 -:047D1B000000000064 -:047D1C000000000063 -:047D1D000000000062 -:047D1E000000000061 -:047D1F000000000060 -:047D2000000000005F -:047D2100000000005E -:047D2200000000005D -:047D2300000000005C -:047D2400000000005B -:047D2500000000005A -:047D26000000000059 -:047D27000000000058 -:047D28000000000057 -:047D29000000000056 -:047D2A000000000055 -:047D2B000000000054 -:047D2C000000000053 -:047D2D000000000052 -:047D2E000000000051 -:047D2F000000000050 -:047D3000000000004F -:047D3100000000004E -:047D3200000000004D -:047D3300000000004C -:047D3400000000004B -:047D3500000000004A -:047D36000000000049 -:047D37000000000048 -:047D38000000000047 -:047D39000000000046 -:047D3A000000000045 -:047D3B000000000044 -:047D3C000000000043 -:047D3D000000000042 -:047D3E000000000041 -:047D3F000000000040 -:047D4000000000003F -:047D4100000000003E -:047D4200000000003D -:047D4300000000003C -:047D4400000000003B -:047D4500000000003A -:047D46000000000039 -:047D47000000000038 -:047D48000000000037 -:047D49000000000036 -:047D4A000000000035 -:047D4B000000000034 -:047D4C000000000033 -:047D4D000000000032 -:047D4E000000000031 -:047D4F000000000030 -:047D5000000000002F -:047D5100000000002E -:047D5200000000002D -:047D5300000000002C -:047D5400000000002B -:047D5500000000002A -:047D56000000000029 -:047D57000000000028 -:047D58000000000027 -:047D59000000000026 -:047D5A000000000025 -:047D5B000000000024 -:047D5C000000000023 -:047D5D000000000022 -:047D5E000000000021 -:047D5F000000000020 -:047D6000000000001F -:047D6100000000001E -:047D6200000000001D -:047D6300000000001C -:047D6400000000001B -:047D6500000000001A -:047D66000000000019 -:047D67000000000018 -:047D68000000000017 -:047D69000000000016 -:047D6A000000000015 -:047D6B000000000014 -:047D6C000000000013 -:047D6D000000000012 -:047D6E000000000011 -:047D6F000000000010 -:047D7000000000000F -:047D7100000000000E -:047D7200000000000D -:047D7300000000000C -:047D7400000000000B -:047D7500000000000A -:047D76000000000009 -:047D77000000000008 -:047D78000000000007 -:047D79000000000006 -:047D7A000000000005 -:047D7B000000000004 -:047D7C000000000003 -:047D7D000000000002 -:047D7E000000000001 -:047D7F000000000000 -:047D800000000000FF -:047D810000000000FE -:047D820000000000FD -:047D830000000000FC -:047D840000000000FB -:047D850000000000FA -:047D860000000000F9 -:047D870000000000F8 -:047D880000000000F7 -:047D890000000000F6 -:047D8A0000000000F5 -:047D8B0000000000F4 -:047D8C0000000000F3 -:047D8D0000000000F2 -:047D8E0000000000F1 -:047D8F0000000000F0 -:047D900000000000EF -:047D910000000000EE -:047D920000000000ED -:047D930000000000EC -:047D940000000000EB -:047D950000000000EA -:047D960000000000E9 -:047D970000000000E8 -:047D980000000000E7 -:047D990000000000E6 -:047D9A0000000000E5 -:047D9B0000000000E4 -:047D9C0000000000E3 -:047D9D0000000000E2 -:047D9E0000000000E1 -:047D9F0000000000E0 -:047DA00000000000DF -:047DA10000000000DE -:047DA20000000000DD -:047DA30000000000DC -:047DA40000000000DB -:047DA50000000000DA -:047DA60000000000D9 -:047DA70000000000D8 -:047DA80000000000D7 -:047DA90000000000D6 -:047DAA0000000000D5 -:047DAB0000000000D4 -:047DAC0000000000D3 -:047DAD0000000000D2 -:047DAE0000000000D1 -:047DAF0000000000D0 -:047DB00000000000CF -:047DB10000000000CE -:047DB20000000000CD -:047DB30000000000CC -:047DB40000000000CB -:047DB50000000000CA -:047DB60000000000C9 -:047DB70000000000C8 -:047DB80000000000C7 -:047DB90000000000C6 -:047DBA0000000000C5 -:047DBB0000000000C4 -:047DBC0000000000C3 -:047DBD0000000000C2 -:047DBE0000000000C1 -:047DBF0000000000C0 -:047DC00000000000BF -:047DC10000000000BE -:047DC20000000000BD -:047DC30000000000BC -:047DC40000000000BB -:047DC50000000000BA -:047DC60000000000B9 -:047DC70000000000B8 -:047DC80000000000B7 -:047DC90000000000B6 -:047DCA0000000000B5 -:047DCB0000000000B4 -:047DCC0000000000B3 -:047DCD0000000000B2 -:047DCE0000000000B1 -:047DCF0000000000B0 -:047DD00000000000AF -:047DD10000000000AE -:047DD20000000000AD -:047DD30000000000AC -:047DD40000000000AB -:047DD50000000000AA -:047DD60000000000A9 -:047DD70000000000A8 -:047DD80000000000A7 -:047DD90000000000A6 -:047DDA0000000000A5 -:047DDB0000000000A4 -:047DDC0000000000A3 -:047DDD0000000000A2 -:047DDE0000000000A1 -:047DDF0000000000A0 -:047DE000000000009F -:047DE100000000009E -:047DE200000000009D -:047DE300000000009C -:047DE400000000009B -:047DE500000000009A -:047DE6000000000099 -:047DE7000000000098 -:047DE8000000000097 -:047DE9000000000096 -:047DEA000000000095 -:047DEB000000000094 -:047DEC000000000093 -:047DED000000000092 -:047DEE000000000091 -:047DEF000000000090 -:047DF000000000008F -:047DF100000000008E -:047DF200000000008D -:047DF300000000008C -:047DF400000000008B -:047DF500000000008A -:047DF6000000000089 -:047DF7000000000088 -:047DF8000000000087 -:047DF9000000000086 -:047DFA000000000085 -:047DFB000000000084 -:047DFC000000000083 -:047DFD000000000082 -:047DFE000000000081 -:047DFF000000000080 -:047E0000000000007E -:047E0100000000007D -:047E0200000000007C -:047E0300000000007B -:047E0400000000007A -:047E05000000000079 -:047E06000000000078 -:047E07000000000077 -:047E08000000000076 -:047E09000000000075 -:047E0A000000000074 -:047E0B000000000073 -:047E0C000000000072 -:047E0D000000000071 -:047E0E000000000070 -:047E0F00000000006F -:047E1000000000006E -:047E1100000000006D -:047E1200000000006C -:047E1300000000006B -:047E1400000000006A -:047E15000000000069 -:047E16000000000068 -:047E17000000000067 -:047E18000000000066 -:047E19000000000065 -:047E1A000000000064 -:047E1B000000000063 -:047E1C000000000062 -:047E1D000000000061 -:047E1E000000000060 -:047E1F00000000005F -:047E2000000000005E -:047E2100000000005D -:047E2200000000005C -:047E2300000000005B -:047E2400000000005A -:047E25000000000059 -:047E26000000000058 -:047E27000000000057 -:047E28000000000056 -:047E29000000000055 -:047E2A000000000054 -:047E2B000000000053 -:047E2C000000000052 -:047E2D000000000051 -:047E2E000000000050 -:047E2F00000000004F -:047E3000000000004E -:047E3100000000004D -:047E3200000000004C -:047E3300000000004B -:047E3400000000004A -:047E35000000000049 -:047E36000000000048 -:047E37000000000047 -:047E38000000000046 -:047E39000000000045 -:047E3A000000000044 -:047E3B000000000043 -:047E3C000000000042 -:047E3D000000000041 -:047E3E000000000040 -:047E3F00000000003F -:047E4000000000003E -:047E4100000000003D -:047E4200000000003C -:047E4300000000003B -:047E4400000000003A -:047E45000000000039 -:047E46000000000038 -:047E47000000000037 -:047E48000000000036 -:047E49000000000035 -:047E4A000000000034 -:047E4B000000000033 -:047E4C000000000032 -:047E4D000000000031 -:047E4E000000000030 -:047E4F00000000002F -:047E5000000000002E -:047E5100000000002D -:047E5200000000002C -:047E5300000000002B -:047E5400000000002A -:047E55000000000029 -:047E56000000000028 -:047E57000000000027 -:047E58000000000026 -:047E59000000000025 -:047E5A000000000024 -:047E5B000000000023 -:047E5C000000000022 -:047E5D000000000021 -:047E5E000000000020 -:047E5F00000000001F -:047E6000000000001E -:047E6100000000001D -:047E6200000000001C -:047E6300000000001B -:047E6400000000001A -:047E65000000000019 -:047E66000000000018 -:047E67000000000017 -:047E68000000000016 -:047E69000000000015 -:047E6A000000000014 -:047E6B000000000013 -:047E6C000000000012 -:047E6D000000000011 -:047E6E000000000010 -:047E6F00000000000F -:047E7000000000000E -:047E7100000000000D -:047E7200000000000C -:047E7300000000000B -:047E7400000000000A -:047E75000000000009 -:047E76000000000008 -:047E77000000000007 -:047E78000000000006 -:047E79000000000005 -:047E7A000000000004 -:047E7B000000000003 -:047E7C000000000002 -:047E7D000000000001 -:047E7E000000000000 -:047E7F0000000000FF -:047E800000000000FE -:047E810000000000FD -:047E820000000000FC -:047E830000000000FB -:047E840000000000FA -:047E850000000000F9 -:047E860000000000F8 -:047E870000000000F7 -:047E880000000000F6 -:047E890000000000F5 -:047E8A0000000000F4 -:047E8B0000000000F3 -:047E8C0000000000F2 -:047E8D0000000000F1 -:047E8E0000000000F0 -:047E8F0000000000EF -:047E900000000000EE -:047E910000000000ED -:047E920000000000EC -:047E930000000000EB -:047E940000000000EA -:047E950000000000E9 -:047E960000000000E8 -:047E970000000000E7 -:047E980000000000E6 -:047E990000000000E5 -:047E9A0000000000E4 -:047E9B0000000000E3 -:047E9C0000000000E2 -:047E9D0000000000E1 -:047E9E0000000000E0 -:047E9F0000000000DF -:047EA00000000000DE -:047EA10000000000DD -:047EA20000000000DC -:047EA30000000000DB -:047EA40000000000DA -:047EA50000000000D9 -:047EA60000000000D8 -:047EA70000000000D7 -:047EA80000000000D6 -:047EA90000000000D5 -:047EAA0000000000D4 -:047EAB0000000000D3 -:047EAC0000000000D2 -:047EAD0000000000D1 -:047EAE0000000000D0 -:047EAF0000000000CF -:047EB00000000000CE -:047EB10000000000CD -:047EB20000000000CC -:047EB30000000000CB -:047EB40000000000CA -:047EB50000000000C9 -:047EB60000000000C8 -:047EB70000000000C7 -:047EB80000000000C6 -:047EB90000000000C5 -:047EBA0000000000C4 -:047EBB0000000000C3 -:047EBC0000000000C2 -:047EBD0000000000C1 -:047EBE0000000000C0 -:047EBF0000000000BF -:047EC00000000000BE -:047EC10000000000BD -:047EC20000000000BC -:047EC30000000000BB -:047EC40000000000BA -:047EC50000000000B9 -:047EC60000000000B8 -:047EC70000000000B7 -:047EC80000000000B6 -:047EC90000000000B5 -:047ECA0000000000B4 -:047ECB0000000000B3 -:047ECC0000000000B2 -:047ECD0000000000B1 -:047ECE0000000000B0 -:047ECF0000000000AF -:047ED00000000000AE -:047ED10000000000AD -:047ED20000000000AC -:047ED30000000000AB -:047ED40000000000AA -:047ED50000000000A9 -:047ED60000000000A8 -:047ED70000000000A7 -:047ED80000000000A6 -:047ED90000000000A5 -:047EDA0000000000A4 -:047EDB0000000000A3 -:047EDC0000000000A2 -:047EDD0000000000A1 -:047EDE0000000000A0 -:047EDF00000000009F -:047EE000000000009E -:047EE100000000009D -:047EE200000000009C -:047EE300000000009B -:047EE400000000009A -:047EE5000000000099 -:047EE6000000000098 -:047EE7000000000097 -:047EE8000000000096 -:047EE9000000000095 -:047EEA000000000094 -:047EEB000000000093 -:047EEC000000000092 -:047EED000000000091 -:047EEE000000000090 -:047EEF00000000008F -:047EF000000000008E -:047EF100000000008D -:047EF200000000008C -:047EF300000000008B -:047EF400000000008A -:047EF5000000000089 -:047EF6000000000088 -:047EF7000000000087 -:047EF8000000000086 -:047EF9000000000085 -:047EFA000000000084 -:047EFB000000000083 -:047EFC000000000082 -:047EFD000000000081 -:047EFE000000000080 -:047EFF00000000007F -:047F0000000000007D -:047F0100000000007C -:047F0200000000007B -:047F0300000000007A -:047F04000000000079 -:047F05000000000078 -:047F06000000000077 -:047F07000000000076 -:047F08000000000075 -:047F09000000000074 -:047F0A000000000073 -:047F0B000000000072 -:047F0C000000000071 -:047F0D000000000070 -:047F0E00000000006F -:047F0F00000000006E -:047F1000000000006D -:047F1100000000006C -:047F1200000000006B -:047F1300000000006A -:047F14000000000069 -:047F15000000000068 -:047F16000000000067 -:047F17000000000066 -:047F18000000000065 -:047F19000000000064 -:047F1A000000000063 -:047F1B000000000062 -:047F1C000000000061 -:047F1D000000000060 -:047F1E00000000005F -:047F1F00000000005E -:047F2000000000005D -:047F2100000000005C -:047F2200000000005B -:047F2300000000005A -:047F24000000000059 -:047F25000000000058 -:047F26000000000057 -:047F27000000000056 -:047F28000000000055 -:047F29000000000054 -:047F2A000000000053 -:047F2B000000000052 -:047F2C000000000051 -:047F2D000000000050 -:047F2E00000000004F -:047F2F00000000004E -:047F3000000000004D -:047F3100000000004C -:047F3200000000004B -:047F3300000000004A -:047F34000000000049 -:047F35000000000048 -:047F36000000000047 -:047F37000000000046 -:047F38000000000045 -:047F39000000000044 -:047F3A000000000043 -:047F3B000000000042 -:047F3C000000000041 -:047F3D000000000040 -:047F3E00000000003F -:047F3F00000000003E -:047F4000000000003D -:047F4100000000003C -:047F4200000000003B -:047F4300000000003A -:047F44000000000039 -:047F45000000000038 -:047F46000000000037 -:047F47000000000036 -:047F48000000000035 -:047F49000000000034 -:047F4A000000000033 -:047F4B000000000032 -:047F4C000000000031 -:047F4D000000000030 -:047F4E00000000002F -:047F4F00000000002E -:047F5000000000002D -:047F5100000000002C -:047F5200000000002B -:047F5300000000002A -:047F54000000000029 -:047F55000000000028 -:047F56000000000027 -:047F57000000000026 -:047F58000000000025 -:047F59000000000024 -:047F5A000000000023 -:047F5B000000000022 -:047F5C000000000021 -:047F5D000000000020 -:047F5E00000000001F -:047F5F00000000001E -:047F6000000000001D -:047F6100000000001C -:047F6200000000001B -:047F6300000000001A -:047F64000000000019 -:047F65000000000018 -:047F66000000000017 -:047F67000000000016 -:047F68000000000015 -:047F69000000000014 -:047F6A000000000013 -:047F6B000000000012 -:047F6C000000000011 -:047F6D000000000010 -:047F6E00000000000F -:047F6F00000000000E -:047F7000000000000D -:047F7100000000000C -:047F7200000000000B -:047F7300000000000A -:047F74000000000009 -:047F75000000000008 -:047F76000000000007 -:047F77000000000006 -:047F78000000000005 -:047F79000000000004 -:047F7A000000000003 -:047F7B000000000002 -:047F7C000000000001 -:047F7D000000000000 -:047F7E0000000000FF -:047F7F0000000000FE -:047F800000000000FD -:047F810000000000FC -:047F820000000000FB -:047F830000000000FA -:047F840000000000F9 -:047F850000000000F8 -:047F860000000000F7 -:047F870000000000F6 -:047F880000000000F5 -:047F890000000000F4 -:047F8A0000000000F3 -:047F8B0000000000F2 -:047F8C0000000000F1 -:047F8D0000000000F0 -:047F8E0000000000EF -:047F8F0000000000EE -:047F900000000000ED -:047F910000000000EC -:047F920000000000EB -:047F930000000000EA -:047F940000000000E9 -:047F950000000000E8 -:047F960000000000E7 -:047F970000000000E6 -:047F980000000000E5 -:047F990000000000E4 -:047F9A0000000000E3 -:047F9B0000000000E2 -:047F9C0000000000E1 -:047F9D0000000000E0 -:047F9E0000000000DF -:047F9F0000000000DE -:047FA00000000000DD -:047FA10000000000DC -:047FA20000000000DB -:047FA30000000000DA -:047FA40000000000D9 -:047FA50000000000D8 -:047FA60000000000D7 -:047FA70000000000D6 -:047FA80000000000D5 -:047FA90000000000D4 -:047FAA0000000000D3 -:047FAB0000000000D2 -:047FAC0000000000D1 -:047FAD0000000000D0 -:047FAE0000000000CF -:047FAF0000000000CE -:047FB00000000000CD -:047FB10000000000CC -:047FB20000000000CB -:047FB30000000000CA -:047FB40000000000C9 -:047FB50000000000C8 -:047FB60000000000C7 -:047FB70000000000C6 -:047FB80000000000C5 -:047FB90000000000C4 -:047FBA0000000000C3 -:047FBB0000000000C2 -:047FBC0000000000C1 -:047FBD0000000000C0 -:047FBE0000000000BF -:047FBF0000000000BE -:047FC00000000000BD -:047FC10000000000BC -:047FC20000000000BB -:047FC30000000000BA -:047FC40000000000B9 -:047FC50000000000B8 -:047FC60000000000B7 -:047FC70000000000B6 -:047FC80000000000B5 -:047FC90000000000B4 -:047FCA0000000000B3 -:047FCB0000000000B2 -:047FCC0000000000B1 -:047FCD0000000000B0 -:047FCE0000000000AF -:047FCF0000000000AE -:047FD00000000000AD -:047FD10000000000AC -:047FD20000000000AB -:047FD30000000000AA -:047FD40000000000A9 -:047FD50000000000A8 -:047FD60000000000A7 -:047FD70000000000A6 -:047FD80000000000A5 -:047FD90000000000A4 -:047FDA0000000000A3 -:047FDB0000000000A2 -:047FDC0000000000A1 -:047FDD0000000000A0 -:047FDE00000000009F -:047FDF00000000009E -:047FE000000000009D -:047FE100000000009C -:047FE200000000009B -:047FE300000000009A -:047FE4000000000099 -:047FE5000000000098 -:047FE6000000000097 -:047FE7000000000096 -:047FE8000000000095 -:047FE9000000000094 -:047FEA000000000093 -:047FEB000000000092 -:047FEC000000000091 -:047FED000000000090 -:047FEE00000000008F -:047FEF00000000008E -:047FF000000000008D -:047FF100000000008C -:047FF200000000008B -:047FF300000000008A -:047FF4000000000089 -:047FF5000000000088 -:047FF6000000000087 -:047FF7000000000086 -:047FF8000000000085 -:047FF9000000000084 -:047FFA000000000083 -:047FFB000000000082 -:047FFC000000000081 -:047FFD000000000080 -:047FFE00000000007F -:047FFF00000000007E -:00000001ff +:0400000000000000FC +:0400010000000000FB +:0400020000000000FA +:0400030000000000F9 +:0400040000000000F8 +:0400050000000000F7 +:0400060000000000F6 +:0400070000000000F5 +:0400080000000000F4 +:0400090000000000F3 +:04000A0000000000F2 +:04000B0000000000F1 +:04000C0000000000F0 +:04000D0000000000EF +:04000E0000000000EE +:04000F0000000000ED +:0400100000000000EC +:0400110000000000EB +:0400120000000000EA +:0400130000000000E9 +:0400140000000000E8 +:0400150000000000E7 +:0400160000000000E6 +:0400170000000000E5 +:0400180000000000E4 +:0400190000000000E3 +:04001A0000000000E2 +:04001B0000000000E1 +:04001C0000000000E0 +:04001D0000000000DF +:04001E0000000000DE +:04001F0000000000DD +:0400200000000000DC +:0400210000000000DB +:0400220000000000DA +:0400230000000000D9 +:0400240000000000D8 +:0400250000000000D7 +:0400260000000000D6 +:0400270000000000D5 +:0400280000000000D4 +:0400290000000000D3 +:04002A0000000000D2 +:04002B0000000000D1 +:04002C0000000000D0 +:04002D0000000000CF +:04002E0000000000CE +:04002F0000000000CD +:0400300000000000CC +:0400310000000000CB +:0400320000000000CA +:0400330000000000C9 +:0400340000000000C8 +:0400350000000000C7 +:0400360000000000C6 +:0400370000000000C5 +:0400380000000000C4 +:0400390000000000C3 +:04003A0000000000C2 +:04003B0000000000C1 +:04003C0000000000C0 +:04003D0000000000BF +:04003E0000000000BE +:04003F0000000000BD +:0400400000000000BC +:0400410000000000BB +:0400420000000000BA +:0400430000000000B9 +:0400440000000000B8 +:0400450000000000B7 +:0400460000000000B6 +:0400470000000000B5 +:0400480000000000B4 +:0400490000000000B3 +:04004A0000000000B2 +:04004B0000000000B1 +:04004C0000000000B0 +:04004D0000000000AF +:04004E0000000000AE +:04004F0000000000AD +:0400500000000000AC +:0400510000000000AB +:0400520000000000AA +:0400530000000000A9 +:0400540000000000A8 +:0400550000000000A7 +:0400560000000000A6 +:0400570000000000A5 +:0400580000000000A4 +:0400590000000000A3 +:04005A0000000000A2 +:04005B0000000000A1 +:04005C0000000000A0 +:04005D00000000009F +:04005E00000000009E +:04005F00000000009D +:04006000000000009C +:04006100000000009B +:04006200000000009A +:040063000000000099 +:040064000000000098 +:040065000000000097 +:040066000000000096 +:040067000000000095 +:040068000000000094 +:040069000000000093 +:04006A000000000092 +:04006B000000000091 +:04006C000000000090 +:04006D00000000008F +:04006E00000000008E +:04006F00000000008D +:04007000000000008C +:04007100000000008B +:04007200000000008A +:040073000000000089 +:040074000000000088 +:040075000000000087 +:040076000000000086 +:040077000000000085 +:040078000000000084 +:040079000000000083 +:04007A000000000082 +:04007B000000000081 +:04007C000000000080 +:04007D00000000007F +:04007E00000000007E +:04007F00000000007D +:04008000000000007C +:04008100000000007B +:04008200000000007A +:040083000000000079 +:040084000000000078 +:040085000000000077 +:040086000000000076 +:040087000000000075 +:040088000000000074 +:040089000000000073 +:04008A000000000072 +:04008B000000000071 +:04008C000000000070 +:04008D00000000006F +:04008E00000000006E +:04008F00000000006D +:04009000000000006C +:04009100000000006B +:04009200000000006A +:040093000000000069 +:040094000000000068 +:040095000000000067 +:040096000000000066 +:040097000000000065 +:040098000000000064 +:040099000000000063 +:04009A000000000062 +:04009B000000000061 +:04009C000000000060 +:04009D00000000005F +:04009E00000000005E +:04009F00000000005D +:0400A000000000005C +:0400A100000000005B +:0400A200000000005A +:0400A3000000000059 +:0400A4000000000058 +:0400A5000000000057 +:0400A6000000000056 +:0400A7000000000055 +:0400A8000000000054 +:0400A9000000000053 +:0400AA000000000052 +:0400AB000000000051 +:0400AC000000000050 +:0400AD00000000004F +:0400AE00000000004E +:0400AF00000000004D +:0400B000000000004C +:0400B100000000004B +:0400B200000000004A +:0400B3000000000049 +:0400B4000000000048 +:0400B5000000000047 +:0400B6000000000046 +:0400B7000000000045 +:0400B8000000000044 +:0400B9000000000043 +:0400BA000000000042 +:0400BB000000000041 +:0400BC000000000040 +:0400BD00000000003F +:0400BE00000000003E +:0400BF00000000003D +:0400C000000000003C +:0400C100000000003B +:0400C200000000003A +:0400C3000000000039 +:0400C4000000000038 +:0400C5000000000037 +:0400C6000000000036 +:0400C7000000000035 +:0400C8000000000034 +:0400C9000000000033 +:0400CA000000000032 +:0400CB000000000031 +:0400CC000000000030 +:0400CD00000000002F +:0400CE00000000002E +:0400CF00000000002D +:0400D000000000002C +:0400D100000000002B +:0400D200000000002A +:0400D3000000000029 +:0400D4000000000028 +:0400D5000000000027 +:0400D6000000000026 +:0400D7000000000025 +:0400D8000000000024 +:0400D9000000000023 +:0400DA000000000022 +:0400DB000000000021 +:0400DC000000000020 +:0400DD00000000001F +:0400DE00000000001E +:0400DF00000000001D +:0400E000000000001C +:0400E100000000001B +:0400E200000000001A +:0400E3000000000019 +:0400E4000000000018 +:0400E5000000000017 +:0400E6000000000016 +:0400E7000000000015 +:0400E8000000000014 +:0400E9000000000013 +:0400EA000000000012 +:0400EB000000000011 +:0400EC000000000010 +:0400ED00000000000F +:0400EE00000000000E +:0400EF00000000000D +:0400F000000000000C +:0400F100000000000B +:0400F200000000000A +:0400F3000000000009 +:0400F4000000000008 +:0400F5000000000007 +:0400F6000000000006 +:0400F7000000000005 +:0400F8000000000004 +:0400F9000000000003 +:0400FA000000000002 +:0400FB000000000001 +:0400FC000000000000 +:0400FD0000000000FF +:0400FE0000000000FE +:0400FF0000000000FD +:0401000000000000FB +:0401010000000000FA +:0401020000000000F9 +:0401030000000000F8 +:0401040000000000F7 +:0401050000000000F6 +:0401060000000000F5 +:0401070000000000F4 +:0401080000000000F3 +:0401090000000000F2 +:04010A0000000000F1 +:04010B0000000000F0 +:04010C0000000000EF +:04010D0000000000EE +:04010E0000000000ED +:04010F0000000000EC +:0401100000000000EB +:0401110000000000EA +:0401120000000000E9 +:0401130000000000E8 +:0401140000000000E7 +:0401150000000000E6 +:0401160000000000E5 +:0401170000000000E4 +:0401180000000000E3 +:0401190000000000E2 +:04011A0000000000E1 +:04011B0000000000E0 +:04011C0000000000DF +:04011D0000000000DE +:04011E0000000000DD +:04011F0000000000DC +:0401200000000000DB +:0401210000000000DA +:0401220000000000D9 +:0401230000000000D8 +:0401240000000000D7 +:0401250000000000D6 +:0401260000000000D5 +:0401270000000000D4 +:0401280000000000D3 +:0401290000000000D2 +:04012A0000000000D1 +:04012B0000000000D0 +:04012C0000000000CF +:04012D0000000000CE +:04012E0000000000CD +:04012F0000000000CC +:0401300000000000CB +:0401310000000000CA +:0401320000000000C9 +:0401330000000000C8 +:0401340000000000C7 +:0401350000000000C6 +:0401360000000000C5 +:0401370000000000C4 +:0401380000000000C3 +:0401390000000000C2 +:04013A0000000000C1 +:04013B0000000000C0 +:04013C0000000000BF +:04013D0000000000BE +:04013E0000000000BD +:04013F0000000000BC +:0401400000000000BB +:0401410000000000BA +:0401420000000000B9 +:0401430000000000B8 +:0401440000000000B7 +:0401450000000000B6 +:0401460000000000B5 +:0401470000000000B4 +:0401480000000000B3 +:0401490000000000B2 +:04014A0000000000B1 +:04014B0000000000B0 +:04014C0000000000AF +:04014D0000000000AE +:04014E0000000000AD +:04014F0000000000AC +:0401500000000000AB +:0401510000000000AA +:0401520000000000A9 +:0401530000000000A8 +:0401540000000000A7 +:0401550000000000A6 +:0401560000000000A5 +:0401570000000000A4 +:0401580000000000A3 +:0401590000000000A2 +:04015A0000000000A1 +:04015B0000000000A0 +:04015C00000000009F +:04015D00000000009E +:04015E00000000009D +:04015F00000000009C +:04016000000000009B +:04016100000000009A +:040162000000000099 +:040163000000000098 +:040164000000000097 +:040165000000000096 +:040166000000000095 +:040167000000000094 +:040168000000000093 +:040169000000000092 +:04016A000000000091 +:04016B000000000090 +:04016C00000000008F +:04016D00000000008E +:04016E00000000008D +:04016F00000000008C +:04017000000000008B +:04017100000000008A +:040172000000000089 +:040173000000000088 +:040174000000000087 +:040175000000000086 +:040176000000000085 +:040177000000000084 +:040178000000000083 +:040179000000000082 +:04017A000000000081 +:04017B000000000080 +:04017C00000000007F +:04017D00000000007E +:04017E00000000007D +:04017F00000000007C +:04018000000000007B +:04018100000000007A +:040182000000000079 +:040183000000000078 +:040184000000000077 +:040185000000000076 +:040186000000000075 +:040187000000000074 +:040188000000000073 +:040189000000000072 +:04018A000000000071 +:04018B000000000070 +:04018C00000000006F +:04018D00000000006E +:04018E00000000006D +:04018F00000000006C +:04019000000000006B +:04019100000000006A +:040192000000000069 +:040193000000000068 +:040194000000000067 +:040195000000000066 +:040196000000000065 +:040197000000000064 +:040198000000000063 +:040199000000000062 +:04019A000000000061 +:04019B000000000060 +:04019C00000000005F +:04019D00000000005E +:04019E00000000005D +:04019F00000000005C +:0401A000000000005B +:0401A100000000005A +:0401A2000000000059 +:0401A3000000000058 +:0401A4000000000057 +:0401A5000000000056 +:0401A6000000000055 +:0401A7000000000054 +:0401A8000000000053 +:0401A9000000000052 +:0401AA000000000051 +:0401AB000000000050 +:0401AC00000000004F +:0401AD00000000004E +:0401AE00000000004D +:0401AF00000000004C +:0401B000000000004B +:0401B100000000004A +:0401B2000000000049 +:0401B3000000000048 +:0401B4000000000047 +:0401B5000000000046 +:0401B6000000000045 +:0401B7000000000044 +:0401B8000000000043 +:0401B9000000000042 +:0401BA000000000041 +:0401BB000000000040 +:0401BC00000000003F +:0401BD00000000003E +:0401BE00000000003D +:0401BF00000000003C +:0401C000000000003B +:0401C100000000003A +:0401C2000000000039 +:0401C3000000000038 +:0401C4000000000037 +:0401C5000000000036 +:0401C6000000000035 +:0401C7000000000034 +:0401C8000000000033 +:0401C9000000000032 +:0401CA000000000031 +:0401CB000000000030 +:0401CC00000000002F +:0401CD00000000002E +:0401CE00000000002D +:0401CF00000000002C +:0401D000000000002B +:0401D100000000002A +:0401D2000000000029 +:0401D3000000000028 +:0401D4000000000027 +:0401D5000000000026 +:0401D6000000000025 +:0401D7000000000024 +:0401D8000000000023 +:0401D9000000000022 +:0401DA000000000021 +:0401DB000000000020 +:0401DC00000000001F +:0401DD00000000001E +:0401DE00000000001D +:0401DF00000000001C +:0401E000000000001B +:0401E100000000001A +:0401E2000000000019 +:0401E3000000000018 +:0401E4000000000017 +:0401E5000000000016 +:0401E6000000000015 +:0401E7000000000014 +:0401E8000000000013 +:0401E9000000000012 +:0401EA000000000011 +:0401EB000000000010 +:0401EC00000000000F +:0401ED00000000000E +:0401EE00000000000D +:0401EF00000000000C +:0401F000000000000B +:0401F100000000000A +:0401F2000000000009 +:0401F3000000000008 +:0401F4000000000007 +:0401F5000000000006 +:0401F6000000000005 +:0401F7000000000004 +:0401F8000000000003 +:0401F9000000000002 +:0401FA000000000001 +:0401FB000000000000 +:0401FC0000000000FF +:0401FD0000000000FE +:0401FE0000000000FD +:0401FF0000000000FC +:0402000000000000FA +:0402010000000000F9 +:0402020000000000F8 +:0402030000000000F7 +:0402040000000000F6 +:0402050000000000F5 +:0402060000000000F4 +:0402070000000000F3 +:0402080000000000F2 +:0402090000000000F1 +:04020A0000000000F0 +:04020B0000000000EF +:04020C0000000000EE +:04020D0000000000ED +:04020E0000000000EC +:04020F0000000000EB +:0402100000000000EA +:0402110000000000E9 +:0402120000000000E8 +:0402130000000000E7 +:0402140000000000E6 +:0402150000000000E5 +:0402160000000000E4 +:0402170000000000E3 +:0402180000000000E2 +:0402190000000000E1 +:04021A0000000000E0 +:04021B0000000000DF +:04021C0000000000DE +:04021D0000000000DD +:04021E0000000000DC +:04021F0000000000DB +:0402200000000000DA +:0402210000000000D9 +:0402220000000000D8 +:0402230000000000D7 +:0402240000000000D6 +:0402250000000000D5 +:0402260000000000D4 +:0402270000000000D3 +:0402280000000000D2 +:0402290000000000D1 +:04022A0000000000D0 +:04022B0000000000CF +:04022C0000000000CE +:04022D0000000000CD +:04022E0000000000CC +:04022F0000000000CB +:0402300000000000CA +:0402310000000000C9 +:0402320000000000C8 +:0402330000000000C7 +:0402340000000000C6 +:0402350000000000C5 +:0402360000000000C4 +:0402370000000000C3 +:0402380000000000C2 +:0402390000000000C1 +:04023A0000000000C0 +:04023B0000000000BF +:04023C0000000000BE +:04023D0000000000BD +:04023E0000000000BC +:04023F0000000000BB +:0402400000000000BA +:0402410000000000B9 +:0402420000000000B8 +:0402430000000000B7 +:0402440000000000B6 +:0402450000000000B5 +:0402460000000000B4 +:0402470000000000B3 +:0402480000000000B2 +:0402490000000000B1 +:04024A0000000000B0 +:04024B0000000000AF +:04024C0000000000AE +:04024D0000000000AD +:04024E0000000000AC +:04024F0000000000AB +:0402500000000000AA +:0402510000000000A9 +:0402520000000000A8 +:0402530000000000A7 +:0402540000000000A6 +:0402550000000000A5 +:0402560000000000A4 +:0402570000000000A3 +:0402580000000000A2 +:0402590000000000A1 +:04025A0000000000A0 +:04025B00000000009F +:04025C00000000009E +:04025D00000000009D +:04025E00000000009C +:04025F00000000009B +:04026000000000009A +:040261000000000099 +:040262000000000098 +:040263000000000097 +:040264000000000096 +:040265000000000095 +:040266000000000094 +:040267000000000093 +:040268000000000092 +:040269000000000091 +:04026A000000000090 +:04026B00000000008F +:04026C00000000008E +:04026D00000000008D +:04026E00000000008C +:04026F00000000008B +:04027000000000008A +:040271000000000089 +:040272000000000088 +:040273000000000087 +:040274000000000086 +:040275000000000085 +:040276000000000084 +:040277000000000083 +:040278000000000082 +:040279000000000081 +:04027A000000000080 +:04027B00000000007F +:04027C00000000007E +:04027D00000000007D +:04027E00000000007C +:04027F00000000007B +:04028000000000007A +:040281000000000079 +:040282000000000078 +:040283000000000077 +:040284000000000076 +:040285000000000075 +:040286000000000074 +:040287000000000073 +:040288000000000072 +:040289000000000071 +:04028A000000000070 +:04028B00000000006F +:04028C00000000006E +:04028D00000000006D +:04028E00000000006C +:04028F00000000006B +:04029000000000006A +:040291000000000069 +:040292000000000068 +:040293000000000067 +:040294000000000066 +:040295000000000065 +:040296000000000064 +:040297000000000063 +:040298000000000062 +:040299000000000061 +:04029A000000000060 +:04029B00000000005F +:04029C00000000005E +:04029D00000000005D +:04029E00000000005C +:04029F00000000005B +:0402A000000000005A +:0402A1000000000059 +:0402A2000000000058 +:0402A3000000000057 +:0402A4000000000056 +:0402A5000000000055 +:0402A6000000000054 +:0402A7000000000053 +:0402A8000000000052 +:0402A9000000000051 +:0402AA000000000050 +:0402AB00000000004F +:0402AC00000000004E +:0402AD00000000004D +:0402AE00000000004C +:0402AF00000000004B +:0402B000000000004A +:0402B1000000000049 +:0402B2000000000048 +:0402B3000000000047 +:0402B4000000000046 +:0402B5000000000045 +:0402B6000000000044 +:0402B7000000000043 +:0402B8000000000042 +:0402B9000000000041 +:0402BA000000000040 +:0402BB00000000003F +:0402BC00000000003E +:0402BD00000000003D +:0402BE00000000003C +:0402BF00000000003B +:0402C000000000003A +:0402C1000000000039 +:0402C2000000000038 +:0402C3000000000037 +:0402C4000000000036 +:0402C5000000000035 +:0402C6000000000034 +:0402C7000000000033 +:0402C8000000000032 +:0402C9000000000031 +:0402CA000000000030 +:0402CB00000000002F +:0402CC00000000002E +:0402CD00000000002D +:0402CE00000000002C +:0402CF00000000002B +:0402D000000000002A +:0402D1000000000029 +:0402D2000000000028 +:0402D3000000000027 +:0402D4000000000026 +:0402D5000000000025 +:0402D6000000000024 +:0402D7000000000023 +:0402D8000000000022 +:0402D9000000000021 +:0402DA000000000020 +:0402DB00000000001F +:0402DC00000000001E +:0402DD00000000001D +:0402DE00000000001C +:0402DF00000000001B +:0402E000000000001A +:0402E1000000000019 +:0402E2000000000018 +:0402E3000000000017 +:0402E4000000000016 +:0402E5000000000015 +:0402E6000000000014 +:0402E7000000000013 +:0402E8000000000012 +:0402E9000000000011 +:0402EA000000000010 +:0402EB00000000000F +:0402EC00000000000E +:0402ED00000000000D +:0402EE00000000000C +:0402EF00000000000B +:0402F000000000000A +:0402F1000000000009 +:0402F2000000000008 +:0402F3000000000007 +:0402F4000000000006 +:0402F5000000000005 +:0402F6000000000004 +:0402F7000000000003 +:0402F8000000000002 +:0402F9000000000001 +:0402FA000000000000 +:0402FB0000000000FF +:0402FC0000000000FE +:0402FD0000000000FD +:0402FE0000000000FC +:0402FF0000000000FB +:0403000000000000F9 +:0403010000000000F8 +:0403020000000000F7 +:0403030000000000F6 +:0403040000000000F5 +:0403050000000000F4 +:0403060000000000F3 +:0403070000000000F2 +:0403080000000000F1 +:0403090000000000F0 +:04030A0000000000EF +:04030B0000000000EE +:04030C0000000000ED +:04030D0000000000EC +:04030E0000000000EB +:04030F0000000000EA +:0403100000000000E9 +:0403110000000000E8 +:0403120000000000E7 +:0403130000000000E6 +:0403140000000000E5 +:0403150000000000E4 +:0403160000000000E3 +:0403170000000000E2 +:0403180000000000E1 +:0403190000000000E0 +:04031A0000000000DF +:04031B0000000000DE +:04031C0000000000DD +:04031D0000000000DC +:04031E0000000000DB +:04031F0000000000DA +:0403200000000000D9 +:0403210000000000D8 +:0403220000000000D7 +:0403230000000000D6 +:0403240000000000D5 +:0403250000000000D4 +:0403260000000000D3 +:0403270000000000D2 +:0403280000000000D1 +:0403290000000000D0 +:04032A0000000000CF +:04032B0000000000CE +:04032C0000000000CD +:04032D0000000000CC +:04032E0000000000CB +:04032F0000000000CA +:0403300000000000C9 +:0403310000000000C8 +:0403320000000000C7 +:0403330000000000C6 +:0403340000000000C5 +:0403350000000000C4 +:0403360000000000C3 +:0403370000000000C2 +:0403380000000000C1 +:0403390000000000C0 +:04033A0000000000BF +:04033B0000000000BE +:04033C0000000000BD +:04033D0000000000BC +:04033E0000000000BB +:04033F0000000000BA +:0403400000000000B9 +:0403410000000000B8 +:0403420000000000B7 +:0403430000000000B6 +:0403440000000000B5 +:0403450000000000B4 +:0403460000000000B3 +:0403470000000000B2 +:0403480000000000B1 +:0403490000000000B0 +:04034A0000000000AF +:04034B0000000000AE +:04034C0000000000AD +:04034D0000000000AC +:04034E0000000000AB +:04034F0000000000AA +:0403500000000000A9 +:0403510000000000A8 +:0403520000000000A7 +:0403530000000000A6 +:0403540000000000A5 +:0403550000000000A4 +:0403560000000000A3 +:0403570000000000A2 +:0403580000000000A1 +:0403590000000000A0 +:04035A00000000009F +:04035B00000000009E +:04035C00000000009D +:04035D00000000009C +:04035E00000000009B +:04035F00000000009A +:040360000000000099 +:040361000000000098 +:040362000000000097 +:040363000000000096 +:040364000000000095 +:040365000000000094 +:040366000000000093 +:040367000000000092 +:040368000000000091 +:040369000000000090 +:04036A00000000008F +:04036B00000000008E +:04036C00000000008D +:04036D00000000008C +:04036E00000000008B +:04036F00000000008A +:040370000000000089 +:040371000000000088 +:040372000000000087 +:040373000000000086 +:040374000000000085 +:040375000000000084 +:040376000000000083 +:040377000000000082 +:040378000000000081 +:040379000000000080 +:04037A00000000007F +:04037B00000000007E +:04037C00000000007D +:04037D00000000007C +:04037E00000000007B +:04037F00000000007A +:040380000000000079 +:040381000000000078 +:040382000000000077 +:040383000000000076 +:040384000000000075 +:040385000000000074 +:040386000000000073 +:040387000000000072 +:040388000000000071 +:040389000000000070 +:04038A00000000006F +:04038B00000000006E +:04038C00000000006D +:04038D00000000006C +:04038E00000000006B +:04038F00000000006A +:040390000000000069 +:040391000000000068 +:040392000000000067 +:040393000000000066 +:040394000000000065 +:040395000000000064 +:040396000000000063 +:040397000000000062 +:040398000000000061 +:040399000000000060 +:04039A00000000005F +:04039B00000000005E +:04039C00000000005D +:04039D00000000005C +:04039E00000000005B +:04039F00000000005A +:0403A0000000000059 +:0403A1000000000058 +:0403A2000000000057 +:0403A3000000000056 +:0403A4000000000055 +:0403A5000000000054 +:0403A6000000000053 +:0403A7000000000052 +:0403A8000000000051 +:0403A9000000000050 +:0403AA00000000004F +:0403AB00000000004E +:0403AC00000000004D +:0403AD00000000004C +:0403AE00000000004B +:0403AF00000000004A +:0403B0000000000049 +:0403B1000000000048 +:0403B2000000000047 +:0403B3000000000046 +:0403B4000000000045 +:0403B5000000000044 +:0403B6000000000043 +:0403B7000000000042 +:0403B8000000000041 +:0403B9000000000040 +:0403BA00000000003F +:0403BB00000000003E +:0403BC00000000003D +:0403BD00000000003C +:0403BE00000000003B +:0403BF00000000003A +:0403C0000000000039 +:0403C1000000000038 +:0403C2000000000037 +:0403C3000000000036 +:0403C4000000000035 +:0403C5000000000034 +:0403C6000000000033 +:0403C7000000000032 +:0403C8000000000031 +:0403C9000000000030 +:0403CA00000000002F +:0403CB00000000002E +:0403CC00000000002D +:0403CD00000000002C +:0403CE00000000002B +:0403CF00000000002A +:0403D0000000000029 +:0403D1000000000028 +:0403D2000000000027 +:0403D3000000000026 +:0403D4000000000025 +:0403D5000000000024 +:0403D6000000000023 +:0403D7000000000022 +:0403D8000000000021 +:0403D9000000000020 +:0403DA00000000001F +:0403DB00000000001E +:0403DC00000000001D +:0403DD00000000001C +:0403DE00000000001B +:0403DF00000000001A +:0403E0000000000019 +:0403E1000000000018 +:0403E2000000000017 +:0403E3000000000016 +:0403E4000000000015 +:0403E5000000000014 +:0403E6000000000013 +:0403E7000000000012 +:0403E8000000000011 +:0403E9000000000010 +:0403EA00000000000F +:0403EB00000000000E +:0403EC00000000000D +:0403ED00000000000C +:0403EE00000000000B +:0403EF00000000000A +:0403F0000000000009 +:0403F1000000000008 +:0403F2000000000007 +:0403F3000000000006 +:0403F4000000000005 +:0403F5000000000004 +:0403F6000000000003 +:0403F7000000000002 +:0403F8000000000001 +:0403F9000000000000 +:0403FA0000000000FF +:0403FB0000000000FE +:0403FC0000000000FD +:0403FD0000000000FC +:0403FE0000000000FB +:0403FF0000000000FA +:0404000000000000F8 +:0404010000000000F7 +:0404020000000000F6 +:0404030000000000F5 +:0404040000000000F4 +:0404050000000000F3 +:0404060000000000F2 +:0404070000000000F1 +:0404080000000000F0 +:0404090000000000EF +:04040A0000000000EE +:04040B0000000000ED +:04040C0000000000EC +:04040D0000000000EB +:04040E0000000000EA +:04040F0000000000E9 +:0404100000000000E8 +:0404110000000000E7 +:0404120000000000E6 +:0404130000000000E5 +:0404140000000000E4 +:0404150000000000E3 +:0404160000000000E2 +:0404170000000000E1 +:0404180000000000E0 +:0404190000000000DF +:04041A0000000000DE +:04041B0000000000DD +:04041C0000000000DC +:04041D0000000000DB +:04041E0000000000DA +:04041F0000000000D9 +:0404200000000000D8 +:0404210000000000D7 +:0404220000000000D6 +:0404230000000000D5 +:0404240000000000D4 +:0404250000000000D3 +:0404260000000000D2 +:0404270000000000D1 +:0404280000000000D0 +:0404290000000000CF +:04042A0000000000CE +:04042B0000000000CD +:04042C0000000000CC +:04042D0000000000CB +:04042E0000000000CA +:04042F0000000000C9 +:0404300000000000C8 +:0404310000000000C7 +:0404320000000000C6 +:0404330000000000C5 +:0404340000000000C4 +:0404350000000000C3 +:0404360000000000C2 +:0404370000000000C1 +:0404380000000000C0 +:0404390000000000BF +:04043A0000000000BE +:04043B0000000000BD +:04043C0000000000BC +:04043D0000000000BB +:04043E0000000000BA +:04043F0000000000B9 +:0404400000000000B8 +:0404410000000000B7 +:0404420000000000B6 +:0404430000000000B5 +:0404440000000000B4 +:0404450000000000B3 +:0404460000000000B2 +:0404470000000000B1 +:0404480000000000B0 +:0404490000000000AF +:04044A0000000000AE +:04044B0000000000AD +:04044C0000000000AC +:04044D0000000000AB +:04044E0000000000AA +:04044F0000000000A9 +:0404500000000000A8 +:0404510000000000A7 +:0404520000000000A6 +:0404530000000000A5 +:0404540000000000A4 +:0404550000000000A3 +:0404560000000000A2 +:0404570000000000A1 +:0404580000000000A0 +:04045900000000009F +:04045A00000000009E +:04045B00000000009D +:04045C00000000009C +:04045D00000000009B +:04045E00000000009A +:04045F000000000099 +:040460000000000098 +:040461000000000097 +:040462000000000096 +:040463000000000095 +:040464000000000094 +:040465000000000093 +:040466000000000092 +:040467000000000091 +:040468000000000090 +:04046900000000008F +:04046A00000000008E +:04046B00000000008D +:04046C00000000008C +:04046D00000000008B +:04046E00000000008A +:04046F000000000089 +:040470000000000088 +:040471000000000087 +:040472000000000086 +:040473000000000085 +:040474000000000084 +:040475000000000083 +:040476000000000082 +:040477000000000081 +:040478000000000080 +:04047900000000007F +:04047A00000000007E +:04047B00000000007D +:04047C00000000007C +:04047D00000000007B +:04047E00000000007A +:04047F000000000079 +:040480000000000078 +:040481000000000077 +:040482000000000076 +:040483000000000075 +:040484000000000074 +:040485000000000073 +:040486000000000072 +:040487000000000071 +:040488000000000070 +:04048900000000006F +:04048A00000000006E +:04048B00000000006D +:04048C00000000006C +:04048D00000000006B +:04048E00000000006A +:04048F000000000069 +:040490000000000068 +:040491000000000067 +:040492000000000066 +:040493000000000065 +:040494000000000064 +:040495000000000063 +:040496000000000062 +:040497000000000061 +:040498000000000060 +:04049900000000005F +:04049A00000000005E +:04049B00000000005D +:04049C00000000005C +:04049D00000000005B +:04049E00000000005A +:04049F000000000059 +:0404A0000000000058 +:0404A1000000000057 +:0404A2000000000056 +:0404A3000000000055 +:0404A4000000000054 +:0404A5000000000053 +:0404A6000000000052 +:0404A7000000000051 +:0404A8000000000050 +:0404A900000000004F +:0404AA00000000004E +:0404AB00000000004D +:0404AC00000000004C +:0404AD00000000004B +:0404AE00000000004A +:0404AF000000000049 +:0404B0000000000048 +:0404B1000000000047 +:0404B2000000000046 +:0404B3000000000045 +:0404B4000000000044 +:0404B5000000000043 +:0404B6000000000042 +:0404B7000000000041 +:0404B8000000000040 +:0404B900000000003F +:0404BA00000000003E +:0404BB00000000003D +:0404BC00000000003C +:0404BD00000000003B +:0404BE00000000003A +:0404BF000000000039 +:0404C0000000000038 +:0404C1000000000037 +:0404C2000000000036 +:0404C3000000000035 +:0404C4000000000034 +:0404C5000000000033 +:0404C6000000000032 +:0404C7000000000031 +:0404C8000000000030 +:0404C900000000002F +:0404CA00000000002E +:0404CB00000000002D +:0404CC00000000002C +:0404CD00000000002B +:0404CE00000000002A +:0404CF000000000029 +:0404D0000000000028 +:0404D1000000000027 +:0404D2000000000026 +:0404D3000000000025 +:0404D4000000000024 +:0404D5000000000023 +:0404D6000000000022 +:0404D7000000000021 +:0404D8000000000020 +:0404D900000000001F +:0404DA00000000001E +:0404DB00000000001D +:0404DC00000000001C +:0404DD00000000001B +:0404DE00000000001A +:0404DF000000000019 +:0404E0000000000018 +:0404E1000000000017 +:0404E2000000000016 +:0404E3000000000015 +:0404E4000000000014 +:0404E5000000000013 +:0404E6000000000012 +:0404E7000000000011 +:0404E8000000000010 +:0404E900000000000F +:0404EA00000000000E +:0404EB00000000000D +:0404EC00000000000C +:0404ED00000000000B +:0404EE00000000000A +:0404EF000000000009 +:0404F0000000000008 +:0404F1000000000007 +:0404F2000000000006 +:0404F3000000000005 +:0404F4000000000004 +:0404F5000000000003 +:0404F6000000000002 +:0404F7000000000001 +:0404F8000000000000 +:0404F90000000000FF +:0404FA0000000000FE +:0404FB0000000000FD +:0404FC0000000000FC +:0404FD0000000000FB +:0404FE0000000000FA +:0404FF0000000000F9 +:0405000000000000F7 +:0405010000000000F6 +:0405020000000000F5 +:0405030000000000F4 +:0405040000000000F3 +:0405050000000000F2 +:0405060000000000F1 +:0405070000000000F0 +:0405080000000000EF +:0405090000000000EE +:04050A0000000000ED +:04050B0000000000EC +:04050C0000000000EB +:04050D0000000000EA +:04050E0000000000E9 +:04050F0000000000E8 +:0405100000000000E7 +:0405110000000000E6 +:0405120000000000E5 +:0405130000000000E4 +:0405140000000000E3 +:0405150000000000E2 +:0405160000000000E1 +:0405170000000000E0 +:0405180000000000DF +:0405190000000000DE +:04051A0000000000DD +:04051B0000000000DC +:04051C0000000000DB +:04051D0000000000DA +:04051E0000000000D9 +:04051F0000000000D8 +:0405200000000000D7 +:0405210000000000D6 +:0405220000000000D5 +:0405230000000000D4 +:0405240000000000D3 +:0405250000000000D2 +:0405260000000000D1 +:0405270000000000D0 +:0405280000000000CF +:0405290000000000CE +:04052A0000000000CD +:04052B0000000000CC +:04052C0000000000CB +:04052D0000000000CA +:04052E0000000000C9 +:04052F0000000000C8 +:0405300000000000C7 +:0405310000000000C6 +:0405320000000000C5 +:0405330000000000C4 +:0405340000000000C3 +:0405350000000000C2 +:0405360000000000C1 +:0405370000000000C0 +:0405380000000000BF +:0405390000000000BE +:04053A0000000000BD +:04053B0000000000BC +:04053C0000000000BB +:04053D0000000000BA +:04053E0000000000B9 +:04053F0000000000B8 +:0405400000000000B7 +:0405410000000000B6 +:0405420000000000B5 +:0405430000000000B4 +:0405440000000000B3 +:0405450000000000B2 +:0405460000000000B1 +:0405470000000000B0 +:0405480000000000AF +:0405490000000000AE +:04054A0000000000AD +:04054B0000000000AC +:04054C0000000000AB +:04054D0000000000AA +:04054E0000000000A9 +:04054F0000000000A8 +:0405500000000000A7 +:0405510000000000A6 +:0405520000000000A5 +:0405530000000000A4 +:0405540000000000A3 +:0405550000000000A2 +:0405560000000000A1 +:0405570000000000A0 +:04055800000000009F +:04055900000000009E +:04055A00000000009D +:04055B00000000009C +:04055C00000000009B +:04055D00000000009A +:04055E000000000099 +:04055F000000000098 +:040560000000000097 +:040561000000000096 +:040562000000000095 +:040563000000000094 +:040564000000000093 +:040565000000000092 +:040566000000000091 +:040567000000000090 +:04056800000000008F +:04056900000000008E +:04056A00000000008D +:04056B00000000008C +:04056C00000000008B +:04056D00000000008A +:04056E000000000089 +:04056F000000000088 +:040570000000000087 +:040571000000000086 +:040572000000000085 +:040573000000000084 +:040574000000000083 +:040575000000000082 +:040576000000000081 +:040577000000000080 +:04057800000000007F +:04057900000000007E +:04057A00000000007D +:04057B00000000007C +:04057C00000000007B +:04057D00000000007A +:04057E000000000079 +:04057F000000000078 +:040580000000000077 +:040581000000000076 +:040582000000000075 +:040583000000000074 +:040584000000000073 +:040585000000000072 +:040586000000000071 +:040587000000000070 +:04058800000000006F +:04058900000000006E +:04058A00000000006D +:04058B00000000006C +:04058C00000000006B +:04058D00000000006A +:04058E000000000069 +:04058F000000000068 +:040590000000000067 +:040591000000000066 +:040592000000000065 +:040593000000000064 +:040594000000000063 +:040595000000000062 +:040596000000000061 +:040597000000000060 +:04059800000000005F +:04059900000000005E +:04059A00000000005D +:04059B00000000005C +:04059C00000000005B +:04059D00000000005A +:04059E000000000059 +:04059F000000000058 +:0405A0000000000057 +:0405A1000000000056 +:0405A2000000000055 +:0405A3000000000054 +:0405A4000000000053 +:0405A5000000000052 +:0405A6000000000051 +:0405A7000000000050 +:0405A800000000004F +:0405A900000000004E +:0405AA00000000004D +:0405AB00000000004C +:0405AC00000000004B +:0405AD00000000004A +:0405AE000000000049 +:0405AF000000000048 +:0405B0000000000047 +:0405B1000000000046 +:0405B2000000000045 +:0405B3000000000044 +:0405B4000000000043 +:0405B5000000000042 +:0405B6000000000041 +:0405B7000000000040 +:0405B800000000003F +:0405B900000000003E +:0405BA00000000003D +:0405BB00000000003C +:0405BC00000000003B +:0405BD00000000003A +:0405BE000000000039 +:0405BF000000000038 +:0405C0000000000037 +:0405C1000000000036 +:0405C2000000000035 +:0405C3000000000034 +:0405C4000000000033 +:0405C5000000000032 +:0405C6000000000031 +:0405C7000000000030 +:0405C800000000002F +:0405C900000000002E +:0405CA00000000002D +:0405CB00000000002C +:0405CC00000000002B +:0405CD00000000002A +:0405CE000000000029 +:0405CF000000000028 +:0405D0000000000027 +:0405D1000000000026 +:0405D2000000000025 +:0405D3000000000024 +:0405D4000000000023 +:0405D5000000000022 +:0405D6000000000021 +:0405D7000000000020 +:0405D800000000001F +:0405D900000000001E +:0405DA00000000001D +:0405DB00000000001C +:0405DC00000000001B +:0405DD00000000001A +:0405DE000000000019 +:0405DF000000000018 +:0405E0000000000017 +:0405E1000000000016 +:0405E2000000000015 +:0405E3000000000014 +:0405E4000000000013 +:0405E5000000000012 +:0405E6000000000011 +:0405E7000000000010 +:0405E800000000000F +:0405E900000000000E +:0405EA00000000000D +:0405EB00000000000C +:0405EC00000000000B +:0405ED00000000000A +:0405EE000000000009 +:0405EF000000000008 +:0405F0000000000007 +:0405F1000000000006 +:0405F2000000000005 +:0405F3000000000004 +:0405F4000000000003 +:0405F5000000000002 +:0405F6000000000001 +:0405F7000000000000 +:0405F80000000000FF +:0405F90000000000FE +:0405FA0000000000FD +:0405FB0000000000FC +:0405FC0000000000FB +:0405FD0000000000FA +:0405FE0000000000F9 +:0405FF0000000000F8 +:0406000000000000F6 +:0406010000000000F5 +:0406020000000000F4 +:0406030000000000F3 +:0406040000000000F2 +:0406050000000000F1 +:0406060000000000F0 +:0406070000000000EF +:0406080000000000EE +:0406090000000000ED +:04060A0000000000EC +:04060B0000000000EB +:04060C0000000000EA +:04060D0000000000E9 +:04060E0000000000E8 +:04060F0000000000E7 +:0406100000000000E6 +:0406110000000000E5 +:0406120000000000E4 +:0406130000000000E3 +:0406140000000000E2 +:0406150000000000E1 +:0406160000000000E0 +:0406170000000000DF +:0406180000000000DE +:0406190000000000DD +:04061A0000000000DC +:04061B0000000000DB +:04061C0000000000DA +:04061D0000000000D9 +:04061E0000000000D8 +:04061F0000000000D7 +:0406200000000000D6 +:0406210000000000D5 +:0406220000000000D4 +:0406230000000000D3 +:0406240000000000D2 +:0406250000000000D1 +:0406260000000000D0 +:0406270000000000CF +:0406280000000000CE +:0406290000000000CD +:04062A0000000000CC +:04062B0000000000CB +:04062C0000000000CA +:04062D0000000000C9 +:04062E0000000000C8 +:04062F0000000000C7 +:0406300000000000C6 +:0406310000000000C5 +:0406320000000000C4 +:0406330000000000C3 +:0406340000000000C2 +:0406350000000000C1 +:0406360000000000C0 +:0406370000000000BF +:0406380000000000BE +:0406390000000000BD +:04063A0000000000BC +:04063B0000000000BB +:04063C0000000000BA +:04063D0000000000B9 +:04063E0000000000B8 +:04063F0000000000B7 +:0406400000000000B6 +:0406410000000000B5 +:0406420000000000B4 +:0406430000000000B3 +:0406440000000000B2 +:0406450000000000B1 +:0406460000000000B0 +:0406470000000000AF +:0406480000000000AE +:0406490000000000AD +:04064A0000000000AC +:04064B0000000000AB +:04064C0000000000AA +:04064D0000000000A9 +:04064E0000000000A8 +:04064F0000000000A7 +:0406500000000000A6 +:0406510000000000A5 +:0406520000000000A4 +:0406530000000000A3 +:0406540000000000A2 +:0406550000000000A1 +:0406560000000000A0 +:04065700000000009F +:04065800000000009E +:04065900000000009D +:04065A00000000009C +:04065B00000000009B +:04065C00000000009A +:04065D000000000099 +:04065E000000000098 +:04065F000000000097 +:040660000000000096 +:040661000000000095 +:040662000000000094 +:040663000000000093 +:040664000000000092 +:040665000000000091 +:040666000000000090 +:04066700000000008F +:04066800000000008E +:04066900000000008D +:04066A00000000008C +:04066B00000000008B +:04066C00000000008A +:04066D000000000089 +:04066E000000000088 +:04066F000000000087 +:040670000000000086 +:040671000000000085 +:040672000000000084 +:040673000000000083 +:040674000000000082 +:040675000000000081 +:040676000000000080 +:04067700000000007F +:04067800000000007E +:04067900000000007D +:04067A00000000007C +:04067B00000000007B +:04067C00000000007A +:04067D000000000079 +:04067E000000000078 +:04067F000000000077 +:040680000000000076 +:040681000000000075 +:040682000000000074 +:040683000000000073 +:040684000000000072 +:040685000000000071 +:040686000000000070 +:04068700000000006F +:04068800000000006E +:04068900000000006D +:04068A00000000006C +:04068B00000000006B +:04068C00000000006A +:04068D000000000069 +:04068E000000000068 +:04068F000000000067 +:040690000000000066 +:040691000000000065 +:040692000000000064 +:040693000000000063 +:040694000000000062 +:040695000000000061 +:040696000000000060 +:04069700000000005F +:04069800000000005E +:04069900000000005D +:04069A00000000005C +:04069B00000000005B +:04069C00000000005A +:04069D000000000059 +:04069E000000000058 +:04069F000000000057 +:0406A0000000000056 +:0406A1000000000055 +:0406A2000000000054 +:0406A3000000000053 +:0406A4000000000052 +:0406A5000000000051 +:0406A6000000000050 +:0406A700000000004F +:0406A800000000004E +:0406A900000000004D +:0406AA00000000004C +:0406AB00000000004B +:0406AC00000000004A +:0406AD000000000049 +:0406AE000000000048 +:0406AF000000000047 +:0406B0000000000046 +:0406B1000000000045 +:0406B2000000000044 +:0406B3000000000043 +:0406B4000000000042 +:0406B5000000000041 +:0406B6000000000040 +:0406B700000000003F +:0406B800000000003E +:0406B900000000003D +:0406BA00000000003C +:0406BB00000000003B +:0406BC00000000003A +:0406BD000000000039 +:0406BE000000000038 +:0406BF000000000037 +:0406C0000000000036 +:0406C1000000000035 +:0406C2000000000034 +:0406C3000000000033 +:0406C4000000000032 +:0406C5000000000031 +:0406C6000000000030 +:0406C700000000002F +:0406C800000000002E +:0406C900000000002D +:0406CA00000000002C +:0406CB00000000002B +:0406CC00000000002A +:0406CD000000000029 +:0406CE000000000028 +:0406CF000000000027 +:0406D0000000000026 +:0406D1000000000025 +:0406D2000000000024 +:0406D3000000000023 +:0406D4000000000022 +:0406D5000000000021 +:0406D6000000000020 +:0406D700000000001F +:0406D800000000001E +:0406D900000000001D +:0406DA00000000001C +:0406DB00000000001B +:0406DC00000000001A +:0406DD000000000019 +:0406DE000000000018 +:0406DF000000000017 +:0406E0000000000016 +:0406E1000000000015 +:0406E2000000000014 +:0406E3000000000013 +:0406E4000000000012 +:0406E5000000000011 +:0406E6000000000010 +:0406E700000000000F +:0406E800000000000E +:0406E900000000000D +:0406EA00000000000C +:0406EB00000000000B +:0406EC00000000000A +:0406ED000000000009 +:0406EE000000000008 +:0406EF000000000007 +:0406F0000000000006 +:0406F1000000000005 +:0406F2000000000004 +:0406F3000000000003 +:0406F4000000000002 +:0406F5000000000001 +:0406F6000000000000 +:0406F70000000000FF +:0406F80000000000FE +:0406F90000000000FD +:0406FA0000000000FC +:0406FB0000000000FB +:0406FC0000000000FA +:0406FD0000000000F9 +:0406FE0000000000F8 +:0406FF0000000000F7 +:0407000000000000F5 +:0407010000000000F4 +:0407020000000000F3 +:0407030000000000F2 +:0407040000000000F1 +:0407050000000000F0 +:0407060000000000EF +:0407070000000000EE +:0407080000000000ED +:0407090000000000EC +:04070A0000000000EB +:04070B0000000000EA +:04070C0000000000E9 +:04070D0000000000E8 +:04070E0000000000E7 +:04070F0000000000E6 +:0407100000000000E5 +:0407110000000000E4 +:0407120000000000E3 +:0407130000000000E2 +:0407140000000000E1 +:0407150000000000E0 +:0407160000000000DF +:0407170000000000DE +:0407180000000000DD +:0407190000000000DC +:04071A0000000000DB +:04071B0000000000DA +:04071C0000000000D9 +:04071D0000000000D8 +:04071E0000000000D7 +:04071F0000000000D6 +:0407200000000000D5 +:0407210000000000D4 +:0407220000000000D3 +:0407230000000000D2 +:0407240000000000D1 +:0407250000000000D0 +:0407260000000000CF +:0407270000000000CE +:0407280000000000CD +:0407290000000000CC +:04072A0000000000CB +:04072B0000000000CA +:04072C0000000000C9 +:04072D0000000000C8 +:04072E0000000000C7 +:04072F0000000000C6 +:0407300000000000C5 +:0407310000000000C4 +:0407320000000000C3 +:0407330000000000C2 +:0407340000000000C1 +:0407350000000000C0 +:0407360000000000BF +:0407370000000000BE +:0407380000000000BD +:0407390000000000BC +:04073A0000000000BB +:04073B0000000000BA +:04073C0000000000B9 +:04073D0000000000B8 +:04073E0000000000B7 +:04073F0000000000B6 +:0407400000000000B5 +:0407410000000000B4 +:0407420000000000B3 +:0407430000000000B2 +:0407440000000000B1 +:0407450000000000B0 +:0407460000000000AF +:0407470000000000AE +:0407480000000000AD +:0407490000000000AC +:04074A0000000000AB +:04074B0000000000AA +:04074C0000000000A9 +:04074D0000000000A8 +:04074E0000000000A7 +:04074F0000000000A6 +:0407500000000000A5 +:0407510000000000A4 +:0407520000000000A3 +:0407530000000000A2 +:0407540000000000A1 +:0407550000000000A0 +:04075600000000009F +:04075700000000009E +:04075800000000009D +:04075900000000009C +:04075A00000000009B +:04075B00000000009A +:04075C000000000099 +:04075D000000000098 +:04075E000000000097 +:04075F000000000096 +:040760000000000095 +:040761000000000094 +:040762000000000093 +:040763000000000092 +:040764000000000091 +:040765000000000090 +:04076600000000008F +:04076700000000008E +:04076800000000008D +:04076900000000008C +:04076A00000000008B +:04076B00000000008A +:04076C000000000089 +:04076D000000000088 +:04076E000000000087 +:04076F000000000086 +:040770000000000085 +:040771000000000084 +:040772000000000083 +:040773000000000082 +:040774000000000081 +:040775000000000080 +:04077600000000007F +:04077700000000007E +:04077800000000007D +:04077900000000007C +:04077A00000000007B +:04077B00000000007A +:04077C000000000079 +:04077D000000000078 +:04077E000000000077 +:04077F000000000076 +:040780000000000075 +:040781000000000074 +:040782000000000073 +:040783000000000072 +:040784000000000071 +:040785000000000070 +:04078600000000006F +:04078700000000006E +:04078800000000006D +:04078900000000006C +:04078A00000000006B +:04078B00000000006A +:04078C000000000069 +:04078D000000000068 +:04078E000000000067 +:04078F000000000066 +:040790000000000065 +:040791000000000064 +:040792000000000063 +:040793000000000062 +:040794000000000061 +:040795000000000060 +:04079600000000005F +:04079700000000005E +:04079800000000005D +:04079900000000005C +:04079A00000000005B +:04079B00000000005A +:04079C000000000059 +:04079D000000000058 +:04079E000000000057 +:04079F000000000056 +:0407A0000000000055 +:0407A1000000000054 +:0407A2000000000053 +:0407A3000000000052 +:0407A4000000000051 +:0407A5000000000050 +:0407A600000000004F +:0407A700000000004E +:0407A800000000004D +:0407A900000000004C +:0407AA00000000004B +:0407AB00000000004A +:0407AC000000000049 +:0407AD000000000048 +:0407AE000000000047 +:0407AF000000000046 +:0407B0000000000045 +:0407B1000000000044 +:0407B2000000000043 +:0407B3000000000042 +:0407B4000000000041 +:0407B5000000000040 +:0407B600000000003F +:0407B700000000003E +:0407B800000000003D +:0407B900000000003C +:0407BA00000000003B +:0407BB00000000003A +:0407BC000000000039 +:0407BD000000000038 +:0407BE000000000037 +:0407BF000000000036 +:0407C0000000000035 +:0407C1000000000034 +:0407C2000000000033 +:0407C3000000000032 +:0407C4000000000031 +:0407C5000000000030 +:0407C600000000002F +:0407C700000000002E +:0407C800000000002D +:0407C900000000002C +:0407CA00000000002B +:0407CB00000000002A +:0407CC000000000029 +:0407CD000000000028 +:0407CE000000000027 +:0407CF000000000026 +:0407D0000000000025 +:0407D1000000000024 +:0407D2000000000023 +:0407D3000000000022 +:0407D4000000000021 +:0407D5000000000020 +:0407D600000000001F +:0407D700000000001E +:0407D800000000001D +:0407D900000000001C +:0407DA00000000001B +:0407DB00000000001A +:0407DC000000000019 +:0407DD000000000018 +:0407DE000000000017 +:0407DF000000000016 +:0407E0000000000015 +:0407E1000000000014 +:0407E2000000000013 +:0407E3000000000012 +:0407E4000000000011 +:0407E5000000000010 +:0407E600000000000F +:0407E700000000000E +:0407E800000000000D +:0407E900000000000C +:0407EA00000000000B +:0407EB00000000000A +:0407EC000000000009 +:0407ED000000000008 +:0407EE000000000007 +:0407EF000000000006 +:0407F0000000000005 +:0407F1000000000004 +:0407F2000000000003 +:0407F3000000000002 +:0407F4000000000001 +:0407F5000000000000 +:0407F60000000000FF +:0407F70000000000FE +:0407F80000000000FD +:0407F90000000000FC +:0407FA0000000000FB +:0407FB0000000000FA +:0407FC0000000000F9 +:0407FD0000000000F8 +:0407FE0000000000F7 +:0407FF0000000000F6 +:0408000000000000F4 +:0408010000000000F3 +:0408020000000000F2 +:0408030000000000F1 +:0408040000000000F0 +:0408050000000000EF +:0408060000000000EE +:0408070000000000ED +:0408080000000000EC +:0408090000000000EB +:04080A0000000000EA +:04080B0000000000E9 +:04080C0000000000E8 +:04080D0000000000E7 +:04080E0000000000E6 +:04080F0000000000E5 +:0408100000000000E4 +:0408110000000000E3 +:0408120000000000E2 +:0408130000000000E1 +:0408140000000000E0 +:0408150000000000DF +:0408160000000000DE +:0408170000000000DD +:0408180000000000DC +:0408190000000000DB +:04081A0000000000DA +:04081B0000000000D9 +:04081C0000000000D8 +:04081D0000000000D7 +:04081E0000000000D6 +:04081F0000000000D5 +:0408200000000000D4 +:0408210000000000D3 +:0408220000000000D2 +:0408230000000000D1 +:0408240000000000D0 +:0408250000000000CF +:0408260000000000CE +:0408270000000000CD +:0408280000000000CC +:0408290000000000CB +:04082A0000000000CA +:04082B0000000000C9 +:04082C0000000000C8 +:04082D0000000000C7 +:04082E0000000000C6 +:04082F0000000000C5 +:0408300000000000C4 +:0408310000000000C3 +:0408320000000000C2 +:0408330000000000C1 +:0408340000000000C0 +:0408350000000000BF +:0408360000000000BE +:0408370000000000BD +:0408380000000000BC +:0408390000000000BB +:04083A0000000000BA +:04083B0000000000B9 +:04083C0000000000B8 +:04083D0000000000B7 +:04083E0000000000B6 +:04083F0000000000B5 +:0408400000000000B4 +:0408410000000000B3 +:0408420000000000B2 +:0408430000000000B1 +:0408440000000000B0 +:0408450000000000AF +:0408460000000000AE +:0408470000000000AD +:0408480000000000AC +:0408490000000000AB +:04084A0000000000AA +:04084B0000000000A9 +:04084C0000000000A8 +:04084D0000000000A7 +:04084E0000000000A6 +:04084F0000000000A5 +:0408500000000000A4 +:0408510000000000A3 +:0408520000000000A2 +:0408530000000000A1 +:0408540000000000A0 +:04085500000000009F +:04085600000000009E +:04085700000000009D +:04085800000000009C +:04085900000000009B +:04085A00000000009A +:04085B000000000099 +:04085C000000000098 +:04085D000000000097 +:04085E000000000096 +:04085F000000000095 +:040860000000000094 +:040861000000000093 +:040862000000000092 +:040863000000000091 +:040864000000000090 +:04086500000000008F +:04086600000000008E +:04086700000000008D +:04086800000000008C +:04086900000000008B +:04086A00000000008A +:04086B000000000089 +:04086C000000000088 +:04086D000000000087 +:04086E000000000086 +:04086F000000000085 +:040870000000000084 +:040871000000000083 +:040872000000000082 +:040873000000000081 +:040874000000000080 +:04087500000000007F +:04087600000000007E +:04087700000000007D +:04087800000000007C +:04087900000000007B +:04087A00000000007A +:04087B000000000079 +:04087C000000000078 +:04087D000000000077 +:04087E000000000076 +:04087F000000000075 +:040880000000000074 +:040881000000000073 +:040882000000000072 +:040883000000000071 +:040884000000000070 +:04088500000000006F +:04088600000000006E +:04088700000000006D +:04088800000000006C +:04088900000000006B +:04088A00000000006A +:04088B000000000069 +:04088C000000000068 +:04088D000000000067 +:04088E000000000066 +:04088F000000000065 +:040890000000000064 +:040891000000000063 +:040892000000000062 +:040893000000000061 +:040894000000000060 +:04089500000000005F +:04089600000000005E +:04089700000000005D +:04089800000000005C +:04089900000000005B +:04089A00000000005A +:04089B000000000059 +:04089C000000000058 +:04089D000000000057 +:04089E000000000056 +:04089F000000000055 +:0408A0000000000054 +:0408A1000000000053 +:0408A2000000000052 +:0408A3000000000051 +:0408A4000000000050 +:0408A500000000004F +:0408A600000000004E +:0408A700000000004D +:0408A800000000004C +:0408A900000000004B +:0408AA00000000004A +:0408AB000000000049 +:0408AC000000000048 +:0408AD000000000047 +:0408AE000000000046 +:0408AF000000000045 +:0408B0000000000044 +:0408B1000000000043 +:0408B2000000000042 +:0408B3000000000041 +:0408B4000000000040 +:0408B500000000003F +:0408B600000000003E +:0408B700000000003D +:0408B800000000003C +:0408B900000000003B +:0408BA00000000003A +:0408BB000000000039 +:0408BC000000000038 +:0408BD000000000037 +:0408BE000000000036 +:0408BF000000000035 +:0408C0000000000034 +:0408C1000000000033 +:0408C2000000000032 +:0408C3000000000031 +:0408C4000000000030 +:0408C500000000002F +:0408C600000000002E +:0408C700000000002D +:0408C800000000002C +:0408C900000000002B +:0408CA00000000002A +:0408CB000000000029 +:0408CC000000000028 +:0408CD000000000027 +:0408CE000000000026 +:0408CF000000000025 +:0408D0000000000024 +:0408D1000000000023 +:0408D2000000000022 +:0408D3000000000021 +:0408D4000000000020 +:0408D500000000001F +:0408D600000000001E +:0408D700000000001D +:0408D800000000001C +:0408D900000000001B +:0408DA00000000001A +:0408DB000000000019 +:0408DC000000000018 +:0408DD000000000017 +:0408DE000000000016 +:0408DF000000000015 +:0408E0000000000014 +:0408E1000000000013 +:0408E2000000000012 +:0408E3000000000011 +:0408E4000000000010 +:0408E500000000000F +:0408E600000000000E +:0408E700000000000D +:0408E800000000000C +:0408E900000000000B +:0408EA00000000000A +:0408EB000000000009 +:0408EC000000000008 +:0408ED000000000007 +:0408EE000000000006 +:0408EF000000000005 +:0408F0000000000004 +:0408F1000000000003 +:0408F2000000000002 +:0408F3000000000001 +:0408F4000000000000 +:0408F50000000000FF +:0408F60000000000FE +:0408F70000000000FD +:0408F80000000000FC +:0408F90000000000FB +:0408FA0000000000FA +:0408FB0000000000F9 +:0408FC0000000000F8 +:0408FD0000000000F7 +:0408FE0000000000F6 +:0408FF0000000000F5 +:0409000000000000F3 +:0409010000000000F2 +:0409020000000000F1 +:0409030000000000F0 +:0409040000000000EF +:0409050000000000EE +:0409060000000000ED +:0409070000000000EC +:0409080000000000EB +:0409090000000000EA +:04090A0000000000E9 +:04090B0000000000E8 +:04090C0000000000E7 +:04090D0000000000E6 +:04090E0000000000E5 +:04090F0000000000E4 +:0409100000000000E3 +:0409110000000000E2 +:0409120000000000E1 +:0409130000000000E0 +:0409140000000000DF +:0409150000000000DE +:0409160000000000DD +:0409170000000000DC +:0409180000000000DB +:0409190000000000DA +:04091A0000000000D9 +:04091B0000000000D8 +:04091C0000000000D7 +:04091D0000000000D6 +:04091E0000000000D5 +:04091F0000000000D4 +:0409200000000000D3 +:0409210000000000D2 +:0409220000000000D1 +:0409230000000000D0 +:0409240000000000CF +:0409250000000000CE +:0409260000000000CD +:0409270000000000CC +:0409280000000000CB +:0409290000000000CA +:04092A0000000000C9 +:04092B0000000000C8 +:04092C0000000000C7 +:04092D0000000000C6 +:04092E0000000000C5 +:04092F0000000000C4 +:0409300000000000C3 +:0409310000000000C2 +:0409320000000000C1 +:0409330000000000C0 +:0409340000000000BF +:0409350000000000BE +:0409360000000000BD +:0409370000000000BC +:0409380000000000BB +:0409390000000000BA +:04093A0000000000B9 +:04093B0000000000B8 +:04093C0000000000B7 +:04093D0000000000B6 +:04093E0000000000B5 +:04093F0000000000B4 +:0409400000000000B3 +:0409410000000000B2 +:0409420000000000B1 +:0409430000000000B0 +:0409440000000000AF +:0409450000000000AE +:0409460000000000AD +:0409470000000000AC +:0409480000000000AB +:0409490000000000AA +:04094A0000000000A9 +:04094B0000000000A8 +:04094C0000000000A7 +:04094D0000000000A6 +:04094E0000000000A5 +:04094F0000000000A4 +:0409500000000000A3 +:0409510000000000A2 +:0409520000000000A1 +:0409530000000000A0 +:04095400000000009F +:04095500000000009E +:04095600000000009D +:04095700000000009C +:04095800000000009B +:04095900000000009A +:04095A000000000099 +:04095B000000000098 +:04095C000000000097 +:04095D000000000096 +:04095E000000000095 +:04095F000000000094 +:040960000000000093 +:040961000000000092 +:040962000000000091 +:040963000000000090 +:04096400000000008F +:04096500000000008E +:04096600000000008D +:04096700000000008C +:04096800000000008B +:04096900000000008A +:04096A000000000089 +:04096B000000000088 +:04096C000000000087 +:04096D000000000086 +:04096E000000000085 +:04096F000000000084 +:040970000000000083 +:040971000000000082 +:040972000000000081 +:040973000000000080 +:04097400000000007F +:04097500000000007E +:04097600000000007D +:04097700000000007C +:04097800000000007B +:04097900000000007A +:04097A000000000079 +:04097B000000000078 +:04097C000000000077 +:04097D000000000076 +:04097E000000000075 +:04097F000000000074 +:040980000000000073 +:040981000000000072 +:040982000000000071 +:040983000000000070 +:04098400000000006F +:04098500000000006E +:04098600000000006D +:04098700000000006C +:04098800000000006B +:04098900000000006A +:04098A000000000069 +:04098B000000000068 +:04098C000000000067 +:04098D000000000066 +:04098E000000000065 +:04098F000000000064 +:040990000000000063 +:040991000000000062 +:040992000000000061 +:040993000000000060 +:04099400000000005F +:04099500000000005E +:04099600000000005D +:04099700000000005C +:04099800000000005B +:04099900000000005A +:04099A000000000059 +:04099B000000000058 +:04099C000000000057 +:04099D000000000056 +:04099E000000000055 +:04099F000000000054 +:0409A0000000000053 +:0409A1000000000052 +:0409A2000000000051 +:0409A3000000000050 +:0409A400000000004F +:0409A500000000004E +:0409A600000000004D +:0409A700000000004C +:0409A800000000004B +:0409A900000000004A +:0409AA000000000049 +:0409AB000000000048 +:0409AC000000000047 +:0409AD000000000046 +:0409AE000000000045 +:0409AF000000000044 +:0409B0000000000043 +:0409B1000000000042 +:0409B2000000000041 +:0409B3000000000040 +:0409B400000000003F +:0409B500000000003E +:0409B600000000003D +:0409B700000000003C +:0409B800000000003B +:0409B900000000003A +:0409BA000000000039 +:0409BB000000000038 +:0409BC000000000037 +:0409BD000000000036 +:0409BE000000000035 +:0409BF000000000034 +:0409C0000000000033 +:0409C1000000000032 +:0409C2000000000031 +:0409C3000000000030 +:0409C400000000002F +:0409C500000000002E +:0409C600000000002D +:0409C700000000002C +:0409C800000000002B +:0409C900000000002A +:0409CA000000000029 +:0409CB000000000028 +:0409CC000000000027 +:0409CD000000000026 +:0409CE000000000025 +:0409CF000000000024 +:0409D0000000000023 +:0409D1000000000022 +:0409D2000000000021 +:0409D3000000000020 +:0409D400000000001F +:0409D500000000001E +:0409D600000000001D +:0409D700000000001C +:0409D800000000001B +:0409D900000000001A +:0409DA000000000019 +:0409DB000000000018 +:0409DC000000000017 +:0409DD000000000016 +:0409DE000000000015 +:0409DF000000000014 +:0409E0000000000013 +:0409E1000000000012 +:0409E2000000000011 +:0409E3000000000010 +:0409E400000000000F +:0409E500000000000E +:0409E600000000000D +:0409E700000000000C +:0409E800000000000B +:0409E900000000000A +:0409EA000000000009 +:0409EB000000000008 +:0409EC000000000007 +:0409ED000000000006 +:0409EE000000000005 +:0409EF000000000004 +:0409F0000000000003 +:0409F1000000000002 +:0409F2000000000001 +:0409F3000000000000 +:0409F40000000000FF +:0409F50000000000FE +:0409F60000000000FD +:0409F70000000000FC +:0409F80000000000FB +:0409F90000000000FA +:0409FA0000000000F9 +:0409FB0000000000F8 +:0409FC0000000000F7 +:0409FD0000000000F6 +:0409FE0000000000F5 +:0409FF0000000000F4 +:040A000000000000F2 +:040A010000000000F1 +:040A020000000000F0 +:040A030000000000EF +:040A040000000000EE +:040A050000000000ED +:040A060000000000EC +:040A070000000000EB +:040A080000000000EA +:040A090000000000E9 +:040A0A0000000000E8 +:040A0B0000000000E7 +:040A0C0000000000E6 +:040A0D0000000000E5 +:040A0E0000000000E4 +:040A0F0000000000E3 +:040A100000000000E2 +:040A110000000000E1 +:040A120000000000E0 +:040A130000000000DF +:040A140000000000DE +:040A150000000000DD +:040A160000000000DC +:040A170000000000DB +:040A180000000000DA +:040A190000000000D9 +:040A1A0000000000D8 +:040A1B0000000000D7 +:040A1C0000000000D6 +:040A1D0000000000D5 +:040A1E0000000000D4 +:040A1F0000000000D3 +:040A200000000000D2 +:040A210000000000D1 +:040A220000000000D0 +:040A230000000000CF +:040A240000000000CE +:040A250000000000CD +:040A260000000000CC +:040A270000000000CB +:040A280000000000CA +:040A290000000000C9 +:040A2A0000000000C8 +:040A2B0000000000C7 +:040A2C0000000000C6 +:040A2D0000000000C5 +:040A2E0000000000C4 +:040A2F0000000000C3 +:040A300000000000C2 +:040A310000000000C1 +:040A320000000000C0 +:040A330000000000BF +:040A340000000000BE +:040A350000000000BD +:040A360000000000BC +:040A370000000000BB +:040A380000000000BA +:040A390000000000B9 +:040A3A0000000000B8 +:040A3B0000000000B7 +:040A3C0000000000B6 +:040A3D0000000000B5 +:040A3E0000000000B4 +:040A3F0000000000B3 +:040A400000000000B2 +:040A410000000000B1 +:040A420000000000B0 +:040A430000000000AF +:040A440000000000AE +:040A450000000000AD +:040A460000000000AC +:040A470000000000AB +:040A480000000000AA +:040A490000000000A9 +:040A4A0000000000A8 +:040A4B0000000000A7 +:040A4C0000000000A6 +:040A4D0000000000A5 +:040A4E0000000000A4 +:040A4F0000000000A3 +:040A500000000000A2 +:040A510000000000A1 +:040A520000000000A0 +:040A5300000000009F +:040A5400000000009E +:040A5500000000009D +:040A5600000000009C +:040A5700000000009B +:040A5800000000009A +:040A59000000000099 +:040A5A000000000098 +:040A5B000000000097 +:040A5C000000000096 +:040A5D000000000095 +:040A5E000000000094 +:040A5F000000000093 +:040A60000000000092 +:040A61000000000091 +:040A62000000000090 +:040A6300000000008F +:040A6400000000008E +:040A6500000000008D +:040A6600000000008C +:040A6700000000008B +:040A6800000000008A +:040A69000000000089 +:040A6A000000000088 +:040A6B000000000087 +:040A6C000000000086 +:040A6D000000000085 +:040A6E000000000084 +:040A6F000000000083 +:040A70000000000082 +:040A71000000000081 +:040A72000000000080 +:040A7300000000007F +:040A7400000000007E +:040A7500000000007D +:040A7600000000007C +:040A7700000000007B +:040A7800000000007A +:040A79000000000079 +:040A7A000000000078 +:040A7B000000000077 +:040A7C000000000076 +:040A7D000000000075 +:040A7E000000000074 +:040A7F000000000073 +:040A80000000000072 +:040A81000000000071 +:040A82000000000070 +:040A8300000000006F +:040A8400000000006E +:040A8500000000006D +:040A8600000000006C +:040A8700000000006B +:040A8800000000006A +:040A89000000000069 +:040A8A000000000068 +:040A8B000000000067 +:040A8C000000000066 +:040A8D000000000065 +:040A8E000000000064 +:040A8F000000000063 +:040A90000000000062 +:040A91000000000061 +:040A92000000000060 +:040A9300000000005F +:040A9400000000005E +:040A9500000000005D +:040A9600000000005C +:040A9700000000005B +:040A9800000000005A +:040A99000000000059 +:040A9A000000000058 +:040A9B000000000057 +:040A9C000000000056 +:040A9D000000000055 +:040A9E000000000054 +:040A9F000000000053 +:040AA0000000000052 +:040AA1000000000051 +:040AA2000000000050 +:040AA300000000004F +:040AA400000000004E +:040AA500000000004D +:040AA600000000004C +:040AA700000000004B +:040AA800000000004A +:040AA9000000000049 +:040AAA000000000048 +:040AAB000000000047 +:040AAC000000000046 +:040AAD000000000045 +:040AAE000000000044 +:040AAF000000000043 +:040AB0000000000042 +:040AB1000000000041 +:040AB2000000000040 +:040AB300000000003F +:040AB400000000003E +:040AB500000000003D +:040AB600000000003C +:040AB700000000003B +:040AB800000000003A +:040AB9000000000039 +:040ABA000000000038 +:040ABB000000000037 +:040ABC000000000036 +:040ABD000000000035 +:040ABE000000000034 +:040ABF000000000033 +:040AC0000000000032 +:040AC1000000000031 +:040AC2000000000030 +:040AC300000000002F +:040AC400000000002E +:040AC500000000002D +:040AC600000000002C +:040AC700000000002B +:040AC800000000002A +:040AC9000000000029 +:040ACA000000000028 +:040ACB000000000027 +:040ACC000000000026 +:040ACD000000000025 +:040ACE000000000024 +:040ACF000000000023 +:040AD0000000000022 +:040AD1000000000021 +:040AD2000000000020 +:040AD300000000001F +:040AD400000000001E +:040AD500000000001D +:040AD600000000001C +:040AD700000000001B +:040AD800000000001A +:040AD9000000000019 +:040ADA000000000018 +:040ADB000000000017 +:040ADC000000000016 +:040ADD000000000015 +:040ADE000000000014 +:040ADF000000000013 +:040AE0000000000012 +:040AE1000000000011 +:040AE2000000000010 +:040AE300000000000F +:040AE400000000000E +:040AE500000000000D +:040AE600000000000C +:040AE700000000000B +:040AE800000000000A +:040AE9000000000009 +:040AEA000000000008 +:040AEB000000000007 +:040AEC000000000006 +:040AED000000000005 +:040AEE000000000004 +:040AEF000000000003 +:040AF0000000000002 +:040AF1000000000001 +:040AF2000000000000 +:040AF30000000000FF +:040AF40000000000FE +:040AF50000000000FD +:040AF60000000000FC +:040AF70000000000FB +:040AF80000000000FA +:040AF90000000000F9 +:040AFA0000000000F8 +:040AFB0000000000F7 +:040AFC0000000000F6 +:040AFD0000000000F5 +:040AFE0000000000F4 +:040AFF0000000000F3 +:040B000000000000F1 +:040B010000000000F0 +:040B020000000000EF +:040B030000000000EE +:040B040000000000ED +:040B050000000000EC +:040B060000000000EB +:040B070000000000EA +:040B080000000000E9 +:040B090000000000E8 +:040B0A0000000000E7 +:040B0B0000000000E6 +:040B0C0000000000E5 +:040B0D0000000000E4 +:040B0E0000000000E3 +:040B0F0000000000E2 +:040B100000000000E1 +:040B110000000000E0 +:040B120000000000DF +:040B130000000000DE +:040B140000000000DD +:040B150000000000DC +:040B160000000000DB +:040B170000000000DA +:040B180000000000D9 +:040B190000000000D8 +:040B1A0000000000D7 +:040B1B0000000000D6 +:040B1C0000000000D5 +:040B1D0000000000D4 +:040B1E0000000000D3 +:040B1F0000000000D2 +:040B200000000000D1 +:040B210000000000D0 +:040B220000000000CF +:040B230000000000CE +:040B240000000000CD +:040B250000000000CC +:040B260000000000CB +:040B270000000000CA +:040B280000000000C9 +:040B290000000000C8 +:040B2A0000000000C7 +:040B2B0000000000C6 +:040B2C0000000000C5 +:040B2D0000000000C4 +:040B2E0000000000C3 +:040B2F0000000000C2 +:040B300000000000C1 +:040B310000000000C0 +:040B320000000000BF +:040B330000000000BE +:040B340000000000BD +:040B350000000000BC +:040B360000000000BB +:040B370000000000BA +:040B380000000000B9 +:040B390000000000B8 +:040B3A0000000000B7 +:040B3B0000000000B6 +:040B3C0000000000B5 +:040B3D0000000000B4 +:040B3E0000000000B3 +:040B3F0000000000B2 +:040B400000000000B1 +:040B410000000000B0 +:040B420000000000AF +:040B430000000000AE +:040B440000000000AD +:040B450000000000AC +:040B460000000000AB +:040B470000000000AA +:040B480000000000A9 +:040B490000000000A8 +:040B4A0000000000A7 +:040B4B0000000000A6 +:040B4C0000000000A5 +:040B4D0000000000A4 +:040B4E0000000000A3 +:040B4F0000000000A2 +:040B500000000000A1 +:040B510000000000A0 +:040B5200000000009F +:040B5300000000009E +:040B5400000000009D +:040B5500000000009C +:040B5600000000009B +:040B5700000000009A +:040B58000000000099 +:040B59000000000098 +:040B5A000000000097 +:040B5B000000000096 +:040B5C000000000095 +:040B5D000000000094 +:040B5E000000000093 +:040B5F000000000092 +:040B60000000000091 +:040B61000000000090 +:040B6200000000008F +:040B6300000000008E +:040B6400000000008D +:040B6500000000008C +:040B6600000000008B +:040B6700000000008A +:040B68000000000089 +:040B69000000000088 +:040B6A000000000087 +:040B6B000000000086 +:040B6C000000000085 +:040B6D000000000084 +:040B6E000000000083 +:040B6F000000000082 +:040B70000000000081 +:040B71000000000080 +:040B7200000000007F +:040B7300000000007E +:040B7400000000007D +:040B7500000000007C +:040B7600000000007B +:040B7700000000007A +:040B78000000000079 +:040B79000000000078 +:040B7A000000000077 +:040B7B000000000076 +:040B7C000000000075 +:040B7D000000000074 +:040B7E000000000073 +:040B7F000000000072 +:040B80000000000071 +:040B81000000000070 +:040B8200000000006F +:040B8300000000006E +:040B8400000000006D +:040B8500000000006C +:040B8600000000006B +:040B8700000000006A +:040B88000000000069 +:040B89000000000068 +:040B8A000000000067 +:040B8B000000000066 +:040B8C000000000065 +:040B8D000000000064 +:040B8E000000000063 +:040B8F000000000062 +:040B90000000000061 +:040B91000000000060 +:040B9200000000005F +:040B9300000000005E +:040B9400000000005D +:040B9500000000005C +:040B9600000000005B +:040B9700000000005A +:040B98000000000059 +:040B99000000000058 +:040B9A000000000057 +:040B9B000000000056 +:040B9C000000000055 +:040B9D000000000054 +:040B9E000000000053 +:040B9F000000000052 +:040BA0000000000051 +:040BA1000000000050 +:040BA200000000004F +:040BA300000000004E +:040BA400000000004D +:040BA500000000004C +:040BA600000000004B +:040BA700000000004A +:040BA8000000000049 +:040BA9000000000048 +:040BAA000000000047 +:040BAB000000000046 +:040BAC000000000045 +:040BAD000000000044 +:040BAE000000000043 +:040BAF000000000042 +:040BB0000000000041 +:040BB1000000000040 +:040BB200000000003F +:040BB300000000003E +:040BB400000000003D +:040BB500000000003C +:040BB600000000003B +:040BB700000000003A +:040BB8000000000039 +:040BB9000000000038 +:040BBA000000000037 +:040BBB000000000036 +:040BBC000000000035 +:040BBD000000000034 +:040BBE000000000033 +:040BBF000000000032 +:040BC0000000000031 +:040BC1000000000030 +:040BC200000000002F +:040BC300000000002E +:040BC400000000002D +:040BC500000000002C +:040BC600000000002B +:040BC700000000002A +:040BC8000000000029 +:040BC9000000000028 +:040BCA000000000027 +:040BCB000000000026 +:040BCC000000000025 +:040BCD000000000024 +:040BCE000000000023 +:040BCF000000000022 +:040BD0000000000021 +:040BD1000000000020 +:040BD200000000001F +:040BD300000000001E +:040BD400000000001D +:040BD500000000001C +:040BD600000000001B +:040BD700000000001A +:040BD8000000000019 +:040BD9000000000018 +:040BDA000000000017 +:040BDB000000000016 +:040BDC000000000015 +:040BDD000000000014 +:040BDE000000000013 +:040BDF000000000012 +:040BE0000000000011 +:040BE1000000000010 +:040BE200000000000F +:040BE300000000000E +:040BE400000000000D +:040BE500000000000C +:040BE600000000000B +:040BE700000000000A +:040BE8000000000009 +:040BE9000000000008 +:040BEA000000000007 +:040BEB000000000006 +:040BEC000000000005 +:040BED000000000004 +:040BEE000000000003 +:040BEF000000000002 +:040BF0000000000001 +:040BF1000000000000 +:040BF20000000000FF +:040BF30000000000FE +:040BF40000000000FD +:040BF50000000000FC +:040BF60000000000FB +:040BF70000000000FA +:040BF80000000000F9 +:040BF90000000000F8 +:040BFA0000000000F7 +:040BFB0000000000F6 +:040BFC0000000000F5 +:040BFD0000000000F4 +:040BFE0000000000F3 +:040BFF0000000000F2 +:040C000000000000F0 +:040C010000000000EF +:040C020000000000EE +:040C030000000000ED +:040C040000000000EC +:040C050000000000EB +:040C060000000000EA +:040C070000000000E9 +:040C080000000000E8 +:040C090000000000E7 +:040C0A0000000000E6 +:040C0B0000000000E5 +:040C0C0000000000E4 +:040C0D0000000000E3 +:040C0E0000000000E2 +:040C0F0000000000E1 +:040C100000000000E0 +:040C110000000000DF +:040C120000000000DE +:040C130000000000DD +:040C140000000000DC +:040C150000000000DB +:040C160000000000DA +:040C170000000000D9 +:040C180000000000D8 +:040C190000000000D7 +:040C1A0000000000D6 +:040C1B0000000000D5 +:040C1C0000000000D4 +:040C1D0000000000D3 +:040C1E0000000000D2 +:040C1F0000000000D1 +:040C200000000000D0 +:040C210000000000CF +:040C220000000000CE +:040C230000000000CD +:040C240000000000CC +:040C250000000000CB +:040C260000000000CA +:040C270000000000C9 +:040C280000000000C8 +:040C290000000000C7 +:040C2A0000000000C6 +:040C2B0000000000C5 +:040C2C0000000000C4 +:040C2D0000000000C3 +:040C2E0000000000C2 +:040C2F0000000000C1 +:040C300000000000C0 +:040C310000000000BF +:040C320000000000BE +:040C330000000000BD +:040C340000000000BC +:040C350000000000BB +:040C360000000000BA +:040C370000000000B9 +:040C380000000000B8 +:040C390000000000B7 +:040C3A0000000000B6 +:040C3B0000000000B5 +:040C3C0000000000B4 +:040C3D0000000000B3 +:040C3E0000000000B2 +:040C3F0000000000B1 +:040C400000000000B0 +:040C410000000000AF +:040C420000000000AE +:040C430000000000AD +:040C440000000000AC +:040C450000000000AB +:040C460000000000AA +:040C470000000000A9 +:040C480000000000A8 +:040C490000000000A7 +:040C4A0000000000A6 +:040C4B0000000000A5 +:040C4C0000000000A4 +:040C4D0000000000A3 +:040C4E0000000000A2 +:040C4F0000000000A1 +:040C500000000000A0 +:040C5100000000009F +:040C5200000000009E +:040C5300000000009D +:040C5400000000009C +:040C5500000000009B +:040C5600000000009A +:040C57000000000099 +:040C58000000000098 +:040C59000000000097 +:040C5A000000000096 +:040C5B000000000095 +:040C5C000000000094 +:040C5D000000000093 +:040C5E000000000092 +:040C5F000000000091 +:040C60000000000090 +:040C6100000000008F +:040C6200000000008E +:040C6300000000008D +:040C6400000000008C +:040C6500000000008B +:040C6600000000008A +:040C67000000000089 +:040C68000000000088 +:040C69000000000087 +:040C6A000000000086 +:040C6B000000000085 +:040C6C000000000084 +:040C6D000000000083 +:040C6E000000000082 +:040C6F000000000081 +:040C70000000000080 +:040C7100000000007F +:040C7200000000007E +:040C7300000000007D +:040C7400000000007C +:040C7500000000007B +:040C7600000000007A +:040C77000000000079 +:040C78000000000078 +:040C79000000000077 +:040C7A000000000076 +:040C7B000000000075 +:040C7C000000000074 +:040C7D000000000073 +:040C7E000000000072 +:040C7F000000000071 +:040C80000000000070 +:040C8100000000006F +:040C8200000000006E +:040C8300000000006D +:040C8400000000006C +:040C8500000000006B +:040C8600000000006A +:040C87000000000069 +:040C88000000000068 +:040C89000000000067 +:040C8A000000000066 +:040C8B000000000065 +:040C8C000000000064 +:040C8D000000000063 +:040C8E000000000062 +:040C8F000000000061 +:040C90000000000060 +:040C9100000000005F +:040C9200000000005E +:040C9300000000005D +:040C9400000000005C +:040C9500000000005B +:040C9600000000005A +:040C97000000000059 +:040C98000000000058 +:040C99000000000057 +:040C9A000000000056 +:040C9B000000000055 +:040C9C000000000054 +:040C9D000000000053 +:040C9E000000000052 +:040C9F000000000051 +:040CA0000000000050 +:040CA100000000004F +:040CA200000000004E +:040CA300000000004D +:040CA400000000004C +:040CA500000000004B +:040CA600000000004A +:040CA7000000000049 +:040CA8000000000048 +:040CA9000000000047 +:040CAA000000000046 +:040CAB000000000045 +:040CAC000000000044 +:040CAD000000000043 +:040CAE000000000042 +:040CAF000000000041 +:040CB0000000000040 +:040CB100000000003F +:040CB200000000003E +:040CB300000000003D +:040CB400000000003C +:040CB500000000003B +:040CB600000000003A +:040CB7000000000039 +:040CB8000000000038 +:040CB9000000000037 +:040CBA000000000036 +:040CBB000000000035 +:040CBC000000000034 +:040CBD000000000033 +:040CBE000000000032 +:040CBF000000000031 +:040CC0000000000030 +:040CC100000000002F +:040CC200000000002E +:040CC300000000002D +:040CC400000000002C +:040CC500000000002B +:040CC600000000002A +:040CC7000000000029 +:040CC8000000000028 +:040CC9000000000027 +:040CCA000000000026 +:040CCB000000000025 +:040CCC000000000024 +:040CCD000000000023 +:040CCE000000000022 +:040CCF000000000021 +:040CD0000000000020 +:040CD100000000001F +:040CD200000000001E +:040CD300000000001D +:040CD400000000001C +:040CD500000000001B +:040CD600000000001A +:040CD7000000000019 +:040CD8000000000018 +:040CD9000000000017 +:040CDA000000000016 +:040CDB000000000015 +:040CDC000000000014 +:040CDD000000000013 +:040CDE000000000012 +:040CDF000000000011 +:040CE0000000000010 +:040CE100000000000F +:040CE200000000000E +:040CE300000000000D +:040CE400000000000C +:040CE500000000000B +:040CE600000000000A +:040CE7000000000009 +:040CE8000000000008 +:040CE9000000000007 +:040CEA000000000006 +:040CEB000000000005 +:040CEC000000000004 +:040CED000000000003 +:040CEE000000000002 +:040CEF000000000001 +:040CF0000000000000 +:040CF10000000000FF +:040CF20000000000FE +:040CF30000000000FD +:040CF40000000000FC +:040CF50000000000FB +:040CF60000000000FA +:040CF70000000000F9 +:040CF80000000000F8 +:040CF90000000000F7 +:040CFA0000000000F6 +:040CFB0000000000F5 +:040CFC0000000000F4 +:040CFD0000000000F3 +:040CFE0000000000F2 +:040CFF0000000000F1 +:040D000000000000EF +:040D010000000000EE +:040D020000000000ED +:040D030000000000EC +:040D040000000000EB +:040D050000000000EA +:040D060000000000E9 +:040D070000000000E8 +:040D080000000000E7 +:040D090000000000E6 +:040D0A0000000000E5 +:040D0B0000000000E4 +:040D0C0000000000E3 +:040D0D0000000000E2 +:040D0E0000000000E1 +:040D0F0000000000E0 +:040D100000000000DF +:040D110000000000DE +:040D120000000000DD +:040D130000000000DC +:040D140000000000DB +:040D150000000000DA +:040D160000000000D9 +:040D170000000000D8 +:040D180000000000D7 +:040D190000000000D6 +:040D1A0000000000D5 +:040D1B0000000000D4 +:040D1C0000000000D3 +:040D1D0000000000D2 +:040D1E0000000000D1 +:040D1F0000000000D0 +:040D200000000000CF +:040D210000000000CE +:040D220000000000CD +:040D230000000000CC +:040D240000000000CB +:040D250000000000CA +:040D260000000000C9 +:040D270000000000C8 +:040D280000000000C7 +:040D290000000000C6 +:040D2A0000000000C5 +:040D2B0000000000C4 +:040D2C0000000000C3 +:040D2D0000000000C2 +:040D2E0000000000C1 +:040D2F0000000000C0 +:040D300000000000BF +:040D310000000000BE +:040D320000000000BD +:040D330000000000BC +:040D340000000000BB +:040D350000000000BA +:040D360000000000B9 +:040D370000000000B8 +:040D380000000000B7 +:040D390000000000B6 +:040D3A0000000000B5 +:040D3B0000000000B4 +:040D3C0000000000B3 +:040D3D0000000000B2 +:040D3E0000000000B1 +:040D3F0000000000B0 +:040D400000000000AF +:040D410000000000AE +:040D420000000000AD +:040D430000000000AC +:040D440000000000AB +:040D450000000000AA +:040D460000000000A9 +:040D470000000000A8 +:040D480000000000A7 +:040D490000000000A6 +:040D4A0000000000A5 +:040D4B0000000000A4 +:040D4C0000000000A3 +:040D4D0000000000A2 +:040D4E0000000000A1 +:040D4F0000000000A0 +:040D5000000000009F +:040D5100000000009E +:040D5200000000009D +:040D5300000000009C +:040D5400000000009B +:040D5500000000009A +:040D56000000000099 +:040D57000000000098 +:040D58000000000097 +:040D59000000000096 +:040D5A000000000095 +:040D5B000000000094 +:040D5C000000000093 +:040D5D000000000092 +:040D5E000000000091 +:040D5F000000000090 +:040D6000000000008F +:040D6100000000008E +:040D6200000000008D +:040D6300000000008C +:040D6400000000008B +:040D6500000000008A +:040D66000000000089 +:040D67000000000088 +:040D68000000000087 +:040D69000000000086 +:040D6A000000000085 +:040D6B000000000084 +:040D6C000000000083 +:040D6D000000000082 +:040D6E000000000081 +:040D6F000000000080 +:040D7000000000007F +:040D7100000000007E +:040D7200000000007D +:040D7300000000007C +:040D7400000000007B +:040D7500000000007A +:040D76000000000079 +:040D77000000000078 +:040D78000000000077 +:040D79000000000076 +:040D7A000000000075 +:040D7B000000000074 +:040D7C000000000073 +:040D7D000000000072 +:040D7E000000000071 +:040D7F000000000070 +:040D8000000000006F +:040D8100000000006E +:040D8200000000006D +:040D8300000000006C +:040D8400000000006B +:040D8500000000006A +:040D86000000000069 +:040D87000000000068 +:040D88000000000067 +:040D89000000000066 +:040D8A000000000065 +:040D8B000000000064 +:040D8C000000000063 +:040D8D000000000062 +:040D8E000000000061 +:040D8F000000000060 +:040D9000000000005F +:040D9100000000005E +:040D9200000000005D +:040D9300000000005C +:040D9400000000005B +:040D9500000000005A +:040D96000000000059 +:040D97000000000058 +:040D98000000000057 +:040D99000000000056 +:040D9A000000000055 +:040D9B000000000054 +:040D9C000000000053 +:040D9D000000000052 +:040D9E000000000051 +:040D9F000000000050 +:040DA000000000004F +:040DA100000000004E +:040DA200000000004D +:040DA300000000004C +:040DA400000000004B +:040DA500000000004A +:040DA6000000000049 +:040DA7000000000048 +:040DA8000000000047 +:040DA9000000000046 +:040DAA000000000045 +:040DAB000000000044 +:040DAC000000000043 +:040DAD000000000042 +:040DAE000000000041 +:040DAF000000000040 +:040DB000000000003F +:040DB100000000003E +:040DB200000000003D +:040DB300000000003C +:040DB400000000003B +:040DB500000000003A +:040DB6000000000039 +:040DB7000000000038 +:040DB8000000000037 +:040DB9000000000036 +:040DBA000000000035 +:040DBB000000000034 +:040DBC000000000033 +:040DBD000000000032 +:040DBE000000000031 +:040DBF000000000030 +:040DC000000000002F +:040DC100000000002E +:040DC200000000002D +:040DC300000000002C +:040DC400000000002B +:040DC500000000002A +:040DC6000000000029 +:040DC7000000000028 +:040DC8000000000027 +:040DC9000000000026 +:040DCA000000000025 +:040DCB000000000024 +:040DCC000000000023 +:040DCD000000000022 +:040DCE000000000021 +:040DCF000000000020 +:040DD000000000001F +:040DD100000000001E +:040DD200000000001D +:040DD300000000001C +:040DD400000000001B +:040DD500000000001A +:040DD6000000000019 +:040DD7000000000018 +:040DD8000000000017 +:040DD9000000000016 +:040DDA000000000015 +:040DDB000000000014 +:040DDC000000000013 +:040DDD000000000012 +:040DDE000000000011 +:040DDF000000000010 +:040DE000000000000F +:040DE100000000000E +:040DE200000000000D +:040DE300000000000C +:040DE400000000000B +:040DE500000000000A +:040DE6000000000009 +:040DE7000000000008 +:040DE8000000000007 +:040DE9000000000006 +:040DEA000000000005 +:040DEB000000000004 +:040DEC000000000003 +:040DED000000000002 +:040DEE000000000001 +:040DEF000000000000 +:040DF00000000000FF +:040DF10000000000FE +:040DF20000000000FD +:040DF30000000000FC +:040DF40000000000FB +:040DF50000000000FA +:040DF60000000000F9 +:040DF70000000000F8 +:040DF80000000000F7 +:040DF90000000000F6 +:040DFA0000000000F5 +:040DFB0000000000F4 +:040DFC0000000000F3 +:040DFD0000000000F2 +:040DFE0000000000F1 +:040DFF0000000000F0 +:040E000000000000EE +:040E010000000000ED +:040E020000000000EC +:040E030000000000EB +:040E040000000000EA +:040E050000000000E9 +:040E060000000000E8 +:040E070000000000E7 +:040E080000000000E6 +:040E090000000000E5 +:040E0A0000000000E4 +:040E0B0000000000E3 +:040E0C0000000000E2 +:040E0D0000000000E1 +:040E0E0000000000E0 +:040E0F0000000000DF +:040E100000000000DE +:040E110000000000DD +:040E120000000000DC +:040E130000000000DB +:040E140000000000DA +:040E150000000000D9 +:040E160000000000D8 +:040E170000000000D7 +:040E180000000000D6 +:040E190000000000D5 +:040E1A0000000000D4 +:040E1B0000000000D3 +:040E1C0000000000D2 +:040E1D0000000000D1 +:040E1E0000000000D0 +:040E1F0000000000CF +:040E200000000000CE +:040E210000000000CD +:040E220000000000CC +:040E230000000000CB +:040E240000000000CA +:040E250000000000C9 +:040E260000000000C8 +:040E270000000000C7 +:040E280000000000C6 +:040E290000000000C5 +:040E2A0000000000C4 +:040E2B0000000000C3 +:040E2C0000000000C2 +:040E2D0000000000C1 +:040E2E0000000000C0 +:040E2F0000000000BF +:040E300000000000BE +:040E310000000000BD +:040E320000000000BC +:040E330000000000BB +:040E340000000000BA +:040E350000000000B9 +:040E360000000000B8 +:040E370000000000B7 +:040E380000000000B6 +:040E390000000000B5 +:040E3A0000000000B4 +:040E3B0000000000B3 +:040E3C0000000000B2 +:040E3D0000000000B1 +:040E3E0000000000B0 +:040E3F0000000000AF +:040E400000000000AE +:040E410000000000AD +:040E420000000000AC +:040E430000000000AB +:040E440000000000AA +:040E450000000000A9 +:040E460000000000A8 +:040E470000000000A7 +:040E480000000000A6 +:040E490000000000A5 +:040E4A0000000000A4 +:040E4B0000000000A3 +:040E4C0000000000A2 +:040E4D0000000000A1 +:040E4E0000000000A0 +:040E4F00000000009F +:040E5000000000009E +:040E5100000000009D +:040E5200000000009C +:040E5300000000009B +:040E5400000000009A +:040E55000000000099 +:040E56000000000098 +:040E57000000000097 +:040E58000000000096 +:040E59000000000095 +:040E5A000000000094 +:040E5B000000000093 +:040E5C000000000092 +:040E5D000000000091 +:040E5E000000000090 +:040E5F00000000008F +:040E6000000000008E +:040E6100000000008D +:040E6200000000008C +:040E6300000000008B +:040E6400000000008A +:040E65000000000089 +:040E66000000000088 +:040E67000000000087 +:040E68000000000086 +:040E69000000000085 +:040E6A000000000084 +:040E6B000000000083 +:040E6C000000000082 +:040E6D000000000081 +:040E6E000000000080 +:040E6F00000000007F +:040E7000000000007E +:040E7100000000007D +:040E7200000000007C +:040E7300000000007B +:040E7400000000007A +:040E75000000000079 +:040E76000000000078 +:040E77000000000077 +:040E78000000000076 +:040E79000000000075 +:040E7A000000000074 +:040E7B000000000073 +:040E7C000000000072 +:040E7D000000000071 +:040E7E000000000070 +:040E7F00000000006F +:040E8000000000006E +:040E8100000000006D +:040E8200000000006C +:040E8300000000006B +:040E8400000000006A +:040E85000000000069 +:040E86000000000068 +:040E87000000000067 +:040E88000000000066 +:040E89000000000065 +:040E8A000000000064 +:040E8B000000000063 +:040E8C000000000062 +:040E8D000000000061 +:040E8E000000000060 +:040E8F00000000005F +:040E9000000000005E +:040E9100000000005D +:040E9200000000005C +:040E9300000000005B +:040E9400000000005A +:040E95000000000059 +:040E96000000000058 +:040E97000000000057 +:040E98000000000056 +:040E99000000000055 +:040E9A000000000054 +:040E9B000000000053 +:040E9C000000000052 +:040E9D000000000051 +:040E9E000000000050 +:040E9F00000000004F +:040EA000000000004E +:040EA100000000004D +:040EA200000000004C +:040EA300000000004B +:040EA400000000004A +:040EA5000000000049 +:040EA6000000000048 +:040EA7000000000047 +:040EA8000000000046 +:040EA9000000000045 +:040EAA000000000044 +:040EAB000000000043 +:040EAC000000000042 +:040EAD000000000041 +:040EAE000000000040 +:040EAF00000000003F +:040EB000000000003E +:040EB100000000003D +:040EB200000000003C +:040EB300000000003B +:040EB400000000003A +:040EB5000000000039 +:040EB6000000000038 +:040EB7000000000037 +:040EB8000000000036 +:040EB9000000000035 +:040EBA000000000034 +:040EBB000000000033 +:040EBC000000000032 +:040EBD000000000031 +:040EBE000000000030 +:040EBF00000000002F +:040EC000000000002E +:040EC100000000002D +:040EC200000000002C +:040EC300000000002B +:040EC400000000002A +:040EC5000000000029 +:040EC6000000000028 +:040EC7000000000027 +:040EC8000000000026 +:040EC9000000000025 +:040ECA000000000024 +:040ECB000000000023 +:040ECC000000000022 +:040ECD000000000021 +:040ECE000000000020 +:040ECF00000000001F +:040ED000000000001E +:040ED100000000001D +:040ED200000000001C +:040ED300000000001B +:040ED400000000001A +:040ED5000000000019 +:040ED6000000000018 +:040ED7000000000017 +:040ED8000000000016 +:040ED9000000000015 +:040EDA000000000014 +:040EDB000000000013 +:040EDC000000000012 +:040EDD000000000011 +:040EDE000000000010 +:040EDF00000000000F +:040EE000000000000E +:040EE100000000000D +:040EE200000000000C +:040EE300000000000B +:040EE400000000000A +:040EE5000000000009 +:040EE6000000000008 +:040EE7000000000007 +:040EE8000000000006 +:040EE9000000000005 +:040EEA000000000004 +:040EEB000000000003 +:040EEC000000000002 +:040EED000000000001 +:040EEE000000000000 +:040EEF0000000000FF +:040EF00000000000FE +:040EF10000000000FD +:040EF20000000000FC +:040EF30000000000FB +:040EF40000000000FA +:040EF50000000000F9 +:040EF60000000000F8 +:040EF70000000000F7 +:040EF80000000000F6 +:040EF90000000000F5 +:040EFA0000000000F4 +:040EFB0000000000F3 +:040EFC0000000000F2 +:040EFD0000000000F1 +:040EFE0000000000F0 +:040EFF0000000000EF +:040F000000000000ED +:040F010000000000EC +:040F020000000000EB +:040F030000000000EA +:040F040000000000E9 +:040F050000000000E8 +:040F060000000000E7 +:040F070000000000E6 +:040F080000000000E5 +:040F090000000000E4 +:040F0A0000000000E3 +:040F0B0000000000E2 +:040F0C0000000000E1 +:040F0D0000000000E0 +:040F0E0000000000DF +:040F0F0000000000DE +:040F100000000000DD +:040F110000000000DC +:040F120000000000DB +:040F130000000000DA +:040F140000000000D9 +:040F150000000000D8 +:040F160000000000D7 +:040F170000000000D6 +:040F180000000000D5 +:040F190000000000D4 +:040F1A0000000000D3 +:040F1B0000000000D2 +:040F1C0000000000D1 +:040F1D0000000000D0 +:040F1E0000000000CF +:040F1F0000000000CE +:040F200000000000CD +:040F210000000000CC +:040F220000000000CB +:040F230000000000CA +:040F240000000000C9 +:040F250000000000C8 +:040F260000000000C7 +:040F270000000000C6 +:040F280000000000C5 +:040F290000000000C4 +:040F2A0000000000C3 +:040F2B0000000000C2 +:040F2C0000000000C1 +:040F2D0000000000C0 +:040F2E0000000000BF +:040F2F0000000000BE +:040F300000000000BD +:040F310000000000BC +:040F320000000000BB +:040F330000000000BA +:040F340000000000B9 +:040F350000000000B8 +:040F360000000000B7 +:040F370000000000B6 +:040F380000000000B5 +:040F390000000000B4 +:040F3A0000000000B3 +:040F3B0000000000B2 +:040F3C0000000000B1 +:040F3D0000000000B0 +:040F3E0000000000AF +:040F3F0000000000AE +:040F400000000000AD +:040F410000000000AC +:040F420000000000AB +:040F430000000000AA +:040F440000000000A9 +:040F450000000000A8 +:040F460000000000A7 +:040F470000000000A6 +:040F480000000000A5 +:040F490000000000A4 +:040F4A0000000000A3 +:040F4B0000000000A2 +:040F4C0000000000A1 +:040F4D0000000000A0 +:040F4E00000000009F +:040F4F00000000009E +:040F5000000000009D +:040F5100000000009C +:040F5200000000009B +:040F5300000000009A +:040F54000000000099 +:040F55000000000098 +:040F56000000000097 +:040F57000000000096 +:040F58000000000095 +:040F59000000000094 +:040F5A000000000093 +:040F5B000000000092 +:040F5C000000000091 +:040F5D000000000090 +:040F5E00000000008F +:040F5F00000000008E +:040F6000000000008D +:040F6100000000008C +:040F6200000000008B +:040F6300000000008A +:040F64000000000089 +:040F65000000000088 +:040F66000000000087 +:040F67000000000086 +:040F68000000000085 +:040F69000000000084 +:040F6A000000000083 +:040F6B000000000082 +:040F6C000000000081 +:040F6D000000000080 +:040F6E00000000007F +:040F6F00000000007E +:040F7000000000007D +:040F7100000000007C +:040F7200000000007B +:040F7300000000007A +:040F74000000000079 +:040F75000000000078 +:040F76000000000077 +:040F77000000000076 +:040F78000000000075 +:040F79000000000074 +:040F7A000000000073 +:040F7B000000000072 +:040F7C000000000071 +:040F7D000000000070 +:040F7E00000000006F +:040F7F00000000006E +:040F8000000000006D +:040F8100000000006C +:040F8200000000006B +:040F8300000000006A +:040F84000000000069 +:040F85000000000068 +:040F86000000000067 +:040F87000000000066 +:040F88000000000065 +:040F89000000000064 +:040F8A000000000063 +:040F8B000000000062 +:040F8C000000000061 +:040F8D000000000060 +:040F8E00000000005F +:040F8F00000000005E +:040F9000000000005D +:040F9100000000005C +:040F9200000000005B +:040F9300000000005A +:040F94000000000059 +:040F95000000000058 +:040F96000000000057 +:040F97000000000056 +:040F98000000000055 +:040F99000000000054 +:040F9A000000000053 +:040F9B000000000052 +:040F9C000000000051 +:040F9D000000000050 +:040F9E00000000004F +:040F9F00000000004E +:040FA000000000004D +:040FA100000000004C +:040FA200000000004B +:040FA300000000004A +:040FA4000000000049 +:040FA5000000000048 +:040FA6000000000047 +:040FA7000000000046 +:040FA8000000000045 +:040FA9000000000044 +:040FAA000000000043 +:040FAB000000000042 +:040FAC000000000041 +:040FAD000000000040 +:040FAE00000000003F +:040FAF00000000003E +:040FB000000000003D +:040FB100000000003C +:040FB200000000003B +:040FB300000000003A +:040FB4000000000039 +:040FB5000000000038 +:040FB6000000000037 +:040FB7000000000036 +:040FB8000000000035 +:040FB9000000000034 +:040FBA000000000033 +:040FBB000000000032 +:040FBC000000000031 +:040FBD000000000030 +:040FBE00000000002F +:040FBF00000000002E +:040FC000000000002D +:040FC100000000002C +:040FC200000000002B +:040FC300000000002A +:040FC4000000000029 +:040FC5000000000028 +:040FC6000000000027 +:040FC7000000000026 +:040FC8000000000025 +:040FC9000000000024 +:040FCA000000000023 +:040FCB000000000022 +:040FCC000000000021 +:040FCD000000000020 +:040FCE00000000001F +:040FCF00000000001E +:040FD000000000001D +:040FD100000000001C +:040FD200000000001B +:040FD300000000001A +:040FD4000000000019 +:040FD5000000000018 +:040FD6000000000017 +:040FD7000000000016 +:040FD8000000000015 +:040FD9000000000014 +:040FDA000000000013 +:040FDB000000000012 +:040FDC000000000011 +:040FDD000000000010 +:040FDE00000000000F +:040FDF00000000000E +:040FE000000000000D +:040FE100000000000C +:040FE200000000000B +:040FE300000000000A +:040FE4000000000009 +:040FE5000000000008 +:040FE6000000000007 +:040FE7000000000006 +:040FE8000000000005 +:040FE9000000000004 +:040FEA000000000003 +:040FEB000000000002 +:040FEC000000000001 +:040FED000000000000 +:040FEE0000000000FF +:040FEF0000000000FE +:040FF00000000000FD +:040FF10000000000FC +:040FF20000000000FB +:040FF30000000000FA +:040FF40000000000F9 +:040FF50000000000F8 +:040FF60000000000F7 +:040FF70000000000F6 +:040FF80000000000F5 +:040FF90000000000F4 +:040FFA0000000000F3 +:040FFB0000000000F2 +:040FFC0000000000F1 +:040FFD0000000000F0 +:040FFE0000000000EF +:040FFF0000000000EE +:0410000000000000EC +:0410010000000000EB +:0410020000000000EA +:0410030000000000E9 +:0410040000000000E8 +:0410050000000000E7 +:0410060000000000E6 +:0410070000000000E5 +:0410080000000000E4 +:0410090000000000E3 +:04100A0000000000E2 +:04100B0000000000E1 +:04100C0000000000E0 +:04100D0000000000DF +:04100E0000000000DE +:04100F0000000000DD +:0410100000000000DC +:0410110000000000DB +:0410120000000000DA +:0410130000000000D9 +:0410140000000000D8 +:0410150000000000D7 +:0410160000000000D6 +:0410170000000000D5 +:0410180000000000D4 +:0410190000000000D3 +:04101A0000000000D2 +:04101B0000000000D1 +:04101C0000000000D0 +:04101D0000000000CF +:04101E0000000000CE +:04101F0000000000CD +:0410200000000000CC +:0410210000000000CB +:0410220000000000CA +:0410230000000000C9 +:0410240000000000C8 +:0410250000000000C7 +:0410260000000000C6 +:0410270000000000C5 +:0410280000000000C4 +:0410290000000000C3 +:04102A0000000000C2 +:04102B0000000000C1 +:04102C0000000000C0 +:04102D0000000000BF +:04102E0000000000BE +:04102F0000000000BD +:0410300000000000BC +:0410310000000000BB +:0410320000000000BA +:0410330000000000B9 +:0410340000000000B8 +:0410350000000000B7 +:0410360000000000B6 +:0410370000000000B5 +:0410380000000000B4 +:0410390000000000B3 +:04103A0000000000B2 +:04103B0000000000B1 +:04103C0000000000B0 +:04103D0000000000AF +:04103E0000000000AE +:04103F0000000000AD +:0410400000000000AC +:0410410000000000AB +:0410420000000000AA +:0410430000000000A9 +:0410440000000000A8 +:0410450000000000A7 +:0410460000000000A6 +:0410470000000000A5 +:0410480000000000A4 +:0410490000000000A3 +:04104A0000000000A2 +:04104B0000000000A1 +:04104C0000000000A0 +:04104D00000000009F +:04104E00000000009E +:04104F00000000009D +:04105000000000009C +:04105100000000009B +:04105200000000009A +:041053000000000099 +:041054000000000098 +:041055000000000097 +:041056000000000096 +:041057000000000095 +:041058000000000094 +:041059000000000093 +:04105A000000000092 +:04105B000000000091 +:04105C000000000090 +:04105D00000000008F +:04105E00000000008E +:04105F00000000008D +:04106000000000008C +:04106100000000008B +:04106200000000008A +:041063000000000089 +:041064000000000088 +:041065000000000087 +:041066000000000086 +:041067000000000085 +:041068000000000084 +:041069000000000083 +:04106A000000000082 +:04106B000000000081 +:04106C000000000080 +:04106D00000000007F +:04106E00000000007E +:04106F00000000007D +:04107000000000007C +:04107100000000007B +:04107200000000007A +:041073000000000079 +:041074000000000078 +:041075000000000077 +:041076000000000076 +:041077000000000075 +:041078000000000074 +:041079000000000073 +:04107A000000000072 +:04107B000000000071 +:04107C000000000070 +:04107D00000000006F +:04107E00000000006E +:04107F00000000006D +:04108000000000006C +:04108100000000006B +:04108200000000006A +:041083000000000069 +:041084000000000068 +:041085000000000067 +:041086000000000066 +:041087000000000065 +:041088000000000064 +:041089000000000063 +:04108A000000000062 +:04108B000000000061 +:04108C000000000060 +:04108D00000000005F +:04108E00000000005E +:04108F00000000005D +:04109000000000005C +:04109100000000005B +:04109200000000005A +:041093000000000059 +:041094000000000058 +:041095000000000057 +:041096000000000056 +:041097000000000055 +:041098000000000054 +:041099000000000053 +:04109A000000000052 +:04109B000000000051 +:04109C000000000050 +:04109D00000000004F +:04109E00000000004E +:04109F00000000004D +:0410A000000000004C +:0410A100000000004B +:0410A200000000004A +:0410A3000000000049 +:0410A4000000000048 +:0410A5000000000047 +:0410A6000000000046 +:0410A7000000000045 +:0410A8000000000044 +:0410A9000000000043 +:0410AA000000000042 +:0410AB000000000041 +:0410AC000000000040 +:0410AD00000000003F +:0410AE00000000003E +:0410AF00000000003D +:0410B000000000003C +:0410B100000000003B +:0410B200000000003A +:0410B3000000000039 +:0410B4000000000038 +:0410B5000000000037 +:0410B6000000000036 +:0410B7000000000035 +:0410B8000000000034 +:0410B9000000000033 +:0410BA000000000032 +:0410BB000000000031 +:0410BC000000000030 +:0410BD00000000002F +:0410BE00000000002E +:0410BF00000000002D +:0410C000000000002C +:0410C100000000002B +:0410C200000000002A +:0410C3000000000029 +:0410C4000000000028 +:0410C5000000000027 +:0410C6000000000026 +:0410C7000000000025 +:0410C8000000000024 +:0410C9000000000023 +:0410CA000000000022 +:0410CB000000000021 +:0410CC000000000020 +:0410CD00000000001F +:0410CE00000000001E +:0410CF00000000001D +:0410D000000000001C +:0410D100000000001B +:0410D200000000001A +:0410D3000000000019 +:0410D4000000000018 +:0410D5000000000017 +:0410D6000000000016 +:0410D7000000000015 +:0410D8000000000014 +:0410D9000000000013 +:0410DA000000000012 +:0410DB000000000011 +:0410DC000000000010 +:0410DD00000000000F +:0410DE00000000000E +:0410DF00000000000D +:0410E000000000000C +:0410E100000000000B +:0410E200000000000A +:0410E3000000000009 +:0410E4000000000008 +:0410E5000000000007 +:0410E6000000000006 +:0410E7000000000005 +:0410E8000000000004 +:0410E9000000000003 +:0410EA000000000002 +:0410EB000000000001 +:0410EC000000000000 +:0410ED0000000000FF +:0410EE0000000000FE +:0410EF0000000000FD +:0410F00000000000FC +:0410F10000000000FB +:0410F20000000000FA +:0410F30000000000F9 +:0410F40000000000F8 +:0410F50000000000F7 +:0410F60000000000F6 +:0410F70000000000F5 +:0410F80000000000F4 +:0410F90000000000F3 +:0410FA0000000000F2 +:0410FB0000000000F1 +:0410FC0000000000F0 +:0410FD0000000000EF +:0410FE0000000000EE +:0410FF0000000000ED +:0411000000000000EB +:0411010000000000EA +:0411020000000000E9 +:0411030000000000E8 +:0411040000000000E7 +:0411050000000000E6 +:0411060000000000E5 +:0411070000000000E4 +:0411080000000000E3 +:0411090000000000E2 +:04110A0000000000E1 +:04110B0000000000E0 +:04110C0000000000DF +:04110D0000000000DE +:04110E0000000000DD +:04110F0000000000DC +:0411100000000000DB +:0411110000000000DA +:0411120000000000D9 +:0411130000000000D8 +:0411140000000000D7 +:0411150000000000D6 +:0411160000000000D5 +:0411170000000000D4 +:0411180000000000D3 +:0411190000000000D2 +:04111A0000000000D1 +:04111B0000000000D0 +:04111C0000000000CF +:04111D0000000000CE +:04111E0000000000CD +:04111F0000000000CC +:0411200000000000CB +:0411210000000000CA +:0411220000000000C9 +:0411230000000000C8 +:0411240000000000C7 +:0411250000000000C6 +:0411260000000000C5 +:0411270000000000C4 +:0411280000000000C3 +:0411290000000000C2 +:04112A0000000000C1 +:04112B0000000000C0 +:04112C0000000000BF +:04112D0000000000BE +:04112E0000000000BD +:04112F0000000000BC +:0411300000000000BB +:0411310000000000BA +:0411320000000000B9 +:0411330000000000B8 +:0411340000000000B7 +:0411350000000000B6 +:0411360000000000B5 +:0411370000000000B4 +:0411380000000000B3 +:0411390000000000B2 +:04113A0000000000B1 +:04113B0000000000B0 +:04113C0000000000AF +:04113D0000000000AE +:04113E0000000000AD +:04113F0000000000AC +:0411400000000000AB +:0411410000000000AA +:0411420000000000A9 +:0411430000000000A8 +:0411440000000000A7 +:0411450000000000A6 +:0411460000000000A5 +:0411470000000000A4 +:0411480000000000A3 +:0411490000000000A2 +:04114A0000000000A1 +:04114B0000000000A0 +:04114C00000000009F +:04114D00000000009E +:04114E00000000009D +:04114F00000000009C +:04115000000000009B +:04115100000000009A +:041152000000000099 +:041153000000000098 +:041154000000000097 +:041155000000000096 +:041156000000000095 +:041157000000000094 +:041158000000000093 +:041159000000000092 +:04115A000000000091 +:04115B000000000090 +:04115C00000000008F +:04115D00000000008E +:04115E00000000008D +:04115F00000000008C +:04116000000000008B +:04116100000000008A +:041162000000000089 +:041163000000000088 +:041164000000000087 +:041165000000000086 +:041166000000000085 +:041167000000000084 +:041168000000000083 +:041169000000000082 +:04116A000000000081 +:04116B000000000080 +:04116C00000000007F +:04116D00000000007E +:04116E00000000007D +:04116F00000000007C +:04117000000000007B +:04117100000000007A +:041172000000000079 +:041173000000000078 +:041174000000000077 +:041175000000000076 +:041176000000000075 +:041177000000000074 +:041178000000000073 +:041179000000000072 +:04117A000000000071 +:04117B000000000070 +:04117C00000000006F +:04117D00000000006E +:04117E00000000006D +:04117F00000000006C +:04118000000000006B +:04118100000000006A +:041182000000000069 +:041183000000000068 +:041184000000000067 +:041185000000000066 +:041186000000000065 +:041187000000000064 +:041188000000000063 +:041189000000000062 +:04118A000000000061 +:04118B000000000060 +:04118C00000000005F +:04118D00000000005E +:04118E00000000005D +:04118F00000000005C +:04119000000000005B +:04119100000000005A +:041192000000000059 +:041193000000000058 +:041194000000000057 +:041195000000000056 +:041196000000000055 +:041197000000000054 +:041198000000000053 +:041199000000000052 +:04119A000000000051 +:04119B000000000050 +:04119C00000000004F +:04119D00000000004E +:04119E00000000004D +:04119F00000000004C +:0411A000000000004B +:0411A100000000004A +:0411A2000000000049 +:0411A3000000000048 +:0411A4000000000047 +:0411A5000000000046 +:0411A6000000000045 +:0411A7000000000044 +:0411A8000000000043 +:0411A9000000000042 +:0411AA000000000041 +:0411AB000000000040 +:0411AC00000000003F +:0411AD00000000003E +:0411AE00000000003D +:0411AF00000000003C +:0411B000000000003B +:0411B100000000003A +:0411B2000000000039 +:0411B3000000000038 +:0411B4000000000037 +:0411B5000000000036 +:0411B6000000000035 +:0411B7000000000034 +:0411B8000000000033 +:0411B9000000000032 +:0411BA000000000031 +:0411BB000000000030 +:0411BC00000000002F +:0411BD00000000002E +:0411BE00000000002D +:0411BF00000000002C +:0411C000000000002B +:0411C100000000002A +:0411C2000000000029 +:0411C3000000000028 +:0411C4000000000027 +:0411C5000000000026 +:0411C6000000000025 +:0411C7000000000024 +:0411C8000000000023 +:0411C9000000000022 +:0411CA000000000021 +:0411CB000000000020 +:0411CC00000000001F +:0411CD00000000001E +:0411CE00000000001D +:0411CF00000000001C +:0411D000000000001B +:0411D100000000001A +:0411D2000000000019 +:0411D3000000000018 +:0411D4000000000017 +:0411D5000000000016 +:0411D6000000000015 +:0411D7000000000014 +:0411D8000000000013 +:0411D9000000000012 +:0411DA000000000011 +:0411DB000000000010 +:0411DC00000000000F +:0411DD00000000000E +:0411DE00000000000D +:0411DF00000000000C +:0411E000000000000B +:0411E100000000000A +:0411E2000000000009 +:0411E3000000000008 +:0411E4000000000007 +:0411E5000000000006 +:0411E6000000000005 +:0411E7000000000004 +:0411E8000000000003 +:0411E9000000000002 +:0411EA000000000001 +:0411EB000000000000 +:0411EC0000000000FF +:0411ED0000000000FE +:0411EE0000000000FD +:0411EF0000000000FC +:0411F00000000000FB +:0411F10000000000FA +:0411F20000000000F9 +:0411F30000000000F8 +:0411F40000000000F7 +:0411F50000000000F6 +:0411F60000000000F5 +:0411F70000000000F4 +:0411F80000000000F3 +:0411F90000000000F2 +:0411FA0000000000F1 +:0411FB0000000000F0 +:0411FC0000000000EF +:0411FD0000000000EE +:0411FE0000000000ED +:0411FF0000000000EC +:0412000000000000EA +:0412010000000000E9 +:0412020000000000E8 +:0412030000000000E7 +:0412040000000000E6 +:0412050000000000E5 +:0412060000000000E4 +:0412070000000000E3 +:0412080000000000E2 +:0412090000000000E1 +:04120A0000000000E0 +:04120B0000000000DF +:04120C0000000000DE +:04120D0000000000DD +:04120E0000000000DC +:04120F0000000000DB +:0412100000000000DA +:0412110000000000D9 +:0412120000000000D8 +:0412130000000000D7 +:0412140000000000D6 +:0412150000000000D5 +:0412160000000000D4 +:0412170000000000D3 +:0412180000000000D2 +:0412190000000000D1 +:04121A0000000000D0 +:04121B0000000000CF +:04121C0000000000CE +:04121D0000000000CD +:04121E0000000000CC +:04121F0000000000CB +:0412200000000000CA +:0412210000000000C9 +:0412220000000000C8 +:0412230000000000C7 +:0412240000000000C6 +:0412250000000000C5 +:0412260000000000C4 +:0412270000000000C3 +:0412280000000000C2 +:0412290000000000C1 +:04122A0000000000C0 +:04122B0000000000BF +:04122C0000000000BE +:04122D0000000000BD +:04122E0000000000BC +:04122F0000000000BB +:0412300000000000BA +:0412310000000000B9 +:0412320000000000B8 +:0412330000000000B7 +:0412340000000000B6 +:0412350000000000B5 +:0412360000000000B4 +:0412370000000000B3 +:0412380000000000B2 +:0412390000000000B1 +:04123A0000000000B0 +:04123B0000000000AF +:04123C0000000000AE +:04123D0000000000AD +:04123E0000000000AC +:04123F0000000000AB +:0412400000000000AA +:0412410000000000A9 +:0412420000000000A8 +:0412430000000000A7 +:0412440000000000A6 +:0412450000000000A5 +:0412460000000000A4 +:0412470000000000A3 +:0412480000000000A2 +:0412490000000000A1 +:04124A0000000000A0 +:04124B00000000009F +:04124C00000000009E +:04124D00000000009D +:04124E00000000009C +:04124F00000000009B +:04125000000000009A +:041251000000000099 +:041252000000000098 +:041253000000000097 +:041254000000000096 +:041255000000000095 +:041256000000000094 +:041257000000000093 +:041258000000000092 +:041259000000000091 +:04125A000000000090 +:04125B00000000008F +:04125C00000000008E +:04125D00000000008D +:04125E00000000008C +:04125F00000000008B +:04126000000000008A +:041261000000000089 +:041262000000000088 +:041263000000000087 +:041264000000000086 +:041265000000000085 +:041266000000000084 +:041267000000000083 +:041268000000000082 +:041269000000000081 +:04126A000000000080 +:04126B00000000007F +:04126C00000000007E +:04126D00000000007D +:04126E00000000007C +:04126F00000000007B +:04127000000000007A +:041271000000000079 +:041272000000000078 +:041273000000000077 +:041274000000000076 +:041275000000000075 +:041276000000000074 +:041277000000000073 +:041278000000000072 +:041279000000000071 +:04127A000000000070 +:04127B00000000006F +:04127C00000000006E +:04127D00000000006D +:04127E00000000006C +:04127F00000000006B +:04128000000000006A +:041281000000000069 +:041282000000000068 +:041283000000000067 +:041284000000000066 +:041285000000000065 +:041286000000000064 +:041287000000000063 +:041288000000000062 +:041289000000000061 +:04128A000000000060 +:04128B00000000005F +:04128C00000000005E +:04128D00000000005D +:04128E00000000005C +:04128F00000000005B +:04129000000000005A +:041291000000000059 +:041292000000000058 +:041293000000000057 +:041294000000000056 +:041295000000000055 +:041296000000000054 +:041297000000000053 +:041298000000000052 +:041299000000000051 +:04129A000000000050 +:04129B00000000004F +:04129C00000000004E +:04129D00000000004D +:04129E00000000004C +:04129F00000000004B +:0412A000000000004A +:0412A1000000000049 +:0412A2000000000048 +:0412A3000000000047 +:0412A4000000000046 +:0412A5000000000045 +:0412A6000000000044 +:0412A7000000000043 +:0412A8000000000042 +:0412A9000000000041 +:0412AA000000000040 +:0412AB00000000003F +:0412AC00000000003E +:0412AD00000000003D +:0412AE00000000003C +:0412AF00000000003B +:0412B000000000003A +:0412B1000000000039 +:0412B2000000000038 +:0412B3000000000037 +:0412B4000000000036 +:0412B5000000000035 +:0412B6000000000034 +:0412B7000000000033 +:0412B8000000000032 +:0412B9000000000031 +:0412BA000000000030 +:0412BB00000000002F +:0412BC00000000002E +:0412BD00000000002D +:0412BE00000000002C +:0412BF00000000002B +:0412C000000000002A +:0412C1000000000029 +:0412C2000000000028 +:0412C3000000000027 +:0412C4000000000026 +:0412C5000000000025 +:0412C6000000000024 +:0412C7000000000023 +:0412C8000000000022 +:0412C9000000000021 +:0412CA000000000020 +:0412CB00000000001F +:0412CC00000000001E +:0412CD00000000001D +:0412CE00000000001C +:0412CF00000000001B +:0412D000000000001A +:0412D1000000000019 +:0412D2000000000018 +:0412D3000000000017 +:0412D4000000000016 +:0412D5000000000015 +:0412D6000000000014 +:0412D7000000000013 +:0412D8000000000012 +:0412D9000000000011 +:0412DA000000000010 +:0412DB00000000000F +:0412DC00000000000E +:0412DD00000000000D +:0412DE00000000000C +:0412DF00000000000B +:0412E000000000000A +:0412E1000000000009 +:0412E2000000000008 +:0412E3000000000007 +:0412E4000000000006 +:0412E5000000000005 +:0412E6000000000004 +:0412E7000000000003 +:0412E8000000000002 +:0412E9000000000001 +:0412EA000000000000 +:0412EB0000000000FF +:0412EC0000000000FE +:0412ED0000000000FD +:0412EE0000000000FC +:0412EF0000000000FB +:0412F00000000000FA +:0412F10000000000F9 +:0412F20000000000F8 +:0412F30000000000F7 +:0412F40000000000F6 +:0412F50000000000F5 +:0412F60000000000F4 +:0412F70000000000F3 +:0412F80000000000F2 +:0412F90000000000F1 +:0412FA0000000000F0 +:0412FB0000000000EF +:0412FC0000000000EE +:0412FD0000000000ED +:0412FE0000000000EC +:0412FF0000000000EB +:0413000000000000E9 +:0413010000000000E8 +:0413020000000000E7 +:0413030000000000E6 +:0413040000000000E5 +:0413050000000000E4 +:0413060000000000E3 +:0413070000000000E2 +:0413080000000000E1 +:0413090000000000E0 +:04130A0000000000DF +:04130B0000000000DE +:04130C0000000000DD +:04130D0000000000DC +:04130E0000000000DB +:04130F0000000000DA +:0413100000000000D9 +:0413110000000000D8 +:0413120000000000D7 +:0413130000000000D6 +:0413140000000000D5 +:0413150000000000D4 +:0413160000000000D3 +:0413170000000000D2 +:0413180000000000D1 +:0413190000000000D0 +:04131A0000000000CF +:04131B0000000000CE +:04131C0000000000CD +:04131D0000000000CC +:04131E0000000000CB +:04131F0000000000CA +:0413200000000000C9 +:0413210000000000C8 +:0413220000000000C7 +:0413230000000000C6 +:0413240000000000C5 +:0413250000000000C4 +:0413260000000000C3 +:0413270000000000C2 +:0413280000000000C1 +:0413290000000000C0 +:04132A0000000000BF +:04132B0000000000BE +:04132C0000000000BD +:04132D0000000000BC +:04132E0000000000BB +:04132F0000000000BA +:0413300000000000B9 +:0413310000000000B8 +:0413320000000000B7 +:0413330000000000B6 +:0413340000000000B5 +:0413350000000000B4 +:0413360000000000B3 +:0413370000000000B2 +:0413380000000000B1 +:0413390000000000B0 +:04133A0000000000AF +:04133B0000000000AE +:04133C0000000000AD +:04133D0000000000AC +:04133E0000000000AB +:04133F0000000000AA +:0413400000000000A9 +:0413410000000000A8 +:0413420000000000A7 +:0413430000000000A6 +:0413440000000000A5 +:0413450000000000A4 +:0413460000000000A3 +:0413470000000000A2 +:0413480000000000A1 +:0413490000000000A0 +:04134A00000000009F +:04134B00000000009E +:04134C00000000009D +:04134D00000000009C +:04134E00000000009B +:04134F00000000009A +:041350000000000099 +:041351000000000098 +:041352000000000097 +:041353000000000096 +:041354000000000095 +:041355000000000094 +:041356000000000093 +:041357000000000092 +:041358000000000091 +:041359000000000090 +:04135A00000000008F +:04135B00000000008E +:04135C00000000008D +:04135D00000000008C +:04135E00000000008B +:04135F00000000008A +:041360000000000089 +:041361000000000088 +:041362000000000087 +:041363000000000086 +:041364000000000085 +:041365000000000084 +:041366000000000083 +:041367000000000082 +:041368000000000081 +:041369000000000080 +:04136A00000000007F +:04136B00000000007E +:04136C00000000007D +:04136D00000000007C +:04136E00000000007B +:04136F00000000007A +:041370000000000079 +:041371000000000078 +:041372000000000077 +:041373000000000076 +:041374000000000075 +:041375000000000074 +:041376000000000073 +:041377000000000072 +:041378000000000071 +:041379000000000070 +:04137A00000000006F +:04137B00000000006E +:04137C00000000006D +:04137D00000000006C +:04137E00000000006B +:04137F00000000006A +:041380000000000069 +:041381000000000068 +:041382000000000067 +:041383000000000066 +:041384000000000065 +:041385000000000064 +:041386000000000063 +:041387000000000062 +:041388000000000061 +:041389000000000060 +:04138A00000000005F +:04138B00000000005E +:04138C00000000005D +:04138D00000000005C +:04138E00000000005B +:04138F00000000005A +:041390000000000059 +:041391000000000058 +:041392000000000057 +:041393000000000056 +:041394000000000055 +:041395000000000054 +:041396000000000053 +:041397000000000052 +:041398000000000051 +:041399000000000050 +:04139A00000000004F +:04139B00000000004E +:04139C00000000004D +:04139D00000000004C +:04139E00000000004B +:04139F00000000004A +:0413A0000000000049 +:0413A1000000000048 +:0413A2000000000047 +:0413A3000000000046 +:0413A4000000000045 +:0413A5000000000044 +:0413A6000000000043 +:0413A7000000000042 +:0413A8000000000041 +:0413A9000000000040 +:0413AA00000000003F +:0413AB00000000003E +:0413AC00000000003D +:0413AD00000000003C +:0413AE00000000003B +:0413AF00000000003A +:0413B0000000000039 +:0413B1000000000038 +:0413B2000000000037 +:0413B3000000000036 +:0413B4000000000035 +:0413B5000000000034 +:0413B6000000000033 +:0413B7000000000032 +:0413B8000000000031 +:0413B9000000000030 +:0413BA00000000002F +:0413BB00000000002E +:0413BC00000000002D +:0413BD00000000002C +:0413BE00000000002B +:0413BF00000000002A +:0413C0000000000029 +:0413C1000000000028 +:0413C2000000000027 +:0413C3000000000026 +:0413C4000000000025 +:0413C5000000000024 +:0413C6000000000023 +:0413C7000000000022 +:0413C8000000000021 +:0413C9000000000020 +:0413CA00000000001F +:0413CB00000000001E +:0413CC00000000001D +:0413CD00000000001C +:0413CE00000000001B +:0413CF00000000001A +:0413D0000000000019 +:0413D1000000000018 +:0413D2000000000017 +:0413D3000000000016 +:0413D4000000000015 +:0413D5000000000014 +:0413D6000000000013 +:0413D7000000000012 +:0413D8000000000011 +:0413D9000000000010 +:0413DA00000000000F +:0413DB00000000000E +:0413DC00000000000D +:0413DD00000000000C +:0413DE00000000000B +:0413DF00000000000A +:0413E0000000000009 +:0413E1000000000008 +:0413E2000000000007 +:0413E3000000000006 +:0413E4000000000005 +:0413E5000000000004 +:0413E6000000000003 +:0413E7000000000002 +:0413E8000000000001 +:0413E9000000000000 +:0413EA0000000000FF +:0413EB0000000000FE +:0413EC0000000000FD +:0413ED0000000000FC +:0413EE0000000000FB +:0413EF0000000000FA +:0413F00000000000F9 +:0413F10000000000F8 +:0413F20000000000F7 +:0413F30000000000F6 +:0413F40000000000F5 +:0413F50000000000F4 +:0413F60000000000F3 +:0413F70000000000F2 +:0413F80000000000F1 +:0413F90000000000F0 +:0413FA0000000000EF +:0413FB0000000000EE +:0413FC0000000000ED +:0413FD0000000000EC +:0413FE0000000000EB +:0413FF0000000000EA +:0414000000000000E8 +:0414010000000000E7 +:0414020000000000E6 +:0414030000000000E5 +:0414040000000000E4 +:0414050000000000E3 +:0414060000000000E2 +:0414070000000000E1 +:0414080000000000E0 +:0414090000000000DF +:04140A0000000000DE +:04140B0000000000DD +:04140C0000000000DC +:04140D0000000000DB +:04140E0000000000DA +:04140F0000000000D9 +:0414100000000000D8 +:0414110000000000D7 +:0414120000000000D6 +:0414130000000000D5 +:0414140000000000D4 +:0414150000000000D3 +:0414160000000000D2 +:0414170000000000D1 +:0414180000000000D0 +:0414190000000000CF +:04141A0000000000CE +:04141B0000000000CD +:04141C0000000000CC +:04141D0000000000CB +:04141E0000000000CA +:04141F0000000000C9 +:0414200000000000C8 +:0414210000000000C7 +:0414220000000000C6 +:0414230000000000C5 +:0414240000000000C4 +:0414250000000000C3 +:0414260000000000C2 +:0414270000000000C1 +:0414280000000000C0 +:0414290000000000BF +:04142A0000000000BE +:04142B0000000000BD +:04142C0000000000BC +:04142D0000000000BB +:04142E0000000000BA +:04142F0000000000B9 +:0414300000000000B8 +:0414310000000000B7 +:0414320000000000B6 +:0414330000000000B5 +:0414340000000000B4 +:0414350000000000B3 +:0414360000000000B2 +:0414370000000000B1 +:0414380000000000B0 +:0414390000000000AF +:04143A0000000000AE +:04143B0000000000AD +:04143C0000000000AC +:04143D0000000000AB +:04143E0000000000AA +:04143F0000000000A9 +:0414400000000000A8 +:0414410000000000A7 +:0414420000000000A6 +:0414430000000000A5 +:0414440000000000A4 +:0414450000000000A3 +:0414460000000000A2 +:0414470000000000A1 +:0414480000000000A0 +:04144900000000009F +:04144A00000000009E +:04144B00000000009D +:04144C00000000009C +:04144D00000000009B +:04144E00000000009A +:04144F000000000099 +:041450000000000098 +:041451000000000097 +:041452000000000096 +:041453000000000095 +:041454000000000094 +:041455000000000093 +:041456000000000092 +:041457000000000091 +:041458000000000090 +:04145900000000008F +:04145A00000000008E +:04145B00000000008D +:04145C00000000008C +:04145D00000000008B +:04145E00000000008A +:04145F000000000089 +:041460000000000088 +:041461000000000087 +:041462000000000086 +:041463000000000085 +:041464000000000084 +:041465000000000083 +:041466000000000082 +:041467000000000081 +:041468000000000080 +:04146900000000007F +:04146A00000000007E +:04146B00000000007D +:04146C00000000007C +:04146D00000000007B +:04146E00000000007A +:04146F000000000079 +:041470000000000078 +:041471000000000077 +:041472000000000076 +:041473000000000075 +:041474000000000074 +:041475000000000073 +:041476000000000072 +:041477000000000071 +:041478000000000070 +:04147900000000006F +:04147A00000000006E +:04147B00000000006D +:04147C00000000006C +:04147D00000000006B +:04147E00000000006A +:04147F000000000069 +:041480000000000068 +:041481000000000067 +:041482000000000066 +:041483000000000065 +:041484000000000064 +:041485000000000063 +:041486000000000062 +:041487000000000061 +:041488000000000060 +:04148900000000005F +:04148A00000000005E +:04148B00000000005D +:04148C00000000005C +:04148D00000000005B +:04148E00000000005A +:04148F000000000059 +:041490000000000058 +:041491000000000057 +:041492000000000056 +:041493000000000055 +:041494000000000054 +:041495000000000053 +:041496000000000052 +:041497000000000051 +:041498000000000050 +:04149900000000004F +:04149A00000000004E +:04149B00000000004D +:04149C00000000004C +:04149D00000000004B +:04149E00000000004A +:04149F000000000049 +:0414A0000000000048 +:0414A1000000000047 +:0414A2000000000046 +:0414A3000000000045 +:0414A4000000000044 +:0414A5000000000043 +:0414A6000000000042 +:0414A7000000000041 +:0414A8000000000040 +:0414A900000000003F +:0414AA00000000003E +:0414AB00000000003D +:0414AC00000000003C +:0414AD00000000003B +:0414AE00000000003A +:0414AF000000000039 +:0414B0000000000038 +:0414B1000000000037 +:0414B2000000000036 +:0414B3000000000035 +:0414B4000000000034 +:0414B5000000000033 +:0414B6000000000032 +:0414B7000000000031 +:0414B8000000000030 +:0414B900000000002F +:0414BA00000000002E +:0414BB00000000002D +:0414BC00000000002C +:0414BD00000000002B +:0414BE00000000002A +:0414BF000000000029 +:0414C0000000000028 +:0414C1000000000027 +:0414C2000000000026 +:0414C3000000000025 +:0414C4000000000024 +:0414C5000000000023 +:0414C6000000000022 +:0414C7000000000021 +:0414C8000000000020 +:0414C900000000001F +:0414CA00000000001E +:0414CB00000000001D +:0414CC00000000001C +:0414CD00000000001B +:0414CE00000000001A +:0414CF000000000019 +:0414D0000000000018 +:0414D1000000000017 +:0414D2000000000016 +:0414D3000000000015 +:0414D4000000000014 +:0414D5000000000013 +:0414D6000000000012 +:0414D7000000000011 +:0414D8000000000010 +:0414D900000000000F +:0414DA00000000000E +:0414DB00000000000D +:0414DC00000000000C +:0414DD00000000000B +:0414DE00000000000A +:0414DF000000000009 +:0414E0000000000008 +:0414E1000000000007 +:0414E2000000000006 +:0414E3000000000005 +:0414E4000000000004 +:0414E5000000000003 +:0414E6000000000002 +:0414E7000000000001 +:0414E8000000000000 +:0414E90000000000FF +:0414EA0000000000FE +:0414EB0000000000FD +:0414EC0000000000FC +:0414ED0000000000FB +:0414EE0000000000FA +:0414EF0000000000F9 +:0414F00000000000F8 +:0414F10000000000F7 +:0414F20000000000F6 +:0414F30000000000F5 +:0414F40000000000F4 +:0414F50000000000F3 +:0414F60000000000F2 +:0414F70000000000F1 +:0414F80000000000F0 +:0414F90000000000EF +:0414FA0000000000EE +:0414FB0000000000ED +:0414FC0000000000EC +:0414FD0000000000EB +:0414FE0000000000EA +:0414FF0000000000E9 +:0415000000000000E7 +:0415010000000000E6 +:0415020000000000E5 +:0415030000000000E4 +:0415040000000000E3 +:0415050000000000E2 +:0415060000000000E1 +:0415070000000000E0 +:0415080000000000DF +:0415090000000000DE +:04150A0000000000DD +:04150B0000000000DC +:04150C0000000000DB +:04150D0000000000DA +:04150E0000000000D9 +:04150F0000000000D8 +:0415100000000000D7 +:0415110000000000D6 +:0415120000000000D5 +:0415130000000000D4 +:0415140000000000D3 +:0415150000000000D2 +:0415160000000000D1 +:0415170000000000D0 +:0415180000000000CF +:0415190000000000CE +:04151A0000000000CD +:04151B0000000000CC +:04151C0000000000CB +:04151D0000000000CA +:04151E0000000000C9 +:04151F0000000000C8 +:0415200000000000C7 +:0415210000000000C6 +:0415220000000000C5 +:0415230000000000C4 +:0415240000000000C3 +:0415250000000000C2 +:0415260000000000C1 +:0415270000000000C0 +:0415280000000000BF +:0415290000000000BE +:04152A0000000000BD +:04152B0000000000BC +:04152C0000000000BB +:04152D0000000000BA +:04152E0000000000B9 +:04152F0000000000B8 +:0415300000000000B7 +:0415310000000000B6 +:0415320000000000B5 +:0415330000000000B4 +:0415340000000000B3 +:0415350000000000B2 +:0415360000000000B1 +:0415370000000000B0 +:0415380000000000AF +:0415390000000000AE +:04153A0000000000AD +:04153B0000000000AC +:04153C0000000000AB +:04153D0000000000AA +:04153E0000000000A9 +:04153F0000000000A8 +:0415400000000000A7 +:0415410000000000A6 +:0415420000000000A5 +:0415430000000000A4 +:0415440000000000A3 +:0415450000000000A2 +:0415460000000000A1 +:0415470000000000A0 +:04154800000000009F +:04154900000000009E +:04154A00000000009D +:04154B00000000009C +:04154C00000000009B +:04154D00000000009A +:04154E000000000099 +:04154F000000000098 +:041550000000000097 +:041551000000000096 +:041552000000000095 +:041553000000000094 +:041554000000000093 +:041555000000000092 +:041556000000000091 +:041557000000000090 +:04155800000000008F +:04155900000000008E +:04155A00000000008D +:04155B00000000008C +:04155C00000000008B +:04155D00000000008A +:04155E000000000089 +:04155F000000000088 +:041560000000000087 +:041561000000000086 +:041562000000000085 +:041563000000000084 +:041564000000000083 +:041565000000000082 +:041566000000000081 +:041567000000000080 +:04156800000000007F +:04156900000000007E +:04156A00000000007D +:04156B00000000007C +:04156C00000000007B +:04156D00000000007A +:04156E000000000079 +:04156F000000000078 +:041570000000000077 +:041571000000000076 +:041572000000000075 +:041573000000000074 +:041574000000000073 +:041575000000000072 +:041576000000000071 +:041577000000000070 +:04157800000000006F +:04157900000000006E +:04157A00000000006D +:04157B00000000006C +:04157C00000000006B +:04157D00000000006A +:04157E000000000069 +:04157F000000000068 +:041580000000000067 +:041581000000000066 +:041582000000000065 +:041583000000000064 +:041584000000000063 +:041585000000000062 +:041586000000000061 +:041587000000000060 +:04158800000000005F +:04158900000000005E +:04158A00000000005D +:04158B00000000005C +:04158C00000000005B +:04158D00000000005A +:04158E000000000059 +:04158F000000000058 +:041590000000000057 +:041591000000000056 +:041592000000000055 +:041593000000000054 +:041594000000000053 +:041595000000000052 +:041596000000000051 +:041597000000000050 +:04159800000000004F +:04159900000000004E +:04159A00000000004D +:04159B00000000004C +:04159C00000000004B +:04159D00000000004A +:04159E000000000049 +:04159F000000000048 +:0415A0000000000047 +:0415A1000000000046 +:0415A2000000000045 +:0415A3000000000044 +:0415A4000000000043 +:0415A5000000000042 +:0415A6000000000041 +:0415A7000000000040 +:0415A800000000003F +:0415A900000000003E +:0415AA00000000003D +:0415AB00000000003C +:0415AC00000000003B +:0415AD00000000003A +:0415AE000000000039 +:0415AF000000000038 +:0415B0000000000037 +:0415B1000000000036 +:0415B2000000000035 +:0415B3000000000034 +:0415B4000000000033 +:0415B5000000000032 +:0415B6000000000031 +:0415B7000000000030 +:0415B800000000002F +:0415B900000000002E +:0415BA00000000002D +:0415BB00000000002C +:0415BC00000000002B +:0415BD00000000002A +:0415BE000000000029 +:0415BF000000000028 +:0415C0000000000027 +:0415C1000000000026 +:0415C2000000000025 +:0415C3000000000024 +:0415C4000000000023 +:0415C5000000000022 +:0415C6000000000021 +:0415C7000000000020 +:0415C800000000001F +:0415C900000000001E +:0415CA00000000001D +:0415CB00000000001C +:0415CC00000000001B +:0415CD00000000001A +:0415CE000000000019 +:0415CF000000000018 +:0415D0000000000017 +:0415D1000000000016 +:0415D2000000000015 +:0415D3000000000014 +:0415D4000000000013 +:0415D5000000000012 +:0415D6000000000011 +:0415D7000000000010 +:0415D800000000000F +:0415D900000000000E +:0415DA00000000000D +:0415DB00000000000C +:0415DC00000000000B +:0415DD00000000000A +:0415DE000000000009 +:0415DF000000000008 +:0415E0000000000007 +:0415E1000000000006 +:0415E2000000000005 +:0415E3000000000004 +:0415E4000000000003 +:0415E5000000000002 +:0415E6000000000001 +:0415E7000000000000 +:0415E80000000000FF +:0415E90000000000FE +:0415EA0000000000FD +:0415EB0000000000FC +:0415EC0000000000FB +:0415ED0000000000FA +:0415EE0000000000F9 +:0415EF0000000000F8 +:0415F00000000000F7 +:0415F10000000000F6 +:0415F20000000000F5 +:0415F30000000000F4 +:0415F40000000000F3 +:0415F50000000000F2 +:0415F60000000000F1 +:0415F70000000000F0 +:0415F80000000000EF +:0415F90000000000EE +:0415FA0000000000ED +:0415FB0000000000EC +:0415FC0000000000EB +:0415FD0000000000EA +:0415FE0000000000E9 +:0415FF0000000000E8 +:0416000000000000E6 +:0416010000000000E5 +:0416020000000000E4 +:0416030000000000E3 +:0416040000000000E2 +:0416050000000000E1 +:0416060000000000E0 +:0416070000000000DF +:0416080000000000DE +:0416090000000000DD +:04160A0000000000DC +:04160B0000000000DB +:04160C0000000000DA +:04160D0000000000D9 +:04160E0000000000D8 +:04160F0000000000D7 +:0416100000000000D6 +:0416110000000000D5 +:0416120000000000D4 +:0416130000000000D3 +:0416140000000000D2 +:0416150000000000D1 +:0416160000000000D0 +:0416170000000000CF +:0416180000000000CE +:0416190000000000CD +:04161A0000000000CC +:04161B0000000000CB +:04161C0000000000CA +:04161D0000000000C9 +:04161E0000000000C8 +:04161F0000000000C7 +:0416200000000000C6 +:0416210000000000C5 +:0416220000000000C4 +:0416230000000000C3 +:0416240000000000C2 +:0416250000000000C1 +:0416260000000000C0 +:0416270000000000BF +:0416280000000000BE +:0416290000000000BD +:04162A0000000000BC +:04162B0000000000BB +:04162C0000000000BA +:04162D0000000000B9 +:04162E0000000000B8 +:04162F0000000000B7 +:0416300000000000B6 +:0416310000000000B5 +:0416320000000000B4 +:0416330000000000B3 +:0416340000000000B2 +:0416350000000000B1 +:0416360000000000B0 +:0416370000000000AF +:0416380000000000AE +:0416390000000000AD +:04163A0000000000AC +:04163B0000000000AB +:04163C0000000000AA +:04163D0000000000A9 +:04163E0000000000A8 +:04163F0000000000A7 +:0416400000000000A6 +:0416410000000000A5 +:0416420000000000A4 +:0416430000000000A3 +:0416440000000000A2 +:0416450000000000A1 +:0416460000000000A0 +:04164700000000009F +:04164800000000009E +:04164900000000009D +:04164A00000000009C +:04164B00000000009B +:04164C00000000009A +:04164D000000000099 +:04164E000000000098 +:04164F000000000097 +:041650000000000096 +:041651000000000095 +:041652000000000094 +:041653000000000093 +:041654000000000092 +:041655000000000091 +:041656000000000090 +:04165700000000008F +:04165800000000008E +:04165900000000008D +:04165A00000000008C +:04165B00000000008B +:04165C00000000008A +:04165D000000000089 +:04165E000000000088 +:04165F000000000087 +:041660000000000086 +:041661000000000085 +:041662000000000084 +:041663000000000083 +:041664000000000082 +:041665000000000081 +:041666000000000080 +:04166700000000007F +:04166800000000007E +:04166900000000007D +:04166A00000000007C +:04166B00000000007B +:04166C00000000007A +:04166D000000000079 +:04166E000000000078 +:04166F000000000077 +:041670000000000076 +:041671000000000075 +:041672000000000074 +:041673000000000073 +:041674000000000072 +:041675000000000071 +:041676000000000070 +:04167700000000006F +:04167800000000006E +:04167900000000006D +:04167A00000000006C +:04167B00000000006B +:04167C00000000006A +:04167D000000000069 +:04167E000000000068 +:04167F000000000067 +:041680000000000066 +:041681000000000065 +:041682000000000064 +:041683000000000063 +:041684000000000062 +:041685000000000061 +:041686000000000060 +:04168700000000005F +:04168800000000005E +:04168900000000005D +:04168A00000000005C +:04168B00000000005B +:04168C00000000005A +:04168D000000000059 +:04168E000000000058 +:04168F000000000057 +:041690000000000056 +:041691000000000055 +:041692000000000054 +:041693000000000053 +:041694000000000052 +:041695000000000051 +:041696000000000050 +:04169700000000004F +:04169800000000004E +:04169900000000004D +:04169A00000000004C +:04169B00000000004B +:04169C00000000004A +:04169D000000000049 +:04169E000000000048 +:04169F000000000047 +:0416A0000000000046 +:0416A1000000000045 +:0416A2000000000044 +:0416A3000000000043 +:0416A4000000000042 +:0416A5000000000041 +:0416A6000000000040 +:0416A700000000003F +:0416A800000000003E +:0416A900000000003D +:0416AA00000000003C +:0416AB00000000003B +:0416AC00000000003A +:0416AD000000000039 +:0416AE000000000038 +:0416AF000000000037 +:0416B0000000000036 +:0416B1000000000035 +:0416B2000000000034 +:0416B3000000000033 +:0416B4000000000032 +:0416B5000000000031 +:0416B6000000000030 +:0416B700000000002F +:0416B800000000002E +:0416B900000000002D +:0416BA00000000002C +:0416BB00000000002B +:0416BC00000000002A +:0416BD000000000029 +:0416BE000000000028 +:0416BF000000000027 +:0416C0000000000026 +:0416C1000000000025 +:0416C2000000000024 +:0416C3000000000023 +:0416C4000000000022 +:0416C5000000000021 +:0416C6000000000020 +:0416C700000000001F +:0416C800000000001E +:0416C900000000001D +:0416CA00000000001C +:0416CB00000000001B +:0416CC00000000001A +:0416CD000000000019 +:0416CE000000000018 +:0416CF000000000017 +:0416D0000000000016 +:0416D1000000000015 +:0416D2000000000014 +:0416D3000000000013 +:0416D4000000000012 +:0416D5000000000011 +:0416D6000000000010 +:0416D700000000000F +:0416D800000000000E +:0416D900000000000D +:0416DA00000000000C +:0416DB00000000000B +:0416DC00000000000A +:0416DD000000000009 +:0416DE000000000008 +:0416DF000000000007 +:0416E0000000000006 +:0416E1000000000005 +:0416E2000000000004 +:0416E3000000000003 +:0416E4000000000002 +:0416E5000000000001 +:0416E6000000000000 +:0416E70000000000FF +:0416E80000000000FE +:0416E90000000000FD +:0416EA0000000000FC +:0416EB0000000000FB +:0416EC0000000000FA +:0416ED0000000000F9 +:0416EE0000000000F8 +:0416EF0000000000F7 +:0416F00000000000F6 +:0416F10000000000F5 +:0416F20000000000F4 +:0416F30000000000F3 +:0416F40000000000F2 +:0416F50000000000F1 +:0416F60000000000F0 +:0416F70000000000EF +:0416F80000000000EE +:0416F90000000000ED +:0416FA0000000000EC +:0416FB0000000000EB +:0416FC0000000000EA +:0416FD0000000000E9 +:0416FE0000000000E8 +:0416FF0000000000E7 +:0417000000000000E5 +:0417010000000000E4 +:0417020000000000E3 +:0417030000000000E2 +:0417040000000000E1 +:0417050000000000E0 +:0417060000000000DF +:0417070000000000DE +:0417080000000000DD +:0417090000000000DC +:04170A0000000000DB +:04170B0000000000DA +:04170C0000000000D9 +:04170D0000000000D8 +:04170E0000000000D7 +:04170F0000000000D6 +:0417100000000000D5 +:0417110000000000D4 +:0417120000000000D3 +:0417130000000000D2 +:0417140000000000D1 +:0417150000000000D0 +:0417160000000000CF +:0417170000000000CE +:0417180000000000CD +:0417190000000000CC +:04171A0000000000CB +:04171B0000000000CA +:04171C0000000000C9 +:04171D0000000000C8 +:04171E0000000000C7 +:04171F0000000000C6 +:0417200000000000C5 +:0417210000000000C4 +:0417220000000000C3 +:0417230000000000C2 +:0417240000000000C1 +:0417250000000000C0 +:0417260000000000BF +:0417270000000000BE +:0417280000000000BD +:0417290000000000BC +:04172A0000000000BB +:04172B0000000000BA +:04172C0000000000B9 +:04172D0000000000B8 +:04172E0000000000B7 +:04172F0000000000B6 +:0417300000000000B5 +:0417310000000000B4 +:0417320000000000B3 +:0417330000000000B2 +:0417340000000000B1 +:0417350000000000B0 +:0417360000000000AF +:0417370000000000AE +:0417380000000000AD +:0417390000000000AC +:04173A0000000000AB +:04173B0000000000AA +:04173C0000000000A9 +:04173D0000000000A8 +:04173E0000000000A7 +:04173F0000000000A6 +:0417400000000000A5 +:0417410000000000A4 +:0417420000000000A3 +:0417430000000000A2 +:0417440000000000A1 +:0417450000000000A0 +:04174600000000009F +:04174700000000009E +:04174800000000009D +:04174900000000009C +:04174A00000000009B +:04174B00000000009A +:04174C000000000099 +:04174D000000000098 +:04174E000000000097 +:04174F000000000096 +:041750000000000095 +:041751000000000094 +:041752000000000093 +:041753000000000092 +:041754000000000091 +:041755000000000090 +:04175600000000008F +:04175700000000008E +:04175800000000008D +:04175900000000008C +:04175A00000000008B +:04175B00000000008A +:04175C000000000089 +:04175D000000000088 +:04175E000000000087 +:04175F000000000086 +:041760000000000085 +:041761000000000084 +:041762000000000083 +:041763000000000082 +:041764000000000081 +:041765000000000080 +:04176600000000007F +:04176700000000007E +:04176800000000007D +:04176900000000007C +:04176A00000000007B +:04176B00000000007A +:04176C000000000079 +:04176D000000000078 +:04176E000000000077 +:04176F000000000076 +:041770000000000075 +:041771000000000074 +:041772000000000073 +:041773000000000072 +:041774000000000071 +:041775000000000070 +:04177600000000006F +:04177700000000006E +:04177800000000006D +:04177900000000006C +:04177A00000000006B +:04177B00000000006A +:04177C000000000069 +:04177D000000000068 +:04177E000000000067 +:04177F000000000066 +:041780000000000065 +:041781000000000064 +:041782000000000063 +:041783000000000062 +:041784000000000061 +:041785000000000060 +:04178600000000005F +:04178700000000005E +:04178800000000005D +:04178900000000005C +:04178A00000000005B +:04178B00000000005A +:04178C000000000059 +:04178D000000000058 +:04178E000000000057 +:04178F000000000056 +:041790000000000055 +:041791000000000054 +:041792000000000053 +:041793000000000052 +:041794000000000051 +:041795000000000050 +:04179600000000004F +:04179700000000004E +:04179800000000004D +:04179900000000004C +:04179A00000000004B +:04179B00000000004A +:04179C000000000049 +:04179D000000000048 +:04179E000000000047 +:04179F000000000046 +:0417A0000000000045 +:0417A1000000000044 +:0417A2000000000043 +:0417A3000000000042 +:0417A4000000000041 +:0417A5000000000040 +:0417A600000000003F +:0417A700000000003E +:0417A800000000003D +:0417A900000000003C +:0417AA00000000003B +:0417AB00000000003A +:0417AC000000000039 +:0417AD000000000038 +:0417AE000000000037 +:0417AF000000000036 +:0417B0000000000035 +:0417B1000000000034 +:0417B2000000000033 +:0417B3000000000032 +:0417B4000000000031 +:0417B5000000000030 +:0417B600000000002F +:0417B700000000002E +:0417B800000000002D +:0417B900000000002C +:0417BA00000000002B +:0417BB00000000002A +:0417BC000000000029 +:0417BD000000000028 +:0417BE000000000027 +:0417BF000000000026 +:0417C0000000000025 +:0417C1000000000024 +:0417C2000000000023 +:0417C3000000000022 +:0417C4000000000021 +:0417C5000000000020 +:0417C600000000001F +:0417C700000000001E +:0417C800000000001D +:0417C900000000001C +:0417CA00000000001B +:0417CB00000000001A +:0417CC000000000019 +:0417CD000000000018 +:0417CE000000000017 +:0417CF000000000016 +:0417D0000000000015 +:0417D1000000000014 +:0417D2000000000013 +:0417D3000000000012 +:0417D4000000000011 +:0417D5000000000010 +:0417D600000000000F +:0417D700000000000E +:0417D800000000000D +:0417D900000000000C +:0417DA00000000000B +:0417DB00000000000A +:0417DC000000000009 +:0417DD000000000008 +:0417DE000000000007 +:0417DF000000000006 +:0417E0000000000005 +:0417E1000000000004 +:0417E2000000000003 +:0417E3000000000002 +:0417E4000000000001 +:0417E5000000000000 +:0417E60000000000FF +:0417E70000000000FE +:0417E80000000000FD +:0417E90000000000FC +:0417EA0000000000FB +:0417EB0000000000FA +:0417EC0000000000F9 +:0417ED0000000000F8 +:0417EE0000000000F7 +:0417EF0000000000F6 +:0417F00000000000F5 +:0417F10000000000F4 +:0417F20000000000F3 +:0417F30000000000F2 +:0417F40000000000F1 +:0417F50000000000F0 +:0417F60000000000EF +:0417F70000000000EE +:0417F80000000000ED +:0417F90000000000EC +:0417FA0000000000EB +:0417FB0000000000EA +:0417FC0000000000E9 +:0417FD0000000000E8 +:0417FE0000000000E7 +:0417FF0000000000E6 +:0418000000000000E4 +:0418010000000000E3 +:0418020000000000E2 +:0418030000000000E1 +:0418040000000000E0 +:0418050000000000DF +:0418060000000000DE +:0418070000000000DD +:0418080000000000DC +:0418090000000000DB +:04180A0000000000DA +:04180B0000000000D9 +:04180C0000000000D8 +:04180D0000000000D7 +:04180E0000000000D6 +:04180F0000000000D5 +:0418100000000000D4 +:0418110000000000D3 +:0418120000000000D2 +:0418130000000000D1 +:0418140000000000D0 +:0418150000000000CF +:0418160000000000CE +:0418170000000000CD +:0418180000000000CC +:0418190000000000CB +:04181A0000000000CA +:04181B0000000000C9 +:04181C0000000000C8 +:04181D0000000000C7 +:04181E0000000000C6 +:04181F0000000000C5 +:0418200000000000C4 +:0418210000000000C3 +:0418220000000000C2 +:0418230000000000C1 +:0418240000000000C0 +:0418250000000000BF +:0418260000000000BE +:0418270000000000BD +:0418280000000000BC +:0418290000000000BB +:04182A0000000000BA +:04182B0000000000B9 +:04182C0000000000B8 +:04182D0000000000B7 +:04182E0000000000B6 +:04182F0000000000B5 +:0418300000000000B4 +:0418310000000000B3 +:0418320000000000B2 +:0418330000000000B1 +:0418340000000000B0 +:0418350000000000AF +:0418360000000000AE +:0418370000000000AD +:0418380000000000AC +:0418390000000000AB +:04183A0000000000AA +:04183B0000000000A9 +:04183C0000000000A8 +:04183D0000000000A7 +:04183E0000000000A6 +:04183F0000000000A5 +:0418400000000000A4 +:0418410000000000A3 +:0418420000000000A2 +:0418430000000000A1 +:0418440000000000A0 +:04184500000000009F +:04184600000000009E +:04184700000000009D +:04184800000000009C +:04184900000000009B +:04184A00000000009A +:04184B000000000099 +:04184C000000000098 +:04184D000000000097 +:04184E000000000096 +:04184F000000000095 +:041850000000000094 +:041851000000000093 +:041852000000000092 +:041853000000000091 +:041854000000000090 +:04185500000000008F +:04185600000000008E +:04185700000000008D +:04185800000000008C +:04185900000000008B +:04185A00000000008A +:04185B000000000089 +:04185C000000000088 +:04185D000000000087 +:04185E000000000086 +:04185F000000000085 +:041860000000000084 +:041861000000000083 +:041862000000000082 +:041863000000000081 +:041864000000000080 +:04186500000000007F +:04186600000000007E +:04186700000000007D +:04186800000000007C +:04186900000000007B +:04186A00000000007A +:04186B000000000079 +:04186C000000000078 +:04186D000000000077 +:04186E000000000076 +:04186F000000000075 +:041870000000000074 +:041871000000000073 +:041872000000000072 +:041873000000000071 +:041874000000000070 +:04187500000000006F +:04187600000000006E +:04187700000000006D +:04187800000000006C +:04187900000000006B +:04187A00000000006A +:04187B000000000069 +:04187C000000000068 +:04187D000000000067 +:04187E000000000066 +:04187F000000000065 +:041880000000000064 +:041881000000000063 +:041882000000000062 +:041883000000000061 +:041884000000000060 +:04188500000000005F +:04188600000000005E +:04188700000000005D +:04188800000000005C +:04188900000000005B +:04188A00000000005A +:04188B000000000059 +:04188C000000000058 +:04188D000000000057 +:04188E000000000056 +:04188F000000000055 +:041890000000000054 +:041891000000000053 +:041892000000000052 +:041893000000000051 +:041894000000000050 +:04189500000000004F +:04189600000000004E +:04189700000000004D +:04189800000000004C +:04189900000000004B +:04189A00000000004A +:04189B000000000049 +:04189C000000000048 +:04189D000000000047 +:04189E000000000046 +:04189F000000000045 +:0418A0000000000044 +:0418A1000000000043 +:0418A2000000000042 +:0418A3000000000041 +:0418A4000000000040 +:0418A500000000003F +:0418A600000000003E +:0418A700000000003D +:0418A800000000003C +:0418A900000000003B +:0418AA00000000003A +:0418AB000000000039 +:0418AC000000000038 +:0418AD000000000037 +:0418AE000000000036 +:0418AF000000000035 +:0418B0000000000034 +:0418B1000000000033 +:0418B2000000000032 +:0418B3000000000031 +:0418B4000000000030 +:0418B500000000002F +:0418B600000000002E +:0418B700000000002D +:0418B800000000002C +:0418B900000000002B +:0418BA00000000002A +:0418BB000000000029 +:0418BC000000000028 +:0418BD000000000027 +:0418BE000000000026 +:0418BF000000000025 +:0418C0000000000024 +:0418C1000000000023 +:0418C2000000000022 +:0418C3000000000021 +:0418C4000000000020 +:0418C500000000001F +:0418C600000000001E +:0418C700000000001D +:0418C800000000001C +:0418C900000000001B +:0418CA00000000001A +:0418CB000000000019 +:0418CC000000000018 +:0418CD000000000017 +:0418CE000000000016 +:0418CF000000000015 +:0418D0000000000014 +:0418D1000000000013 +:0418D2000000000012 +:0418D3000000000011 +:0418D4000000000010 +:0418D500000000000F +:0418D600000000000E +:0418D700000000000D +:0418D800000000000C +:0418D900000000000B +:0418DA00000000000A +:0418DB000000000009 +:0418DC000000000008 +:0418DD000000000007 +:0418DE000000000006 +:0418DF000000000005 +:0418E0000000000004 +:0418E1000000000003 +:0418E2000000000002 +:0418E3000000000001 +:0418E4000000000000 +:0418E50000000000FF +:0418E60000000000FE +:0418E70000000000FD +:0418E80000000000FC +:0418E90000000000FB +:0418EA0000000000FA +:0418EB0000000000F9 +:0418EC0000000000F8 +:0418ED0000000000F7 +:0418EE0000000000F6 +:0418EF0000000000F5 +:0418F00000000000F4 +:0418F10000000000F3 +:0418F20000000000F2 +:0418F30000000000F1 +:0418F40000000000F0 +:0418F50000000000EF +:0418F60000000000EE +:0418F70000000000ED +:0418F80000000000EC +:0418F90000000000EB +:0418FA0000000000EA +:0418FB0000000000E9 +:0418FC0000000000E8 +:0418FD0000000000E7 +:0418FE0000000000E6 +:0418FF0000000000E5 +:0419000000000000E3 +:0419010000000000E2 +:0419020000000000E1 +:0419030000000000E0 +:0419040000000000DF +:0419050000000000DE +:0419060000000000DD +:0419070000000000DC +:0419080000000000DB +:0419090000000000DA +:04190A0000000000D9 +:04190B0000000000D8 +:04190C0000000000D7 +:04190D0000000000D6 +:04190E0000000000D5 +:04190F0000000000D4 +:0419100000000000D3 +:0419110000000000D2 +:0419120000000000D1 +:0419130000000000D0 +:0419140000000000CF +:0419150000000000CE +:0419160000000000CD +:0419170000000000CC +:0419180000000000CB +:0419190000000000CA +:04191A0000000000C9 +:04191B0000000000C8 +:04191C0000000000C7 +:04191D0000000000C6 +:04191E0000000000C5 +:04191F0000000000C4 +:0419200000000000C3 +:0419210000000000C2 +:0419220000000000C1 +:0419230000000000C0 +:0419240000000000BF +:0419250000000000BE +:0419260000000000BD +:0419270000000000BC +:0419280000000000BB +:0419290000000000BA +:04192A0000000000B9 +:04192B0000000000B8 +:04192C0000000000B7 +:04192D0000000000B6 +:04192E0000000000B5 +:04192F0000000000B4 +:0419300000000000B3 +:0419310000000000B2 +:0419320000000000B1 +:0419330000000000B0 +:0419340000000000AF +:0419350000000000AE +:0419360000000000AD +:0419370000000000AC +:0419380000000000AB +:0419390000000000AA +:04193A0000000000A9 +:04193B0000000000A8 +:04193C0000000000A7 +:04193D0000000000A6 +:04193E0000000000A5 +:04193F0000000000A4 +:0419400000000000A3 +:0419410000000000A2 +:0419420000000000A1 +:0419430000000000A0 +:04194400000000009F +:04194500000000009E +:04194600000000009D +:04194700000000009C +:04194800000000009B +:04194900000000009A +:04194A000000000099 +:04194B000000000098 +:04194C000000000097 +:04194D000000000096 +:04194E000000000095 +:04194F000000000094 +:041950000000000093 +:041951000000000092 +:041952000000000091 +:041953000000000090 +:04195400000000008F +:04195500000000008E +:04195600000000008D +:04195700000000008C +:04195800000000008B +:04195900000000008A +:04195A000000000089 +:04195B000000000088 +:04195C000000000087 +:04195D000000000086 +:04195E000000000085 +:04195F000000000084 +:041960000000000083 +:041961000000000082 +:041962000000000081 +:041963000000000080 +:04196400000000007F +:04196500000000007E +:04196600000000007D +:04196700000000007C +:04196800000000007B +:04196900000000007A +:04196A000000000079 +:04196B000000000078 +:04196C000000000077 +:04196D000000000076 +:04196E000000000075 +:04196F000000000074 +:041970000000000073 +:041971000000000072 +:041972000000000071 +:041973000000000070 +:04197400000000006F +:04197500000000006E +:04197600000000006D +:04197700000000006C +:04197800000000006B +:04197900000000006A +:04197A000000000069 +:04197B000000000068 +:04197C000000000067 +:04197D000000000066 +:04197E000000000065 +:04197F000000000064 +:041980000000000063 +:041981000000000062 +:041982000000000061 +:041983000000000060 +:04198400000000005F +:04198500000000005E +:04198600000000005D +:04198700000000005C +:04198800000000005B +:04198900000000005A +:04198A000000000059 +:04198B000000000058 +:04198C000000000057 +:04198D000000000056 +:04198E000000000055 +:04198F000000000054 +:041990000000000053 +:041991000000000052 +:041992000000000051 +:041993000000000050 +:04199400000000004F +:04199500000000004E +:04199600000000004D +:04199700000000004C +:04199800000000004B +:04199900000000004A +:04199A000000000049 +:04199B000000000048 +:04199C000000000047 +:04199D000000000046 +:04199E000000000045 +:04199F000000000044 +:0419A0000000000043 +:0419A1000000000042 +:0419A2000000000041 +:0419A3000000000040 +:0419A400000000003F +:0419A500000000003E +:0419A600000000003D +:0419A700000000003C +:0419A800000000003B +:0419A900000000003A +:0419AA000000000039 +:0419AB000000000038 +:0419AC000000000037 +:0419AD000000000036 +:0419AE000000000035 +:0419AF000000000034 +:0419B0000000000033 +:0419B1000000000032 +:0419B2000000000031 +:0419B3000000000030 +:0419B400000000002F +:0419B500000000002E +:0419B600000000002D +:0419B700000000002C +:0419B800000000002B +:0419B900000000002A +:0419BA000000000029 +:0419BB000000000028 +:0419BC000000000027 +:0419BD000000000026 +:0419BE000000000025 +:0419BF000000000024 +:0419C0000000000023 +:0419C1000000000022 +:0419C2000000000021 +:0419C3000000000020 +:0419C400000000001F +:0419C500000000001E +:0419C600000000001D +:0419C700000000001C +:0419C800000000001B +:0419C900000000001A +:0419CA000000000019 +:0419CB000000000018 +:0419CC000000000017 +:0419CD000000000016 +:0419CE000000000015 +:0419CF000000000014 +:0419D0000000000013 +:0419D1000000000012 +:0419D2000000000011 +:0419D3000000000010 +:0419D400000000000F +:0419D500000000000E +:0419D600000000000D +:0419D700000000000C +:0419D800000000000B +:0419D900000000000A +:0419DA000000000009 +:0419DB000000000008 +:0419DC000000000007 +:0419DD000000000006 +:0419DE000000000005 +:0419DF000000000004 +:0419E0000000000003 +:0419E1000000000002 +:0419E2000000000001 +:0419E3000000000000 +:0419E40000000000FF +:0419E50000000000FE +:0419E60000000000FD +:0419E70000000000FC +:0419E80000000000FB +:0419E90000000000FA +:0419EA0000000000F9 +:0419EB0000000000F8 +:0419EC0000000000F7 +:0419ED0000000000F6 +:0419EE0000000000F5 +:0419EF0000000000F4 +:0419F00000000000F3 +:0419F10000000000F2 +:0419F20000000000F1 +:0419F30000000000F0 +:0419F40000000000EF +:0419F50000000000EE +:0419F60000000000ED +:0419F70000000000EC +:0419F80000000000EB +:0419F90000000000EA +:0419FA0000000000E9 +:0419FB0000000000E8 +:0419FC0000000000E7 +:0419FD0000000000E6 +:0419FE0000000000E5 +:0419FF0000000000E4 +:041A000000000000E2 +:041A010000000000E1 +:041A020000000000E0 +:041A030000000000DF +:041A040000000000DE +:041A050000000000DD +:041A060000000000DC +:041A070000000000DB +:041A080000000000DA +:041A090000000000D9 +:041A0A0000000000D8 +:041A0B0000000000D7 +:041A0C0000000000D6 +:041A0D0000000000D5 +:041A0E0000000000D4 +:041A0F0000000000D3 +:041A100000000000D2 +:041A110000000000D1 +:041A120000000000D0 +:041A130000000000CF +:041A140000000000CE +:041A150000000000CD +:041A160000000000CC +:041A170000000000CB +:041A180000000000CA +:041A190000000000C9 +:041A1A0000000000C8 +:041A1B0000000000C7 +:041A1C0000000000C6 +:041A1D0000000000C5 +:041A1E0000000000C4 +:041A1F0000000000C3 +:041A200000000000C2 +:041A210000000000C1 +:041A220000000000C0 +:041A230000000000BF +:041A240000000000BE +:041A250000000000BD +:041A260000000000BC +:041A270000000000BB +:041A280000000000BA +:041A290000000000B9 +:041A2A0000000000B8 +:041A2B0000000000B7 +:041A2C0000000000B6 +:041A2D0000000000B5 +:041A2E0000000000B4 +:041A2F0000000000B3 +:041A300000000000B2 +:041A310000000000B1 +:041A320000000000B0 +:041A330000000000AF +:041A340000000000AE +:041A350000000000AD +:041A360000000000AC +:041A370000000000AB +:041A380000000000AA +:041A390000000000A9 +:041A3A0000000000A8 +:041A3B0000000000A7 +:041A3C0000000000A6 +:041A3D0000000000A5 +:041A3E0000000000A4 +:041A3F0000000000A3 +:041A400000000000A2 +:041A410000000000A1 +:041A420000000000A0 +:041A4300000000009F +:041A4400000000009E +:041A4500000000009D +:041A4600000000009C +:041A4700000000009B +:041A4800000000009A +:041A49000000000099 +:041A4A000000000098 +:041A4B000000000097 +:041A4C000000000096 +:041A4D000000000095 +:041A4E000000000094 +:041A4F000000000093 +:041A50000000000092 +:041A51000000000091 +:041A52000000000090 +:041A5300000000008F +:041A5400000000008E +:041A5500000000008D +:041A5600000000008C +:041A5700000000008B +:041A5800000000008A +:041A59000000000089 +:041A5A000000000088 +:041A5B000000000087 +:041A5C000000000086 +:041A5D000000000085 +:041A5E000000000084 +:041A5F000000000083 +:041A60000000000082 +:041A61000000000081 +:041A62000000000080 +:041A6300000000007F +:041A6400000000007E +:041A6500000000007D +:041A6600000000007C +:041A6700000000007B +:041A6800000000007A +:041A69000000000079 +:041A6A000000000078 +:041A6B000000000077 +:041A6C000000000076 +:041A6D000000000075 +:041A6E000000000074 +:041A6F000000000073 +:041A70000000000072 +:041A71000000000071 +:041A72000000000070 +:041A7300000000006F +:041A7400000000006E +:041A7500000000006D +:041A7600000000006C +:041A7700000000006B +:041A7800000000006A +:041A79000000000069 +:041A7A000000000068 +:041A7B000000000067 +:041A7C000000000066 +:041A7D000000000065 +:041A7E000000000064 +:041A7F000000000063 +:041A80000000000062 +:041A81000000000061 +:041A82000000000060 +:041A8300000000005F +:041A8400000000005E +:041A8500000000005D +:041A8600000000005C +:041A8700000000005B +:041A8800000000005A +:041A89000000000059 +:041A8A000000000058 +:041A8B000000000057 +:041A8C000000000056 +:041A8D000000000055 +:041A8E000000000054 +:041A8F000000000053 +:041A90000000000052 +:041A91000000000051 +:041A92000000000050 +:041A9300000000004F +:041A9400000000004E +:041A9500000000004D +:041A9600000000004C +:041A9700000000004B +:041A9800000000004A +:041A99000000000049 +:041A9A000000000048 +:041A9B000000000047 +:041A9C000000000046 +:041A9D000000000045 +:041A9E000000000044 +:041A9F000000000043 +:041AA0000000000042 +:041AA1000000000041 +:041AA2000000000040 +:041AA300000000003F +:041AA400000000003E +:041AA500000000003D +:041AA600000000003C +:041AA700000000003B +:041AA800000000003A +:041AA9000000000039 +:041AAA000000000038 +:041AAB000000000037 +:041AAC000000000036 +:041AAD000000000035 +:041AAE000000000034 +:041AAF000000000033 +:041AB0000000000032 +:041AB1000000000031 +:041AB2000000000030 +:041AB300000000002F +:041AB400000000002E +:041AB500000000002D +:041AB600000000002C +:041AB700000000002B +:041AB800000000002A +:041AB9000000000029 +:041ABA000000000028 +:041ABB000000000027 +:041ABC000000000026 +:041ABD000000000025 +:041ABE000000000024 +:041ABF000000000023 +:041AC0000000000022 +:041AC1000000000021 +:041AC2000000000020 +:041AC300000000001F +:041AC400000000001E +:041AC500000000001D +:041AC600000000001C +:041AC700000000001B +:041AC800000000001A +:041AC9000000000019 +:041ACA000000000018 +:041ACB000000000017 +:041ACC000000000016 +:041ACD000000000015 +:041ACE000000000014 +:041ACF000000000013 +:041AD0000000000012 +:041AD1000000000011 +:041AD2000000000010 +:041AD300000000000F +:041AD400000000000E +:041AD500000000000D +:041AD600000000000C +:041AD700000000000B +:041AD800000000000A +:041AD9000000000009 +:041ADA000000000008 +:041ADB000000000007 +:041ADC000000000006 +:041ADD000000000005 +:041ADE000000000004 +:041ADF000000000003 +:041AE0000000000002 +:041AE1000000000001 +:041AE2000000000000 +:041AE30000000000FF +:041AE40000000000FE +:041AE50000000000FD +:041AE60000000000FC +:041AE70000000000FB +:041AE80000000000FA +:041AE90000000000F9 +:041AEA0000000000F8 +:041AEB0000000000F7 +:041AEC0000000000F6 +:041AED0000000000F5 +:041AEE0000000000F4 +:041AEF0000000000F3 +:041AF00000000000F2 +:041AF10000000000F1 +:041AF20000000000F0 +:041AF30000000000EF +:041AF40000000000EE +:041AF50000000000ED +:041AF60000000000EC +:041AF70000000000EB +:041AF80000000000EA +:041AF90000000000E9 +:041AFA0000000000E8 +:041AFB0000000000E7 +:041AFC0000000000E6 +:041AFD0000000000E5 +:041AFE0000000000E4 +:041AFF0000000000E3 +:041B000000000000E1 +:041B010000000000E0 +:041B020000000000DF +:041B030000000000DE +:041B040000000000DD +:041B050000000000DC +:041B060000000000DB +:041B070000000000DA +:041B080000000000D9 +:041B090000000000D8 +:041B0A0000000000D7 +:041B0B0000000000D6 +:041B0C0000000000D5 +:041B0D0000000000D4 +:041B0E0000000000D3 +:041B0F0000000000D2 +:041B100000000000D1 +:041B110000000000D0 +:041B120000000000CF +:041B130000000000CE +:041B140000000000CD +:041B150000000000CC +:041B160000000000CB +:041B170000000000CA +:041B180000000000C9 +:041B190000000000C8 +:041B1A0000000000C7 +:041B1B0000000000C6 +:041B1C0000000000C5 +:041B1D0000000000C4 +:041B1E0000000000C3 +:041B1F0000000000C2 +:041B200000000000C1 +:041B210000000000C0 +:041B220000000000BF +:041B230000000000BE +:041B240000000000BD +:041B250000000000BC +:041B260000000000BB +:041B270000000000BA +:041B280000000000B9 +:041B290000000000B8 +:041B2A0000000000B7 +:041B2B0000000000B6 +:041B2C0000000000B5 +:041B2D0000000000B4 +:041B2E0000000000B3 +:041B2F0000000000B2 +:041B300000000000B1 +:041B310000000000B0 +:041B320000000000AF +:041B330000000000AE +:041B340000000000AD +:041B350000000000AC +:041B360000000000AB +:041B370000000000AA +:041B380000000000A9 +:041B390000000000A8 +:041B3A0000000000A7 +:041B3B0000000000A6 +:041B3C0000000000A5 +:041B3D0000000000A4 +:041B3E0000000000A3 +:041B3F0000000000A2 +:041B400000000000A1 +:041B410000000000A0 +:041B4200000000009F +:041B4300000000009E +:041B4400000000009D +:041B4500000000009C +:041B4600000000009B +:041B4700000000009A +:041B48000000000099 +:041B49000000000098 +:041B4A000000000097 +:041B4B000000000096 +:041B4C000000000095 +:041B4D000000000094 +:041B4E000000000093 +:041B4F000000000092 +:041B50000000000091 +:041B51000000000090 +:041B5200000000008F +:041B5300000000008E +:041B5400000000008D +:041B5500000000008C +:041B5600000000008B +:041B5700000000008A +:041B58000000000089 +:041B59000000000088 +:041B5A000000000087 +:041B5B000000000086 +:041B5C000000000085 +:041B5D000000000084 +:041B5E000000000083 +:041B5F000000000082 +:041B60000000000081 +:041B61000000000080 +:041B6200000000007F +:041B6300000000007E +:041B6400000000007D +:041B6500000000007C +:041B6600000000007B +:041B6700000000007A +:041B68000000000079 +:041B69000000000078 +:041B6A000000000077 +:041B6B000000000076 +:041B6C000000000075 +:041B6D000000000074 +:041B6E000000000073 +:041B6F000000000072 +:041B70000000000071 +:041B71000000000070 +:041B7200000000006F +:041B7300000000006E +:041B7400000000006D +:041B7500000000006C +:041B7600000000006B +:041B7700000000006A +:041B78000000000069 +:041B79000000000068 +:041B7A000000000067 +:041B7B000000000066 +:041B7C000000000065 +:041B7D000000000064 +:041B7E000000000063 +:041B7F000000000062 +:041B80000000000061 +:041B81000000000060 +:041B8200000000005F +:041B8300000000005E +:041B8400000000005D +:041B8500000000005C +:041B8600000000005B +:041B8700000000005A +:041B88000000000059 +:041B89000000000058 +:041B8A000000000057 +:041B8B000000000056 +:041B8C000000000055 +:041B8D000000000054 +:041B8E000000000053 +:041B8F000000000052 +:041B90000000000051 +:041B91000000000050 +:041B9200000000004F +:041B9300000000004E +:041B9400000000004D +:041B9500000000004C +:041B9600000000004B +:041B9700000000004A +:041B98000000000049 +:041B99000000000048 +:041B9A000000000047 +:041B9B000000000046 +:041B9C000000000045 +:041B9D000000000044 +:041B9E000000000043 +:041B9F000000000042 +:041BA0000000000041 +:041BA1000000000040 +:041BA200000000003F +:041BA300000000003E +:041BA400000000003D +:041BA500000000003C +:041BA600000000003B +:041BA700000000003A +:041BA8000000000039 +:041BA9000000000038 +:041BAA000000000037 +:041BAB000000000036 +:041BAC000000000035 +:041BAD000000000034 +:041BAE000000000033 +:041BAF000000000032 +:041BB0000000000031 +:041BB1000000000030 +:041BB200000000002F +:041BB300000000002E +:041BB400000000002D +:041BB500000000002C +:041BB600000000002B +:041BB700000000002A +:041BB8000000000029 +:041BB9000000000028 +:041BBA000000000027 +:041BBB000000000026 +:041BBC000000000025 +:041BBD000000000024 +:041BBE000000000023 +:041BBF000000000022 +:041BC0000000000021 +:041BC1000000000020 +:041BC200000000001F +:041BC300000000001E +:041BC400000000001D +:041BC500000000001C +:041BC600000000001B +:041BC700000000001A +:041BC8000000000019 +:041BC9000000000018 +:041BCA000000000017 +:041BCB000000000016 +:041BCC000000000015 +:041BCD000000000014 +:041BCE000000000013 +:041BCF000000000012 +:041BD0000000000011 +:041BD1000000000010 +:041BD200000000000F +:041BD300000000000E +:041BD400000000000D +:041BD500000000000C +:041BD600000000000B +:041BD700000000000A +:041BD8000000000009 +:041BD9000000000008 +:041BDA000000000007 +:041BDB000000000006 +:041BDC000000000005 +:041BDD000000000004 +:041BDE000000000003 +:041BDF000000000002 +:041BE0000000000001 +:041BE1000000000000 +:041BE20000000000FF +:041BE30000000000FE +:041BE40000000000FD +:041BE50000000000FC +:041BE60000000000FB +:041BE70000000000FA +:041BE80000000000F9 +:041BE90000000000F8 +:041BEA0000000000F7 +:041BEB0000000000F6 +:041BEC0000000000F5 +:041BED0000000000F4 +:041BEE0000000000F3 +:041BEF0000000000F2 +:041BF00000000000F1 +:041BF10000000000F0 +:041BF20000000000EF +:041BF30000000000EE +:041BF40000000000ED +:041BF50000000000EC +:041BF60000000000EB +:041BF70000000000EA +:041BF80000000000E9 +:041BF90000000000E8 +:041BFA0000000000E7 +:041BFB0000000000E6 +:041BFC0000000000E5 +:041BFD0000000000E4 +:041BFE0000000000E3 +:041BFF0000000000E2 +:041C000000000000E0 +:041C010000000000DF +:041C020000000000DE +:041C030000000000DD +:041C040000000000DC +:041C050000000000DB +:041C060000000000DA +:041C070000000000D9 +:041C080000000000D8 +:041C090000000000D7 +:041C0A0000000000D6 +:041C0B0000000000D5 +:041C0C0000000000D4 +:041C0D0000000000D3 +:041C0E0000000000D2 +:041C0F0000000000D1 +:041C100000000000D0 +:041C110000000000CF +:041C120000000000CE +:041C130000000000CD +:041C140000000000CC +:041C150000000000CB +:041C160000000000CA +:041C170000000000C9 +:041C180000000000C8 +:041C190000000000C7 +:041C1A0000000000C6 +:041C1B0000000000C5 +:041C1C0000000000C4 +:041C1D0000000000C3 +:041C1E0000000000C2 +:041C1F0000000000C1 +:041C200000000000C0 +:041C210000000000BF +:041C220000000000BE +:041C230000000000BD +:041C240000000000BC +:041C250000000000BB +:041C260000000000BA +:041C270000000000B9 +:041C280000000000B8 +:041C290000000000B7 +:041C2A0000000000B6 +:041C2B0000000000B5 +:041C2C0000000000B4 +:041C2D0000000000B3 +:041C2E0000000000B2 +:041C2F0000000000B1 +:041C300000000000B0 +:041C310000000000AF +:041C320000000000AE +:041C330000000000AD +:041C340000000000AC +:041C350000000000AB +:041C360000000000AA +:041C370000000000A9 +:041C380000000000A8 +:041C390000000000A7 +:041C3A0000000000A6 +:041C3B0000000000A5 +:041C3C0000000000A4 +:041C3D0000000000A3 +:041C3E0000000000A2 +:041C3F0000000000A1 +:041C400000000000A0 +:041C4100000000009F +:041C4200000000009E +:041C4300000000009D +:041C4400000000009C +:041C4500000000009B +:041C4600000000009A +:041C47000000000099 +:041C48000000000098 +:041C49000000000097 +:041C4A000000000096 +:041C4B000000000095 +:041C4C000000000094 +:041C4D000000000093 +:041C4E000000000092 +:041C4F000000000091 +:041C50000000000090 +:041C5100000000008F +:041C5200000000008E +:041C5300000000008D +:041C5400000000008C +:041C5500000000008B +:041C5600000000008A +:041C57000000000089 +:041C58000000000088 +:041C59000000000087 +:041C5A000000000086 +:041C5B000000000085 +:041C5C000000000084 +:041C5D000000000083 +:041C5E000000000082 +:041C5F000000000081 +:041C60000000000080 +:041C6100000000007F +:041C6200000000007E +:041C6300000000007D +:041C6400000000007C +:041C6500000000007B +:041C6600000000007A +:041C67000000000079 +:041C68000000000078 +:041C69000000000077 +:041C6A000000000076 +:041C6B000000000075 +:041C6C000000000074 +:041C6D000000000073 +:041C6E000000000072 +:041C6F000000000071 +:041C70000000000070 +:041C7100000000006F +:041C7200000000006E +:041C7300000000006D +:041C7400000000006C +:041C7500000000006B +:041C7600000000006A +:041C77000000000069 +:041C78000000000068 +:041C79000000000067 +:041C7A000000000066 +:041C7B000000000065 +:041C7C000000000064 +:041C7D000000000063 +:041C7E000000000062 +:041C7F000000000061 +:041C80000000000060 +:041C8100000000005F +:041C8200000000005E +:041C8300000000005D +:041C8400000000005C +:041C8500000000005B +:041C8600000000005A +:041C87000000000059 +:041C88000000000058 +:041C89000000000057 +:041C8A000000000056 +:041C8B000000000055 +:041C8C000000000054 +:041C8D000000000053 +:041C8E000000000052 +:041C8F000000000051 +:041C90000000000050 +:041C9100000000004F +:041C9200000000004E +:041C9300000000004D +:041C9400000000004C +:041C9500000000004B +:041C9600000000004A +:041C97000000000049 +:041C98000000000048 +:041C99000000000047 +:041C9A000000000046 +:041C9B000000000045 +:041C9C000000000044 +:041C9D000000000043 +:041C9E000000000042 +:041C9F000000000041 +:041CA0000000000040 +:041CA100000000003F +:041CA200000000003E +:041CA300000000003D +:041CA400000000003C +:041CA500000000003B +:041CA600000000003A +:041CA7000000000039 +:041CA8000000000038 +:041CA9000000000037 +:041CAA000000000036 +:041CAB000000000035 +:041CAC000000000034 +:041CAD000000000033 +:041CAE000000000032 +:041CAF000000000031 +:041CB0000000000030 +:041CB100000000002F +:041CB200000000002E +:041CB300000000002D +:041CB400000000002C +:041CB500000000002B +:041CB600000000002A +:041CB7000000000029 +:041CB8000000000028 +:041CB9000000000027 +:041CBA000000000026 +:041CBB000000000025 +:041CBC000000000024 +:041CBD000000000023 +:041CBE000000000022 +:041CBF000000000021 +:041CC0000000000020 +:041CC100000000001F +:041CC200000000001E +:041CC300000000001D +:041CC400000000001C +:041CC500000000001B +:041CC600000000001A +:041CC7000000000019 +:041CC8000000000018 +:041CC9000000000017 +:041CCA000000000016 +:041CCB000000000015 +:041CCC000000000014 +:041CCD000000000013 +:041CCE000000000012 +:041CCF000000000011 +:041CD0000000000010 +:041CD100000000000F +:041CD200000000000E +:041CD300000000000D +:041CD400000000000C +:041CD500000000000B +:041CD600000000000A +:041CD7000000000009 +:041CD8000000000008 +:041CD9000000000007 +:041CDA000000000006 +:041CDB000000000005 +:041CDC000000000004 +:041CDD000000000003 +:041CDE000000000002 +:041CDF000000000001 +:041CE0000000000000 +:041CE10000000000FF +:041CE20000000000FE +:041CE30000000000FD +:041CE40000000000FC +:041CE50000000000FB +:041CE60000000000FA +:041CE70000000000F9 +:041CE80000000000F8 +:041CE90000000000F7 +:041CEA0000000000F6 +:041CEB0000000000F5 +:041CEC0000000000F4 +:041CED0000000000F3 +:041CEE0000000000F2 +:041CEF0000000000F1 +:041CF00000000000F0 +:041CF10000000000EF +:041CF20000000000EE +:041CF30000000000ED +:041CF40000000000EC +:041CF50000000000EB +:041CF60000000000EA +:041CF70000000000E9 +:041CF80000000000E8 +:041CF90000000000E7 +:041CFA0000000000E6 +:041CFB0000000000E5 +:041CFC0000000000E4 +:041CFD0000000000E3 +:041CFE0000000000E2 +:041CFF0000000000E1 +:041D000000000000DF +:041D010000000000DE +:041D020000000000DD +:041D030000000000DC +:041D040000000000DB +:041D050000000000DA +:041D060000000000D9 +:041D070000000000D8 +:041D080000000000D7 +:041D090000000000D6 +:041D0A0000000000D5 +:041D0B0000000000D4 +:041D0C0000000000D3 +:041D0D0000000000D2 +:041D0E0000000000D1 +:041D0F0000000000D0 +:041D100000000000CF +:041D110000000000CE +:041D120000000000CD +:041D130000000000CC +:041D140000000000CB +:041D150000000000CA +:041D160000000000C9 +:041D170000000000C8 +:041D180000000000C7 +:041D190000000000C6 +:041D1A0000000000C5 +:041D1B0000000000C4 +:041D1C0000000000C3 +:041D1D0000000000C2 +:041D1E0000000000C1 +:041D1F0000000000C0 +:041D200000000000BF +:041D210000000000BE +:041D220000000000BD +:041D230000000000BC +:041D240000000000BB +:041D250000000000BA +:041D260000000000B9 +:041D270000000000B8 +:041D280000000000B7 +:041D290000000000B6 +:041D2A0000000000B5 +:041D2B0000000000B4 +:041D2C0000000000B3 +:041D2D0000000000B2 +:041D2E0000000000B1 +:041D2F0000000000B0 +:041D300000000000AF +:041D310000000000AE +:041D320000000000AD +:041D330000000000AC +:041D340000000000AB +:041D350000000000AA +:041D360000000000A9 +:041D370000000000A8 +:041D380000000000A7 +:041D390000000000A6 +:041D3A0000000000A5 +:041D3B0000000000A4 +:041D3C0000000000A3 +:041D3D0000000000A2 +:041D3E0000000000A1 +:041D3F0000000000A0 +:041D4000000000009F +:041D4100000000009E +:041D4200000000009D +:041D4300000000009C +:041D4400000000009B +:041D4500000000009A +:041D46000000000099 +:041D47000000000098 +:041D48000000000097 +:041D49000000000096 +:041D4A000000000095 +:041D4B000000000094 +:041D4C000000000093 +:041D4D000000000092 +:041D4E000000000091 +:041D4F000000000090 +:041D5000000000008F +:041D5100000000008E +:041D5200000000008D +:041D5300000000008C +:041D5400000000008B +:041D5500000000008A +:041D56000000000089 +:041D57000000000088 +:041D58000000000087 +:041D59000000000086 +:041D5A000000000085 +:041D5B000000000084 +:041D5C000000000083 +:041D5D000000000082 +:041D5E000000000081 +:041D5F000000000080 +:041D6000000000007F +:041D6100000000007E +:041D6200000000007D +:041D6300000000007C +:041D6400000000007B +:041D6500000000007A +:041D66000000000079 +:041D67000000000078 +:041D68000000000077 +:041D69000000000076 +:041D6A000000000075 +:041D6B000000000074 +:041D6C000000000073 +:041D6D000000000072 +:041D6E000000000071 +:041D6F000000000070 +:041D7000000000006F +:041D7100000000006E +:041D7200000000006D +:041D7300000000006C +:041D7400000000006B +:041D7500000000006A +:041D76000000000069 +:041D77000000000068 +:041D78000000000067 +:041D79000000000066 +:041D7A000000000065 +:041D7B000000000064 +:041D7C000000000063 +:041D7D000000000062 +:041D7E000000000061 +:041D7F000000000060 +:041D8000000000005F +:041D8100000000005E +:041D8200000000005D +:041D8300000000005C +:041D8400000000005B +:041D8500000000005A +:041D86000000000059 +:041D87000000000058 +:041D88000000000057 +:041D89000000000056 +:041D8A000000000055 +:041D8B000000000054 +:041D8C000000000053 +:041D8D000000000052 +:041D8E000000000051 +:041D8F000000000050 +:041D9000000000004F +:041D9100000000004E +:041D9200000000004D +:041D9300000000004C +:041D9400000000004B +:041D9500000000004A +:041D96000000000049 +:041D97000000000048 +:041D98000000000047 +:041D99000000000046 +:041D9A000000000045 +:041D9B000000000044 +:041D9C000000000043 +:041D9D000000000042 +:041D9E000000000041 +:041D9F000000000040 +:041DA000000000003F +:041DA100000000003E +:041DA200000000003D +:041DA300000000003C +:041DA400000000003B +:041DA500000000003A +:041DA6000000000039 +:041DA7000000000038 +:041DA8000000000037 +:041DA9000000000036 +:041DAA000000000035 +:041DAB000000000034 +:041DAC000000000033 +:041DAD000000000032 +:041DAE000000000031 +:041DAF000000000030 +:041DB000000000002F +:041DB100000000002E +:041DB200000000002D +:041DB300000000002C +:041DB400000000002B +:041DB500000000002A +:041DB6000000000029 +:041DB7000000000028 +:041DB8000000000027 +:041DB9000000000026 +:041DBA000000000025 +:041DBB000000000024 +:041DBC000000000023 +:041DBD000000000022 +:041DBE000000000021 +:041DBF000000000020 +:041DC000000000001F +:041DC100000000001E +:041DC200000000001D +:041DC300000000001C +:041DC400000000001B +:041DC500000000001A +:041DC6000000000019 +:041DC7000000000018 +:041DC8000000000017 +:041DC9000000000016 +:041DCA000000000015 +:041DCB000000000014 +:041DCC000000000013 +:041DCD000000000012 +:041DCE000000000011 +:041DCF000000000010 +:041DD000000000000F +:041DD100000000000E +:041DD200000000000D +:041DD300000000000C +:041DD400000000000B +:041DD500000000000A +:041DD6000000000009 +:041DD7000000000008 +:041DD8000000000007 +:041DD9000000000006 +:041DDA000000000005 +:041DDB000000000004 +:041DDC000000000003 +:041DDD000000000002 +:041DDE000000000001 +:041DDF000000000000 +:041DE00000000000FF +:041DE10000000000FE +:041DE20000000000FD +:041DE30000000000FC +:041DE40000000000FB +:041DE50000000000FA +:041DE60000000000F9 +:041DE70000000000F8 +:041DE80000000000F7 +:041DE90000000000F6 +:041DEA0000000000F5 +:041DEB0000000000F4 +:041DEC0000000000F3 +:041DED0000000000F2 +:041DEE0000000000F1 +:041DEF0000000000F0 +:041DF00000000000EF +:041DF10000000000EE +:041DF20000000000ED +:041DF30000000000EC +:041DF40000000000EB +:041DF50000000000EA +:041DF60000000000E9 +:041DF70000000000E8 +:041DF80000000000E7 +:041DF90000000000E6 +:041DFA0000000000E5 +:041DFB0000000000E4 +:041DFC0000000000E3 +:041DFD0000000000E2 +:041DFE0000000000E1 +:041DFF0000000000E0 +:041E000000000000DE +:041E010000000000DD +:041E020000000000DC +:041E030000000000DB +:041E040000000000DA +:041E050000000000D9 +:041E060000000000D8 +:041E070000000000D7 +:041E080000000000D6 +:041E090000000000D5 +:041E0A0000000000D4 +:041E0B0000000000D3 +:041E0C0000000000D2 +:041E0D0000000000D1 +:041E0E0000000000D0 +:041E0F0000000000CF +:041E100000000000CE +:041E110000000000CD +:041E120000000000CC +:041E130000000000CB +:041E140000000000CA +:041E150000000000C9 +:041E160000000000C8 +:041E170000000000C7 +:041E180000000000C6 +:041E190000000000C5 +:041E1A0000000000C4 +:041E1B0000000000C3 +:041E1C0000000000C2 +:041E1D0000000000C1 +:041E1E0000000000C0 +:041E1F0000000000BF +:041E200000000000BE +:041E210000000000BD +:041E220000000000BC +:041E230000000000BB +:041E240000000000BA +:041E250000000000B9 +:041E260000000000B8 +:041E270000000000B7 +:041E280000000000B6 +:041E290000000000B5 +:041E2A0000000000B4 +:041E2B0000000000B3 +:041E2C0000000000B2 +:041E2D0000000000B1 +:041E2E0000000000B0 +:041E2F0000000000AF +:041E300000000000AE +:041E310000000000AD +:041E320000000000AC +:041E330000000000AB +:041E340000000000AA +:041E350000000000A9 +:041E360000000000A8 +:041E370000000000A7 +:041E380000000000A6 +:041E390000000000A5 +:041E3A0000000000A4 +:041E3B0000000000A3 +:041E3C0000000000A2 +:041E3D0000000000A1 +:041E3E0000000000A0 +:041E3F00000000009F +:041E4000000000009E +:041E4100000000009D +:041E4200000000009C +:041E4300000000009B +:041E4400000000009A +:041E45000000000099 +:041E46000000000098 +:041E47000000000097 +:041E48000000000096 +:041E49000000000095 +:041E4A000000000094 +:041E4B000000000093 +:041E4C000000000092 +:041E4D000000000091 +:041E4E000000000090 +:041E4F00000000008F +:041E5000000000008E +:041E5100000000008D +:041E5200000000008C +:041E5300000000008B +:041E5400000000008A +:041E55000000000089 +:041E56000000000088 +:041E57000000000087 +:041E58000000000086 +:041E59000000000085 +:041E5A000000000084 +:041E5B000000000083 +:041E5C000000000082 +:041E5D000000000081 +:041E5E000000000080 +:041E5F00000000007F +:041E6000000000007E +:041E6100000000007D +:041E6200000000007C +:041E6300000000007B +:041E6400000000007A +:041E65000000000079 +:041E66000000000078 +:041E67000000000077 +:041E68000000000076 +:041E69000000000075 +:041E6A000000000074 +:041E6B000000000073 +:041E6C000000000072 +:041E6D000000000071 +:041E6E000000000070 +:041E6F00000000006F +:041E7000000000006E +:041E7100000000006D +:041E7200000000006C +:041E7300000000006B +:041E7400000000006A +:041E75000000000069 +:041E76000000000068 +:041E77000000000067 +:041E78000000000066 +:041E79000000000065 +:041E7A000000000064 +:041E7B000000000063 +:041E7C000000000062 +:041E7D000000000061 +:041E7E000000000060 +:041E7F00000000005F +:041E8000000000005E +:041E8100000000005D +:041E8200000000005C +:041E8300000000005B +:041E8400000000005A +:041E85000000000059 +:041E86000000000058 +:041E87000000000057 +:041E88000000000056 +:041E89000000000055 +:041E8A000000000054 +:041E8B000000000053 +:041E8C000000000052 +:041E8D000000000051 +:041E8E000000000050 +:041E8F00000000004F +:041E9000000000004E +:041E9100000000004D +:041E9200000000004C +:041E9300000000004B +:041E9400000000004A +:041E95000000000049 +:041E96000000000048 +:041E97000000000047 +:041E98000000000046 +:041E99000000000045 +:041E9A000000000044 +:041E9B000000000043 +:041E9C000000000042 +:041E9D000000000041 +:041E9E000000000040 +:041E9F00000000003F +:041EA000000000003E +:041EA100000000003D +:041EA200000000003C +:041EA300000000003B +:041EA400000000003A +:041EA5000000000039 +:041EA6000000000038 +:041EA7000000000037 +:041EA8000000000036 +:041EA9000000000035 +:041EAA000000000034 +:041EAB000000000033 +:041EAC000000000032 +:041EAD000000000031 +:041EAE000000000030 +:041EAF00000000002F +:041EB000000000002E +:041EB100000000002D +:041EB200000000002C +:041EB300000000002B +:041EB400000000002A +:041EB5000000000029 +:041EB6000000000028 +:041EB7000000000027 +:041EB8000000000026 +:041EB9000000000025 +:041EBA000000000024 +:041EBB000000000023 +:041EBC000000000022 +:041EBD000000000021 +:041EBE000000000020 +:041EBF00000000001F +:041EC000000000001E +:041EC100000000001D +:041EC200000000001C +:041EC300000000001B +:041EC400000000001A +:041EC5000000000019 +:041EC6000000000018 +:041EC7000000000017 +:041EC8000000000016 +:041EC9000000000015 +:041ECA000000000014 +:041ECB000000000013 +:041ECC000000000012 +:041ECD000000000011 +:041ECE000000000010 +:041ECF00000000000F +:041ED000000000000E +:041ED100000000000D +:041ED200000000000C +:041ED300000000000B +:041ED400000000000A +:041ED5000000000009 +:041ED6000000000008 +:041ED7000000000007 +:041ED8000000000006 +:041ED9000000000005 +:041EDA000000000004 +:041EDB000000000003 +:041EDC000000000002 +:041EDD000000000001 +:041EDE000000000000 +:041EDF0000000000FF +:041EE00000000000FE +:041EE10000000000FD +:041EE20000000000FC +:041EE30000000000FB +:041EE40000000000FA +:041EE50000000000F9 +:041EE60000000000F8 +:041EE70000000000F7 +:041EE80000000000F6 +:041EE90000000000F5 +:041EEA0000000000F4 +:041EEB0000000000F3 +:041EEC0000000000F2 +:041EED0000000000F1 +:041EEE0000000000F0 +:041EEF0000000000EF +:041EF00000000000EE +:041EF10000000000ED +:041EF20000000000EC +:041EF30000000000EB +:041EF40000000000EA +:041EF50000000000E9 +:041EF60000000000E8 +:041EF70000000000E7 +:041EF80000000000E6 +:041EF90000000000E5 +:041EFA0000000000E4 +:041EFB0000000000E3 +:041EFC0000000000E2 +:041EFD0000000000E1 +:041EFE0000000000E0 +:041EFF0000000000DF +:041F000000000000DD +:041F010000000000DC +:041F020000000000DB +:041F030000000000DA +:041F040000000000D9 +:041F050000000000D8 +:041F060000000000D7 +:041F070000000000D6 +:041F080000000000D5 +:041F090000000000D4 +:041F0A0000000000D3 +:041F0B0000000000D2 +:041F0C0000000000D1 +:041F0D0000000000D0 +:041F0E0000000000CF +:041F0F0000000000CE +:041F100000000000CD +:041F110000000000CC +:041F120000000000CB +:041F130000000000CA +:041F140000000000C9 +:041F150000000000C8 +:041F160000000000C7 +:041F170000000000C6 +:041F180000000000C5 +:041F190000000000C4 +:041F1A0000000000C3 +:041F1B0000000000C2 +:041F1C0000000000C1 +:041F1D0000000000C0 +:041F1E0000000000BF +:041F1F0000000000BE +:041F200000000000BD +:041F210000000000BC +:041F220000000000BB +:041F230000000000BA +:041F240000000000B9 +:041F250000000000B8 +:041F260000000000B7 +:041F270000000000B6 +:041F280000000000B5 +:041F290000000000B4 +:041F2A0000000000B3 +:041F2B0000000000B2 +:041F2C0000000000B1 +:041F2D0000000000B0 +:041F2E0000000000AF +:041F2F0000000000AE +:041F300000000000AD +:041F310000000000AC +:041F320000000000AB +:041F330000000000AA +:041F340000000000A9 +:041F350000000000A8 +:041F360000000000A7 +:041F370000000000A6 +:041F380000000000A5 +:041F390000000000A4 +:041F3A0000000000A3 +:041F3B0000000000A2 +:041F3C0000000000A1 +:041F3D0000000000A0 +:041F3E00000000009F +:041F3F00000000009E +:041F4000000000009D +:041F4100000000009C +:041F4200000000009B +:041F4300000000009A +:041F44000000000099 +:041F45000000000098 +:041F46000000000097 +:041F47000000000096 +:041F48000000000095 +:041F49000000000094 +:041F4A000000000093 +:041F4B000000000092 +:041F4C000000000091 +:041F4D000000000090 +:041F4E00000000008F +:041F4F00000000008E +:041F5000000000008D +:041F5100000000008C +:041F5200000000008B +:041F5300000000008A +:041F54000000000089 +:041F55000000000088 +:041F56000000000087 +:041F57000000000086 +:041F58000000000085 +:041F59000000000084 +:041F5A000000000083 +:041F5B000000000082 +:041F5C000000000081 +:041F5D000000000080 +:041F5E00000000007F +:041F5F00000000007E +:041F6000000000007D +:041F6100000000007C +:041F6200000000007B +:041F6300000000007A +:041F64000000000079 +:041F65000000000078 +:041F66000000000077 +:041F67000000000076 +:041F68000000000075 +:041F69000000000074 +:041F6A000000000073 +:041F6B000000000072 +:041F6C000000000071 +:041F6D000000000070 +:041F6E00000000006F +:041F6F00000000006E +:041F7000000000006D +:041F7100000000006C +:041F7200000000006B +:041F7300000000006A +:041F74000000000069 +:041F75000000000068 +:041F76000000000067 +:041F77000000000066 +:041F78000000000065 +:041F79000000000064 +:041F7A000000000063 +:041F7B000000000062 +:041F7C000000000061 +:041F7D000000000060 +:041F7E00000000005F +:041F7F00000000005E +:041F8000000000005D +:041F8100000000005C +:041F8200000000005B +:041F8300000000005A +:041F84000000000059 +:041F85000000000058 +:041F86000000000057 +:041F87000000000056 +:041F88000000000055 +:041F89000000000054 +:041F8A000000000053 +:041F8B000000000052 +:041F8C000000000051 +:041F8D000000000050 +:041F8E00000000004F +:041F8F00000000004E +:041F9000000000004D +:041F9100000000004C +:041F9200000000004B +:041F9300000000004A +:041F94000000000049 +:041F95000000000048 +:041F96000000000047 +:041F97000000000046 +:041F98000000000045 +:041F99000000000044 +:041F9A000000000043 +:041F9B000000000042 +:041F9C000000000041 +:041F9D000000000040 +:041F9E00000000003F +:041F9F00000000003E +:041FA000000000003D +:041FA100000000003C +:041FA200000000003B +:041FA300000000003A +:041FA4000000000039 +:041FA5000000000038 +:041FA6000000000037 +:041FA7000000000036 +:041FA8000000000035 +:041FA9000000000034 +:041FAA000000000033 +:041FAB000000000032 +:041FAC000000000031 +:041FAD000000000030 +:041FAE00000000002F +:041FAF00000000002E +:041FB000000000002D +:041FB100000000002C +:041FB200000000002B +:041FB300000000002A +:041FB4000000000029 +:041FB5000000000028 +:041FB6000000000027 +:041FB7000000000026 +:041FB8000000000025 +:041FB9000000000024 +:041FBA000000000023 +:041FBB000000000022 +:041FBC000000000021 +:041FBD000000000020 +:041FBE00000000001F +:041FBF00000000001E +:041FC000000000001D +:041FC100000000001C +:041FC200000000001B +:041FC300000000001A +:041FC4000000000019 +:041FC5000000000018 +:041FC6000000000017 +:041FC7000000000016 +:041FC8000000000015 +:041FC9000000000014 +:041FCA000000000013 +:041FCB000000000012 +:041FCC000000000011 +:041FCD000000000010 +:041FCE00000000000F +:041FCF00000000000E +:041FD000000000000D +:041FD100000000000C +:041FD200000000000B +:041FD300000000000A +:041FD4000000000009 +:041FD5000000000008 +:041FD6000000000007 +:041FD7000000000006 +:041FD8000000000005 +:041FD9000000000004 +:041FDA000000000003 +:041FDB000000000002 +:041FDC000000000001 +:041FDD000000000000 +:041FDE0000000000FF +:041FDF0000000000FE +:041FE00000000000FD +:041FE10000000000FC +:041FE20000000000FB +:041FE30000000000FA +:041FE40000000000F9 +:041FE50000000000F8 +:041FE60000000000F7 +:041FE70000000000F6 +:041FE80000000000F5 +:041FE90000000000F4 +:041FEA0000000000F3 +:041FEB0000000000F2 +:041FEC0000000000F1 +:041FED0000000000F0 +:041FEE0000000000EF +:041FEF0000000000EE +:041FF00000000000ED +:041FF10000000000EC +:041FF20000000000EB +:041FF30000000000EA +:041FF40000000000E9 +:041FF50000000000E8 +:041FF60000000000E7 +:041FF70000000000E6 +:041FF80000000000E5 +:041FF90000000000E4 +:041FFA0000000000E3 +:041FFB0000000000E2 +:041FFC0000000000E1 +:041FFD0000000000E0 +:041FFE0000000000DF +:041FFF0000000000DE +:0420000000000000DC +:0420010000000000DB +:0420020000000000DA +:0420030000000000D9 +:0420040000000000D8 +:0420050000000000D7 +:0420060000000000D6 +:0420070000000000D5 +:0420080000000000D4 +:0420090000000000D3 +:04200A0000000000D2 +:04200B0000000000D1 +:04200C0000000000D0 +:04200D0000000000CF +:04200E0000000000CE +:04200F0000000000CD +:0420100000000000CC +:0420110000000000CB +:0420120000000000CA +:0420130000000000C9 +:0420140000000000C8 +:0420150000000000C7 +:0420160000000000C6 +:0420170000000000C5 +:0420180000000000C4 +:0420190000000000C3 +:04201A0000000000C2 +:04201B0000000000C1 +:04201C0000000000C0 +:04201D0000000000BF +:04201E0000000000BE +:04201F0000000000BD +:0420200000000000BC +:0420210000000000BB +:0420220000000000BA +:0420230000000000B9 +:0420240000000000B8 +:0420250000000000B7 +:0420260000000000B6 +:0420270000000000B5 +:0420280000000000B4 +:0420290000000000B3 +:04202A0000000000B2 +:04202B0000000000B1 +:04202C0000000000B0 +:04202D0000000000AF +:04202E0000000000AE +:04202F0000000000AD +:0420300000000000AC +:0420310000000000AB +:0420320000000000AA +:0420330000000000A9 +:0420340000000000A8 +:0420350000000000A7 +:0420360000000000A6 +:0420370000000000A5 +:0420380000000000A4 +:0420390000000000A3 +:04203A0000000000A2 +:04203B0000000000A1 +:04203C0000000000A0 +:04203D00000000009F +:04203E00000000009E +:04203F00000000009D +:04204000000000009C +:04204100000000009B +:04204200000000009A +:042043000000000099 +:042044000000000098 +:042045000000000097 +:042046000000000096 +:042047000000000095 +:042048000000000094 +:042049000000000093 +:04204A000000000092 +:04204B000000000091 +:04204C000000000090 +:04204D00000000008F +:04204E00000000008E +:04204F00000000008D +:04205000000000008C +:04205100000000008B +:04205200000000008A +:042053000000000089 +:042054000000000088 +:042055000000000087 +:042056000000000086 +:042057000000000085 +:042058000000000084 +:042059000000000083 +:04205A000000000082 +:04205B000000000081 +:04205C000000000080 +:04205D00000000007F +:04205E00000000007E +:04205F00000000007D +:04206000000000007C +:04206100000000007B +:04206200000000007A +:042063000000000079 +:042064000000000078 +:042065000000000077 +:042066000000000076 +:042067000000000075 +:042068000000000074 +:042069000000000073 +:04206A000000000072 +:04206B000000000071 +:04206C000000000070 +:04206D00000000006F +:04206E00000000006E +:04206F00000000006D +:04207000000000006C +:04207100000000006B +:04207200000000006A +:042073000000000069 +:042074000000000068 +:042075000000000067 +:042076000000000066 +:042077000000000065 +:042078000000000064 +:042079000000000063 +:04207A000000000062 +:04207B000000000061 +:04207C000000000060 +:04207D00000000005F +:04207E00000000005E +:04207F00000000005D +:04208000000000005C +:04208100000000005B +:04208200000000005A +:042083000000000059 +:042084000000000058 +:042085000000000057 +:042086000000000056 +:042087000000000055 +:042088000000000054 +:042089000000000053 +:04208A000000000052 +:04208B000000000051 +:04208C000000000050 +:04208D00000000004F +:04208E00000000004E +:04208F00000000004D +:04209000000000004C +:04209100000000004B +:04209200000000004A +:042093000000000049 +:042094000000000048 +:042095000000000047 +:042096000000000046 +:042097000000000045 +:042098000000000044 +:042099000000000043 +:04209A000000000042 +:04209B000000000041 +:04209C000000000040 +:04209D00000000003F +:04209E00000000003E +:04209F00000000003D +:0420A000000000003C +:0420A100000000003B +:0420A200000000003A +:0420A3000000000039 +:0420A4000000000038 +:0420A5000000000037 +:0420A6000000000036 +:0420A7000000000035 +:0420A8000000000034 +:0420A9000000000033 +:0420AA000000000032 +:0420AB000000000031 +:0420AC000000000030 +:0420AD00000000002F +:0420AE00000000002E +:0420AF00000000002D +:0420B000000000002C +:0420B100000000002B +:0420B200000000002A +:0420B3000000000029 +:0420B4000000000028 +:0420B5000000000027 +:0420B6000000000026 +:0420B7000000000025 +:0420B8000000000024 +:0420B9000000000023 +:0420BA000000000022 +:0420BB000000000021 +:0420BC000000000020 +:0420BD00000000001F +:0420BE00000000001E +:0420BF00000000001D +:0420C000000000001C +:0420C100000000001B +:0420C200000000001A +:0420C3000000000019 +:0420C4000000000018 +:0420C5000000000017 +:0420C6000000000016 +:0420C7000000000015 +:0420C8000000000014 +:0420C9000000000013 +:0420CA000000000012 +:0420CB000000000011 +:0420CC000000000010 +:0420CD00000000000F +:0420CE00000000000E +:0420CF00000000000D +:0420D000000000000C +:0420D100000000000B +:0420D200000000000A +:0420D3000000000009 +:0420D4000000000008 +:0420D5000000000007 +:0420D6000000000006 +:0420D7000000000005 +:0420D8000000000004 +:0420D9000000000003 +:0420DA000000000002 +:0420DB000000000001 +:0420DC000000000000 +:0420DD0000000000FF +:0420DE0000000000FE +:0420DF0000000000FD +:0420E00000000000FC +:0420E10000000000FB +:0420E20000000000FA +:0420E30000000000F9 +:0420E40000000000F8 +:0420E50000000000F7 +:0420E60000000000F6 +:0420E70000000000F5 +:0420E80000000000F4 +:0420E90000000000F3 +:0420EA0000000000F2 +:0420EB0000000000F1 +:0420EC0000000000F0 +:0420ED0000000000EF +:0420EE0000000000EE +:0420EF0000000000ED +:0420F00000000000EC +:0420F10000000000EB +:0420F20000000000EA +:0420F30000000000E9 +:0420F40000000000E8 +:0420F50000000000E7 +:0420F60000000000E6 +:0420F70000000000E5 +:0420F80000000000E4 +:0420F90000000000E3 +:0420FA0000000000E2 +:0420FB0000000000E1 +:0420FC0000000000E0 +:0420FD0000000000DF +:0420FE0000000000DE +:0420FF0000000000DD +:0421000000000000DB +:0421010000000000DA +:0421020000000000D9 +:0421030000000000D8 +:0421040000000000D7 +:0421050000000000D6 +:0421060000000000D5 +:0421070000000000D4 +:0421080000000000D3 +:0421090000000000D2 +:04210A0000000000D1 +:04210B0000000000D0 +:04210C0000000000CF +:04210D0000000000CE +:04210E0000000000CD +:04210F0000000000CC +:0421100000000000CB +:0421110000000000CA +:0421120000000000C9 +:0421130000000000C8 +:0421140000000000C7 +:0421150000000000C6 +:0421160000000000C5 +:0421170000000000C4 +:0421180000000000C3 +:0421190000000000C2 +:04211A0000000000C1 +:04211B0000000000C0 +:04211C0000000000BF +:04211D0000000000BE +:04211E0000000000BD +:04211F0000000000BC +:0421200000000000BB +:0421210000000000BA +:0421220000000000B9 +:0421230000000000B8 +:0421240000000000B7 +:0421250000000000B6 +:0421260000000000B5 +:0421270000000000B4 +:0421280000000000B3 +:0421290000000000B2 +:04212A0000000000B1 +:04212B0000000000B0 +:04212C0000000000AF +:04212D0000000000AE +:04212E0000000000AD +:04212F0000000000AC +:0421300000000000AB +:0421310000000000AA +:0421320000000000A9 +:0421330000000000A8 +:0421340000000000A7 +:0421350000000000A6 +:0421360000000000A5 +:0421370000000000A4 +:0421380000000000A3 +:0421390000000000A2 +:04213A0000000000A1 +:04213B0000000000A0 +:04213C00000000009F +:04213D00000000009E +:04213E00000000009D +:04213F00000000009C +:04214000000000009B +:04214100000000009A +:042142000000000099 +:042143000000000098 +:042144000000000097 +:042145000000000096 +:042146000000000095 +:042147000000000094 +:042148000000000093 +:042149000000000092 +:04214A000000000091 +:04214B000000000090 +:04214C00000000008F +:04214D00000000008E +:04214E00000000008D +:04214F00000000008C +:04215000000000008B +:04215100000000008A +:042152000000000089 +:042153000000000088 +:042154000000000087 +:042155000000000086 +:042156000000000085 +:042157000000000084 +:042158000000000083 +:042159000000000082 +:04215A000000000081 +:04215B000000000080 +:04215C00000000007F +:04215D00000000007E +:04215E00000000007D +:04215F00000000007C +:04216000000000007B +:04216100000000007A +:042162000000000079 +:042163000000000078 +:042164000000000077 +:042165000000000076 +:042166000000000075 +:042167000000000074 +:042168000000000073 +:042169000000000072 +:04216A000000000071 +:04216B000000000070 +:04216C00000000006F +:04216D00000000006E +:04216E00000000006D +:04216F00000000006C +:04217000000000006B +:04217100000000006A +:042172000000000069 +:042173000000000068 +:042174000000000067 +:042175000000000066 +:042176000000000065 +:042177000000000064 +:042178000000000063 +:042179000000000062 +:04217A000000000061 +:04217B000000000060 +:04217C00000000005F +:04217D00000000005E +:04217E00000000005D +:04217F00000000005C +:04218000000000005B +:04218100000000005A +:042182000000000059 +:042183000000000058 +:042184000000000057 +:042185000000000056 +:042186000000000055 +:042187000000000054 +:042188000000000053 +:042189000000000052 +:04218A000000000051 +:04218B000000000050 +:04218C00000000004F +:04218D00000000004E +:04218E00000000004D +:04218F00000000004C +:04219000000000004B +:04219100000000004A +:042192000000000049 +:042193000000000048 +:042194000000000047 +:042195000000000046 +:042196000000000045 +:042197000000000044 +:042198000000000043 +:042199000000000042 +:04219A000000000041 +:04219B000000000040 +:04219C00000000003F +:04219D00000000003E +:04219E00000000003D +:04219F00000000003C +:0421A000000000003B +:0421A100000000003A +:0421A2000000000039 +:0421A3000000000038 +:0421A4000000000037 +:0421A5000000000036 +:0421A6000000000035 +:0421A7000000000034 +:0421A8000000000033 +:0421A9000000000032 +:0421AA000000000031 +:0421AB000000000030 +:0421AC00000000002F +:0421AD00000000002E +:0421AE00000000002D +:0421AF00000000002C +:0421B000000000002B +:0421B100000000002A +:0421B2000000000029 +:0421B3000000000028 +:0421B4000000000027 +:0421B5000000000026 +:0421B6000000000025 +:0421B7000000000024 +:0421B8000000000023 +:0421B9000000000022 +:0421BA000000000021 +:0421BB000000000020 +:0421BC00000000001F +:0421BD00000000001E +:0421BE00000000001D +:0421BF00000000001C +:0421C000000000001B +:0421C100000000001A +:0421C2000000000019 +:0421C3000000000018 +:0421C4000000000017 +:0421C5000000000016 +:0421C6000000000015 +:0421C7000000000014 +:0421C8000000000013 +:0421C9000000000012 +:0421CA000000000011 +:0421CB000000000010 +:0421CC00000000000F +:0421CD00000000000E +:0421CE00000000000D +:0421CF00000000000C +:0421D000000000000B +:0421D100000000000A +:0421D2000000000009 +:0421D3000000000008 +:0421D4000000000007 +:0421D5000000000006 +:0421D6000000000005 +:0421D7000000000004 +:0421D8000000000003 +:0421D9000000000002 +:0421DA000000000001 +:0421DB000000000000 +:0421DC0000000000FF +:0421DD0000000000FE +:0421DE0000000000FD +:0421DF0000000000FC +:0421E00000000000FB +:0421E10000000000FA +:0421E20000000000F9 +:0421E30000000000F8 +:0421E40000000000F7 +:0421E50000000000F6 +:0421E60000000000F5 +:0421E70000000000F4 +:0421E80000000000F3 +:0421E90000000000F2 +:0421EA0000000000F1 +:0421EB0000000000F0 +:0421EC0000000000EF +:0421ED0000000000EE +:0421EE0000000000ED +:0421EF0000000000EC +:0421F00000000000EB +:0421F10000000000EA +:0421F20000000000E9 +:0421F30000000000E8 +:0421F40000000000E7 +:0421F50000000000E6 +:0421F60000000000E5 +:0421F70000000000E4 +:0421F80000000000E3 +:0421F90000000000E2 +:0421FA0000000000E1 +:0421FB0000000000E0 +:0421FC0000000000DF +:0421FD0000000000DE +:0421FE0000000000DD +:0421FF0000000000DC +:0422000000000000DA +:0422010000000000D9 +:0422020000000000D8 +:0422030000000000D7 +:0422040000000000D6 +:0422050000000000D5 +:0422060000000000D4 +:0422070000000000D3 +:0422080000000000D2 +:0422090000000000D1 +:04220A0000000000D0 +:04220B0000000000CF +:04220C0000000000CE +:04220D0000000000CD +:04220E0000000000CC +:04220F0000000000CB +:0422100000000000CA +:0422110000000000C9 +:0422120000000000C8 +:0422130000000000C7 +:0422140000000000C6 +:0422150000000000C5 +:0422160000000000C4 +:0422170000000000C3 +:0422180000000000C2 +:0422190000000000C1 +:04221A0000000000C0 +:04221B0000000000BF +:04221C0000000000BE +:04221D0000000000BD +:04221E0000000000BC +:04221F0000000000BB +:0422200000000000BA +:0422210000000000B9 +:0422220000000000B8 +:0422230000000000B7 +:0422240000000000B6 +:0422250000000000B5 +:0422260000000000B4 +:0422270000000000B3 +:0422280000000000B2 +:0422290000000000B1 +:04222A0000000000B0 +:04222B0000000000AF +:04222C0000000000AE +:04222D0000000000AD +:04222E0000000000AC +:04222F0000000000AB +:0422300000000000AA +:0422310000000000A9 +:0422320000000000A8 +:0422330000000000A7 +:0422340000000000A6 +:0422350000000000A5 +:0422360000000000A4 +:0422370000000000A3 +:0422380000000000A2 +:0422390000000000A1 +:04223A0000000000A0 +:04223B00000000009F +:04223C00000000009E +:04223D00000000009D +:04223E00000000009C +:04223F00000000009B +:04224000000000009A +:042241000000000099 +:042242000000000098 +:042243000000000097 +:042244000000000096 +:042245000000000095 +:042246000000000094 +:042247000000000093 +:042248000000000092 +:042249000000000091 +:04224A000000000090 +:04224B00000000008F +:04224C00000000008E +:04224D00000000008D +:04224E00000000008C +:04224F00000000008B +:04225000000000008A +:042251000000000089 +:042252000000000088 +:042253000000000087 +:042254000000000086 +:042255000000000085 +:042256000000000084 +:042257000000000083 +:042258000000000082 +:042259000000000081 +:04225A000000000080 +:04225B00000000007F +:04225C00000000007E +:04225D00000000007D +:04225E00000000007C +:04225F00000000007B +:04226000000000007A +:042261000000000079 +:042262000000000078 +:042263000000000077 +:042264000000000076 +:042265000000000075 +:042266000000000074 +:042267000000000073 +:042268000000000072 +:042269000000000071 +:04226A000000000070 +:04226B00000000006F +:04226C00000000006E +:04226D00000000006D +:04226E00000000006C +:04226F00000000006B +:04227000000000006A +:042271000000000069 +:042272000000000068 +:042273000000000067 +:042274000000000066 +:042275000000000065 +:042276000000000064 +:042277000000000063 +:042278000000000062 +:042279000000000061 +:04227A000000000060 +:04227B00000000005F +:04227C00000000005E +:04227D00000000005D +:04227E00000000005C +:04227F00000000005B +:04228000000000005A +:042281000000000059 +:042282000000000058 +:042283000000000057 +:042284000000000056 +:042285000000000055 +:042286000000000054 +:042287000000000053 +:042288000000000052 +:042289000000000051 +:04228A000000000050 +:04228B00000000004F +:04228C00000000004E +:04228D00000000004D +:04228E00000000004C +:04228F00000000004B +:04229000000000004A +:042291000000000049 +:042292000000000048 +:042293000000000047 +:042294000000000046 +:042295000000000045 +:042296000000000044 +:042297000000000043 +:042298000000000042 +:042299000000000041 +:04229A000000000040 +:04229B00000000003F +:04229C00000000003E +:04229D00000000003D +:04229E00000000003C +:04229F00000000003B +:0422A000000000003A +:0422A1000000000039 +:0422A2000000000038 +:0422A3000000000037 +:0422A4000000000036 +:0422A5000000000035 +:0422A6000000000034 +:0422A7000000000033 +:0422A8000000000032 +:0422A9000000000031 +:0422AA000000000030 +:0422AB00000000002F +:0422AC00000000002E +:0422AD00000000002D +:0422AE00000000002C +:0422AF00000000002B +:0422B000000000002A +:0422B1000000000029 +:0422B2000000000028 +:0422B3000000000027 +:0422B4000000000026 +:0422B5000000000025 +:0422B6000000000024 +:0422B7000000000023 +:0422B8000000000022 +:0422B9000000000021 +:0422BA000000000020 +:0422BB00000000001F +:0422BC00000000001E +:0422BD00000000001D +:0422BE00000000001C +:0422BF00000000001B +:0422C000000000001A +:0422C1000000000019 +:0422C2000000000018 +:0422C3000000000017 +:0422C4000000000016 +:0422C5000000000015 +:0422C6000000000014 +:0422C7000000000013 +:0422C8000000000012 +:0422C9000000000011 +:0422CA000000000010 +:0422CB00000000000F +:0422CC00000000000E +:0422CD00000000000D +:0422CE00000000000C +:0422CF00000000000B +:0422D000000000000A +:0422D1000000000009 +:0422D2000000000008 +:0422D3000000000007 +:0422D4000000000006 +:0422D5000000000005 +:0422D6000000000004 +:0422D7000000000003 +:0422D8000000000002 +:0422D9000000000001 +:0422DA000000000000 +:0422DB0000000000FF +:0422DC0000000000FE +:0422DD0000000000FD +:0422DE0000000000FC +:0422DF0000000000FB +:0422E00000000000FA +:0422E10000000000F9 +:0422E20000000000F8 +:0422E30000000000F7 +:0422E40000000000F6 +:0422E50000000000F5 +:0422E60000000000F4 +:0422E70000000000F3 +:0422E80000000000F2 +:0422E90000000000F1 +:0422EA0000000000F0 +:0422EB0000000000EF +:0422EC0000000000EE +:0422ED0000000000ED +:0422EE0000000000EC +:0422EF0000000000EB +:0422F00000000000EA +:0422F10000000000E9 +:0422F20000000000E8 +:0422F30000000000E7 +:0422F40000000000E6 +:0422F50000000000E5 +:0422F60000000000E4 +:0422F70000000000E3 +:0422F80000000000E2 +:0422F90000000000E1 +:0422FA0000000000E0 +:0422FB0000000000DF +:0422FC0000000000DE +:0422FD0000000000DD +:0422FE0000000000DC +:0422FF0000000000DB +:0423000000000000D9 +:0423010000000000D8 +:0423020000000000D7 +:0423030000000000D6 +:0423040000000000D5 +:0423050000000000D4 +:0423060000000000D3 +:0423070000000000D2 +:0423080000000000D1 +:0423090000000000D0 +:04230A0000000000CF +:04230B0000000000CE +:04230C0000000000CD +:04230D0000000000CC +:04230E0000000000CB +:04230F0000000000CA +:0423100000000000C9 +:0423110000000000C8 +:0423120000000000C7 +:0423130000000000C6 +:0423140000000000C5 +:0423150000000000C4 +:0423160000000000C3 +:0423170000000000C2 +:0423180000000000C1 +:0423190000000000C0 +:04231A0000000000BF +:04231B0000000000BE +:04231C0000000000BD +:04231D0000000000BC +:04231E0000000000BB +:04231F0000000000BA +:0423200000000000B9 +:0423210000000000B8 +:0423220000000000B7 +:0423230000000000B6 +:0423240000000000B5 +:0423250000000000B4 +:0423260000000000B3 +:0423270000000000B2 +:0423280000000000B1 +:0423290000000000B0 +:04232A0000000000AF +:04232B0000000000AE +:04232C0000000000AD +:04232D0000000000AC +:04232E0000000000AB +:04232F0000000000AA +:0423300000000000A9 +:0423310000000000A8 +:0423320000000000A7 +:0423330000000000A6 +:0423340000000000A5 +:0423350000000000A4 +:0423360000000000A3 +:0423370000000000A2 +:0423380000000000A1 +:0423390000000000A0 +:04233A00000000009F +:04233B00000000009E +:04233C00000000009D +:04233D00000000009C +:04233E00000000009B +:04233F00000000009A +:042340000000000099 +:042341000000000098 +:042342000000000097 +:042343000000000096 +:042344000000000095 +:042345000000000094 +:042346000000000093 +:042347000000000092 +:042348000000000091 +:042349000000000090 +:04234A00000000008F +:04234B00000000008E +:04234C00000000008D +:04234D00000000008C +:04234E00000000008B +:04234F00000000008A +:042350000000000089 +:042351000000000088 +:042352000000000087 +:042353000000000086 +:042354000000000085 +:042355000000000084 +:042356000000000083 +:042357000000000082 +:042358000000000081 +:042359000000000080 +:04235A00000000007F +:04235B00000000007E +:04235C00000000007D +:04235D00000000007C +:04235E00000000007B +:04235F00000000007A +:042360000000000079 +:042361000000000078 +:042362000000000077 +:042363000000000076 +:042364000000000075 +:042365000000000074 +:042366000000000073 +:042367000000000072 +:042368000000000071 +:042369000000000070 +:04236A00000000006F +:04236B00000000006E +:04236C00000000006D +:04236D00000000006C +:04236E00000000006B +:04236F00000000006A +:042370000000000069 +:042371000000000068 +:042372000000000067 +:042373000000000066 +:042374000000000065 +:042375000000000064 +:042376000000000063 +:042377000000000062 +:042378000000000061 +:042379000000000060 +:04237A00000000005F +:04237B00000000005E +:04237C00000000005D +:04237D00000000005C +:04237E00000000005B +:04237F00000000005A +:042380000000000059 +:042381000000000058 +:042382000000000057 +:042383000000000056 +:042384000000000055 +:042385000000000054 +:042386000000000053 +:042387000000000052 +:042388000000000051 +:042389000000000050 +:04238A00000000004F +:04238B00000000004E +:04238C00000000004D +:04238D00000000004C +:04238E00000000004B +:04238F00000000004A +:042390000000000049 +:042391000000000048 +:042392000000000047 +:042393000000000046 +:042394000000000045 +:042395000000000044 +:042396000000000043 +:042397000000000042 +:042398000000000041 +:042399000000000040 +:04239A00000000003F +:04239B00000000003E +:04239C00000000003D +:04239D00000000003C +:04239E00000000003B +:04239F00000000003A +:0423A0000000000039 +:0423A1000000000038 +:0423A2000000000037 +:0423A3000000000036 +:0423A4000000000035 +:0423A5000000000034 +:0423A6000000000033 +:0423A7000000000032 +:0423A8000000000031 +:0423A9000000000030 +:0423AA00000000002F +:0423AB00000000002E +:0423AC00000000002D +:0423AD00000000002C +:0423AE00000000002B +:0423AF00000000002A +:0423B0000000000029 +:0423B1000000000028 +:0423B2000000000027 +:0423B3000000000026 +:0423B4000000000025 +:0423B5000000000024 +:0423B6000000000023 +:0423B7000000000022 +:0423B8000000000021 +:0423B9000000000020 +:0423BA00000000001F +:0423BB00000000001E +:0423BC00000000001D +:0423BD00000000001C +:0423BE00000000001B +:0423BF00000000001A +:0423C0000000000019 +:0423C1000000000018 +:0423C2000000000017 +:0423C3000000000016 +:0423C4000000000015 +:0423C5000000000014 +:0423C6000000000013 +:0423C7000000000012 +:0423C8000000000011 +:0423C9000000000010 +:0423CA00000000000F +:0423CB00000000000E +:0423CC00000000000D +:0423CD00000000000C +:0423CE00000000000B +:0423CF00000000000A +:0423D0000000000009 +:0423D1000000000008 +:0423D2000000000007 +:0423D3000000000006 +:0423D4000000000005 +:0423D5000000000004 +:0423D6000000000003 +:0423D7000000000002 +:0423D8000000000001 +:0423D9000000000000 +:0423DA0000000000FF +:0423DB0000000000FE +:0423DC0000000000FD +:0423DD0000000000FC +:0423DE0000000000FB +:0423DF0000000000FA +:0423E00000000000F9 +:0423E10000000000F8 +:0423E20000000000F7 +:0423E30000000000F6 +:0423E40000000000F5 +:0423E50000000000F4 +:0423E60000000000F3 +:0423E70000000000F2 +:0423E80000000000F1 +:0423E90000000000F0 +:0423EA0000000000EF +:0423EB0000000000EE +:0423EC0000000000ED +:0423ED0000000000EC +:0423EE0000000000EB +:0423EF0000000000EA +:0423F00000000000E9 +:0423F10000000000E8 +:0423F20000000000E7 +:0423F30000000000E6 +:0423F40000000000E5 +:0423F50000000000E4 +:0423F60000000000E3 +:0423F70000000000E2 +:0423F80000000000E1 +:0423F90000000000E0 +:0423FA0000000000DF +:0423FB0000000000DE +:0423FC0000000000DD +:0423FD0000000000DC +:0423FE0000000000DB +:0423FF0000000000DA +:0424000000000000D8 +:0424010000000000D7 +:0424020000000000D6 +:0424030000000000D5 +:0424040000000000D4 +:0424050000000000D3 +:0424060000000000D2 +:0424070000000000D1 +:0424080000000000D0 +:0424090000000000CF +:04240A0000000000CE +:04240B0000000000CD +:04240C0000000000CC +:04240D0000000000CB +:04240E0000000000CA +:04240F0000000000C9 +:0424100000000000C8 +:0424110000000000C7 +:0424120000000000C6 +:0424130000000000C5 +:0424140000000000C4 +:0424150000000000C3 +:0424160000000000C2 +:0424170000000000C1 +:0424180000000000C0 +:0424190000000000BF +:04241A0000000000BE +:04241B0000000000BD +:04241C0000000000BC +:04241D0000000000BB +:04241E0000000000BA +:04241F0000000000B9 +:0424200000000000B8 +:0424210000000000B7 +:0424220000000000B6 +:0424230000000000B5 +:0424240000000000B4 +:0424250000000000B3 +:0424260000000000B2 +:0424270000000000B1 +:0424280000000000B0 +:0424290000000000AF +:04242A0000000000AE +:04242B0000000000AD +:04242C0000000000AC +:04242D0000000000AB +:04242E0000000000AA +:04242F0000000000A9 +:0424300000000000A8 +:0424310000000000A7 +:0424320000000000A6 +:0424330000000000A5 +:0424340000000000A4 +:0424350000000000A3 +:0424360000000000A2 +:0424370000000000A1 +:0424380000000000A0 +:04243900000000009F +:04243A00000000009E +:04243B00000000009D +:04243C00000000009C +:04243D00000000009B +:04243E00000000009A +:04243F000000000099 +:042440000000000098 +:042441000000000097 +:042442000000000096 +:042443000000000095 +:042444000000000094 +:042445000000000093 +:042446000000000092 +:042447000000000091 +:042448000000000090 +:04244900000000008F +:04244A00000000008E +:04244B00000000008D +:04244C00000000008C +:04244D00000000008B +:04244E00000000008A +:04244F000000000089 +:042450000000000088 +:042451000000000087 +:042452000000000086 +:042453000000000085 +:042454000000000084 +:042455000000000083 +:042456000000000082 +:042457000000000081 +:042458000000000080 +:04245900000000007F +:04245A00000000007E +:04245B00000000007D +:04245C00000000007C +:04245D00000000007B +:04245E00000000007A +:04245F000000000079 +:042460000000000078 +:042461000000000077 +:042462000000000076 +:042463000000000075 +:042464000000000074 +:042465000000000073 +:042466000000000072 +:042467000000000071 +:042468000000000070 +:04246900000000006F +:04246A00000000006E +:04246B00000000006D +:04246C00000000006C +:04246D00000000006B +:04246E00000000006A +:04246F000000000069 +:042470000000000068 +:042471000000000067 +:042472000000000066 +:042473000000000065 +:042474000000000064 +:042475000000000063 +:042476000000000062 +:042477000000000061 +:042478000000000060 +:04247900000000005F +:04247A00000000005E +:04247B00000000005D +:04247C00000000005C +:04247D00000000005B +:04247E00000000005A +:04247F000000000059 +:042480000000000058 +:042481000000000057 +:042482000000000056 +:042483000000000055 +:042484000000000054 +:042485000000000053 +:042486000000000052 +:042487000000000051 +:042488000000000050 +:04248900000000004F +:04248A00000000004E +:04248B00000000004D +:04248C00000000004C +:04248D00000000004B +:04248E00000000004A +:04248F000000000049 +:042490000000000048 +:042491000000000047 +:042492000000000046 +:042493000000000045 +:042494000000000044 +:042495000000000043 +:042496000000000042 +:042497000000000041 +:042498000000000040 +:04249900000000003F +:04249A00000000003E +:04249B00000000003D +:04249C00000000003C +:04249D00000000003B +:04249E00000000003A +:04249F000000000039 +:0424A0000000000038 +:0424A1000000000037 +:0424A2000000000036 +:0424A3000000000035 +:0424A4000000000034 +:0424A5000000000033 +:0424A6000000000032 +:0424A7000000000031 +:0424A8000000000030 +:0424A900000000002F +:0424AA00000000002E +:0424AB00000000002D +:0424AC00000000002C +:0424AD00000000002B +:0424AE00000000002A +:0424AF000000000029 +:0424B0000000000028 +:0424B1000000000027 +:0424B2000000000026 +:0424B3000000000025 +:0424B4000000000024 +:0424B5000000000023 +:0424B6000000000022 +:0424B7000000000021 +:0424B8000000000020 +:0424B900000000001F +:0424BA00000000001E +:0424BB00000000001D +:0424BC00000000001C +:0424BD00000000001B +:0424BE00000000001A +:0424BF000000000019 +:0424C0000000000018 +:0424C1000000000017 +:0424C2000000000016 +:0424C3000000000015 +:0424C4000000000014 +:0424C5000000000013 +:0424C6000000000012 +:0424C7000000000011 +:0424C8000000000010 +:0424C900000000000F +:0424CA00000000000E +:0424CB00000000000D +:0424CC00000000000C +:0424CD00000000000B +:0424CE00000000000A +:0424CF000000000009 +:0424D0000000000008 +:0424D1000000000007 +:0424D2000000000006 +:0424D3000000000005 +:0424D4000000000004 +:0424D5000000000003 +:0424D6000000000002 +:0424D7000000000001 +:0424D8000000000000 +:0424D90000000000FF +:0424DA0000000000FE +:0424DB0000000000FD +:0424DC0000000000FC +:0424DD0000000000FB +:0424DE0000000000FA +:0424DF0000000000F9 +:0424E00000000000F8 +:0424E10000000000F7 +:0424E20000000000F6 +:0424E30000000000F5 +:0424E40000000000F4 +:0424E50000000000F3 +:0424E60000000000F2 +:0424E70000000000F1 +:0424E80000000000F0 +:0424E90000000000EF +:0424EA0000000000EE +:0424EB0000000000ED +:0424EC0000000000EC +:0424ED0000000000EB +:0424EE0000000000EA +:0424EF0000000000E9 +:0424F00000000000E8 +:0424F10000000000E7 +:0424F20000000000E6 +:0424F30000000000E5 +:0424F40000000000E4 +:0424F50000000000E3 +:0424F60000000000E2 +:0424F70000000000E1 +:0424F80000000000E0 +:0424F90000000000DF +:0424FA0000000000DE +:0424FB0000000000DD +:0424FC0000000000DC +:0424FD0000000000DB +:0424FE0000000000DA +:0424FF0000000000D9 +:0425000000000000D7 +:0425010000000000D6 +:0425020000000000D5 +:0425030000000000D4 +:0425040000000000D3 +:0425050000000000D2 +:0425060000000000D1 +:0425070000000000D0 +:0425080000000000CF +:0425090000000000CE +:04250A0000000000CD +:04250B0000000000CC +:04250C0000000000CB +:04250D0000000000CA +:04250E0000000000C9 +:04250F0000000000C8 +:0425100000000000C7 +:0425110000000000C6 +:0425120000000000C5 +:0425130000000000C4 +:0425140000000000C3 +:0425150000000000C2 +:0425160000000000C1 +:0425170000000000C0 +:0425180000000000BF +:0425190000000000BE +:04251A0000000000BD +:04251B0000000000BC +:04251C0000000000BB +:04251D0000000000BA +:04251E0000000000B9 +:04251F0000000000B8 +:0425200000000000B7 +:0425210000000000B6 +:0425220000000000B5 +:0425230000000000B4 +:0425240000000000B3 +:0425250000000000B2 +:0425260000000000B1 +:0425270000000000B0 +:0425280000000000AF +:0425290000000000AE +:04252A0000000000AD +:04252B0000000000AC +:04252C0000000000AB +:04252D0000000000AA +:04252E0000000000A9 +:04252F0000000000A8 +:0425300000000000A7 +:0425310000000000A6 +:0425320000000000A5 +:0425330000000000A4 +:0425340000000000A3 +:0425350000000000A2 +:0425360000000000A1 +:0425370000000000A0 +:04253800000000009F +:04253900000000009E +:04253A00000000009D +:04253B00000000009C +:04253C00000000009B +:04253D00000000009A +:04253E000000000099 +:04253F000000000098 +:042540000000000097 +:042541000000000096 +:042542000000000095 +:042543000000000094 +:042544000000000093 +:042545000000000092 +:042546000000000091 +:042547000000000090 +:04254800000000008F +:04254900000000008E +:04254A00000000008D +:04254B00000000008C +:04254C00000000008B +:04254D00000000008A +:04254E000000000089 +:04254F000000000088 +:042550000000000087 +:042551000000000086 +:042552000000000085 +:042553000000000084 +:042554000000000083 +:042555000000000082 +:042556000000000081 +:042557000000000080 +:04255800000000007F +:04255900000000007E +:04255A00000000007D +:04255B00000000007C +:04255C00000000007B +:04255D00000000007A +:04255E000000000079 +:04255F000000000078 +:042560000000000077 +:042561000000000076 +:042562000000000075 +:042563000000000074 +:042564000000000073 +:042565000000000072 +:042566000000000071 +:042567000000000070 +:04256800000000006F +:04256900000000006E +:04256A00000000006D +:04256B00000000006C +:04256C00000000006B +:04256D00000000006A +:04256E000000000069 +:04256F000000000068 +:042570000000000067 +:042571000000000066 +:042572000000000065 +:042573000000000064 +:042574000000000063 +:042575000000000062 +:042576000000000061 +:042577000000000060 +:04257800000000005F +:04257900000000005E +:04257A00000000005D +:04257B00000000005C +:04257C00000000005B +:04257D00000000005A +:04257E000000000059 +:04257F000000000058 +:042580000000000057 +:042581000000000056 +:042582000000000055 +:042583000000000054 +:042584000000000053 +:042585000000000052 +:042586000000000051 +:042587000000000050 +:04258800000000004F +:04258900000000004E +:04258A00000000004D +:04258B00000000004C +:04258C00000000004B +:04258D00000000004A +:04258E000000000049 +:04258F000000000048 +:042590000000000047 +:042591000000000046 +:042592000000000045 +:042593000000000044 +:042594000000000043 +:042595000000000042 +:042596000000000041 +:042597000000000040 +:04259800000000003F +:04259900000000003E +:04259A00000000003D +:04259B00000000003C +:04259C00000000003B +:04259D00000000003A +:04259E000000000039 +:04259F000000000038 +:0425A0000000000037 +:0425A1000000000036 +:0425A2000000000035 +:0425A3000000000034 +:0425A4000000000033 +:0425A5000000000032 +:0425A6000000000031 +:0425A7000000000030 +:0425A800000000002F +:0425A900000000002E +:0425AA00000000002D +:0425AB00000000002C +:0425AC00000000002B +:0425AD00000000002A +:0425AE000000000029 +:0425AF000000000028 +:0425B0000000000027 +:0425B1000000000026 +:0425B2000000000025 +:0425B3000000000024 +:0425B4000000000023 +:0425B5000000000022 +:0425B6000000000021 +:0425B7000000000020 +:0425B800000000001F +:0425B900000000001E +:0425BA00000000001D +:0425BB00000000001C +:0425BC00000000001B +:0425BD00000000001A +:0425BE000000000019 +:0425BF000000000018 +:0425C0000000000017 +:0425C1000000000016 +:0425C2000000000015 +:0425C3000000000014 +:0425C4000000000013 +:0425C5000000000012 +:0425C6000000000011 +:0425C7000000000010 +:0425C800000000000F +:0425C900000000000E +:0425CA00000000000D +:0425CB00000000000C +:0425CC00000000000B +:0425CD00000000000A +:0425CE000000000009 +:0425CF000000000008 +:0425D0000000000007 +:0425D1000000000006 +:0425D2000000000005 +:0425D3000000000004 +:0425D4000000000003 +:0425D5000000000002 +:0425D6000000000001 +:0425D7000000000000 +:0425D80000000000FF +:0425D90000000000FE +:0425DA0000000000FD +:0425DB0000000000FC +:0425DC0000000000FB +:0425DD0000000000FA +:0425DE0000000000F9 +:0425DF0000000000F8 +:0425E00000000000F7 +:0425E10000000000F6 +:0425E20000000000F5 +:0425E30000000000F4 +:0425E40000000000F3 +:0425E50000000000F2 +:0425E60000000000F1 +:0425E70000000000F0 +:0425E80000000000EF +:0425E90000000000EE +:0425EA0000000000ED +:0425EB0000000000EC +:0425EC0000000000EB +:0425ED0000000000EA +:0425EE0000000000E9 +:0425EF0000000000E8 +:0425F00000000000E7 +:0425F10000000000E6 +:0425F20000000000E5 +:0425F30000000000E4 +:0425F40000000000E3 +:0425F50000000000E2 +:0425F60000000000E1 +:0425F70000000000E0 +:0425F80000000000DF +:0425F90000000000DE +:0425FA0000000000DD +:0425FB0000000000DC +:0425FC0000000000DB +:0425FD0000000000DA +:0425FE0000000000D9 +:0425FF0000000000D8 +:0426000000000000D6 +:0426010000000000D5 +:0426020000000000D4 +:0426030000000000D3 +:0426040000000000D2 +:0426050000000000D1 +:0426060000000000D0 +:0426070000000000CF +:0426080000000000CE +:0426090000000000CD +:04260A0000000000CC +:04260B0000000000CB +:04260C0000000000CA +:04260D0000000000C9 +:04260E0000000000C8 +:04260F0000000000C7 +:0426100000000000C6 +:0426110000000000C5 +:0426120000000000C4 +:0426130000000000C3 +:0426140000000000C2 +:0426150000000000C1 +:0426160000000000C0 +:0426170000000000BF +:0426180000000000BE +:0426190000000000BD +:04261A0000000000BC +:04261B0000000000BB +:04261C0000000000BA +:04261D0000000000B9 +:04261E0000000000B8 +:04261F0000000000B7 +:0426200000000000B6 +:0426210000000000B5 +:0426220000000000B4 +:0426230000000000B3 +:0426240000000000B2 +:0426250000000000B1 +:0426260000000000B0 +:0426270000000000AF +:0426280000000000AE +:0426290000000000AD +:04262A0000000000AC +:04262B0000000000AB +:04262C0000000000AA +:04262D0000000000A9 +:04262E0000000000A8 +:04262F0000000000A7 +:0426300000000000A6 +:0426310000000000A5 +:0426320000000000A4 +:0426330000000000A3 +:0426340000000000A2 +:0426350000000000A1 +:0426360000000000A0 +:04263700000000009F +:04263800000000009E +:04263900000000009D +:04263A00000000009C +:04263B00000000009B +:04263C00000000009A +:04263D000000000099 +:04263E000000000098 +:04263F000000000097 +:042640000000000096 +:042641000000000095 +:042642000000000094 +:042643000000000093 +:042644000000000092 +:042645000000000091 +:042646000000000090 +:04264700000000008F +:04264800000000008E +:04264900000000008D +:04264A00000000008C +:04264B00000000008B +:04264C00000000008A +:04264D000000000089 +:04264E000000000088 +:04264F000000000087 +:042650000000000086 +:042651000000000085 +:042652000000000084 +:042653000000000083 +:042654000000000082 +:042655000000000081 +:042656000000000080 +:04265700000000007F +:04265800000000007E +:04265900000000007D +:04265A00000000007C +:04265B00000000007B +:04265C00000000007A +:04265D000000000079 +:04265E000000000078 +:04265F000000000077 +:042660000000000076 +:042661000000000075 +:042662000000000074 +:042663000000000073 +:042664000000000072 +:042665000000000071 +:042666000000000070 +:04266700000000006F +:04266800000000006E +:04266900000000006D +:04266A00000000006C +:04266B00000000006B +:04266C00000000006A +:04266D000000000069 +:04266E000000000068 +:04266F000000000067 +:042670000000000066 +:042671000000000065 +:042672000000000064 +:042673000000000063 +:042674000000000062 +:042675000000000061 +:042676000000000060 +:04267700000000005F +:04267800000000005E +:04267900000000005D +:04267A00000000005C +:04267B00000000005B +:04267C00000000005A +:04267D000000000059 +:04267E000000000058 +:04267F000000000057 +:042680000000000056 +:042681000000000055 +:042682000000000054 +:042683000000000053 +:042684000000000052 +:042685000000000051 +:042686000000000050 +:04268700000000004F +:04268800000000004E +:04268900000000004D +:04268A00000000004C +:04268B00000000004B +:04268C00000000004A +:04268D000000000049 +:04268E000000000048 +:04268F000000000047 +:042690000000000046 +:042691000000000045 +:042692000000000044 +:042693000000000043 +:042694000000000042 +:042695000000000041 +:042696000000000040 +:04269700000000003F +:04269800000000003E +:04269900000000003D +:04269A00000000003C +:04269B00000000003B +:04269C00000000003A +:04269D000000000039 +:04269E000000000038 +:04269F000000000037 +:0426A0000000000036 +:0426A1000000000035 +:0426A2000000000034 +:0426A3000000000033 +:0426A4000000000032 +:0426A5000000000031 +:0426A6000000000030 +:0426A700000000002F +:0426A800000000002E +:0426A900000000002D +:0426AA00000000002C +:0426AB00000000002B +:0426AC00000000002A +:0426AD000000000029 +:0426AE000000000028 +:0426AF000000000027 +:0426B0000000000026 +:0426B1000000000025 +:0426B2000000000024 +:0426B3000000000023 +:0426B4000000000022 +:0426B5000000000021 +:0426B6000000000020 +:0426B700000000001F +:0426B800000000001E +:0426B900000000001D +:0426BA00000000001C +:0426BB00000000001B +:0426BC00000000001A +:0426BD000000000019 +:0426BE000000000018 +:0426BF000000000017 +:0426C0000000000016 +:0426C1000000000015 +:0426C2000000000014 +:0426C3000000000013 +:0426C4000000000012 +:0426C5000000000011 +:0426C6000000000010 +:0426C700000000000F +:0426C800000000000E +:0426C900000000000D +:0426CA00000000000C +:0426CB00000000000B +:0426CC00000000000A +:0426CD000000000009 +:0426CE000000000008 +:0426CF000000000007 +:0426D0000000000006 +:0426D1000000000005 +:0426D2000000000004 +:0426D3000000000003 +:0426D4000000000002 +:0426D5000000000001 +:0426D6000000000000 +:0426D70000000000FF +:0426D80000000000FE +:0426D90000000000FD +:0426DA0000000000FC +:0426DB0000000000FB +:0426DC0000000000FA +:0426DD0000000000F9 +:0426DE0000000000F8 +:0426DF0000000000F7 +:0426E00000000000F6 +:0426E10000000000F5 +:0426E20000000000F4 +:0426E30000000000F3 +:0426E40000000000F2 +:0426E50000000000F1 +:0426E60000000000F0 +:0426E70000000000EF +:0426E80000000000EE +:0426E90000000000ED +:0426EA0000000000EC +:0426EB0000000000EB +:0426EC0000000000EA +:0426ED0000000000E9 +:0426EE0000000000E8 +:0426EF0000000000E7 +:0426F00000000000E6 +:0426F10000000000E5 +:0426F20000000000E4 +:0426F30000000000E3 +:0426F40000000000E2 +:0426F50000000000E1 +:0426F60000000000E0 +:0426F70000000000DF +:0426F80000000000DE +:0426F90000000000DD +:0426FA0000000000DC +:0426FB0000000000DB +:0426FC0000000000DA +:0426FD0000000000D9 +:0426FE0000000000D8 +:0426FF0000000000D7 +:0427000000000000D5 +:0427010000000000D4 +:0427020000000000D3 +:0427030000000000D2 +:0427040000000000D1 +:0427050000000000D0 +:0427060000000000CF +:0427070000000000CE +:0427080000000000CD +:0427090000000000CC +:04270A0000000000CB +:04270B0000000000CA +:04270C0000000000C9 +:04270D0000000000C8 +:04270E0000000000C7 +:04270F0000000000C6 +:0427100000000000C5 +:0427110000000000C4 +:0427120000000000C3 +:0427130000000000C2 +:0427140000000000C1 +:0427150000000000C0 +:0427160000000000BF +:0427170000000000BE +:0427180000000000BD +:0427190000000000BC +:04271A0000000000BB +:04271B0000000000BA +:04271C0000000000B9 +:04271D0000000000B8 +:04271E0000000000B7 +:04271F0000000000B6 +:0427200000000000B5 +:0427210000000000B4 +:0427220000000000B3 +:0427230000000000B2 +:0427240000000000B1 +:0427250000000000B0 +:0427260000000000AF +:0427270000000000AE +:0427280000000000AD +:0427290000000000AC +:04272A0000000000AB +:04272B0000000000AA +:04272C0000000000A9 +:04272D0000000000A8 +:04272E0000000000A7 +:04272F0000000000A6 +:0427300000000000A5 +:0427310000000000A4 +:0427320000000000A3 +:0427330000000000A2 +:0427340000000000A1 +:0427350000000000A0 +:04273600000000009F +:04273700000000009E +:04273800000000009D +:04273900000000009C +:04273A00000000009B +:04273B00000000009A +:04273C000000000099 +:04273D000000000098 +:04273E000000000097 +:04273F000000000096 +:042740000000000095 +:042741000000000094 +:042742000000000093 +:042743000000000092 +:042744000000000091 +:042745000000000090 +:04274600000000008F +:04274700000000008E +:04274800000000008D +:04274900000000008C +:04274A00000000008B +:04274B00000000008A +:04274C000000000089 +:04274D000000000088 +:04274E000000000087 +:04274F000000000086 +:042750000000000085 +:042751000000000084 +:042752000000000083 +:042753000000000082 +:042754000000000081 +:042755000000000080 +:04275600000000007F +:04275700000000007E +:04275800000000007D +:04275900000000007C +:04275A00000000007B +:04275B00000000007A +:04275C000000000079 +:04275D000000000078 +:04275E000000000077 +:04275F000000000076 +:042760000000000075 +:042761000000000074 +:042762000000000073 +:042763000000000072 +:042764000000000071 +:042765000000000070 +:04276600000000006F +:04276700000000006E +:04276800000000006D +:04276900000000006C +:04276A00000000006B +:04276B00000000006A +:04276C000000000069 +:04276D000000000068 +:04276E000000000067 +:04276F000000000066 +:042770000000000065 +:042771000000000064 +:042772000000000063 +:042773000000000062 +:042774000000000061 +:042775000000000060 +:04277600000000005F +:04277700000000005E +:04277800000000005D +:04277900000000005C +:04277A00000000005B +:04277B00000000005A +:04277C000000000059 +:04277D000000000058 +:04277E000000000057 +:04277F000000000056 +:042780000000000055 +:042781000000000054 +:042782000000000053 +:042783000000000052 +:042784000000000051 +:042785000000000050 +:04278600000000004F +:04278700000000004E +:04278800000000004D +:04278900000000004C +:04278A00000000004B +:04278B00000000004A +:04278C000000000049 +:04278D000000000048 +:04278E000000000047 +:04278F000000000046 +:042790000000000045 +:042791000000000044 +:042792000000000043 +:042793000000000042 +:042794000000000041 +:042795000000000040 +:04279600000000003F +:04279700000000003E +:04279800000000003D +:04279900000000003C +:04279A00000000003B +:04279B00000000003A +:04279C000000000039 +:04279D000000000038 +:04279E000000000037 +:04279F000000000036 +:0427A0000000000035 +:0427A1000000000034 +:0427A2000000000033 +:0427A3000000000032 +:0427A4000000000031 +:0427A5000000000030 +:0427A600000000002F +:0427A700000000002E +:0427A800000000002D +:0427A900000000002C +:0427AA00000000002B +:0427AB00000000002A +:0427AC000000000029 +:0427AD000000000028 +:0427AE000000000027 +:0427AF000000000026 +:0427B0000000000025 +:0427B1000000000024 +:0427B2000000000023 +:0427B3000000000022 +:0427B4000000000021 +:0427B5000000000020 +:0427B600000000001F +:0427B700000000001E +:0427B800000000001D +:0427B900000000001C +:0427BA00000000001B +:0427BB00000000001A +:0427BC000000000019 +:0427BD000000000018 +:0427BE000000000017 +:0427BF000000000016 +:0427C0000000000015 +:0427C1000000000014 +:0427C2000000000013 +:0427C3000000000012 +:0427C4000000000011 +:0427C5000000000010 +:0427C600000000000F +:0427C700000000000E +:0427C800000000000D +:0427C900000000000C +:0427CA00000000000B +:0427CB00000000000A +:0427CC000000000009 +:0427CD000000000008 +:0427CE000000000007 +:0427CF000000000006 +:0427D0000000000005 +:0427D1000000000004 +:0427D2000000000003 +:0427D3000000000002 +:0427D4000000000001 +:0427D5000000000000 +:0427D60000000000FF +:0427D70000000000FE +:0427D80000000000FD +:0427D90000000000FC +:0427DA0000000000FB +:0427DB0000000000FA +:0427DC0000000000F9 +:0427DD0000000000F8 +:0427DE0000000000F7 +:0427DF0000000000F6 +:0427E00000000000F5 +:0427E10000000000F4 +:0427E20000000000F3 +:0427E30000000000F2 +:0427E40000000000F1 +:0427E50000000000F0 +:0427E60000000000EF +:0427E70000000000EE +:0427E80000000000ED +:0427E90000000000EC +:0427EA0000000000EB +:0427EB0000000000EA +:0427EC0000000000E9 +:0427ED0000000000E8 +:0427EE0000000000E7 +:0427EF0000000000E6 +:0427F00000000000E5 +:0427F10000000000E4 +:0427F20000000000E3 +:0427F30000000000E2 +:0427F40000000000E1 +:0427F50000000000E0 +:0427F60000000000DF +:0427F70000000000DE +:0427F80000000000DD +:0427F90000000000DC +:0427FA0000000000DB +:0427FB0000000000DA +:0427FC0000000000D9 +:0427FD0000000000D8 +:0427FE0000000000D7 +:0427FF0000000000D6 +:0428000000000000D4 +:0428010000000000D3 +:0428020000000000D2 +:0428030000000000D1 +:0428040000000000D0 +:0428050000000000CF +:0428060000000000CE +:0428070000000000CD +:0428080000000000CC +:0428090000000000CB +:04280A0000000000CA +:04280B0000000000C9 +:04280C0000000000C8 +:04280D0000000000C7 +:04280E0000000000C6 +:04280F0000000000C5 +:0428100000000000C4 +:0428110000000000C3 +:0428120000000000C2 +:0428130000000000C1 +:0428140000000000C0 +:0428150000000000BF +:0428160000000000BE +:0428170000000000BD +:0428180000000000BC +:0428190000000000BB +:04281A0000000000BA +:04281B0000000000B9 +:04281C0000000000B8 +:04281D0000000000B7 +:04281E0000000000B6 +:04281F0000000000B5 +:0428200000000000B4 +:0428210000000000B3 +:0428220000000000B2 +:0428230000000000B1 +:0428240000000000B0 +:0428250000000000AF +:0428260000000000AE +:0428270000000000AD +:0428280000000000AC +:0428290000000000AB +:04282A0000000000AA +:04282B0000000000A9 +:04282C0000000000A8 +:04282D0000000000A7 +:04282E0000000000A6 +:04282F0000000000A5 +:0428300000000000A4 +:0428310000000000A3 +:0428320000000000A2 +:0428330000000000A1 +:0428340000000000A0 +:04283500000000009F +:04283600000000009E +:04283700000000009D +:04283800000000009C +:04283900000000009B +:04283A00000000009A +:04283B000000000099 +:04283C000000000098 +:04283D000000000097 +:04283E000000000096 +:04283F000000000095 +:042840000000000094 +:042841000000000093 +:042842000000000092 +:042843000000000091 +:042844000000000090 +:04284500000000008F +:04284600000000008E +:04284700000000008D +:04284800000000008C +:04284900000000008B +:04284A00000000008A +:04284B000000000089 +:04284C000000000088 +:04284D000000000087 +:04284E000000000086 +:04284F000000000085 +:042850000000000084 +:042851000000000083 +:042852000000000082 +:042853000000000081 +:042854000000000080 +:04285500000000007F +:04285600000000007E +:04285700000000007D +:04285800000000007C +:04285900000000007B +:04285A00000000007A +:04285B000000000079 +:04285C000000000078 +:04285D000000000077 +:04285E000000000076 +:04285F000000000075 +:042860000000000074 +:042861000000000073 +:042862000000000072 +:042863000000000071 +:042864000000000070 +:04286500000000006F +:04286600000000006E +:04286700000000006D +:04286800000000006C +:04286900000000006B +:04286A00000000006A +:04286B000000000069 +:04286C000000000068 +:04286D000000000067 +:04286E000000000066 +:04286F000000000065 +:042870000000000064 +:042871000000000063 +:042872000000000062 +:042873000000000061 +:042874000000000060 +:04287500000000005F +:04287600000000005E +:04287700000000005D +:04287800000000005C +:04287900000000005B +:04287A00000000005A +:04287B000000000059 +:04287C000000000058 +:04287D000000000057 +:04287E000000000056 +:04287F000000000055 +:042880000000000054 +:042881000000000053 +:042882000000000052 +:042883000000000051 +:042884000000000050 +:04288500000000004F +:04288600000000004E +:04288700000000004D +:04288800000000004C +:04288900000000004B +:04288A00000000004A +:04288B000000000049 +:04288C000000000048 +:04288D000000000047 +:04288E000000000046 +:04288F000000000045 +:042890000000000044 +:042891000000000043 +:042892000000000042 +:042893000000000041 +:042894000000000040 +:04289500000000003F +:04289600000000003E +:04289700000000003D +:04289800000000003C +:04289900000000003B +:04289A00000000003A +:04289B000000000039 +:04289C000000000038 +:04289D000000000037 +:04289E000000000036 +:04289F000000000035 +:0428A0000000000034 +:0428A1000000000033 +:0428A2000000000032 +:0428A3000000000031 +:0428A4000000000030 +:0428A500000000002F +:0428A600000000002E +:0428A700000000002D +:0428A800000000002C +:0428A900000000002B +:0428AA00000000002A +:0428AB000000000029 +:0428AC000000000028 +:0428AD000000000027 +:0428AE000000000026 +:0428AF000000000025 +:0428B0000000000024 +:0428B1000000000023 +:0428B2000000000022 +:0428B3000000000021 +:0428B4000000000020 +:0428B500000000001F +:0428B600000000001E +:0428B700000000001D +:0428B800000000001C +:0428B900000000001B +:0428BA00000000001A +:0428BB000000000019 +:0428BC000000000018 +:0428BD000000000017 +:0428BE000000000016 +:0428BF000000000015 +:0428C0000000000014 +:0428C1000000000013 +:0428C2000000000012 +:0428C3000000000011 +:0428C4000000000010 +:0428C500000000000F +:0428C600000000000E +:0428C700000000000D +:0428C800000000000C +:0428C900000000000B +:0428CA00000000000A +:0428CB000000000009 +:0428CC000000000008 +:0428CD000000000007 +:0428CE000000000006 +:0428CF000000000005 +:0428D0000000000004 +:0428D1000000000003 +:0428D2000000000002 +:0428D3000000000001 +:0428D4000000000000 +:0428D50000000000FF +:0428D60000000000FE +:0428D70000000000FD +:0428D80000000000FC +:0428D90000000000FB +:0428DA0000000000FA +:0428DB0000000000F9 +:0428DC0000000000F8 +:0428DD0000000000F7 +:0428DE0000000000F6 +:0428DF0000000000F5 +:0428E00000000000F4 +:0428E10000000000F3 +:0428E20000000000F2 +:0428E30000000000F1 +:0428E40000000000F0 +:0428E50000000000EF +:0428E60000000000EE +:0428E70000000000ED +:0428E80000000000EC +:0428E90000000000EB +:0428EA0000000000EA +:0428EB0000000000E9 +:0428EC0000000000E8 +:0428ED0000000000E7 +:0428EE0000000000E6 +:0428EF0000000000E5 +:0428F00000000000E4 +:0428F10000000000E3 +:0428F20000000000E2 +:0428F30000000000E1 +:0428F40000000000E0 +:0428F50000000000DF +:0428F60000000000DE +:0428F70000000000DD +:0428F80000000000DC +:0428F90000000000DB +:0428FA0000000000DA +:0428FB0000000000D9 +:0428FC0000000000D8 +:0428FD0000000000D7 +:0428FE0000000000D6 +:0428FF0000000000D5 +:0429000000000000D3 +:0429010000000000D2 +:0429020000000000D1 +:0429030000000000D0 +:0429040000000000CF +:0429050000000000CE +:0429060000000000CD +:0429070000000000CC +:0429080000000000CB +:0429090000000000CA +:04290A0000000000C9 +:04290B0000000000C8 +:04290C0000000000C7 +:04290D0000000000C6 +:04290E0000000000C5 +:04290F0000000000C4 +:0429100000000000C3 +:0429110000000000C2 +:0429120000000000C1 +:0429130000000000C0 +:0429140000000000BF +:0429150000000000BE +:0429160000000000BD +:0429170000000000BC +:0429180000000000BB +:0429190000000000BA +:04291A0000000000B9 +:04291B0000000000B8 +:04291C0000000000B7 +:04291D0000000000B6 +:04291E0000000000B5 +:04291F0000000000B4 +:0429200000000000B3 +:0429210000000000B2 +:0429220000000000B1 +:0429230000000000B0 +:0429240000000000AF +:0429250000000000AE +:0429260000000000AD +:0429270000000000AC +:0429280000000000AB +:0429290000000000AA +:04292A0000000000A9 +:04292B0000000000A8 +:04292C0000000000A7 +:04292D0000000000A6 +:04292E0000000000A5 +:04292F0000000000A4 +:0429300000000000A3 +:0429310000000000A2 +:0429320000000000A1 +:0429330000000000A0 +:04293400000000009F +:04293500000000009E +:04293600000000009D +:04293700000000009C +:04293800000000009B +:04293900000000009A +:04293A000000000099 +:04293B000000000098 +:04293C000000000097 +:04293D000000000096 +:04293E000000000095 +:04293F000000000094 +:042940000000000093 +:042941000000000092 +:042942000000000091 +:042943000000000090 +:04294400000000008F +:04294500000000008E +:04294600000000008D +:04294700000000008C +:04294800000000008B +:04294900000000008A +:04294A000000000089 +:04294B000000000088 +:04294C000000000087 +:04294D000000000086 +:04294E000000000085 +:04294F000000000084 +:042950000000000083 +:042951000000000082 +:042952000000000081 +:042953000000000080 +:04295400000000007F +:04295500000000007E +:04295600000000007D +:04295700000000007C +:04295800000000007B +:04295900000000007A +:04295A000000000079 +:04295B000000000078 +:04295C000000000077 +:04295D000000000076 +:04295E000000000075 +:04295F000000000074 +:042960000000000073 +:042961000000000072 +:042962000000000071 +:042963000000000070 +:04296400000000006F +:04296500000000006E +:04296600000000006D +:04296700000000006C +:04296800000000006B +:04296900000000006A +:04296A000000000069 +:04296B000000000068 +:04296C000000000067 +:04296D000000000066 +:04296E000000000065 +:04296F000000000064 +:042970000000000063 +:042971000000000062 +:042972000000000061 +:042973000000000060 +:04297400000000005F +:04297500000000005E +:04297600000000005D +:04297700000000005C +:04297800000000005B +:04297900000000005A +:04297A000000000059 +:04297B000000000058 +:04297C000000000057 +:04297D000000000056 +:04297E000000000055 +:04297F000000000054 +:042980000000000053 +:042981000000000052 +:042982000000000051 +:042983000000000050 +:04298400000000004F +:04298500000000004E +:04298600000000004D +:04298700000000004C +:04298800000000004B +:04298900000000004A +:04298A000000000049 +:04298B000000000048 +:04298C000000000047 +:04298D000000000046 +:04298E000000000045 +:04298F000000000044 +:042990000000000043 +:042991000000000042 +:042992000000000041 +:042993000000000040 +:04299400000000003F +:04299500000000003E +:04299600000000003D +:04299700000000003C +:04299800000000003B +:04299900000000003A +:04299A000000000039 +:04299B000000000038 +:04299C000000000037 +:04299D000000000036 +:04299E000000000035 +:04299F000000000034 +:0429A0000000000033 +:0429A1000000000032 +:0429A2000000000031 +:0429A3000000000030 +:0429A400000000002F +:0429A500000000002E +:0429A600000000002D +:0429A700000000002C +:0429A800000000002B +:0429A900000000002A +:0429AA000000000029 +:0429AB000000000028 +:0429AC000000000027 +:0429AD000000000026 +:0429AE000000000025 +:0429AF000000000024 +:0429B0000000000023 +:0429B1000000000022 +:0429B2000000000021 +:0429B3000000000020 +:0429B400000000001F +:0429B500000000001E +:0429B600000000001D +:0429B700000000001C +:0429B800000000001B +:0429B900000000001A +:0429BA000000000019 +:0429BB000000000018 +:0429BC000000000017 +:0429BD000000000016 +:0429BE000000000015 +:0429BF000000000014 +:0429C0000000000013 +:0429C1000000000012 +:0429C2000000000011 +:0429C3000000000010 +:0429C400000000000F +:0429C500000000000E +:0429C600000000000D +:0429C700000000000C +:0429C800000000000B +:0429C900000000000A +:0429CA000000000009 +:0429CB000000000008 +:0429CC000000000007 +:0429CD000000000006 +:0429CE000000000005 +:0429CF000000000004 +:0429D0000000000003 +:0429D1000000000002 +:0429D2000000000001 +:0429D3000000000000 +:0429D40000000000FF +:0429D50000000000FE +:0429D60000000000FD +:0429D70000000000FC +:0429D80000000000FB +:0429D90000000000FA +:0429DA0000000000F9 +:0429DB0000000000F8 +:0429DC0000000000F7 +:0429DD0000000000F6 +:0429DE0000000000F5 +:0429DF0000000000F4 +:0429E00000000000F3 +:0429E10000000000F2 +:0429E20000000000F1 +:0429E30000000000F0 +:0429E40000000000EF +:0429E50000000000EE +:0429E60000000000ED +:0429E70000000000EC +:0429E80000000000EB +:0429E90000000000EA +:0429EA0000000000E9 +:0429EB0000000000E8 +:0429EC0000000000E7 +:0429ED0000000000E6 +:0429EE0000000000E5 +:0429EF0000000000E4 +:0429F00000000000E3 +:0429F10000000000E2 +:0429F20000000000E1 +:0429F30000000000E0 +:0429F40000000000DF +:0429F50000000000DE +:0429F60000000000DD +:0429F70000000000DC +:0429F80000000000DB +:0429F90000000000DA +:0429FA0000000000D9 +:0429FB0000000000D8 +:0429FC0000000000D7 +:0429FD0000000000D6 +:0429FE0000000000D5 +:0429FF0000000000D4 +:042A000000000000D2 +:042A010000000000D1 +:042A020000000000D0 +:042A030000000000CF +:042A040000000000CE +:042A050000000000CD +:042A060000000000CC +:042A070000000000CB +:042A080000000000CA +:042A090000000000C9 +:042A0A0000000000C8 +:042A0B0000000000C7 +:042A0C0000000000C6 +:042A0D0000000000C5 +:042A0E0000000000C4 +:042A0F0000000000C3 +:042A100000000000C2 +:042A110000000000C1 +:042A120000000000C0 +:042A130000000000BF +:042A140000000000BE +:042A150000000000BD +:042A160000000000BC +:042A170000000000BB +:042A180000000000BA +:042A190000000000B9 +:042A1A0000000000B8 +:042A1B0000000000B7 +:042A1C0000000000B6 +:042A1D0000000000B5 +:042A1E0000000000B4 +:042A1F0000000000B3 +:042A200000000000B2 +:042A210000000000B1 +:042A220000000000B0 +:042A230000000000AF +:042A240000000000AE +:042A250000000000AD +:042A260000000000AC +:042A270000000000AB +:042A280000000000AA +:042A290000000000A9 +:042A2A0000000000A8 +:042A2B0000000000A7 +:042A2C0000000000A6 +:042A2D0000000000A5 +:042A2E0000000000A4 +:042A2F0000000000A3 +:042A300000000000A2 +:042A310000000000A1 +:042A320000000000A0 +:042A3300000000009F +:042A3400000000009E +:042A3500000000009D +:042A3600000000009C +:042A3700000000009B +:042A3800000000009A +:042A39000000000099 +:042A3A000000000098 +:042A3B000000000097 +:042A3C000000000096 +:042A3D000000000095 +:042A3E000000000094 +:042A3F000000000093 +:042A40000000000092 +:042A41000000000091 +:042A42000000000090 +:042A4300000000008F +:042A4400000000008E +:042A4500000000008D +:042A4600000000008C +:042A4700000000008B +:042A4800000000008A +:042A49000000000089 +:042A4A000000000088 +:042A4B000000000087 +:042A4C000000000086 +:042A4D000000000085 +:042A4E000000000084 +:042A4F000000000083 +:042A50000000000082 +:042A51000000000081 +:042A52000000000080 +:042A5300000000007F +:042A5400000000007E +:042A5500000000007D +:042A5600000000007C +:042A5700000000007B +:042A5800000000007A +:042A59000000000079 +:042A5A000000000078 +:042A5B000000000077 +:042A5C000000000076 +:042A5D000000000075 +:042A5E000000000074 +:042A5F000000000073 +:042A60000000000072 +:042A61000000000071 +:042A62000000000070 +:042A6300000000006F +:042A6400000000006E +:042A6500000000006D +:042A6600000000006C +:042A6700000000006B +:042A6800000000006A +:042A69000000000069 +:042A6A000000000068 +:042A6B000000000067 +:042A6C000000000066 +:042A6D000000000065 +:042A6E000000000064 +:042A6F000000000063 +:042A70000000000062 +:042A71000000000061 +:042A72000000000060 +:042A7300000000005F +:042A7400000000005E +:042A7500000000005D +:042A7600000000005C +:042A7700000000005B +:042A7800000000005A +:042A79000000000059 +:042A7A000000000058 +:042A7B000000000057 +:042A7C000000000056 +:042A7D000000000055 +:042A7E000000000054 +:042A7F000000000053 +:042A80000000000052 +:042A81000000000051 +:042A82000000000050 +:042A8300000000004F +:042A8400000000004E +:042A8500000000004D +:042A8600000000004C +:042A8700000000004B +:042A8800000000004A +:042A89000000000049 +:042A8A000000000048 +:042A8B000000000047 +:042A8C000000000046 +:042A8D000000000045 +:042A8E000000000044 +:042A8F000000000043 +:042A90000000000042 +:042A91000000000041 +:042A92000000000040 +:042A9300000000003F +:042A9400000000003E +:042A9500000000003D +:042A9600000000003C +:042A9700000000003B +:042A9800000000003A +:042A99000000000039 +:042A9A000000000038 +:042A9B000000000037 +:042A9C000000000036 +:042A9D000000000035 +:042A9E000000000034 +:042A9F000000000033 +:042AA0000000000032 +:042AA1000000000031 +:042AA2000000000030 +:042AA300000000002F +:042AA400000000002E +:042AA500000000002D +:042AA600000000002C +:042AA700000000002B +:042AA800000000002A +:042AA9000000000029 +:042AAA000000000028 +:042AAB000000000027 +:042AAC000000000026 +:042AAD000000000025 +:042AAE000000000024 +:042AAF000000000023 +:042AB0000000000022 +:042AB1000000000021 +:042AB2000000000020 +:042AB300000000001F +:042AB400000000001E +:042AB500000000001D +:042AB600000000001C +:042AB700000000001B +:042AB800000000001A +:042AB9000000000019 +:042ABA000000000018 +:042ABB000000000017 +:042ABC000000000016 +:042ABD000000000015 +:042ABE000000000014 +:042ABF000000000013 +:042AC0000000000012 +:042AC1000000000011 +:042AC2000000000010 +:042AC300000000000F +:042AC400000000000E +:042AC500000000000D +:042AC600000000000C +:042AC700000000000B +:042AC800000000000A +:042AC9000000000009 +:042ACA000000000008 +:042ACB000000000007 +:042ACC000000000006 +:042ACD000000000005 +:042ACE000000000004 +:042ACF000000000003 +:042AD0000000000002 +:042AD1000000000001 +:042AD2000000000000 +:042AD30000000000FF +:042AD40000000000FE +:042AD50000000000FD +:042AD60000000000FC +:042AD70000000000FB +:042AD80000000000FA +:042AD90000000000F9 +:042ADA0000000000F8 +:042ADB0000000000F7 +:042ADC0000000000F6 +:042ADD0000000000F5 +:042ADE0000000000F4 +:042ADF0000000000F3 +:042AE00000000000F2 +:042AE10000000000F1 +:042AE20000000000F0 +:042AE30000000000EF +:042AE40000000000EE +:042AE50000000000ED +:042AE60000000000EC +:042AE70000000000EB +:042AE80000000000EA +:042AE90000000000E9 +:042AEA0000000000E8 +:042AEB0000000000E7 +:042AEC0000000000E6 +:042AED0000000000E5 +:042AEE0000000000E4 +:042AEF0000000000E3 +:042AF00000000000E2 +:042AF10000000000E1 +:042AF20000000000E0 +:042AF30000000000DF +:042AF40000000000DE +:042AF50000000000DD +:042AF60000000000DC +:042AF70000000000DB +:042AF80000000000DA +:042AF90000000000D9 +:042AFA0000000000D8 +:042AFB0000000000D7 +:042AFC0000000000D6 +:042AFD0000000000D5 +:042AFE0000000000D4 +:042AFF0000000000D3 +:042B000000000000D1 +:042B010000000000D0 +:042B020000000000CF +:042B030000000000CE +:042B040000000000CD +:042B050000000000CC +:042B060000000000CB +:042B070000000000CA +:042B080000000000C9 +:042B090000000000C8 +:042B0A0000000000C7 +:042B0B0000000000C6 +:042B0C0000000000C5 +:042B0D0000000000C4 +:042B0E0000000000C3 +:042B0F0000000000C2 +:042B100000000000C1 +:042B110000000000C0 +:042B120000000000BF +:042B130000000000BE +:042B140000000000BD +:042B150000000000BC +:042B160000000000BB +:042B170000000000BA +:042B180000000000B9 +:042B190000000000B8 +:042B1A0000000000B7 +:042B1B0000000000B6 +:042B1C0000000000B5 +:042B1D0000000000B4 +:042B1E0000000000B3 +:042B1F0000000000B2 +:042B200000000000B1 +:042B210000000000B0 +:042B220000000000AF +:042B230000000000AE +:042B240000000000AD +:042B250000000000AC +:042B260000000000AB +:042B270000000000AA +:042B280000000000A9 +:042B290000000000A8 +:042B2A0000000000A7 +:042B2B0000000000A6 +:042B2C0000000000A5 +:042B2D0000000000A4 +:042B2E0000000000A3 +:042B2F0000000000A2 +:042B300000000000A1 +:042B310000000000A0 +:042B3200000000009F +:042B3300000000009E +:042B3400000000009D +:042B3500000000009C +:042B3600000000009B +:042B3700000000009A +:042B38000000000099 +:042B39000000000098 +:042B3A000000000097 +:042B3B000000000096 +:042B3C000000000095 +:042B3D000000000094 +:042B3E000000000093 +:042B3F000000000092 +:042B40000000000091 +:042B41000000000090 +:042B4200000000008F +:042B4300000000008E +:042B4400000000008D +:042B4500000000008C +:042B4600000000008B +:042B4700000000008A +:042B48000000000089 +:042B49000000000088 +:042B4A000000000087 +:042B4B000000000086 +:042B4C000000000085 +:042B4D000000000084 +:042B4E000000000083 +:042B4F000000000082 +:042B50000000000081 +:042B51000000000080 +:042B5200000000007F +:042B5300000000007E +:042B5400000000007D +:042B5500000000007C +:042B5600000000007B +:042B5700000000007A +:042B58000000000079 +:042B59000000000078 +:042B5A000000000077 +:042B5B000000000076 +:042B5C000000000075 +:042B5D000000000074 +:042B5E000000000073 +:042B5F000000000072 +:042B60000000000071 +:042B61000000000070 +:042B6200000000006F +:042B6300000000006E +:042B6400000000006D +:042B6500000000006C +:042B6600000000006B +:042B6700000000006A +:042B68000000000069 +:042B69000000000068 +:042B6A000000000067 +:042B6B000000000066 +:042B6C000000000065 +:042B6D000000000064 +:042B6E000000000063 +:042B6F000000000062 +:042B70000000000061 +:042B71000000000060 +:042B7200000000005F +:042B7300000000005E +:042B7400000000005D +:042B7500000000005C +:042B7600000000005B +:042B7700000000005A +:042B78000000000059 +:042B79000000000058 +:042B7A000000000057 +:042B7B000000000056 +:042B7C000000000055 +:042B7D000000000054 +:042B7E000000000053 +:042B7F000000000052 +:042B80000000000051 +:042B81000000000050 +:042B8200000000004F +:042B8300000000004E +:042B8400000000004D +:042B8500000000004C +:042B8600000000004B +:042B8700000000004A +:042B88000000000049 +:042B89000000000048 +:042B8A000000000047 +:042B8B000000000046 +:042B8C000000000045 +:042B8D000000000044 +:042B8E000000000043 +:042B8F000000000042 +:042B90000000000041 +:042B91000000000040 +:042B9200000000003F +:042B9300000000003E +:042B9400000000003D +:042B9500000000003C +:042B9600000000003B +:042B9700000000003A +:042B98000000000039 +:042B99000000000038 +:042B9A000000000037 +:042B9B000000000036 +:042B9C000000000035 +:042B9D000000000034 +:042B9E000000000033 +:042B9F000000000032 +:042BA0000000000031 +:042BA1000000000030 +:042BA200000000002F +:042BA300000000002E +:042BA400000000002D +:042BA500000000002C +:042BA600000000002B +:042BA700000000002A +:042BA8000000000029 +:042BA9000000000028 +:042BAA000000000027 +:042BAB000000000026 +:042BAC000000000025 +:042BAD000000000024 +:042BAE000000000023 +:042BAF000000000022 +:042BB0000000000021 +:042BB1000000000020 +:042BB200000000001F +:042BB300000000001E +:042BB400000000001D +:042BB500000000001C +:042BB600000000001B +:042BB700000000001A +:042BB8000000000019 +:042BB9000000000018 +:042BBA000000000017 +:042BBB000000000016 +:042BBC000000000015 +:042BBD000000000014 +:042BBE000000000013 +:042BBF000000000012 +:042BC0000000000011 +:042BC1000000000010 +:042BC200000000000F +:042BC300000000000E +:042BC400000000000D +:042BC500000000000C +:042BC600000000000B +:042BC700000000000A +:042BC8000000000009 +:042BC9000000000008 +:042BCA000000000007 +:042BCB000000000006 +:042BCC000000000005 +:042BCD000000000004 +:042BCE000000000003 +:042BCF000000000002 +:042BD0000000000001 +:042BD1000000000000 +:042BD20000000000FF +:042BD30000000000FE +:042BD40000000000FD +:042BD50000000000FC +:042BD60000000000FB +:042BD70000000000FA +:042BD80000000000F9 +:042BD90000000000F8 +:042BDA0000000000F7 +:042BDB0000000000F6 +:042BDC0000000000F5 +:042BDD0000000000F4 +:042BDE0000000000F3 +:042BDF0000000000F2 +:042BE00000000000F1 +:042BE10000000000F0 +:042BE20000000000EF +:042BE30000000000EE +:042BE40000000000ED +:042BE50000000000EC +:042BE60000000000EB +:042BE70000000000EA +:042BE80000000000E9 +:042BE90000000000E8 +:042BEA0000000000E7 +:042BEB0000000000E6 +:042BEC0000000000E5 +:042BED0000000000E4 +:042BEE0000000000E3 +:042BEF0000000000E2 +:042BF00000000000E1 +:042BF10000000000E0 +:042BF20000000000DF +:042BF30000000000DE +:042BF40000000000DD +:042BF50000000000DC +:042BF60000000000DB +:042BF70000000000DA +:042BF80000000000D9 +:042BF90000000000D8 +:042BFA0000000000D7 +:042BFB0000000000D6 +:042BFC0000000000D5 +:042BFD0000000000D4 +:042BFE0000000000D3 +:042BFF0000000000D2 +:042C000000000000D0 +:042C010000000000CF +:042C020000000000CE +:042C030000000000CD +:042C040000000000CC +:042C050000000000CB +:042C060000000000CA +:042C070000000000C9 +:042C080000000000C8 +:042C090000000000C7 +:042C0A0000000000C6 +:042C0B0000000000C5 +:042C0C0000000000C4 +:042C0D0000000000C3 +:042C0E0000000000C2 +:042C0F0000000000C1 +:042C100000000000C0 +:042C110000000000BF +:042C120000000000BE +:042C130000000000BD +:042C140000000000BC +:042C150000000000BB +:042C160000000000BA +:042C170000000000B9 +:042C180000000000B8 +:042C190000000000B7 +:042C1A0000000000B6 +:042C1B0000000000B5 +:042C1C0000000000B4 +:042C1D0000000000B3 +:042C1E0000000000B2 +:042C1F0000000000B1 +:042C200000000000B0 +:042C210000000000AF +:042C220000000000AE +:042C230000000000AD +:042C240000000000AC +:042C250000000000AB +:042C260000000000AA +:042C270000000000A9 +:042C280000000000A8 +:042C290000000000A7 +:042C2A0000000000A6 +:042C2B0000000000A5 +:042C2C0000000000A4 +:042C2D0000000000A3 +:042C2E0000000000A2 +:042C2F0000000000A1 +:042C300000000000A0 +:042C3100000000009F +:042C3200000000009E +:042C3300000000009D +:042C3400000000009C +:042C3500000000009B +:042C3600000000009A +:042C37000000000099 +:042C38000000000098 +:042C39000000000097 +:042C3A000000000096 +:042C3B000000000095 +:042C3C000000000094 +:042C3D000000000093 +:042C3E000000000092 +:042C3F000000000091 +:042C40000000000090 +:042C4100000000008F +:042C4200000000008E +:042C4300000000008D +:042C4400000000008C +:042C4500000000008B +:042C4600000000008A +:042C47000000000089 +:042C48000000000088 +:042C49000000000087 +:042C4A000000000086 +:042C4B000000000085 +:042C4C000000000084 +:042C4D000000000083 +:042C4E000000000082 +:042C4F000000000081 +:042C50000000000080 +:042C5100000000007F +:042C5200000000007E +:042C5300000000007D +:042C5400000000007C +:042C5500000000007B +:042C5600000000007A +:042C57000000000079 +:042C58000000000078 +:042C59000000000077 +:042C5A000000000076 +:042C5B000000000075 +:042C5C000000000074 +:042C5D000000000073 +:042C5E000000000072 +:042C5F000000000071 +:042C60000000000070 +:042C6100000000006F +:042C6200000000006E +:042C6300000000006D +:042C6400000000006C +:042C6500000000006B +:042C6600000000006A +:042C67000000000069 +:042C68000000000068 +:042C69000000000067 +:042C6A000000000066 +:042C6B000000000065 +:042C6C000000000064 +:042C6D000000000063 +:042C6E000000000062 +:042C6F000000000061 +:042C70000000000060 +:042C7100000000005F +:042C7200000000005E +:042C7300000000005D +:042C7400000000005C +:042C7500000000005B +:042C7600000000005A +:042C77000000000059 +:042C78000000000058 +:042C79000000000057 +:042C7A000000000056 +:042C7B000000000055 +:042C7C000000000054 +:042C7D000000000053 +:042C7E000000000052 +:042C7F000000000051 +:042C80000000000050 +:042C8100000000004F +:042C8200000000004E +:042C8300000000004D +:042C8400000000004C +:042C8500000000004B +:042C8600000000004A +:042C87000000000049 +:042C88000000000048 +:042C89000000000047 +:042C8A000000000046 +:042C8B000000000045 +:042C8C000000000044 +:042C8D000000000043 +:042C8E000000000042 +:042C8F000000000041 +:042C90000000000040 +:042C9100000000003F +:042C9200000000003E +:042C9300000000003D +:042C9400000000003C +:042C9500000000003B +:042C9600000000003A +:042C97000000000039 +:042C98000000000038 +:042C99000000000037 +:042C9A000000000036 +:042C9B000000000035 +:042C9C000000000034 +:042C9D000000000033 +:042C9E000000000032 +:042C9F000000000031 +:042CA0000000000030 +:042CA100000000002F +:042CA200000000002E +:042CA300000000002D +:042CA400000000002C +:042CA500000000002B +:042CA600000000002A +:042CA7000000000029 +:042CA8000000000028 +:042CA9000000000027 +:042CAA000000000026 +:042CAB000000000025 +:042CAC000000000024 +:042CAD000000000023 +:042CAE000000000022 +:042CAF000000000021 +:042CB0000000000020 +:042CB100000000001F +:042CB200000000001E +:042CB300000000001D +:042CB400000000001C +:042CB500000000001B +:042CB600000000001A +:042CB7000000000019 +:042CB8000000000018 +:042CB9000000000017 +:042CBA000000000016 +:042CBB000000000015 +:042CBC000000000014 +:042CBD000000000013 +:042CBE000000000012 +:042CBF000000000011 +:042CC0000000000010 +:042CC100000000000F +:042CC200000000000E +:042CC300000000000D +:042CC400000000000C +:042CC500000000000B +:042CC600000000000A +:042CC7000000000009 +:042CC8000000000008 +:042CC9000000000007 +:042CCA000000000006 +:042CCB000000000005 +:042CCC000000000004 +:042CCD000000000003 +:042CCE000000000002 +:042CCF000000000001 +:042CD0000000000000 +:042CD10000000000FF +:042CD20000000000FE +:042CD30000000000FD +:042CD40000000000FC +:042CD50000000000FB +:042CD60000000000FA +:042CD70000000000F9 +:042CD80000000000F8 +:042CD90000000000F7 +:042CDA0000000000F6 +:042CDB0000000000F5 +:042CDC0000000000F4 +:042CDD0000000000F3 +:042CDE0000000000F2 +:042CDF0000000000F1 +:042CE00000000000F0 +:042CE10000000000EF +:042CE20000000000EE +:042CE30000000000ED +:042CE40000000000EC +:042CE50000000000EB +:042CE60000000000EA +:042CE70000000000E9 +:042CE80000000000E8 +:042CE90000000000E7 +:042CEA0000000000E6 +:042CEB0000000000E5 +:042CEC0000000000E4 +:042CED0000000000E3 +:042CEE0000000000E2 +:042CEF0000000000E1 +:042CF00000000000E0 +:042CF10000000000DF +:042CF20000000000DE +:042CF30000000000DD +:042CF40000000000DC +:042CF50000000000DB +:042CF60000000000DA +:042CF70000000000D9 +:042CF80000000000D8 +:042CF90000000000D7 +:042CFA0000000000D6 +:042CFB0000000000D5 +:042CFC0000000000D4 +:042CFD0000000000D3 +:042CFE0000000000D2 +:042CFF0000000000D1 +:042D000000000000CF +:042D010000000000CE +:042D020000000000CD +:042D030000000000CC +:042D040000000000CB +:042D050000000000CA +:042D060000000000C9 +:042D070000000000C8 +:042D080000000000C7 +:042D090000000000C6 +:042D0A0000000000C5 +:042D0B0000000000C4 +:042D0C0000000000C3 +:042D0D0000000000C2 +:042D0E0000000000C1 +:042D0F0000000000C0 +:042D100000000000BF +:042D110000000000BE +:042D120000000000BD +:042D130000000000BC +:042D140000000000BB +:042D150000000000BA +:042D160000000000B9 +:042D170000000000B8 +:042D180000000000B7 +:042D190000000000B6 +:042D1A0000000000B5 +:042D1B0000000000B4 +:042D1C0000000000B3 +:042D1D0000000000B2 +:042D1E0000000000B1 +:042D1F0000000000B0 +:042D200000000000AF +:042D210000000000AE +:042D220000000000AD +:042D230000000000AC +:042D240000000000AB +:042D250000000000AA +:042D260000000000A9 +:042D270000000000A8 +:042D280000000000A7 +:042D290000000000A6 +:042D2A0000000000A5 +:042D2B0000000000A4 +:042D2C0000000000A3 +:042D2D0000000000A2 +:042D2E0000000000A1 +:042D2F0000000000A0 +:042D3000000000009F +:042D3100000000009E +:042D3200000000009D +:042D3300000000009C +:042D3400000000009B +:042D3500000000009A +:042D36000000000099 +:042D37000000000098 +:042D38000000000097 +:042D39000000000096 +:042D3A000000000095 +:042D3B000000000094 +:042D3C000000000093 +:042D3D000000000092 +:042D3E000000000091 +:042D3F000000000090 +:042D4000000000008F +:042D4100000000008E +:042D4200000000008D +:042D4300000000008C +:042D4400000000008B +:042D4500000000008A +:042D46000000000089 +:042D47000000000088 +:042D48000000000087 +:042D49000000000086 +:042D4A000000000085 +:042D4B000000000084 +:042D4C000000000083 +:042D4D000000000082 +:042D4E000000000081 +:042D4F000000000080 +:042D5000000000007F +:042D5100000000007E +:042D5200000000007D +:042D5300000000007C +:042D5400000000007B +:042D5500000000007A +:042D56000000000079 +:042D57000000000078 +:042D58000000000077 +:042D59000000000076 +:042D5A000000000075 +:042D5B000000000074 +:042D5C000000000073 +:042D5D000000000072 +:042D5E000000000071 +:042D5F000000000070 +:042D6000000000006F +:042D6100000000006E +:042D6200000000006D +:042D6300000000006C +:042D6400000000006B +:042D6500000000006A +:042D66000000000069 +:042D67000000000068 +:042D68000000000067 +:042D69000000000066 +:042D6A000000000065 +:042D6B000000000064 +:042D6C000000000063 +:042D6D000000000062 +:042D6E000000000061 +:042D6F000000000060 +:042D7000000000005F +:042D7100000000005E +:042D7200000000005D +:042D7300000000005C +:042D7400000000005B +:042D7500000000005A +:042D76000000000059 +:042D77000000000058 +:042D78000000000057 +:042D79000000000056 +:042D7A000000000055 +:042D7B000000000054 +:042D7C000000000053 +:042D7D000000000052 +:042D7E000000000051 +:042D7F000000000050 +:042D8000000000004F +:042D8100000000004E +:042D8200000000004D +:042D8300000000004C +:042D8400000000004B +:042D8500000000004A +:042D86000000000049 +:042D87000000000048 +:042D88000000000047 +:042D89000000000046 +:042D8A000000000045 +:042D8B000000000044 +:042D8C000000000043 +:042D8D000000000042 +:042D8E000000000041 +:042D8F000000000040 +:042D9000000000003F +:042D9100000000003E +:042D9200000000003D +:042D9300000000003C +:042D9400000000003B +:042D9500000000003A +:042D96000000000039 +:042D97000000000038 +:042D98000000000037 +:042D99000000000036 +:042D9A000000000035 +:042D9B000000000034 +:042D9C000000000033 +:042D9D000000000032 +:042D9E000000000031 +:042D9F000000000030 +:042DA000000000002F +:042DA100000000002E +:042DA200000000002D +:042DA300000000002C +:042DA400000000002B +:042DA500000000002A +:042DA6000000000029 +:042DA7000000000028 +:042DA8000000000027 +:042DA9000000000026 +:042DAA000000000025 +:042DAB000000000024 +:042DAC000000000023 +:042DAD000000000022 +:042DAE000000000021 +:042DAF000000000020 +:042DB000000000001F +:042DB100000000001E +:042DB200000000001D +:042DB300000000001C +:042DB400000000001B +:042DB500000000001A +:042DB6000000000019 +:042DB7000000000018 +:042DB8000000000017 +:042DB9000000000016 +:042DBA000000000015 +:042DBB000000000014 +:042DBC000000000013 +:042DBD000000000012 +:042DBE000000000011 +:042DBF000000000010 +:042DC000000000000F +:042DC100000000000E +:042DC200000000000D +:042DC300000000000C +:042DC400000000000B +:042DC500000000000A +:042DC6000000000009 +:042DC7000000000008 +:042DC8000000000007 +:042DC9000000000006 +:042DCA000000000005 +:042DCB000000000004 +:042DCC000000000003 +:042DCD000000000002 +:042DCE000000000001 +:042DCF000000000000 +:042DD00000000000FF +:042DD10000000000FE +:042DD20000000000FD +:042DD30000000000FC +:042DD40000000000FB +:042DD50000000000FA +:042DD60000000000F9 +:042DD70000000000F8 +:042DD80000000000F7 +:042DD90000000000F6 +:042DDA0000000000F5 +:042DDB0000000000F4 +:042DDC0000000000F3 +:042DDD0000000000F2 +:042DDE0000000000F1 +:042DDF0000000000F0 +:042DE00000000000EF +:042DE10000000000EE +:042DE20000000000ED +:042DE30000000000EC +:042DE40000000000EB +:042DE50000000000EA +:042DE60000000000E9 +:042DE70000000000E8 +:042DE80000000000E7 +:042DE90000000000E6 +:042DEA0000000000E5 +:042DEB0000000000E4 +:042DEC0000000000E3 +:042DED0000000000E2 +:042DEE0000000000E1 +:042DEF0000000000E0 +:042DF00000000000DF +:042DF10000000000DE +:042DF20000000000DD +:042DF30000000000DC +:042DF40000000000DB +:042DF50000000000DA +:042DF60000000000D9 +:042DF70000000000D8 +:042DF80000000000D7 +:042DF90000000000D6 +:042DFA0000000000D5 +:042DFB0000000000D4 +:042DFC0000000000D3 +:042DFD0000000000D2 +:042DFE0000000000D1 +:042DFF0000000000D0 +:042E000000000000CE +:042E010000000000CD +:042E020000000000CC +:042E030000000000CB +:042E040000000000CA +:042E050000000000C9 +:042E060000000000C8 +:042E070000000000C7 +:042E080000000000C6 +:042E090000000000C5 +:042E0A0000000000C4 +:042E0B0000000000C3 +:042E0C0000000000C2 +:042E0D0000000000C1 +:042E0E0000000000C0 +:042E0F0000000000BF +:042E100000000000BE +:042E110000000000BD +:042E120000000000BC +:042E130000000000BB +:042E140000000000BA +:042E150000000000B9 +:042E160000000000B8 +:042E170000000000B7 +:042E180000000000B6 +:042E190000000000B5 +:042E1A0000000000B4 +:042E1B0000000000B3 +:042E1C0000000000B2 +:042E1D0000000000B1 +:042E1E0000000000B0 +:042E1F0000000000AF +:042E200000000000AE +:042E210000000000AD +:042E220000000000AC +:042E230000000000AB +:042E240000000000AA +:042E250000000000A9 +:042E260000000000A8 +:042E270000000000A7 +:042E280000000000A6 +:042E290000000000A5 +:042E2A0000000000A4 +:042E2B0000000000A3 +:042E2C0000000000A2 +:042E2D0000000000A1 +:042E2E0000000000A0 +:042E2F00000000009F +:042E3000000000009E +:042E3100000000009D +:042E3200000000009C +:042E3300000000009B +:042E3400000000009A +:042E35000000000099 +:042E36000000000098 +:042E37000000000097 +:042E38000000000096 +:042E39000000000095 +:042E3A000000000094 +:042E3B000000000093 +:042E3C000000000092 +:042E3D000000000091 +:042E3E000000000090 +:042E3F00000000008F +:042E4000000000008E +:042E4100000000008D +:042E4200000000008C +:042E4300000000008B +:042E4400000000008A +:042E45000000000089 +:042E46000000000088 +:042E47000000000087 +:042E48000000000086 +:042E49000000000085 +:042E4A000000000084 +:042E4B000000000083 +:042E4C000000000082 +:042E4D000000000081 +:042E4E000000000080 +:042E4F00000000007F +:042E5000000000007E +:042E5100000000007D +:042E5200000000007C +:042E5300000000007B +:042E5400000000007A +:042E55000000000079 +:042E56000000000078 +:042E57000000000077 +:042E58000000000076 +:042E59000000000075 +:042E5A000000000074 +:042E5B000000000073 +:042E5C000000000072 +:042E5D000000000071 +:042E5E000000000070 +:042E5F00000000006F +:042E6000000000006E +:042E6100000000006D +:042E6200000000006C +:042E6300000000006B +:042E6400000000006A +:042E65000000000069 +:042E66000000000068 +:042E67000000000067 +:042E68000000000066 +:042E69000000000065 +:042E6A000000000064 +:042E6B000000000063 +:042E6C000000000062 +:042E6D000000000061 +:042E6E000000000060 +:042E6F00000000005F +:042E7000000000005E +:042E7100000000005D +:042E7200000000005C +:042E7300000000005B +:042E7400000000005A +:042E75000000000059 +:042E76000000000058 +:042E77000000000057 +:042E78000000000056 +:042E79000000000055 +:042E7A000000000054 +:042E7B000000000053 +:042E7C000000000052 +:042E7D000000000051 +:042E7E000000000050 +:042E7F00000000004F +:042E8000000000004E +:042E8100000000004D +:042E8200000000004C +:042E8300000000004B +:042E8400000000004A +:042E85000000000049 +:042E86000000000048 +:042E87000000000047 +:042E88000000000046 +:042E89000000000045 +:042E8A000000000044 +:042E8B000000000043 +:042E8C000000000042 +:042E8D000000000041 +:042E8E000000000040 +:042E8F00000000003F +:042E9000000000003E +:042E9100000000003D +:042E9200000000003C +:042E9300000000003B +:042E9400000000003A +:042E95000000000039 +:042E96000000000038 +:042E97000000000037 +:042E98000000000036 +:042E99000000000035 +:042E9A000000000034 +:042E9B000000000033 +:042E9C000000000032 +:042E9D000000000031 +:042E9E000000000030 +:042E9F00000000002F +:042EA000000000002E +:042EA100000000002D +:042EA200000000002C +:042EA300000000002B +:042EA400000000002A +:042EA5000000000029 +:042EA6000000000028 +:042EA7000000000027 +:042EA8000000000026 +:042EA9000000000025 +:042EAA000000000024 +:042EAB000000000023 +:042EAC000000000022 +:042EAD000000000021 +:042EAE000000000020 +:042EAF00000000001F +:042EB000000000001E +:042EB100000000001D +:042EB200000000001C +:042EB300000000001B +:042EB400000000001A +:042EB5000000000019 +:042EB6000000000018 +:042EB7000000000017 +:042EB8000000000016 +:042EB9000000000015 +:042EBA000000000014 +:042EBB000000000013 +:042EBC000000000012 +:042EBD000000000011 +:042EBE000000000010 +:042EBF00000000000F +:042EC000000000000E +:042EC100000000000D +:042EC200000000000C +:042EC300000000000B +:042EC400000000000A +:042EC5000000000009 +:042EC6000000000008 +:042EC7000000000007 +:042EC8000000000006 +:042EC9000000000005 +:042ECA000000000004 +:042ECB000000000003 +:042ECC000000000002 +:042ECD000000000001 +:042ECE000000000000 +:042ECF0000000000FF +:042ED00000000000FE +:042ED10000000000FD +:042ED20000000000FC +:042ED30000000000FB +:042ED40000000000FA +:042ED50000000000F9 +:042ED60000000000F8 +:042ED70000000000F7 +:042ED80000000000F6 +:042ED90000000000F5 +:042EDA0000000000F4 +:042EDB0000000000F3 +:042EDC0000000000F2 +:042EDD0000000000F1 +:042EDE0000000000F0 +:042EDF0000000000EF +:042EE00000000000EE +:042EE10000000000ED +:042EE20000000000EC +:042EE30000000000EB +:042EE40000000000EA +:042EE50000000000E9 +:042EE60000000000E8 +:042EE70000000000E7 +:042EE80000000000E6 +:042EE90000000000E5 +:042EEA0000000000E4 +:042EEB0000000000E3 +:042EEC0000000000E2 +:042EED0000000000E1 +:042EEE0000000000E0 +:042EEF0000000000DF +:042EF00000000000DE +:042EF10000000000DD +:042EF20000000000DC +:042EF30000000000DB +:042EF40000000000DA +:042EF50000000000D9 +:042EF60000000000D8 +:042EF70000000000D7 +:042EF80000000000D6 +:042EF90000000000D5 +:042EFA0000000000D4 +:042EFB0000000000D3 +:042EFC0000000000D2 +:042EFD0000000000D1 +:042EFE0000000000D0 +:042EFF0000000000CF +:042F000000000000CD +:042F010000000000CC +:042F020000000000CB +:042F030000000000CA +:042F040000000000C9 +:042F050000000000C8 +:042F060000000000C7 +:042F070000000000C6 +:042F080000000000C5 +:042F090000000000C4 +:042F0A0000000000C3 +:042F0B0000000000C2 +:042F0C0000000000C1 +:042F0D0000000000C0 +:042F0E0000000000BF +:042F0F0000000000BE +:042F100000000000BD +:042F110000000000BC +:042F120000000000BB +:042F130000000000BA +:042F140000000000B9 +:042F150000000000B8 +:042F160000000000B7 +:042F170000000000B6 +:042F180000000000B5 +:042F190000000000B4 +:042F1A0000000000B3 +:042F1B0000000000B2 +:042F1C0000000000B1 +:042F1D0000000000B0 +:042F1E0000000000AF +:042F1F0000000000AE +:042F200000000000AD +:042F210000000000AC +:042F220000000000AB +:042F230000000000AA +:042F240000000000A9 +:042F250000000000A8 +:042F260000000000A7 +:042F270000000000A6 +:042F280000000000A5 +:042F290000000000A4 +:042F2A0000000000A3 +:042F2B0000000000A2 +:042F2C0000000000A1 +:042F2D0000000000A0 +:042F2E00000000009F +:042F2F00000000009E +:042F3000000000009D +:042F3100000000009C +:042F3200000000009B +:042F3300000000009A +:042F34000000000099 +:042F35000000000098 +:042F36000000000097 +:042F37000000000096 +:042F38000000000095 +:042F39000000000094 +:042F3A000000000093 +:042F3B000000000092 +:042F3C000000000091 +:042F3D000000000090 +:042F3E00000000008F +:042F3F00000000008E +:042F4000000000008D +:042F4100000000008C +:042F4200000000008B +:042F4300000000008A +:042F44000000000089 +:042F45000000000088 +:042F46000000000087 +:042F47000000000086 +:042F48000000000085 +:042F49000000000084 +:042F4A000000000083 +:042F4B000000000082 +:042F4C000000000081 +:042F4D000000000080 +:042F4E00000000007F +:042F4F00000000007E +:042F5000000000007D +:042F5100000000007C +:042F5200000000007B +:042F5300000000007A +:042F54000000000079 +:042F55000000000078 +:042F56000000000077 +:042F57000000000076 +:042F58000000000075 +:042F59000000000074 +:042F5A000000000073 +:042F5B000000000072 +:042F5C000000000071 +:042F5D000000000070 +:042F5E00000000006F +:042F5F00000000006E +:042F6000000000006D +:042F6100000000006C +:042F6200000000006B +:042F6300000000006A +:042F64000000000069 +:042F65000000000068 +:042F66000000000067 +:042F67000000000066 +:042F68000000000065 +:042F69000000000064 +:042F6A000000000063 +:042F6B000000000062 +:042F6C000000000061 +:042F6D000000000060 +:042F6E00000000005F +:042F6F00000000005E +:042F7000000000005D +:042F7100000000005C +:042F7200000000005B +:042F7300000000005A +:042F74000000000059 +:042F75000000000058 +:042F76000000000057 +:042F77000000000056 +:042F78000000000055 +:042F79000000000054 +:042F7A000000000053 +:042F7B000000000052 +:042F7C000000000051 +:042F7D000000000050 +:042F7E00000000004F +:042F7F00000000004E +:042F8000000000004D +:042F8100000000004C +:042F8200000000004B +:042F8300000000004A +:042F84000000000049 +:042F85000000000048 +:042F86000000000047 +:042F87000000000046 +:042F88000000000045 +:042F89000000000044 +:042F8A000000000043 +:042F8B000000000042 +:042F8C000000000041 +:042F8D000000000040 +:042F8E00000000003F +:042F8F00000000003E +:042F9000000000003D +:042F9100000000003C +:042F9200000000003B +:042F9300000000003A +:042F94000000000039 +:042F95000000000038 +:042F96000000000037 +:042F97000000000036 +:042F98000000000035 +:042F99000000000034 +:042F9A000000000033 +:042F9B000000000032 +:042F9C000000000031 +:042F9D000000000030 +:042F9E00000000002F +:042F9F00000000002E +:042FA000000000002D +:042FA100000000002C +:042FA200000000002B +:042FA300000000002A +:042FA4000000000029 +:042FA5000000000028 +:042FA6000000000027 +:042FA7000000000026 +:042FA8000000000025 +:042FA9000000000024 +:042FAA000000000023 +:042FAB000000000022 +:042FAC000000000021 +:042FAD000000000020 +:042FAE00000000001F +:042FAF00000000001E +:042FB000000000001D +:042FB100000000001C +:042FB200000000001B +:042FB300000000001A +:042FB4000000000019 +:042FB5000000000018 +:042FB6000000000017 +:042FB7000000000016 +:042FB8000000000015 +:042FB9000000000014 +:042FBA000000000013 +:042FBB000000000012 +:042FBC000000000011 +:042FBD000000000010 +:042FBE00000000000F +:042FBF00000000000E +:042FC000000000000D +:042FC100000000000C +:042FC200000000000B +:042FC300000000000A +:042FC4000000000009 +:042FC5000000000008 +:042FC6000000000007 +:042FC7000000000006 +:042FC8000000000005 +:042FC9000000000004 +:042FCA000000000003 +:042FCB000000000002 +:042FCC000000000001 +:042FCD000000000000 +:042FCE0000000000FF +:042FCF0000000000FE +:042FD00000000000FD +:042FD10000000000FC +:042FD20000000000FB +:042FD30000000000FA +:042FD40000000000F9 +:042FD50000000000F8 +:042FD60000000000F7 +:042FD70000000000F6 +:042FD80000000000F5 +:042FD90000000000F4 +:042FDA0000000000F3 +:042FDB0000000000F2 +:042FDC0000000000F1 +:042FDD0000000000F0 +:042FDE0000000000EF +:042FDF0000000000EE +:042FE00000000000ED +:042FE10000000000EC +:042FE20000000000EB +:042FE30000000000EA +:042FE40000000000E9 +:042FE50000000000E8 +:042FE60000000000E7 +:042FE70000000000E6 +:042FE80000000000E5 +:042FE90000000000E4 +:042FEA0000000000E3 +:042FEB0000000000E2 +:042FEC0000000000E1 +:042FED0000000000E0 +:042FEE0000000000DF +:042FEF0000000000DE +:042FF00000000000DD +:042FF10000000000DC +:042FF20000000000DB +:042FF30000000000DA +:042FF40000000000D9 +:042FF50000000000D8 +:042FF60000000000D7 +:042FF70000000000D6 +:042FF80000000000D5 +:042FF90000000000D4 +:042FFA0000000000D3 +:042FFB0000000000D2 +:042FFC0000000000D1 +:042FFD0000000000D0 +:042FFE0000000000CF +:042FFF0000000000CE +:0430000000000000CC +:0430010000000000CB +:0430020000000000CA +:0430030000000000C9 +:0430040000000000C8 +:0430050000000000C7 +:0430060000000000C6 +:0430070000000000C5 +:0430080000000000C4 +:0430090000000000C3 +:04300A0000000000C2 +:04300B0000000000C1 +:04300C0000000000C0 +:04300D0000000000BF +:04300E0000000000BE +:04300F0000000000BD +:0430100000000000BC +:0430110000000000BB +:0430120000000000BA +:0430130000000000B9 +:0430140000000000B8 +:0430150000000000B7 +:0430160000000000B6 +:0430170000000000B5 +:0430180000000000B4 +:0430190000000000B3 +:04301A0000000000B2 +:04301B0000000000B1 +:04301C0000000000B0 +:04301D0000000000AF +:04301E0000000000AE +:04301F0000000000AD +:0430200000000000AC +:0430210000000000AB +:0430220000000000AA +:0430230000000000A9 +:0430240000000000A8 +:0430250000000000A7 +:0430260000000000A6 +:0430270000000000A5 +:0430280000000000A4 +:0430290000000000A3 +:04302A0000000000A2 +:04302B0000000000A1 +:04302C0000000000A0 +:04302D00000000009F +:04302E00000000009E +:04302F00000000009D +:04303000000000009C +:04303100000000009B +:04303200000000009A +:043033000000000099 +:043034000000000098 +:043035000000000097 +:043036000000000096 +:043037000000000095 +:043038000000000094 +:043039000000000093 +:04303A000000000092 +:04303B000000000091 +:04303C000000000090 +:04303D00000000008F +:04303E00000000008E +:04303F00000000008D +:04304000000000008C +:04304100000000008B +:04304200000000008A +:043043000000000089 +:043044000000000088 +:043045000000000087 +:043046000000000086 +:043047000000000085 +:043048000000000084 +:043049000000000083 +:04304A000000000082 +:04304B000000000081 +:04304C000000000080 +:04304D00000000007F +:04304E00000000007E +:04304F00000000007D +:04305000000000007C +:04305100000000007B +:04305200000000007A +:043053000000000079 +:043054000000000078 +:043055000000000077 +:043056000000000076 +:043057000000000075 +:043058000000000074 +:043059000000000073 +:04305A000000000072 +:04305B000000000071 +:04305C000000000070 +:04305D00000000006F +:04305E00000000006E +:04305F00000000006D +:04306000000000006C +:04306100000000006B +:04306200000000006A +:043063000000000069 +:043064000000000068 +:043065000000000067 +:043066000000000066 +:043067000000000065 +:043068000000000064 +:043069000000000063 +:04306A000000000062 +:04306B000000000061 +:04306C000000000060 +:04306D00000000005F +:04306E00000000005E +:04306F00000000005D +:04307000000000005C +:04307100000000005B +:04307200000000005A +:043073000000000059 +:043074000000000058 +:043075000000000057 +:043076000000000056 +:043077000000000055 +:043078000000000054 +:043079000000000053 +:04307A000000000052 +:04307B000000000051 +:04307C000000000050 +:04307D00000000004F +:04307E00000000004E +:04307F00000000004D +:04308000000000004C +:04308100000000004B +:04308200000000004A +:043083000000000049 +:043084000000000048 +:043085000000000047 +:043086000000000046 +:043087000000000045 +:043088000000000044 +:043089000000000043 +:04308A000000000042 +:04308B000000000041 +:04308C000000000040 +:04308D00000000003F +:04308E00000000003E +:04308F00000000003D +:04309000000000003C +:04309100000000003B +:04309200000000003A +:043093000000000039 +:043094000000000038 +:043095000000000037 +:043096000000000036 +:043097000000000035 +:043098000000000034 +:043099000000000033 +:04309A000000000032 +:04309B000000000031 +:04309C000000000030 +:04309D00000000002F +:04309E00000000002E +:04309F00000000002D +:0430A000000000002C +:0430A100000000002B +:0430A200000000002A +:0430A3000000000029 +:0430A4000000000028 +:0430A5000000000027 +:0430A6000000000026 +:0430A7000000000025 +:0430A8000000000024 +:0430A9000000000023 +:0430AA000000000022 +:0430AB000000000021 +:0430AC000000000020 +:0430AD00000000001F +:0430AE00000000001E +:0430AF00000000001D +:0430B000000000001C +:0430B100000000001B +:0430B200000000001A +:0430B3000000000019 +:0430B4000000000018 +:0430B5000000000017 +:0430B6000000000016 +:0430B7000000000015 +:0430B8000000000014 +:0430B9000000000013 +:0430BA000000000012 +:0430BB000000000011 +:0430BC000000000010 +:0430BD00000000000F +:0430BE00000000000E +:0430BF00000000000D +:0430C000000000000C +:0430C100000000000B +:0430C200000000000A +:0430C3000000000009 +:0430C4000000000008 +:0430C5000000000007 +:0430C6000000000006 +:0430C7000000000005 +:0430C8000000000004 +:0430C9000000000003 +:0430CA000000000002 +:0430CB000000000001 +:0430CC000000000000 +:0430CD0000000000FF +:0430CE0000000000FE +:0430CF0000000000FD +:0430D00000000000FC +:0430D10000000000FB +:0430D20000000000FA +:0430D30000000000F9 +:0430D40000000000F8 +:0430D50000000000F7 +:0430D60000000000F6 +:0430D70000000000F5 +:0430D80000000000F4 +:0430D90000000000F3 +:0430DA0000000000F2 +:0430DB0000000000F1 +:0430DC0000000000F0 +:0430DD0000000000EF +:0430DE0000000000EE +:0430DF0000000000ED +:0430E00000000000EC +:0430E10000000000EB +:0430E20000000000EA +:0430E30000000000E9 +:0430E40000000000E8 +:0430E50000000000E7 +:0430E60000000000E6 +:0430E70000000000E5 +:0430E80000000000E4 +:0430E90000000000E3 +:0430EA0000000000E2 +:0430EB0000000000E1 +:0430EC0000000000E0 +:0430ED0000000000DF +:0430EE0000000000DE +:0430EF0000000000DD +:0430F00000000000DC +:0430F10000000000DB +:0430F20000000000DA +:0430F30000000000D9 +:0430F40000000000D8 +:0430F50000000000D7 +:0430F60000000000D6 +:0430F70000000000D5 +:0430F80000000000D4 +:0430F90000000000D3 +:0430FA0000000000D2 +:0430FB0000000000D1 +:0430FC0000000000D0 +:0430FD0000000000CF +:0430FE0000000000CE +:0430FF0000000000CD +:0431000000000000CB +:0431010000000000CA +:0431020000000000C9 +:0431030000000000C8 +:0431040000000000C7 +:0431050000000000C6 +:0431060000000000C5 +:0431070000000000C4 +:0431080000000000C3 +:0431090000000000C2 +:04310A0000000000C1 +:04310B0000000000C0 +:04310C0000000000BF +:04310D0000000000BE +:04310E0000000000BD +:04310F0000000000BC +:0431100000000000BB +:0431110000000000BA +:0431120000000000B9 +:0431130000000000B8 +:0431140000000000B7 +:0431150000000000B6 +:0431160000000000B5 +:0431170000000000B4 +:0431180000000000B3 +:0431190000000000B2 +:04311A0000000000B1 +:04311B0000000000B0 +:04311C0000000000AF +:04311D0000000000AE +:04311E0000000000AD +:04311F0000000000AC +:0431200000000000AB +:0431210000000000AA +:0431220000000000A9 +:0431230000000000A8 +:0431240000000000A7 +:0431250000000000A6 +:0431260000000000A5 +:0431270000000000A4 +:0431280000000000A3 +:0431290000000000A2 +:04312A0000000000A1 +:04312B0000000000A0 +:04312C00000000009F +:04312D00000000009E +:04312E00000000009D +:04312F00000000009C +:04313000000000009B +:04313100000000009A +:043132000000000099 +:043133000000000098 +:043134000000000097 +:043135000000000096 +:043136000000000095 +:043137000000000094 +:043138000000000093 +:043139000000000092 +:04313A000000000091 +:04313B000000000090 +:04313C00000000008F +:04313D00000000008E +:04313E00000000008D +:04313F00000000008C +:04314000000000008B +:04314100000000008A +:043142000000000089 +:043143000000000088 +:043144000000000087 +:043145000000000086 +:043146000000000085 +:043147000000000084 +:043148000000000083 +:043149000000000082 +:04314A000000000081 +:04314B000000000080 +:04314C00000000007F +:04314D00000000007E +:04314E00000000007D +:04314F00000000007C +:04315000000000007B +:04315100000000007A +:043152000000000079 +:043153000000000078 +:043154000000000077 +:043155000000000076 +:043156000000000075 +:043157000000000074 +:043158000000000073 +:043159000000000072 +:04315A000000000071 +:04315B000000000070 +:04315C00000000006F +:04315D00000000006E +:04315E00000000006D +:04315F00000000006C +:04316000000000006B +:04316100000000006A +:043162000000000069 +:043163000000000068 +:043164000000000067 +:043165000000000066 +:043166000000000065 +:043167000000000064 +:043168000000000063 +:043169000000000062 +:04316A000000000061 +:04316B000000000060 +:04316C00000000005F +:04316D00000000005E +:04316E00000000005D +:04316F00000000005C +:04317000000000005B +:04317100000000005A +:043172000000000059 +:043173000000000058 +:043174000000000057 +:043175000000000056 +:043176000000000055 +:043177000000000054 +:043178000000000053 +:043179000000000052 +:04317A000000000051 +:04317B000000000050 +:04317C00000000004F +:04317D00000000004E +:04317E00000000004D +:04317F00000000004C +:04318000000000004B +:04318100000000004A +:043182000000000049 +:043183000000000048 +:043184000000000047 +:043185000000000046 +:043186000000000045 +:043187000000000044 +:043188000000000043 +:043189000000000042 +:04318A000000000041 +:04318B000000000040 +:04318C00000000003F +:04318D00000000003E +:04318E00000000003D +:04318F00000000003C +:04319000000000003B +:04319100000000003A +:043192000000000039 +:043193000000000038 +:043194000000000037 +:043195000000000036 +:043196000000000035 +:043197000000000034 +:043198000000000033 +:043199000000000032 +:04319A000000000031 +:04319B000000000030 +:04319C00000000002F +:04319D00000000002E +:04319E00000000002D +:04319F00000000002C +:0431A000000000002B +:0431A100000000002A +:0431A2000000000029 +:0431A3000000000028 +:0431A4000000000027 +:0431A5000000000026 +:0431A6000000000025 +:0431A7000000000024 +:0431A8000000000023 +:0431A9000000000022 +:0431AA000000000021 +:0431AB000000000020 +:0431AC00000000001F +:0431AD00000000001E +:0431AE00000000001D +:0431AF00000000001C +:0431B000000000001B +:0431B100000000001A +:0431B2000000000019 +:0431B3000000000018 +:0431B4000000000017 +:0431B5000000000016 +:0431B6000000000015 +:0431B7000000000014 +:0431B8000000000013 +:0431B9000000000012 +:0431BA000000000011 +:0431BB000000000010 +:0431BC00000000000F +:0431BD00000000000E +:0431BE00000000000D +:0431BF00000000000C +:0431C000000000000B +:0431C100000000000A +:0431C2000000000009 +:0431C3000000000008 +:0431C4000000000007 +:0431C5000000000006 +:0431C6000000000005 +:0431C7000000000004 +:0431C8000000000003 +:0431C9000000000002 +:0431CA000000000001 +:0431CB000000000000 +:0431CC0000000000FF +:0431CD0000000000FE +:0431CE0000000000FD +:0431CF0000000000FC +:0431D00000000000FB +:0431D10000000000FA +:0431D20000000000F9 +:0431D30000000000F8 +:0431D40000000000F7 +:0431D50000000000F6 +:0431D60000000000F5 +:0431D70000000000F4 +:0431D80000000000F3 +:0431D90000000000F2 +:0431DA0000000000F1 +:0431DB0000000000F0 +:0431DC0000000000EF +:0431DD0000000000EE +:0431DE0000000000ED +:0431DF0000000000EC +:0431E00000000000EB +:0431E10000000000EA +:0431E20000000000E9 +:0431E30000000000E8 +:0431E40000000000E7 +:0431E50000000000E6 +:0431E60000000000E5 +:0431E70000000000E4 +:0431E80000000000E3 +:0431E90000000000E2 +:0431EA0000000000E1 +:0431EB0000000000E0 +:0431EC0000000000DF +:0431ED0000000000DE +:0431EE0000000000DD +:0431EF0000000000DC +:0431F00000000000DB +:0431F10000000000DA +:0431F20000000000D9 +:0431F30000000000D8 +:0431F40000000000D7 +:0431F50000000000D6 +:0431F60000000000D5 +:0431F70000000000D4 +:0431F80000000000D3 +:0431F90000000000D2 +:0431FA0000000000D1 +:0431FB0000000000D0 +:0431FC0000000000CF +:0431FD0000000000CE +:0431FE0000000000CD +:0431FF0000000000CC +:0432000000000000CA +:0432010000000000C9 +:0432020000000000C8 +:0432030000000000C7 +:0432040000000000C6 +:0432050000000000C5 +:0432060000000000C4 +:0432070000000000C3 +:0432080000000000C2 +:0432090000000000C1 +:04320A0000000000C0 +:04320B0000000000BF +:04320C0000000000BE +:04320D0000000000BD +:04320E0000000000BC +:04320F0000000000BB +:0432100000000000BA +:0432110000000000B9 +:0432120000000000B8 +:0432130000000000B7 +:0432140000000000B6 +:0432150000000000B5 +:0432160000000000B4 +:0432170000000000B3 +:0432180000000000B2 +:0432190000000000B1 +:04321A0000000000B0 +:04321B0000000000AF +:04321C0000000000AE +:04321D0000000000AD +:04321E0000000000AC +:04321F0000000000AB +:0432200000000000AA +:0432210000000000A9 +:0432220000000000A8 +:0432230000000000A7 +:0432240000000000A6 +:0432250000000000A5 +:0432260000000000A4 +:0432270000000000A3 +:0432280000000000A2 +:0432290000000000A1 +:04322A0000000000A0 +:04322B00000000009F +:04322C00000000009E +:04322D00000000009D +:04322E00000000009C +:04322F00000000009B +:04323000000000009A +:043231000000000099 +:043232000000000098 +:043233000000000097 +:043234000000000096 +:043235000000000095 +:043236000000000094 +:043237000000000093 +:043238000000000092 +:043239000000000091 +:04323A000000000090 +:04323B00000000008F +:04323C00000000008E +:04323D00000000008D +:04323E00000000008C +:04323F00000000008B +:04324000000000008A +:043241000000000089 +:043242000000000088 +:043243000000000087 +:043244000000000086 +:043245000000000085 +:043246000000000084 +:043247000000000083 +:043248000000000082 +:043249000000000081 +:04324A000000000080 +:04324B00000000007F +:04324C00000000007E +:04324D00000000007D +:04324E00000000007C +:04324F00000000007B +:04325000000000007A +:043251000000000079 +:043252000000000078 +:043253000000000077 +:043254000000000076 +:043255000000000075 +:043256000000000074 +:043257000000000073 +:043258000000000072 +:043259000000000071 +:04325A000000000070 +:04325B00000000006F +:04325C00000000006E +:04325D00000000006D +:04325E00000000006C +:04325F00000000006B +:04326000000000006A +:043261000000000069 +:043262000000000068 +:043263000000000067 +:043264000000000066 +:043265000000000065 +:043266000000000064 +:043267000000000063 +:043268000000000062 +:043269000000000061 +:04326A000000000060 +:04326B00000000005F +:04326C00000000005E +:04326D00000000005D +:04326E00000000005C +:04326F00000000005B +:04327000000000005A +:043271000000000059 +:043272000000000058 +:043273000000000057 +:043274000000000056 +:043275000000000055 +:043276000000000054 +:043277000000000053 +:043278000000000052 +:043279000000000051 +:04327A000000000050 +:04327B00000000004F +:04327C00000000004E +:04327D00000000004D +:04327E00000000004C +:04327F00000000004B +:04328000000000004A +:043281000000000049 +:043282000000000048 +:043283000000000047 +:043284000000000046 +:043285000000000045 +:043286000000000044 +:043287000000000043 +:043288000000000042 +:043289000000000041 +:04328A000000000040 +:04328B00000000003F +:04328C00000000003E +:04328D00000000003D +:04328E00000000003C +:04328F00000000003B +:04329000000000003A +:043291000000000039 +:043292000000000038 +:043293000000000037 +:043294000000000036 +:043295000000000035 +:043296000000000034 +:043297000000000033 +:043298000000000032 +:043299000000000031 +:04329A000000000030 +:04329B00000000002F +:04329C00000000002E +:04329D00000000002D +:04329E00000000002C +:04329F00000000002B +:0432A000000000002A +:0432A1000000000029 +:0432A2000000000028 +:0432A3000000000027 +:0432A4000000000026 +:0432A5000000000025 +:0432A6000000000024 +:0432A7000000000023 +:0432A8000000000022 +:0432A9000000000021 +:0432AA000000000020 +:0432AB00000000001F +:0432AC00000000001E +:0432AD00000000001D +:0432AE00000000001C +:0432AF00000000001B +:0432B000000000001A +:0432B1000000000019 +:0432B2000000000018 +:0432B3000000000017 +:0432B4000000000016 +:0432B5000000000015 +:0432B6000000000014 +:0432B7000000000013 +:0432B8000000000012 +:0432B9000000000011 +:0432BA000000000010 +:0432BB00000000000F +:0432BC00000000000E +:0432BD00000000000D +:0432BE00000000000C +:0432BF00000000000B +:0432C000000000000A +:0432C1000000000009 +:0432C2000000000008 +:0432C3000000000007 +:0432C4000000000006 +:0432C5000000000005 +:0432C6000000000004 +:0432C7000000000003 +:0432C8000000000002 +:0432C9000000000001 +:0432CA000000000000 +:0432CB0000000000FF +:0432CC0000000000FE +:0432CD0000000000FD +:0432CE0000000000FC +:0432CF0000000000FB +:0432D00000000000FA +:0432D10000000000F9 +:0432D20000000000F8 +:0432D30000000000F7 +:0432D40000000000F6 +:0432D50000000000F5 +:0432D60000000000F4 +:0432D70000000000F3 +:0432D80000000000F2 +:0432D90000000000F1 +:0432DA0000000000F0 +:0432DB0000000000EF +:0432DC0000000000EE +:0432DD0000000000ED +:0432DE0000000000EC +:0432DF0000000000EB +:0432E00000000000EA +:0432E10000000000E9 +:0432E20000000000E8 +:0432E30000000000E7 +:0432E40000000000E6 +:0432E50000000000E5 +:0432E60000000000E4 +:0432E70000000000E3 +:0432E80000000000E2 +:0432E90000000000E1 +:0432EA0000000000E0 +:0432EB0000000000DF +:0432EC0000000000DE +:0432ED0000000000DD +:0432EE0000000000DC +:0432EF0000000000DB +:0432F00000000000DA +:0432F10000000000D9 +:0432F20000000000D8 +:0432F30000000000D7 +:0432F40000000000D6 +:0432F50000000000D5 +:0432F60000000000D4 +:0432F70000000000D3 +:0432F80000000000D2 +:0432F90000000000D1 +:0432FA0000000000D0 +:0432FB0000000000CF +:0432FC0000000000CE +:0432FD0000000000CD +:0432FE0000000000CC +:0432FF0000000000CB +:0433000000000000C9 +:0433010000000000C8 +:0433020000000000C7 +:0433030000000000C6 +:0433040000000000C5 +:0433050000000000C4 +:0433060000000000C3 +:0433070000000000C2 +:0433080000000000C1 +:0433090000000000C0 +:04330A0000000000BF +:04330B0000000000BE +:04330C0000000000BD +:04330D0000000000BC +:04330E0000000000BB +:04330F0000000000BA +:0433100000000000B9 +:0433110000000000B8 +:0433120000000000B7 +:0433130000000000B6 +:0433140000000000B5 +:0433150000000000B4 +:0433160000000000B3 +:0433170000000000B2 +:0433180000000000B1 +:0433190000000000B0 +:04331A0000000000AF +:04331B0000000000AE +:04331C0000000000AD +:04331D0000000000AC +:04331E0000000000AB +:04331F0000000000AA +:0433200000000000A9 +:0433210000000000A8 +:0433220000000000A7 +:0433230000000000A6 +:0433240000000000A5 +:0433250000000000A4 +:0433260000000000A3 +:0433270000000000A2 +:0433280000000000A1 +:0433290000000000A0 +:04332A00000000009F +:04332B00000000009E +:04332C00000000009D +:04332D00000000009C +:04332E00000000009B +:04332F00000000009A +:043330000000000099 +:043331000000000098 +:043332000000000097 +:043333000000000096 +:043334000000000095 +:043335000000000094 +:043336000000000093 +:043337000000000092 +:043338000000000091 +:043339000000000090 +:04333A00000000008F +:04333B00000000008E +:04333C00000000008D +:04333D00000000008C +:04333E00000000008B +:04333F00000000008A +:043340000000000089 +:043341000000000088 +:043342000000000087 +:043343000000000086 +:043344000000000085 +:043345000000000084 +:043346000000000083 +:043347000000000082 +:043348000000000081 +:043349000000000080 +:04334A00000000007F +:04334B00000000007E +:04334C00000000007D +:04334D00000000007C +:04334E00000000007B +:04334F00000000007A +:043350000000000079 +:043351000000000078 +:043352000000000077 +:043353000000000076 +:043354000000000075 +:043355000000000074 +:043356000000000073 +:043357000000000072 +:043358000000000071 +:043359000000000070 +:04335A00000000006F +:04335B00000000006E +:04335C00000000006D +:04335D00000000006C +:04335E00000000006B +:04335F00000000006A +:043360000000000069 +:043361000000000068 +:043362000000000067 +:043363000000000066 +:043364000000000065 +:043365000000000064 +:043366000000000063 +:043367000000000062 +:043368000000000061 +:043369000000000060 +:04336A00000000005F +:04336B00000000005E +:04336C00000000005D +:04336D00000000005C +:04336E00000000005B +:04336F00000000005A +:043370000000000059 +:043371000000000058 +:043372000000000057 +:043373000000000056 +:043374000000000055 +:043375000000000054 +:043376000000000053 +:043377000000000052 +:043378000000000051 +:043379000000000050 +:04337A00000000004F +:04337B00000000004E +:04337C00000000004D +:04337D00000000004C +:04337E00000000004B +:04337F00000000004A +:043380000000000049 +:043381000000000048 +:043382000000000047 +:043383000000000046 +:043384000000000045 +:043385000000000044 +:043386000000000043 +:043387000000000042 +:043388000000000041 +:043389000000000040 +:04338A00000000003F +:04338B00000000003E +:04338C00000000003D +:04338D00000000003C +:04338E00000000003B +:04338F00000000003A +:043390000000000039 +:043391000000000038 +:043392000000000037 +:043393000000000036 +:043394000000000035 +:043395000000000034 +:043396000000000033 +:043397000000000032 +:043398000000000031 +:043399000000000030 +:04339A00000000002F +:04339B00000000002E +:04339C00000000002D +:04339D00000000002C +:04339E00000000002B +:04339F00000000002A +:0433A0000000000029 +:0433A1000000000028 +:0433A2000000000027 +:0433A3000000000026 +:0433A4000000000025 +:0433A5000000000024 +:0433A6000000000023 +:0433A7000000000022 +:0433A8000000000021 +:0433A9000000000020 +:0433AA00000000001F +:0433AB00000000001E +:0433AC00000000001D +:0433AD00000000001C +:0433AE00000000001B +:0433AF00000000001A +:0433B0000000000019 +:0433B1000000000018 +:0433B2000000000017 +:0433B3000000000016 +:0433B4000000000015 +:0433B5000000000014 +:0433B6000000000013 +:0433B7000000000012 +:0433B8000000000011 +:0433B9000000000010 +:0433BA00000000000F +:0433BB00000000000E +:0433BC00000000000D +:0433BD00000000000C +:0433BE00000000000B +:0433BF00000000000A +:0433C0000000000009 +:0433C1000000000008 +:0433C2000000000007 +:0433C3000000000006 +:0433C4000000000005 +:0433C5000000000004 +:0433C6000000000003 +:0433C7000000000002 +:0433C8000000000001 +:0433C9000000000000 +:0433CA0000000000FF +:0433CB0000000000FE +:0433CC0000000000FD +:0433CD0000000000FC +:0433CE0000000000FB +:0433CF0000000000FA +:0433D00000000000F9 +:0433D10000000000F8 +:0433D20000000000F7 +:0433D30000000000F6 +:0433D40000000000F5 +:0433D50000000000F4 +:0433D60000000000F3 +:0433D70000000000F2 +:0433D80000000000F1 +:0433D90000000000F0 +:0433DA0000000000EF +:0433DB0000000000EE +:0433DC0000000000ED +:0433DD0000000000EC +:0433DE0000000000EB +:0433DF0000000000EA +:0433E00000000000E9 +:0433E10000000000E8 +:0433E20000000000E7 +:0433E30000000000E6 +:0433E40000000000E5 +:0433E50000000000E4 +:0433E60000000000E3 +:0433E70000000000E2 +:0433E80000000000E1 +:0433E90000000000E0 +:0433EA0000000000DF +:0433EB0000000000DE +:0433EC0000000000DD +:0433ED0000000000DC +:0433EE0000000000DB +:0433EF0000000000DA +:0433F00000000000D9 +:0433F10000000000D8 +:0433F20000000000D7 +:0433F30000000000D6 +:0433F40000000000D5 +:0433F50000000000D4 +:0433F60000000000D3 +:0433F70000000000D2 +:0433F80000000000D1 +:0433F90000000000D0 +:0433FA0000000000CF +:0433FB0000000000CE +:0433FC0000000000CD +:0433FD0000000000CC +:0433FE0000000000CB +:0433FF0000000000CA +:0434000000000000C8 +:0434010000000000C7 +:0434020000000000C6 +:0434030000000000C5 +:0434040000000000C4 +:0434050000000000C3 +:0434060000000000C2 +:0434070000000000C1 +:0434080000000000C0 +:0434090000000000BF +:04340A0000000000BE +:04340B0000000000BD +:04340C0000000000BC +:04340D0000000000BB +:04340E0000000000BA +:04340F0000000000B9 +:0434100000000000B8 +:0434110000000000B7 +:0434120000000000B6 +:0434130000000000B5 +:0434140000000000B4 +:0434150000000000B3 +:0434160000000000B2 +:0434170000000000B1 +:0434180000000000B0 +:0434190000000000AF +:04341A0000000000AE +:04341B0000000000AD +:04341C0000000000AC +:04341D0000000000AB +:04341E0000000000AA +:04341F0000000000A9 +:0434200000000000A8 +:0434210000000000A7 +:0434220000000000A6 +:0434230000000000A5 +:0434240000000000A4 +:0434250000000000A3 +:0434260000000000A2 +:0434270000000000A1 +:0434280000000000A0 +:04342900000000009F +:04342A00000000009E +:04342B00000000009D +:04342C00000000009C +:04342D00000000009B +:04342E00000000009A +:04342F000000000099 +:043430000000000098 +:043431000000000097 +:043432000000000096 +:043433000000000095 +:043434000000000094 +:043435000000000093 +:043436000000000092 +:043437000000000091 +:043438000000000090 +:04343900000000008F +:04343A00000000008E +:04343B00000000008D +:04343C00000000008C +:04343D00000000008B +:04343E00000000008A +:04343F000000000089 +:043440000000000088 +:043441000000000087 +:043442000000000086 +:043443000000000085 +:043444000000000084 +:043445000000000083 +:043446000000000082 +:043447000000000081 +:043448000000000080 +:04344900000000007F +:04344A00000000007E +:04344B00000000007D +:04344C00000000007C +:04344D00000000007B +:04344E00000000007A +:04344F000000000079 +:043450000000000078 +:043451000000000077 +:043452000000000076 +:043453000000000075 +:043454000000000074 +:043455000000000073 +:043456000000000072 +:043457000000000071 +:043458000000000070 +:04345900000000006F +:04345A00000000006E +:04345B00000000006D +:04345C00000000006C +:04345D00000000006B +:04345E00000000006A +:04345F000000000069 +:043460000000000068 +:043461000000000067 +:043462000000000066 +:043463000000000065 +:043464000000000064 +:043465000000000063 +:043466000000000062 +:043467000000000061 +:043468000000000060 +:04346900000000005F +:04346A00000000005E +:04346B00000000005D +:04346C00000000005C +:04346D00000000005B +:04346E00000000005A +:04346F000000000059 +:043470000000000058 +:043471000000000057 +:043472000000000056 +:043473000000000055 +:043474000000000054 +:043475000000000053 +:043476000000000052 +:043477000000000051 +:043478000000000050 +:04347900000000004F +:04347A00000000004E +:04347B00000000004D +:04347C00000000004C +:04347D00000000004B +:04347E00000000004A +:04347F000000000049 +:043480000000000048 +:043481000000000047 +:043482000000000046 +:043483000000000045 +:043484000000000044 +:043485000000000043 +:043486000000000042 +:043487000000000041 +:043488000000000040 +:04348900000000003F +:04348A00000000003E +:04348B00000000003D +:04348C00000000003C +:04348D00000000003B +:04348E00000000003A +:04348F000000000039 +:043490000000000038 +:043491000000000037 +:043492000000000036 +:043493000000000035 +:043494000000000034 +:043495000000000033 +:043496000000000032 +:043497000000000031 +:043498000000000030 +:04349900000000002F +:04349A00000000002E +:04349B00000000002D +:04349C00000000002C +:04349D00000000002B +:04349E00000000002A +:04349F000000000029 +:0434A0000000000028 +:0434A1000000000027 +:0434A2000000000026 +:0434A3000000000025 +:0434A4000000000024 +:0434A5000000000023 +:0434A6000000000022 +:0434A7000000000021 +:0434A8000000000020 +:0434A900000000001F +:0434AA00000000001E +:0434AB00000000001D +:0434AC00000000001C +:0434AD00000000001B +:0434AE00000000001A +:0434AF000000000019 +:0434B0000000000018 +:0434B1000000000017 +:0434B2000000000016 +:0434B3000000000015 +:0434B4000000000014 +:0434B5000000000013 +:0434B6000000000012 +:0434B7000000000011 +:0434B8000000000010 +:0434B900000000000F +:0434BA00000000000E +:0434BB00000000000D +:0434BC00000000000C +:0434BD00000000000B +:0434BE00000000000A +:0434BF000000000009 +:0434C0000000000008 +:0434C1000000000007 +:0434C2000000000006 +:0434C3000000000005 +:0434C4000000000004 +:0434C5000000000003 +:0434C6000000000002 +:0434C7000000000001 +:0434C8000000000000 +:0434C90000000000FF +:0434CA0000000000FE +:0434CB0000000000FD +:0434CC0000000000FC +:0434CD0000000000FB +:0434CE0000000000FA +:0434CF0000000000F9 +:0434D00000000000F8 +:0434D10000000000F7 +:0434D20000000000F6 +:0434D30000000000F5 +:0434D40000000000F4 +:0434D50000000000F3 +:0434D60000000000F2 +:0434D70000000000F1 +:0434D80000000000F0 +:0434D90000000000EF +:0434DA0000000000EE +:0434DB0000000000ED +:0434DC0000000000EC +:0434DD0000000000EB +:0434DE0000000000EA +:0434DF0000000000E9 +:0434E00000000000E8 +:0434E10000000000E7 +:0434E20000000000E6 +:0434E30000000000E5 +:0434E40000000000E4 +:0434E50000000000E3 +:0434E60000000000E2 +:0434E70000000000E1 +:0434E80000000000E0 +:0434E90000000000DF +:0434EA0000000000DE +:0434EB0000000000DD +:0434EC0000000000DC +:0434ED0000000000DB +:0434EE0000000000DA +:0434EF0000000000D9 +:0434F00000000000D8 +:0434F10000000000D7 +:0434F20000000000D6 +:0434F30000000000D5 +:0434F40000000000D4 +:0434F50000000000D3 +:0434F60000000000D2 +:0434F70000000000D1 +:0434F80000000000D0 +:0434F90000000000CF +:0434FA0000000000CE +:0434FB0000000000CD +:0434FC0000000000CC +:0434FD0000000000CB +:0434FE0000000000CA +:0434FF0000000000C9 +:0435000000000000C7 +:0435010000000000C6 +:0435020000000000C5 +:0435030000000000C4 +:0435040000000000C3 +:0435050000000000C2 +:0435060000000000C1 +:0435070000000000C0 +:0435080000000000BF +:0435090000000000BE +:04350A0000000000BD +:04350B0000000000BC +:04350C0000000000BB +:04350D0000000000BA +:04350E0000000000B9 +:04350F0000000000B8 +:0435100000000000B7 +:0435110000000000B6 +:0435120000000000B5 +:0435130000000000B4 +:0435140000000000B3 +:0435150000000000B2 +:0435160000000000B1 +:0435170000000000B0 +:0435180000000000AF +:0435190000000000AE +:04351A0000000000AD +:04351B0000000000AC +:04351C0000000000AB +:04351D0000000000AA +:04351E0000000000A9 +:04351F0000000000A8 +:0435200000000000A7 +:0435210000000000A6 +:0435220000000000A5 +:0435230000000000A4 +:0435240000000000A3 +:0435250000000000A2 +:0435260000000000A1 +:0435270000000000A0 +:04352800000000009F +:04352900000000009E +:04352A00000000009D +:04352B00000000009C +:04352C00000000009B +:04352D00000000009A +:04352E000000000099 +:04352F000000000098 +:043530000000000097 +:043531000000000096 +:043532000000000095 +:043533000000000094 +:043534000000000093 +:043535000000000092 +:043536000000000091 +:043537000000000090 +:04353800000000008F +:04353900000000008E +:04353A00000000008D +:04353B00000000008C +:04353C00000000008B +:04353D00000000008A +:04353E000000000089 +:04353F000000000088 +:043540000000000087 +:043541000000000086 +:043542000000000085 +:043543000000000084 +:043544000000000083 +:043545000000000082 +:043546000000000081 +:043547000000000080 +:04354800000000007F +:04354900000000007E +:04354A00000000007D +:04354B00000000007C +:04354C00000000007B +:04354D00000000007A +:04354E000000000079 +:04354F000000000078 +:043550000000000077 +:043551000000000076 +:043552000000000075 +:043553000000000074 +:043554000000000073 +:043555000000000072 +:043556000000000071 +:043557000000000070 +:04355800000000006F +:04355900000000006E +:04355A00000000006D +:04355B00000000006C +:04355C00000000006B +:04355D00000000006A +:04355E000000000069 +:04355F000000000068 +:043560000000000067 +:043561000000000066 +:043562000000000065 +:043563000000000064 +:043564000000000063 +:043565000000000062 +:043566000000000061 +:043567000000000060 +:04356800000000005F +:04356900000000005E +:04356A00000000005D +:04356B00000000005C +:04356C00000000005B +:04356D00000000005A +:04356E000000000059 +:04356F000000000058 +:043570000000000057 +:043571000000000056 +:043572000000000055 +:043573000000000054 +:043574000000000053 +:043575000000000052 +:043576000000000051 +:043577000000000050 +:04357800000000004F +:04357900000000004E +:04357A00000000004D +:04357B00000000004C +:04357C00000000004B +:04357D00000000004A +:04357E000000000049 +:04357F000000000048 +:043580000000000047 +:043581000000000046 +:043582000000000045 +:043583000000000044 +:043584000000000043 +:043585000000000042 +:043586000000000041 +:043587000000000040 +:04358800000000003F +:04358900000000003E +:04358A00000000003D +:04358B00000000003C +:04358C00000000003B +:04358D00000000003A +:04358E000000000039 +:04358F000000000038 +:043590000000000037 +:043591000000000036 +:043592000000000035 +:043593000000000034 +:043594000000000033 +:043595000000000032 +:043596000000000031 +:043597000000000030 +:04359800000000002F +:04359900000000002E +:04359A00000000002D +:04359B00000000002C +:04359C00000000002B +:04359D00000000002A +:04359E000000000029 +:04359F000000000028 +:0435A0000000000027 +:0435A1000000000026 +:0435A2000000000025 +:0435A3000000000024 +:0435A4000000000023 +:0435A5000000000022 +:0435A6000000000021 +:0435A7000000000020 +:0435A800000000001F +:0435A900000000001E +:0435AA00000000001D +:0435AB00000000001C +:0435AC00000000001B +:0435AD00000000001A +:0435AE000000000019 +:0435AF000000000018 +:0435B0000000000017 +:0435B1000000000016 +:0435B2000000000015 +:0435B3000000000014 +:0435B4000000000013 +:0435B5000000000012 +:0435B6000000000011 +:0435B7000000000010 +:0435B800000000000F +:0435B900000000000E +:0435BA00000000000D +:0435BB00000000000C +:0435BC00000000000B +:0435BD00000000000A +:0435BE000000000009 +:0435BF000000000008 +:0435C0000000000007 +:0435C1000000000006 +:0435C2000000000005 +:0435C3000000000004 +:0435C4000000000003 +:0435C5000000000002 +:0435C6000000000001 +:0435C7000000000000 +:0435C80000000000FF +:0435C90000000000FE +:0435CA0000000000FD +:0435CB0000000000FC +:0435CC0000000000FB +:0435CD0000000000FA +:0435CE0000000000F9 +:0435CF0000000000F8 +:0435D00000000000F7 +:0435D10000000000F6 +:0435D20000000000F5 +:0435D30000000000F4 +:0435D40000000000F3 +:0435D50000000000F2 +:0435D60000000000F1 +:0435D70000000000F0 +:0435D80000000000EF +:0435D90000000000EE +:0435DA0000000000ED +:0435DB0000000000EC +:0435DC0000000000EB +:0435DD0000000000EA +:0435DE0000000000E9 +:0435DF0000000000E8 +:0435E00000000000E7 +:0435E10000000000E6 +:0435E20000000000E5 +:0435E30000000000E4 +:0435E40000000000E3 +:0435E50000000000E2 +:0435E60000000000E1 +:0435E70000000000E0 +:0435E80000000000DF +:0435E90000000000DE +:0435EA0000000000DD +:0435EB0000000000DC +:0435EC0000000000DB +:0435ED0000000000DA +:0435EE0000000000D9 +:0435EF0000000000D8 +:0435F00000000000D7 +:0435F10000000000D6 +:0435F20000000000D5 +:0435F30000000000D4 +:0435F40000000000D3 +:0435F50000000000D2 +:0435F60000000000D1 +:0435F70000000000D0 +:0435F80000000000CF +:0435F90000000000CE +:0435FA0000000000CD +:0435FB0000000000CC +:0435FC0000000000CB +:0435FD0000000000CA +:0435FE0000000000C9 +:0435FF0000000000C8 +:0436000000000000C6 +:0436010000000000C5 +:0436020000000000C4 +:0436030000000000C3 +:0436040000000000C2 +:0436050000000000C1 +:0436060000000000C0 +:0436070000000000BF +:0436080000000000BE +:0436090000000000BD +:04360A0000000000BC +:04360B0000000000BB +:04360C0000000000BA +:04360D0000000000B9 +:04360E0000000000B8 +:04360F0000000000B7 +:0436100000000000B6 +:0436110000000000B5 +:0436120000000000B4 +:0436130000000000B3 +:0436140000000000B2 +:0436150000000000B1 +:0436160000000000B0 +:0436170000000000AF +:0436180000000000AE +:0436190000000000AD +:04361A0000000000AC +:04361B0000000000AB +:04361C0000000000AA +:04361D0000000000A9 +:04361E0000000000A8 +:04361F0000000000A7 +:0436200000000000A6 +:0436210000000000A5 +:0436220000000000A4 +:0436230000000000A3 +:0436240000000000A2 +:0436250000000000A1 +:0436260000000000A0 +:04362700000000009F +:04362800000000009E +:04362900000000009D +:04362A00000000009C +:04362B00000000009B +:04362C00000000009A +:04362D000000000099 +:04362E000000000098 +:04362F000000000097 +:043630000000000096 +:043631000000000095 +:043632000000000094 +:043633000000000093 +:043634000000000092 +:043635000000000091 +:043636000000000090 +:04363700000000008F +:04363800000000008E +:04363900000000008D +:04363A00000000008C +:04363B00000000008B +:04363C00000000008A +:04363D000000000089 +:04363E000000000088 +:04363F000000000087 +:043640000000000086 +:043641000000000085 +:043642000000000084 +:043643000000000083 +:043644000000000082 +:043645000000000081 +:043646000000000080 +:04364700000000007F +:04364800000000007E +:04364900000000007D +:04364A00000000007C +:04364B00000000007B +:04364C00000000007A +:04364D000000000079 +:04364E000000000078 +:04364F000000000077 +:043650000000000076 +:043651000000000075 +:043652000000000074 +:043653000000000073 +:043654000000000072 +:043655000000000071 +:043656000000000070 +:04365700000000006F +:04365800000000006E +:04365900000000006D +:04365A00000000006C +:04365B00000000006B +:04365C00000000006A +:04365D000000000069 +:04365E000000000068 +:04365F000000000067 +:043660000000000066 +:043661000000000065 +:043662000000000064 +:043663000000000063 +:043664000000000062 +:043665000000000061 +:043666000000000060 +:04366700000000005F +:04366800000000005E +:04366900000000005D +:04366A00000000005C +:04366B00000000005B +:04366C00000000005A +:04366D000000000059 +:04366E000000000058 +:04366F000000000057 +:043670000000000056 +:043671000000000055 +:043672000000000054 +:043673000000000053 +:043674000000000052 +:043675000000000051 +:043676000000000050 +:04367700000000004F +:04367800000000004E +:04367900000000004D +:04367A00000000004C +:04367B00000000004B +:04367C00000000004A +:04367D000000000049 +:04367E000000000048 +:04367F000000000047 +:043680000000000046 +:043681000000000045 +:043682000000000044 +:043683000000000043 +:043684000000000042 +:043685000000000041 +:043686000000000040 +:04368700000000003F +:04368800000000003E +:04368900000000003D +:04368A00000000003C +:04368B00000000003B +:04368C00000000003A +:04368D000000000039 +:04368E000000000038 +:04368F000000000037 +:043690000000000036 +:043691000000000035 +:043692000000000034 +:043693000000000033 +:043694000000000032 +:043695000000000031 +:043696000000000030 +:04369700000000002F +:04369800000000002E +:04369900000000002D +:04369A00000000002C +:04369B00000000002B +:04369C00000000002A +:04369D000000000029 +:04369E000000000028 +:04369F000000000027 +:0436A0000000000026 +:0436A1000000000025 +:0436A2000000000024 +:0436A3000000000023 +:0436A4000000000022 +:0436A5000000000021 +:0436A6000000000020 +:0436A700000000001F +:0436A800000000001E +:0436A900000000001D +:0436AA00000000001C +:0436AB00000000001B +:0436AC00000000001A +:0436AD000000000019 +:0436AE000000000018 +:0436AF000000000017 +:0436B0000000000016 +:0436B1000000000015 +:0436B2000000000014 +:0436B3000000000013 +:0436B4000000000012 +:0436B5000000000011 +:0436B6000000000010 +:0436B700000000000F +:0436B800000000000E +:0436B900000000000D +:0436BA00000000000C +:0436BB00000000000B +:0436BC00000000000A +:0436BD000000000009 +:0436BE000000000008 +:0436BF000000000007 +:0436C0000000000006 +:0436C1000000000005 +:0436C2000000000004 +:0436C3000000000003 +:0436C4000000000002 +:0436C5000000000001 +:0436C6000000000000 +:0436C70000000000FF +:0436C80000000000FE +:0436C90000000000FD +:0436CA0000000000FC +:0436CB0000000000FB +:0436CC0000000000FA +:0436CD0000000000F9 +:0436CE0000000000F8 +:0436CF0000000000F7 +:0436D00000000000F6 +:0436D10000000000F5 +:0436D20000000000F4 +:0436D30000000000F3 +:0436D40000000000F2 +:0436D50000000000F1 +:0436D60000000000F0 +:0436D70000000000EF +:0436D80000000000EE +:0436D90000000000ED +:0436DA0000000000EC +:0436DB0000000000EB +:0436DC0000000000EA +:0436DD0000000000E9 +:0436DE0000000000E8 +:0436DF0000000000E7 +:0436E00000000000E6 +:0436E10000000000E5 +:0436E20000000000E4 +:0436E30000000000E3 +:0436E40000000000E2 +:0436E50000000000E1 +:0436E60000000000E0 +:0436E70000000000DF +:0436E80000000000DE +:0436E90000000000DD +:0436EA0000000000DC +:0436EB0000000000DB +:0436EC0000000000DA +:0436ED0000000000D9 +:0436EE0000000000D8 +:0436EF0000000000D7 +:0436F00000000000D6 +:0436F10000000000D5 +:0436F20000000000D4 +:0436F30000000000D3 +:0436F40000000000D2 +:0436F50000000000D1 +:0436F60000000000D0 +:0436F70000000000CF +:0436F80000000000CE +:0436F90000000000CD +:0436FA0000000000CC +:0436FB0000000000CB +:0436FC0000000000CA +:0436FD0000000000C9 +:0436FE0000000000C8 +:0436FF0000000000C7 +:0437000000000000C5 +:0437010000000000C4 +:0437020000000000C3 +:0437030000000000C2 +:0437040000000000C1 +:0437050000000000C0 +:0437060000000000BF +:0437070000000000BE +:0437080000000000BD +:0437090000000000BC +:04370A0000000000BB +:04370B0000000000BA +:04370C0000000000B9 +:04370D0000000000B8 +:04370E0000000000B7 +:04370F0000000000B6 +:0437100000000000B5 +:0437110000000000B4 +:0437120000000000B3 +:0437130000000000B2 +:0437140000000000B1 +:0437150000000000B0 +:0437160000000000AF +:0437170000000000AE +:0437180000000000AD +:0437190000000000AC +:04371A0000000000AB +:04371B0000000000AA +:04371C0000000000A9 +:04371D0000000000A8 +:04371E0000000000A7 +:04371F0000000000A6 +:0437200000000000A5 +:0437210000000000A4 +:0437220000000000A3 +:0437230000000000A2 +:0437240000000000A1 +:0437250000000000A0 +:04372600000000009F +:04372700000000009E +:04372800000000009D +:04372900000000009C +:04372A00000000009B +:04372B00000000009A +:04372C000000000099 +:04372D000000000098 +:04372E000000000097 +:04372F000000000096 +:043730000000000095 +:043731000000000094 +:043732000000000093 +:043733000000000092 +:043734000000000091 +:043735000000000090 +:04373600000000008F +:04373700000000008E +:04373800000000008D +:04373900000000008C +:04373A00000000008B +:04373B00000000008A +:04373C000000000089 +:04373D000000000088 +:04373E000000000087 +:04373F000000000086 +:043740000000000085 +:043741000000000084 +:043742000000000083 +:043743000000000082 +:043744000000000081 +:043745000000000080 +:04374600000000007F +:04374700000000007E +:04374800000000007D +:04374900000000007C +:04374A00000000007B +:04374B00000000007A +:04374C000000000079 +:04374D000000000078 +:04374E000000000077 +:04374F000000000076 +:043750000000000075 +:043751000000000074 +:043752000000000073 +:043753000000000072 +:043754000000000071 +:043755000000000070 +:04375600000000006F +:04375700000000006E +:04375800000000006D +:04375900000000006C +:04375A00000000006B +:04375B00000000006A +:04375C000000000069 +:04375D000000000068 +:04375E000000000067 +:04375F000000000066 +:043760000000000065 +:043761000000000064 +:043762000000000063 +:043763000000000062 +:043764000000000061 +:043765000000000060 +:04376600000000005F +:04376700000000005E +:04376800000000005D +:04376900000000005C +:04376A00000000005B +:04376B00000000005A +:04376C000000000059 +:04376D000000000058 +:04376E000000000057 +:04376F000000000056 +:043770000000000055 +:043771000000000054 +:043772000000000053 +:043773000000000052 +:043774000000000051 +:043775000000000050 +:04377600000000004F +:04377700000000004E +:04377800000000004D +:04377900000000004C +:04377A00000000004B +:04377B00000000004A +:04377C000000000049 +:04377D000000000048 +:04377E000000000047 +:04377F000000000046 +:043780000000000045 +:043781000000000044 +:043782000000000043 +:043783000000000042 +:043784000000000041 +:043785000000000040 +:04378600000000003F +:04378700000000003E +:04378800000000003D +:04378900000000003C +:04378A00000000003B +:04378B00000000003A +:04378C000000000039 +:04378D000000000038 +:04378E000000000037 +:04378F000000000036 +:043790000000000035 +:043791000000000034 +:043792000000000033 +:043793000000000032 +:043794000000000031 +:043795000000000030 +:04379600000000002F +:04379700000000002E +:04379800000000002D +:04379900000000002C +:04379A00000000002B +:04379B00000000002A +:04379C000000000029 +:04379D000000000028 +:04379E000000000027 +:04379F000000000026 +:0437A0000000000025 +:0437A1000000000024 +:0437A2000000000023 +:0437A3000000000022 +:0437A4000000000021 +:0437A5000000000020 +:0437A600000000001F +:0437A700000000001E +:0437A800000000001D +:0437A900000000001C +:0437AA00000000001B +:0437AB00000000001A +:0437AC000000000019 +:0437AD000000000018 +:0437AE000000000017 +:0437AF000000000016 +:0437B0000000000015 +:0437B1000000000014 +:0437B2000000000013 +:0437B3000000000012 +:0437B4000000000011 +:0437B5000000000010 +:0437B600000000000F +:0437B700000000000E +:0437B800000000000D +:0437B900000000000C +:0437BA00000000000B +:0437BB00000000000A +:0437BC000000000009 +:0437BD000000000008 +:0437BE000000000007 +:0437BF000000000006 +:0437C0000000000005 +:0437C1000000000004 +:0437C2000000000003 +:0437C3000000000002 +:0437C4000000000001 +:0437C5000000000000 +:0437C60000000000FF +:0437C70000000000FE +:0437C80000000000FD +:0437C90000000000FC +:0437CA0000000000FB +:0437CB0000000000FA +:0437CC0000000000F9 +:0437CD0000000000F8 +:0437CE0000000000F7 +:0437CF0000000000F6 +:0437D00000000000F5 +:0437D10000000000F4 +:0437D20000000000F3 +:0437D30000000000F2 +:0437D40000000000F1 +:0437D50000000000F0 +:0437D60000000000EF +:0437D70000000000EE +:0437D80000000000ED +:0437D90000000000EC +:0437DA0000000000EB +:0437DB0000000000EA +:0437DC0000000000E9 +:0437DD0000000000E8 +:0437DE0000000000E7 +:0437DF0000000000E6 +:0437E00000000000E5 +:0437E10000000000E4 +:0437E20000000000E3 +:0437E30000000000E2 +:0437E40000000000E1 +:0437E50000000000E0 +:0437E60000000000DF +:0437E70000000000DE +:0437E80000000000DD +:0437E90000000000DC +:0437EA0000000000DB +:0437EB0000000000DA +:0437EC0000000000D9 +:0437ED0000000000D8 +:0437EE0000000000D7 +:0437EF0000000000D6 +:0437F00000000000D5 +:0437F10000000000D4 +:0437F20000000000D3 +:0437F30000000000D2 +:0437F40000000000D1 +:0437F50000000000D0 +:0437F60000000000CF +:0437F70000000000CE +:0437F80000000000CD +:0437F90000000000CC +:0437FA0000000000CB +:0437FB0000000000CA +:0437FC0000000000C9 +:0437FD0000000000C8 +:0437FE0000000000C7 +:0437FF0000000000C6 +:0438000000000000C4 +:0438010000000000C3 +:0438020000000000C2 +:0438030000000000C1 +:0438040000000000C0 +:0438050000000000BF +:0438060000000000BE +:0438070000000000BD +:0438080000000000BC +:0438090000000000BB +:04380A0000000000BA +:04380B0000000000B9 +:04380C0000000000B8 +:04380D0000000000B7 +:04380E0000000000B6 +:04380F0000000000B5 +:0438100000000000B4 +:0438110000000000B3 +:0438120000000000B2 +:0438130000000000B1 +:0438140000000000B0 +:0438150000000000AF +:0438160000000000AE +:0438170000000000AD +:0438180000000000AC +:0438190000000000AB +:04381A0000000000AA +:04381B0000000000A9 +:04381C0000000000A8 +:04381D0000000000A7 +:04381E0000000000A6 +:04381F0000000000A5 +:0438200000000000A4 +:0438210000000000A3 +:0438220000000000A2 +:0438230000000000A1 +:0438240000000000A0 +:04382500000000009F +:04382600000000009E +:04382700000000009D +:04382800000000009C +:04382900000000009B +:04382A00000000009A +:04382B000000000099 +:04382C000000000098 +:04382D000000000097 +:04382E000000000096 +:04382F000000000095 +:043830000000000094 +:043831000000000093 +:043832000000000092 +:043833000000000091 +:043834000000000090 +:04383500000000008F +:04383600000000008E +:04383700000000008D +:04383800000000008C +:04383900000000008B +:04383A00000000008A +:04383B000000000089 +:04383C000000000088 +:04383D000000000087 +:04383E000000000086 +:04383F000000000085 +:043840000000000084 +:043841000000000083 +:043842000000000082 +:043843000000000081 +:043844000000000080 +:04384500000000007F +:04384600000000007E +:04384700000000007D +:04384800000000007C +:04384900000000007B +:04384A00000000007A +:04384B000000000079 +:04384C000000000078 +:04384D000000000077 +:04384E000000000076 +:04384F000000000075 +:043850000000000074 +:043851000000000073 +:043852000000000072 +:043853000000000071 +:043854000000000070 +:04385500000000006F +:04385600000000006E +:04385700000000006D +:04385800000000006C +:04385900000000006B +:04385A00000000006A +:04385B000000000069 +:04385C000000000068 +:04385D000000000067 +:04385E000000000066 +:04385F000000000065 +:043860000000000064 +:043861000000000063 +:043862000000000062 +:043863000000000061 +:043864000000000060 +:04386500000000005F +:04386600000000005E +:04386700000000005D +:04386800000000005C +:04386900000000005B +:04386A00000000005A +:04386B000000000059 +:04386C000000000058 +:04386D000000000057 +:04386E000000000056 +:04386F000000000055 +:043870000000000054 +:043871000000000053 +:043872000000000052 +:043873000000000051 +:043874000000000050 +:04387500000000004F +:04387600000000004E +:04387700000000004D +:04387800000000004C +:04387900000000004B +:04387A00000000004A +:04387B000000000049 +:04387C000000000048 +:04387D000000000047 +:04387E000000000046 +:04387F000000000045 +:043880000000000044 +:043881000000000043 +:043882000000000042 +:043883000000000041 +:043884000000000040 +:04388500000000003F +:04388600000000003E +:04388700000000003D +:04388800000000003C +:04388900000000003B +:04388A00000000003A +:04388B000000000039 +:04388C000000000038 +:04388D000000000037 +:04388E000000000036 +:04388F000000000035 +:043890000000000034 +:043891000000000033 +:043892000000000032 +:043893000000000031 +:043894000000000030 +:04389500000000002F +:04389600000000002E +:04389700000000002D +:04389800000000002C +:04389900000000002B +:04389A00000000002A +:04389B000000000029 +:04389C000000000028 +:04389D000000000027 +:04389E000000000026 +:04389F000000000025 +:0438A0000000000024 +:0438A1000000000023 +:0438A2000000000022 +:0438A3000000000021 +:0438A4000000000020 +:0438A500000000001F +:0438A600000000001E +:0438A700000000001D +:0438A800000000001C +:0438A900000000001B +:0438AA00000000001A +:0438AB000000000019 +:0438AC000000000018 +:0438AD000000000017 +:0438AE000000000016 +:0438AF000000000015 +:0438B0000000000014 +:0438B1000000000013 +:0438B2000000000012 +:0438B3000000000011 +:0438B4000000000010 +:0438B500000000000F +:0438B600000000000E +:0438B700000000000D +:0438B800000000000C +:0438B900000000000B +:0438BA00000000000A +:0438BB000000000009 +:0438BC000000000008 +:0438BD000000000007 +:0438BE000000000006 +:0438BF000000000005 +:0438C0000000000004 +:0438C1000000000003 +:0438C2000000000002 +:0438C3000000000001 +:0438C4000000000000 +:0438C50000000000FF +:0438C60000000000FE +:0438C70000000000FD +:0438C80000000000FC +:0438C90000000000FB +:0438CA0000000000FA +:0438CB0000000000F9 +:0438CC0000000000F8 +:0438CD0000000000F7 +:0438CE0000000000F6 +:0438CF0000000000F5 +:0438D00000000000F4 +:0438D10000000000F3 +:0438D20000000000F2 +:0438D30000000000F1 +:0438D40000000000F0 +:0438D50000000000EF +:0438D60000000000EE +:0438D70000000000ED +:0438D80000000000EC +:0438D90000000000EB +:0438DA0000000000EA +:0438DB0000000000E9 +:0438DC0000000000E8 +:0438DD0000000000E7 +:0438DE0000000000E6 +:0438DF0000000000E5 +:0438E00000000000E4 +:0438E10000000000E3 +:0438E20000000000E2 +:0438E30000000000E1 +:0438E40000000000E0 +:0438E50000000000DF +:0438E60000000000DE +:0438E70000000000DD +:0438E80000000000DC +:0438E90000000000DB +:0438EA0000000000DA +:0438EB0000000000D9 +:0438EC0000000000D8 +:0438ED0000000000D7 +:0438EE0000000000D6 +:0438EF0000000000D5 +:0438F00000000000D4 +:0438F10000000000D3 +:0438F20000000000D2 +:0438F30000000000D1 +:0438F40000000000D0 +:0438F50000000000CF +:0438F60000000000CE +:0438F70000000000CD +:0438F80000000000CC +:0438F90000000000CB +:0438FA0000000000CA +:0438FB0000000000C9 +:0438FC0000000000C8 +:0438FD0000000000C7 +:0438FE0000000000C6 +:0438FF0000000000C5 +:0439000000000000C3 +:0439010000000000C2 +:0439020000000000C1 +:0439030000000000C0 +:0439040000000000BF +:0439050000000000BE +:0439060000000000BD +:0439070000000000BC +:0439080000000000BB +:0439090000000000BA +:04390A0000000000B9 +:04390B0000000000B8 +:04390C0000000000B7 +:04390D0000000000B6 +:04390E0000000000B5 +:04390F0000000000B4 +:0439100000000000B3 +:0439110000000000B2 +:0439120000000000B1 +:0439130000000000B0 +:0439140000000000AF +:0439150000000000AE +:0439160000000000AD +:0439170000000000AC +:0439180000000000AB +:0439190000000000AA +:04391A0000000000A9 +:04391B0000000000A8 +:04391C0000000000A7 +:04391D0000000000A6 +:04391E0000000000A5 +:04391F0000000000A4 +:0439200000000000A3 +:0439210000000000A2 +:0439220000000000A1 +:0439230000000000A0 +:04392400000000009F +:04392500000000009E +:04392600000000009D +:04392700000000009C +:04392800000000009B +:04392900000000009A +:04392A000000000099 +:04392B000000000098 +:04392C000000000097 +:04392D000000000096 +:04392E000000000095 +:04392F000000000094 +:043930000000000093 +:043931000000000092 +:043932000000000091 +:043933000000000090 +:04393400000000008F +:04393500000000008E +:04393600000000008D +:04393700000000008C +:04393800000000008B +:04393900000000008A +:04393A000000000089 +:04393B000000000088 +:04393C000000000087 +:04393D000000000086 +:04393E000000000085 +:04393F000000000084 +:043940000000000083 +:043941000000000082 +:043942000000000081 +:043943000000000080 +:04394400000000007F +:04394500000000007E +:04394600000000007D +:04394700000000007C +:04394800000000007B +:04394900000000007A +:04394A000000000079 +:04394B000000000078 +:04394C000000000077 +:04394D000000000076 +:04394E000000000075 +:04394F000000000074 +:043950000000000073 +:043951000000000072 +:043952000000000071 +:043953000000000070 +:04395400000000006F +:04395500000000006E +:04395600000000006D +:04395700000000006C +:04395800000000006B +:04395900000000006A +:04395A000000000069 +:04395B000000000068 +:04395C000000000067 +:04395D000000000066 +:04395E000000000065 +:04395F000000000064 +:043960000000000063 +:043961000000000062 +:043962000000000061 +:043963000000000060 +:04396400000000005F +:04396500000000005E +:04396600000000005D +:04396700000000005C +:04396800000000005B +:04396900000000005A +:04396A000000000059 +:04396B000000000058 +:04396C000000000057 +:04396D000000000056 +:04396E000000000055 +:04396F000000000054 +:043970000000000053 +:043971000000000052 +:043972000000000051 +:043973000000000050 +:04397400000000004F +:04397500000000004E +:04397600000000004D +:04397700000000004C +:04397800000000004B +:04397900000000004A +:04397A000000000049 +:04397B000000000048 +:04397C000000000047 +:04397D000000000046 +:04397E000000000045 +:04397F000000000044 +:043980000000000043 +:043981000000000042 +:043982000000000041 +:043983000000000040 +:04398400000000003F +:04398500000000003E +:04398600000000003D +:04398700000000003C +:04398800000000003B +:04398900000000003A +:04398A000000000039 +:04398B000000000038 +:04398C000000000037 +:04398D000000000036 +:04398E000000000035 +:04398F000000000034 +:043990000000000033 +:043991000000000032 +:043992000000000031 +:043993000000000030 +:04399400000000002F +:04399500000000002E +:04399600000000002D +:04399700000000002C +:04399800000000002B +:04399900000000002A +:04399A000000000029 +:04399B000000000028 +:04399C000000000027 +:04399D000000000026 +:04399E000000000025 +:04399F000000000024 +:0439A0000000000023 +:0439A1000000000022 +:0439A2000000000021 +:0439A3000000000020 +:0439A400000000001F +:0439A500000000001E +:0439A600000000001D +:0439A700000000001C +:0439A800000000001B +:0439A900000000001A +:0439AA000000000019 +:0439AB000000000018 +:0439AC000000000017 +:0439AD000000000016 +:0439AE000000000015 +:0439AF000000000014 +:0439B0000000000013 +:0439B1000000000012 +:0439B2000000000011 +:0439B3000000000010 +:0439B400000000000F +:0439B500000000000E +:0439B600000000000D +:0439B700000000000C +:0439B800000000000B +:0439B900000000000A +:0439BA000000000009 +:0439BB000000000008 +:0439BC000000000007 +:0439BD000000000006 +:0439BE000000000005 +:0439BF000000000004 +:0439C0000000000003 +:0439C1000000000002 +:0439C2000000000001 +:0439C3000000000000 +:0439C40000000000FF +:0439C50000000000FE +:0439C60000000000FD +:0439C70000000000FC +:0439C80000000000FB +:0439C90000000000FA +:0439CA0000000000F9 +:0439CB0000000000F8 +:0439CC0000000000F7 +:0439CD0000000000F6 +:0439CE0000000000F5 +:0439CF0000000000F4 +:0439D00000000000F3 +:0439D10000000000F2 +:0439D20000000000F1 +:0439D30000000000F0 +:0439D40000000000EF +:0439D50000000000EE +:0439D60000000000ED +:0439D70000000000EC +:0439D80000000000EB +:0439D90000000000EA +:0439DA0000000000E9 +:0439DB0000000000E8 +:0439DC0000000000E7 +:0439DD0000000000E6 +:0439DE0000000000E5 +:0439DF0000000000E4 +:0439E00000000000E3 +:0439E10000000000E2 +:0439E20000000000E1 +:0439E30000000000E0 +:0439E40000000000DF +:0439E50000000000DE +:0439E60000000000DD +:0439E70000000000DC +:0439E80000000000DB +:0439E90000000000DA +:0439EA0000000000D9 +:0439EB0000000000D8 +:0439EC0000000000D7 +:0439ED0000000000D6 +:0439EE0000000000D5 +:0439EF0000000000D4 +:0439F00000000000D3 +:0439F10000000000D2 +:0439F20000000000D1 +:0439F30000000000D0 +:0439F40000000000CF +:0439F50000000000CE +:0439F60000000000CD +:0439F70000000000CC +:0439F80000000000CB +:0439F90000000000CA +:0439FA0000000000C9 +:0439FB0000000000C8 +:0439FC0000000000C7 +:0439FD0000000000C6 +:0439FE0000000000C5 +:0439FF0000000000C4 +:043A000000000000C2 +:043A010000000000C1 +:043A020000000000C0 +:043A030000000000BF +:043A040000000000BE +:043A050000000000BD +:043A060000000000BC +:043A070000000000BB +:043A080000000000BA +:043A090000000000B9 +:043A0A0000000000B8 +:043A0B0000000000B7 +:043A0C0000000000B6 +:043A0D0000000000B5 +:043A0E0000000000B4 +:043A0F0000000000B3 +:043A100000000000B2 +:043A110000000000B1 +:043A120000000000B0 +:043A130000000000AF +:043A140000000000AE +:043A150000000000AD +:043A160000000000AC +:043A170000000000AB +:043A180000000000AA +:043A190000000000A9 +:043A1A0000000000A8 +:043A1B0000000000A7 +:043A1C0000000000A6 +:043A1D0000000000A5 +:043A1E0000000000A4 +:043A1F0000000000A3 +:043A200000000000A2 +:043A210000000000A1 +:043A220000000000A0 +:043A2300000000009F +:043A2400000000009E +:043A2500000000009D +:043A2600000000009C +:043A2700000000009B +:043A2800000000009A +:043A29000000000099 +:043A2A000000000098 +:043A2B000000000097 +:043A2C000000000096 +:043A2D000000000095 +:043A2E000000000094 +:043A2F000000000093 +:043A30000000000092 +:043A31000000000091 +:043A32000000000090 +:043A3300000000008F +:043A3400000000008E +:043A3500000000008D +:043A3600000000008C +:043A3700000000008B +:043A3800000000008A +:043A39000000000089 +:043A3A000000000088 +:043A3B000000000087 +:043A3C000000000086 +:043A3D000000000085 +:043A3E000000000084 +:043A3F000000000083 +:043A40000000000082 +:043A41000000000081 +:043A42000000000080 +:043A4300000000007F +:043A4400000000007E +:043A4500000000007D +:043A4600000000007C +:043A4700000000007B +:043A4800000000007A +:043A49000000000079 +:043A4A000000000078 +:043A4B000000000077 +:043A4C000000000076 +:043A4D000000000075 +:043A4E000000000074 +:043A4F000000000073 +:043A50000000000072 +:043A51000000000071 +:043A52000000000070 +:043A5300000000006F +:043A5400000000006E +:043A5500000000006D +:043A5600000000006C +:043A5700000000006B +:043A5800000000006A +:043A59000000000069 +:043A5A000000000068 +:043A5B000000000067 +:043A5C000000000066 +:043A5D000000000065 +:043A5E000000000064 +:043A5F000000000063 +:043A60000000000062 +:043A61000000000061 +:043A62000000000060 +:043A6300000000005F +:043A6400000000005E +:043A6500000000005D +:043A6600000000005C +:043A6700000000005B +:043A6800000000005A +:043A69000000000059 +:043A6A000000000058 +:043A6B000000000057 +:043A6C000000000056 +:043A6D000000000055 +:043A6E000000000054 +:043A6F000000000053 +:043A70000000000052 +:043A71000000000051 +:043A72000000000050 +:043A7300000000004F +:043A7400000000004E +:043A7500000000004D +:043A7600000000004C +:043A7700000000004B +:043A7800000000004A +:043A79000000000049 +:043A7A000000000048 +:043A7B000000000047 +:043A7C000000000046 +:043A7D000000000045 +:043A7E000000000044 +:043A7F000000000043 +:043A80000000000042 +:043A81000000000041 +:043A82000000000040 +:043A8300000000003F +:043A8400000000003E +:043A8500000000003D +:043A8600000000003C +:043A8700000000003B +:043A8800000000003A +:043A89000000000039 +:043A8A000000000038 +:043A8B000000000037 +:043A8C000000000036 +:043A8D000000000035 +:043A8E000000000034 +:043A8F000000000033 +:043A90000000000032 +:043A91000000000031 +:043A92000000000030 +:043A9300000000002F +:043A9400000000002E +:043A9500000000002D +:043A9600000000002C +:043A9700000000002B +:043A9800000000002A +:043A99000000000029 +:043A9A000000000028 +:043A9B000000000027 +:043A9C000000000026 +:043A9D000000000025 +:043A9E000000000024 +:043A9F000000000023 +:043AA0000000000022 +:043AA1000000000021 +:043AA2000000000020 +:043AA300000000001F +:043AA400000000001E +:043AA500000000001D +:043AA600000000001C +:043AA700000000001B +:043AA800000000001A +:043AA9000000000019 +:043AAA000000000018 +:043AAB000000000017 +:043AAC000000000016 +:043AAD000000000015 +:043AAE000000000014 +:043AAF000000000013 +:043AB0000000000012 +:043AB1000000000011 +:043AB2000000000010 +:043AB300000000000F +:043AB400000000000E +:043AB500000000000D +:043AB600000000000C +:043AB700000000000B +:043AB800000000000A +:043AB9000000000009 +:043ABA000000000008 +:043ABB000000000007 +:043ABC000000000006 +:043ABD000000000005 +:043ABE000000000004 +:043ABF000000000003 +:043AC0000000000002 +:043AC1000000000001 +:043AC2000000000000 +:043AC30000000000FF +:043AC40000000000FE +:043AC50000000000FD +:043AC60000000000FC +:043AC70000000000FB +:043AC80000000000FA +:043AC90000000000F9 +:043ACA0000000000F8 +:043ACB0000000000F7 +:043ACC0000000000F6 +:043ACD0000000000F5 +:043ACE0000000000F4 +:043ACF0000000000F3 +:043AD00000000000F2 +:043AD10000000000F1 +:043AD20000000000F0 +:043AD30000000000EF +:043AD40000000000EE +:043AD50000000000ED +:043AD60000000000EC +:043AD70000000000EB +:043AD80000000000EA +:043AD90000000000E9 +:043ADA0000000000E8 +:043ADB0000000000E7 +:043ADC0000000000E6 +:043ADD0000000000E5 +:043ADE0000000000E4 +:043ADF0000000000E3 +:043AE00000000000E2 +:043AE10000000000E1 +:043AE20000000000E0 +:043AE30000000000DF +:043AE40000000000DE +:043AE50000000000DD +:043AE60000000000DC +:043AE70000000000DB +:043AE80000000000DA +:043AE90000000000D9 +:043AEA0000000000D8 +:043AEB0000000000D7 +:043AEC0000000000D6 +:043AED0000000000D5 +:043AEE0000000000D4 +:043AEF0000000000D3 +:043AF00000000000D2 +:043AF10000000000D1 +:043AF20000000000D0 +:043AF30000000000CF +:043AF40000000000CE +:043AF50000000000CD +:043AF60000000000CC +:043AF70000000000CB +:043AF80000000000CA +:043AF90000000000C9 +:043AFA0000000000C8 +:043AFB0000000000C7 +:043AFC0000000000C6 +:043AFD0000000000C5 +:043AFE0000000000C4 +:043AFF0000000000C3 +:043B000000000000C1 +:043B010000000000C0 +:043B020000000000BF +:043B030000000000BE +:043B040000000000BD +:043B050000000000BC +:043B060000000000BB +:043B070000000000BA +:043B080000000000B9 +:043B090000000000B8 +:043B0A0000000000B7 +:043B0B0000000000B6 +:043B0C0000000000B5 +:043B0D0000000000B4 +:043B0E0000000000B3 +:043B0F0000000000B2 +:043B100000000000B1 +:043B110000000000B0 +:043B120000000000AF +:043B130000000000AE +:043B140000000000AD +:043B150000000000AC +:043B160000000000AB +:043B170000000000AA +:043B180000000000A9 +:043B190000000000A8 +:043B1A0000000000A7 +:043B1B0000000000A6 +:043B1C0000000000A5 +:043B1D0000000000A4 +:043B1E0000000000A3 +:043B1F0000000000A2 +:043B200000000000A1 +:043B210000000000A0 +:043B2200000000009F +:043B2300000000009E +:043B2400000000009D +:043B2500000000009C +:043B2600000000009B +:043B2700000000009A +:043B28000000000099 +:043B29000000000098 +:043B2A000000000097 +:043B2B000000000096 +:043B2C000000000095 +:043B2D000000000094 +:043B2E000000000093 +:043B2F000000000092 +:043B30000000000091 +:043B31000000000090 +:043B3200000000008F +:043B3300000000008E +:043B3400000000008D +:043B3500000000008C +:043B3600000000008B +:043B3700000000008A +:043B38000000000089 +:043B39000000000088 +:043B3A000000000087 +:043B3B000000000086 +:043B3C000000000085 +:043B3D000000000084 +:043B3E000000000083 +:043B3F000000000082 +:043B40000000000081 +:043B41000000000080 +:043B4200000000007F +:043B4300000000007E +:043B4400000000007D +:043B4500000000007C +:043B4600000000007B +:043B4700000000007A +:043B48000000000079 +:043B49000000000078 +:043B4A000000000077 +:043B4B000000000076 +:043B4C000000000075 +:043B4D000000000074 +:043B4E000000000073 +:043B4F000000000072 +:043B50000000000071 +:043B51000000000070 +:043B5200000000006F +:043B5300000000006E +:043B5400000000006D +:043B5500000000006C +:043B5600000000006B +:043B5700000000006A +:043B58000000000069 +:043B59000000000068 +:043B5A000000000067 +:043B5B000000000066 +:043B5C000000000065 +:043B5D000000000064 +:043B5E000000000063 +:043B5F000000000062 +:043B60000000000061 +:043B61000000000060 +:043B6200000000005F +:043B6300000000005E +:043B6400000000005D +:043B6500000000005C +:043B6600000000005B +:043B6700000000005A +:043B68000000000059 +:043B69000000000058 +:043B6A000000000057 +:043B6B000000000056 +:043B6C000000000055 +:043B6D000000000054 +:043B6E000000000053 +:043B6F000000000052 +:043B70000000000051 +:043B71000000000050 +:043B7200000000004F +:043B7300000000004E +:043B7400000000004D +:043B7500000000004C +:043B7600000000004B +:043B7700000000004A +:043B78000000000049 +:043B79000000000048 +:043B7A000000000047 +:043B7B000000000046 +:043B7C000000000045 +:043B7D000000000044 +:043B7E000000000043 +:043B7F000000000042 +:043B80000000000041 +:043B81000000000040 +:043B8200000000003F +:043B8300000000003E +:043B8400000000003D +:043B8500000000003C +:043B8600000000003B +:043B8700000000003A +:043B88000000000039 +:043B89000000000038 +:043B8A000000000037 +:043B8B000000000036 +:043B8C000000000035 +:043B8D000000000034 +:043B8E000000000033 +:043B8F000000000032 +:043B90000000000031 +:043B91000000000030 +:043B9200000000002F +:043B9300000000002E +:043B9400000000002D +:043B9500000000002C +:043B9600000000002B +:043B9700000000002A +:043B98000000000029 +:043B99000000000028 +:043B9A000000000027 +:043B9B000000000026 +:043B9C000000000025 +:043B9D000000000024 +:043B9E000000000023 +:043B9F000000000022 +:043BA0000000000021 +:043BA1000000000020 +:043BA200000000001F +:043BA300000000001E +:043BA400000000001D +:043BA500000000001C +:043BA600000000001B +:043BA700000000001A +:043BA8000000000019 +:043BA9000000000018 +:043BAA000000000017 +:043BAB000000000016 +:043BAC000000000015 +:043BAD000000000014 +:043BAE000000000013 +:043BAF000000000012 +:043BB0000000000011 +:043BB1000000000010 +:043BB200000000000F +:043BB300000000000E +:043BB400000000000D +:043BB500000000000C +:043BB600000000000B +:043BB700000000000A +:043BB8000000000009 +:043BB9000000000008 +:043BBA000000000007 +:043BBB000000000006 +:043BBC000000000005 +:043BBD000000000004 +:043BBE000000000003 +:043BBF000000000002 +:043BC0000000000001 +:043BC1000000000000 +:043BC20000000000FF +:043BC30000000000FE +:043BC40000000000FD +:043BC50000000000FC +:043BC60000000000FB +:043BC70000000000FA +:043BC80000000000F9 +:043BC90000000000F8 +:043BCA0000000000F7 +:043BCB0000000000F6 +:043BCC0000000000F5 +:043BCD0000000000F4 +:043BCE0000000000F3 +:043BCF0000000000F2 +:043BD00000000000F1 +:043BD10000000000F0 +:043BD20000000000EF +:043BD30000000000EE +:043BD40000000000ED +:043BD50000000000EC +:043BD60000000000EB +:043BD70000000000EA +:043BD80000000000E9 +:043BD90000000000E8 +:043BDA0000000000E7 +:043BDB0000000000E6 +:043BDC0000000000E5 +:043BDD0000000000E4 +:043BDE0000000000E3 +:043BDF0000000000E2 +:043BE00000000000E1 +:043BE10000000000E0 +:043BE20000000000DF +:043BE30000000000DE +:043BE40000000000DD +:043BE50000000000DC +:043BE60000000000DB +:043BE70000000000DA +:043BE80000000000D9 +:043BE90000000000D8 +:043BEA0000000000D7 +:043BEB0000000000D6 +:043BEC0000000000D5 +:043BED0000000000D4 +:043BEE0000000000D3 +:043BEF0000000000D2 +:043BF00000000000D1 +:043BF10000000000D0 +:043BF20000000000CF +:043BF30000000000CE +:043BF40000000000CD +:043BF50000000000CC +:043BF60000000000CB +:043BF70000000000CA +:043BF80000000000C9 +:043BF90000000000C8 +:043BFA0000000000C7 +:043BFB0000000000C6 +:043BFC0000000000C5 +:043BFD0000000000C4 +:043BFE0000000000C3 +:043BFF0000000000C2 +:043C000000000000C0 +:043C010000000000BF +:043C020000000000BE +:043C030000000000BD +:043C040000000000BC +:043C050000000000BB +:043C060000000000BA +:043C070000000000B9 +:043C080000000000B8 +:043C090000000000B7 +:043C0A0000000000B6 +:043C0B0000000000B5 +:043C0C0000000000B4 +:043C0D0000000000B3 +:043C0E0000000000B2 +:043C0F0000000000B1 +:043C100000000000B0 +:043C110000000000AF +:043C120000000000AE +:043C130000000000AD +:043C140000000000AC +:043C150000000000AB +:043C160000000000AA +:043C170000000000A9 +:043C180000000000A8 +:043C190000000000A7 +:043C1A0000000000A6 +:043C1B0000000000A5 +:043C1C0000000000A4 +:043C1D0000000000A3 +:043C1E0000000000A2 +:043C1F0000000000A1 +:043C200000000000A0 +:043C2100000000009F +:043C2200000000009E +:043C2300000000009D +:043C2400000000009C +:043C2500000000009B +:043C2600000000009A +:043C27000000000099 +:043C28000000000098 +:043C29000000000097 +:043C2A000000000096 +:043C2B000000000095 +:043C2C000000000094 +:043C2D000000000093 +:043C2E000000000092 +:043C2F000000000091 +:043C30000000000090 +:043C3100000000008F +:043C3200000000008E +:043C3300000000008D +:043C3400000000008C +:043C3500000000008B +:043C3600000000008A +:043C37000000000089 +:043C38000000000088 +:043C39000000000087 +:043C3A000000000086 +:043C3B000000000085 +:043C3C000000000084 +:043C3D000000000083 +:043C3E000000000082 +:043C3F000000000081 +:043C40000000000080 +:043C4100000000007F +:043C4200000000007E +:043C4300000000007D +:043C4400000000007C +:043C4500000000007B +:043C4600000000007A +:043C47000000000079 +:043C48000000000078 +:043C49000000000077 +:043C4A000000000076 +:043C4B000000000075 +:043C4C000000000074 +:043C4D000000000073 +:043C4E000000000072 +:043C4F000000000071 +:043C50000000000070 +:043C5100000000006F +:043C5200000000006E +:043C5300000000006D +:043C5400000000006C +:043C5500000000006B +:043C5600000000006A +:043C57000000000069 +:043C58000000000068 +:043C59000000000067 +:043C5A000000000066 +:043C5B000000000065 +:043C5C000000000064 +:043C5D000000000063 +:043C5E000000000062 +:043C5F000000000061 +:043C60000000000060 +:043C6100000000005F +:043C6200000000005E +:043C6300000000005D +:043C6400000000005C +:043C6500000000005B +:043C6600000000005A +:043C67000000000059 +:043C68000000000058 +:043C69000000000057 +:043C6A000000000056 +:043C6B000000000055 +:043C6C000000000054 +:043C6D000000000053 +:043C6E000000000052 +:043C6F000000000051 +:043C70000000000050 +:043C7100000000004F +:043C7200000000004E +:043C7300000000004D +:043C7400000000004C +:043C7500000000004B +:043C7600000000004A +:043C77000000000049 +:043C78000000000048 +:043C79000000000047 +:043C7A000000000046 +:043C7B000000000045 +:043C7C000000000044 +:043C7D000000000043 +:043C7E000000000042 +:043C7F000000000041 +:043C80000000000040 +:043C8100000000003F +:043C8200000000003E +:043C8300000000003D +:043C8400000000003C +:043C8500000000003B +:043C8600000000003A +:043C87000000000039 +:043C88000000000038 +:043C89000000000037 +:043C8A000000000036 +:043C8B000000000035 +:043C8C000000000034 +:043C8D000000000033 +:043C8E000000000032 +:043C8F000000000031 +:043C90000000000030 +:043C9100000000002F +:043C9200000000002E +:043C9300000000002D +:043C9400000000002C +:043C9500000000002B +:043C9600000000002A +:043C97000000000029 +:043C98000000000028 +:043C99000000000027 +:043C9A000000000026 +:043C9B000000000025 +:043C9C000000000024 +:043C9D000000000023 +:043C9E000000000022 +:043C9F000000000021 +:043CA0000000000020 +:043CA100000000001F +:043CA200000000001E +:043CA300000000001D +:043CA400000000001C +:043CA500000000001B +:043CA600000000001A +:043CA7000000000019 +:043CA8000000000018 +:043CA9000000000017 +:043CAA000000000016 +:043CAB000000000015 +:043CAC000000000014 +:043CAD000000000013 +:043CAE000000000012 +:043CAF000000000011 +:043CB0000000000010 +:043CB100000000000F +:043CB200000000000E +:043CB300000000000D +:043CB400000000000C +:043CB500000000000B +:043CB600000000000A +:043CB7000000000009 +:043CB8000000000008 +:043CB9000000000007 +:043CBA000000000006 +:043CBB000000000005 +:043CBC000000000004 +:043CBD000000000003 +:043CBE000000000002 +:043CBF000000000001 +:043CC0000000000000 +:043CC10000000000FF +:043CC20000000000FE +:043CC30000000000FD +:043CC40000000000FC +:043CC50000000000FB +:043CC60000000000FA +:043CC70000000000F9 +:043CC80000000000F8 +:043CC90000000000F7 +:043CCA0000000000F6 +:043CCB0000000000F5 +:043CCC0000000000F4 +:043CCD0000000000F3 +:043CCE0000000000F2 +:043CCF0000000000F1 +:043CD00000000000F0 +:043CD10000000000EF +:043CD20000000000EE +:043CD30000000000ED +:043CD40000000000EC +:043CD50000000000EB +:043CD60000000000EA +:043CD70000000000E9 +:043CD80000000000E8 +:043CD90000000000E7 +:043CDA0000000000E6 +:043CDB0000000000E5 +:043CDC0000000000E4 +:043CDD0000000000E3 +:043CDE0000000000E2 +:043CDF0000000000E1 +:043CE00000000000E0 +:043CE10000000000DF +:043CE20000000000DE +:043CE30000000000DD +:043CE40000000000DC +:043CE50000000000DB +:043CE60000000000DA +:043CE70000000000D9 +:043CE80000000000D8 +:043CE90000000000D7 +:043CEA0000000000D6 +:043CEB0000000000D5 +:043CEC0000000000D4 +:043CED0000000000D3 +:043CEE0000000000D2 +:043CEF0000000000D1 +:043CF00000000000D0 +:043CF10000000000CF +:043CF20000000000CE +:043CF30000000000CD +:043CF40000000000CC +:043CF50000000000CB +:043CF60000000000CA +:043CF70000000000C9 +:043CF80000000000C8 +:043CF90000000000C7 +:043CFA0000000000C6 +:043CFB0000000000C5 +:043CFC0000000000C4 +:043CFD0000000000C3 +:043CFE0000000000C2 +:043CFF0000000000C1 +:043D000000000000BF +:043D010000000000BE +:043D020000000000BD +:043D030000000000BC +:043D040000000000BB +:043D050000000000BA +:043D060000000000B9 +:043D070000000000B8 +:043D080000000000B7 +:043D090000000000B6 +:043D0A0000000000B5 +:043D0B0000000000B4 +:043D0C0000000000B3 +:043D0D0000000000B2 +:043D0E0000000000B1 +:043D0F0000000000B0 +:043D100000000000AF +:043D110000000000AE +:043D120000000000AD +:043D130000000000AC +:043D140000000000AB +:043D150000000000AA +:043D160000000000A9 +:043D170000000000A8 +:043D180000000000A7 +:043D190000000000A6 +:043D1A0000000000A5 +:043D1B0000000000A4 +:043D1C0000000000A3 +:043D1D0000000000A2 +:043D1E0000000000A1 +:043D1F0000000000A0 +:043D2000000000009F +:043D2100000000009E +:043D2200000000009D +:043D2300000000009C +:043D2400000000009B +:043D2500000000009A +:043D26000000000099 +:043D27000000000098 +:043D28000000000097 +:043D29000000000096 +:043D2A000000000095 +:043D2B000000000094 +:043D2C000000000093 +:043D2D000000000092 +:043D2E000000000091 +:043D2F000000000090 +:043D3000000000008F +:043D3100000000008E +:043D3200000000008D +:043D3300000000008C +:043D3400000000008B +:043D3500000000008A +:043D36000000000089 +:043D37000000000088 +:043D38000000000087 +:043D39000000000086 +:043D3A000000000085 +:043D3B000000000084 +:043D3C000000000083 +:043D3D000000000082 +:043D3E000000000081 +:043D3F000000000080 +:043D4000000000007F +:043D4100000000007E +:043D4200000000007D +:043D4300000000007C +:043D4400000000007B +:043D4500000000007A +:043D46000000000079 +:043D47000000000078 +:043D48000000000077 +:043D49000000000076 +:043D4A000000000075 +:043D4B000000000074 +:043D4C000000000073 +:043D4D000000000072 +:043D4E000000000071 +:043D4F000000000070 +:043D5000000000006F +:043D5100000000006E +:043D5200000000006D +:043D5300000000006C +:043D5400000000006B +:043D5500000000006A +:043D56000000000069 +:043D57000000000068 +:043D58000000000067 +:043D59000000000066 +:043D5A000000000065 +:043D5B000000000064 +:043D5C000000000063 +:043D5D000000000062 +:043D5E000000000061 +:043D5F000000000060 +:043D6000000000005F +:043D6100000000005E +:043D6200000000005D +:043D6300000000005C +:043D6400000000005B +:043D6500000000005A +:043D66000000000059 +:043D67000000000058 +:043D68000000000057 +:043D69000000000056 +:043D6A000000000055 +:043D6B000000000054 +:043D6C000000000053 +:043D6D000000000052 +:043D6E000000000051 +:043D6F000000000050 +:043D7000000000004F +:043D7100000000004E +:043D7200000000004D +:043D7300000000004C +:043D7400000000004B +:043D7500000000004A +:043D76000000000049 +:043D77000000000048 +:043D78000000000047 +:043D79000000000046 +:043D7A000000000045 +:043D7B000000000044 +:043D7C000000000043 +:043D7D000000000042 +:043D7E000000000041 +:043D7F000000000040 +:043D8000000000003F +:043D8100000000003E +:043D8200000000003D +:043D8300000000003C +:043D8400000000003B +:043D8500000000003A +:043D86000000000039 +:043D87000000000038 +:043D88000000000037 +:043D89000000000036 +:043D8A000000000035 +:043D8B000000000034 +:043D8C000000000033 +:043D8D000000000032 +:043D8E000000000031 +:043D8F000000000030 +:043D9000000000002F +:043D9100000000002E +:043D9200000000002D +:043D9300000000002C +:043D9400000000002B +:043D9500000000002A +:043D96000000000029 +:043D97000000000028 +:043D98000000000027 +:043D99000000000026 +:043D9A000000000025 +:043D9B000000000024 +:043D9C000000000023 +:043D9D000000000022 +:043D9E000000000021 +:043D9F000000000020 +:043DA000000000001F +:043DA100000000001E +:043DA200000000001D +:043DA300000000001C +:043DA400000000001B +:043DA500000000001A +:043DA6000000000019 +:043DA7000000000018 +:043DA8000000000017 +:043DA9000000000016 +:043DAA000000000015 +:043DAB000000000014 +:043DAC000000000013 +:043DAD000000000012 +:043DAE000000000011 +:043DAF000000000010 +:043DB000000000000F +:043DB100000000000E +:043DB200000000000D +:043DB300000000000C +:043DB400000000000B +:043DB500000000000A +:043DB6000000000009 +:043DB7000000000008 +:043DB8000000000007 +:043DB9000000000006 +:043DBA000000000005 +:043DBB000000000004 +:043DBC000000000003 +:043DBD000000000002 +:043DBE000000000001 +:043DBF000000000000 +:043DC00000000000FF +:043DC10000000000FE +:043DC20000000000FD +:043DC30000000000FC +:043DC40000000000FB +:043DC50000000000FA +:043DC60000000000F9 +:043DC70000000000F8 +:043DC80000000000F7 +:043DC90000000000F6 +:043DCA0000000000F5 +:043DCB0000000000F4 +:043DCC0000000000F3 +:043DCD0000000000F2 +:043DCE0000000000F1 +:043DCF0000000000F0 +:043DD00000000000EF +:043DD10000000000EE +:043DD20000000000ED +:043DD30000000000EC +:043DD40000000000EB +:043DD50000000000EA +:043DD60000000000E9 +:043DD70000000000E8 +:043DD80000000000E7 +:043DD90000000000E6 +:043DDA0000000000E5 +:043DDB0000000000E4 +:043DDC0000000000E3 +:043DDD0000000000E2 +:043DDE0000000000E1 +:043DDF0000000000E0 +:043DE00000000000DF +:043DE10000000000DE +:043DE20000000000DD +:043DE30000000000DC +:043DE40000000000DB +:043DE50000000000DA +:043DE60000000000D9 +:043DE70000000000D8 +:043DE80000000000D7 +:043DE90000000000D6 +:043DEA0000000000D5 +:043DEB0000000000D4 +:043DEC0000000000D3 +:043DED0000000000D2 +:043DEE0000000000D1 +:043DEF0000000000D0 +:043DF00000000000CF +:043DF10000000000CE +:043DF20000000000CD +:043DF30000000000CC +:043DF40000000000CB +:043DF50000000000CA +:043DF60000000000C9 +:043DF70000000000C8 +:043DF80000000000C7 +:043DF90000000000C6 +:043DFA0000000000C5 +:043DFB0000000000C4 +:043DFC0000000000C3 +:043DFD0000000000C2 +:043DFE0000000000C1 +:043DFF0000000000C0 +:043E000000000000BE +:043E010000000000BD +:043E020000000000BC +:043E030000000000BB +:043E040000000000BA +:043E050000000000B9 +:043E060000000000B8 +:043E070000000000B7 +:043E080000000000B6 +:043E090000000000B5 +:043E0A0000000000B4 +:043E0B0000000000B3 +:043E0C0000000000B2 +:043E0D0000000000B1 +:043E0E0000000000B0 +:043E0F0000000000AF +:043E100000000000AE +:043E110000000000AD +:043E120000000000AC +:043E130000000000AB +:043E140000000000AA +:043E150000000000A9 +:043E160000000000A8 +:043E170000000000A7 +:043E180000000000A6 +:043E190000000000A5 +:043E1A0000000000A4 +:043E1B0000000000A3 +:043E1C0000000000A2 +:043E1D0000000000A1 +:043E1E0000000000A0 +:043E1F00000000009F +:043E2000000000009E +:043E2100000000009D +:043E2200000000009C +:043E2300000000009B +:043E2400000000009A +:043E25000000000099 +:043E26000000000098 +:043E27000000000097 +:043E28000000000096 +:043E29000000000095 +:043E2A000000000094 +:043E2B000000000093 +:043E2C000000000092 +:043E2D000000000091 +:043E2E000000000090 +:043E2F00000000008F +:043E3000000000008E +:043E3100000000008D +:043E3200000000008C +:043E3300000000008B +:043E3400000000008A +:043E35000000000089 +:043E36000000000088 +:043E37000000000087 +:043E38000000000086 +:043E39000000000085 +:043E3A000000000084 +:043E3B000000000083 +:043E3C000000000082 +:043E3D000000000081 +:043E3E000000000080 +:043E3F00000000007F +:043E4000000000007E +:043E4100000000007D +:043E4200000000007C +:043E4300000000007B +:043E4400000000007A +:043E45000000000079 +:043E46000000000078 +:043E47000000000077 +:043E48000000000076 +:043E49000000000075 +:043E4A000000000074 +:043E4B000000000073 +:043E4C000000000072 +:043E4D000000000071 +:043E4E000000000070 +:043E4F00000000006F +:043E5000000000006E +:043E5100000000006D +:043E5200000000006C +:043E5300000000006B +:043E5400000000006A +:043E55000000000069 +:043E56000000000068 +:043E57000000000067 +:043E58000000000066 +:043E59000000000065 +:043E5A000000000064 +:043E5B000000000063 +:043E5C000000000062 +:043E5D000000000061 +:043E5E000000000060 +:043E5F00000000005F +:043E6000000000005E +:043E6100000000005D +:043E6200000000005C +:043E6300000000005B +:043E6400000000005A +:043E65000000000059 +:043E66000000000058 +:043E67000000000057 +:043E68000000000056 +:043E69000000000055 +:043E6A000000000054 +:043E6B000000000053 +:043E6C000000000052 +:043E6D000000000051 +:043E6E000000000050 +:043E6F00000000004F +:043E7000000000004E +:043E7100000000004D +:043E7200000000004C +:043E7300000000004B +:043E7400000000004A +:043E75000000000049 +:043E76000000000048 +:043E77000000000047 +:043E78000000000046 +:043E79000000000045 +:043E7A000000000044 +:043E7B000000000043 +:043E7C000000000042 +:043E7D000000000041 +:043E7E000000000040 +:043E7F00000000003F +:043E8000000000003E +:043E8100000000003D +:043E8200000000003C +:043E8300000000003B +:043E8400000000003A +:043E85000000000039 +:043E86000000000038 +:043E87000000000037 +:043E88000000000036 +:043E89000000000035 +:043E8A000000000034 +:043E8B000000000033 +:043E8C000000000032 +:043E8D000000000031 +:043E8E000000000030 +:043E8F00000000002F +:043E9000000000002E +:043E9100000000002D +:043E9200000000002C +:043E9300000000002B +:043E9400000000002A +:043E95000000000029 +:043E96000000000028 +:043E97000000000027 +:043E98000000000026 +:043E99000000000025 +:043E9A000000000024 +:043E9B000000000023 +:043E9C000000000022 +:043E9D000000000021 +:043E9E000000000020 +:043E9F00000000001F +:043EA000000000001E +:043EA100000000001D +:043EA200000000001C +:043EA300000000001B +:043EA400000000001A +:043EA5000000000019 +:043EA6000000000018 +:043EA7000000000017 +:043EA8000000000016 +:043EA9000000000015 +:043EAA000000000014 +:043EAB000000000013 +:043EAC000000000012 +:043EAD000000000011 +:043EAE000000000010 +:043EAF00000000000F +:043EB000000000000E +:043EB100000000000D +:043EB200000000000C +:043EB300000000000B +:043EB400000000000A +:043EB5000000000009 +:043EB6000000000008 +:043EB7000000000007 +:043EB8000000000006 +:043EB9000000000005 +:043EBA000000000004 +:043EBB000000000003 +:043EBC000000000002 +:043EBD000000000001 +:043EBE000000000000 +:043EBF0000000000FF +:043EC00000000000FE +:043EC10000000000FD +:043EC20000000000FC +:043EC30000000000FB +:043EC40000000000FA +:043EC50000000000F9 +:043EC60000000000F8 +:043EC70000000000F7 +:043EC80000000000F6 +:043EC90000000000F5 +:043ECA0000000000F4 +:043ECB0000000000F3 +:043ECC0000000000F2 +:043ECD0000000000F1 +:043ECE0000000000F0 +:043ECF0000000000EF +:043ED00000000000EE +:043ED10000000000ED +:043ED20000000000EC +:043ED30000000000EB +:043ED40000000000EA +:043ED50000000000E9 +:043ED60000000000E8 +:043ED70000000000E7 +:043ED80000000000E6 +:043ED90000000000E5 +:043EDA0000000000E4 +:043EDB0000000000E3 +:043EDC0000000000E2 +:043EDD0000000000E1 +:043EDE0000000000E0 +:043EDF0000000000DF +:043EE00000000000DE +:043EE10000000000DD +:043EE20000000000DC +:043EE30000000000DB +:043EE40000000000DA +:043EE50000000000D9 +:043EE60000000000D8 +:043EE70000000000D7 +:043EE80000000000D6 +:043EE90000000000D5 +:043EEA0000000000D4 +:043EEB0000000000D3 +:043EEC0000000000D2 +:043EED0000000000D1 +:043EEE0000000000D0 +:043EEF0000000000CF +:043EF00000000000CE +:043EF10000000000CD +:043EF20000000000CC +:043EF30000000000CB +:043EF40000000000CA +:043EF50000000000C9 +:043EF60000000000C8 +:043EF70000000000C7 +:043EF80000000000C6 +:043EF90000000000C5 +:043EFA0000000000C4 +:043EFB0000000000C3 +:043EFC0000000000C2 +:043EFD0000000000C1 +:043EFE0000000000C0 +:043EFF0000000000BF +:043F000000000000BD +:043F010000000000BC +:043F020000000000BB +:043F030000000000BA +:043F040000000000B9 +:043F050000000000B8 +:043F060000000000B7 +:043F070000000000B6 +:043F080000000000B5 +:043F090000000000B4 +:043F0A0000000000B3 +:043F0B0000000000B2 +:043F0C0000000000B1 +:043F0D0000000000B0 +:043F0E0000000000AF +:043F0F0000000000AE +:043F100000000000AD +:043F110000000000AC +:043F120000000000AB +:043F130000000000AA +:043F140000000000A9 +:043F150000000000A8 +:043F160000000000A7 +:043F170000000000A6 +:043F180000000000A5 +:043F190000000000A4 +:043F1A0000000000A3 +:043F1B0000000000A2 +:043F1C0000000000A1 +:043F1D0000000000A0 +:043F1E00000000009F +:043F1F00000000009E +:043F2000000000009D +:043F2100000000009C +:043F2200000000009B +:043F2300000000009A +:043F24000000000099 +:043F25000000000098 +:043F26000000000097 +:043F27000000000096 +:043F28000000000095 +:043F29000000000094 +:043F2A000000000093 +:043F2B000000000092 +:043F2C000000000091 +:043F2D000000000090 +:043F2E00000000008F +:043F2F00000000008E +:043F3000000000008D +:043F3100000000008C +:043F3200000000008B +:043F3300000000008A +:043F34000000000089 +:043F35000000000088 +:043F36000000000087 +:043F37000000000086 +:043F38000000000085 +:043F39000000000084 +:043F3A000000000083 +:043F3B000000000082 +:043F3C000000000081 +:043F3D000000000080 +:043F3E00000000007F +:043F3F00000000007E +:043F4000000000007D +:043F4100000000007C +:043F4200000000007B +:043F4300000000007A +:043F44000000000079 +:043F45000000000078 +:043F46000000000077 +:043F47000000000076 +:043F48000000000075 +:043F49000000000074 +:043F4A000000000073 +:043F4B000000000072 +:043F4C000000000071 +:043F4D000000000070 +:043F4E00000000006F +:043F4F00000000006E +:043F5000000000006D +:043F5100000000006C +:043F5200000000006B +:043F5300000000006A +:043F54000000000069 +:043F55000000000068 +:043F56000000000067 +:043F57000000000066 +:043F58000000000065 +:043F59000000000064 +:043F5A000000000063 +:043F5B000000000062 +:043F5C000000000061 +:043F5D000000000060 +:043F5E00000000005F +:043F5F00000000005E +:043F6000000000005D +:043F6100000000005C +:043F6200000000005B +:043F6300000000005A +:043F64000000000059 +:043F65000000000058 +:043F66000000000057 +:043F67000000000056 +:043F68000000000055 +:043F69000000000054 +:043F6A000000000053 +:043F6B000000000052 +:043F6C000000000051 +:043F6D000000000050 +:043F6E00000000004F +:043F6F00000000004E +:043F7000000000004D +:043F7100000000004C +:043F7200000000004B +:043F7300000000004A +:043F74000000000049 +:043F75000000000048 +:043F76000000000047 +:043F77000000000046 +:043F78000000000045 +:043F79000000000044 +:043F7A000000000043 +:043F7B000000000042 +:043F7C000000000041 +:043F7D000000000040 +:043F7E00000000003F +:043F7F00000000003E +:043F8000000000003D +:043F8100000000003C +:043F8200000000003B +:043F8300000000003A +:043F84000000000039 +:043F85000000000038 +:043F86000000000037 +:043F87000000000036 +:043F88000000000035 +:043F89000000000034 +:043F8A000000000033 +:043F8B000000000032 +:043F8C000000000031 +:043F8D000000000030 +:043F8E00000000002F +:043F8F00000000002E +:043F9000000000002D +:043F9100000000002C +:043F9200000000002B +:043F9300000000002A +:043F94000000000029 +:043F95000000000028 +:043F96000000000027 +:043F97000000000026 +:043F98000000000025 +:043F99000000000024 +:043F9A000000000023 +:043F9B000000000022 +:043F9C000000000021 +:043F9D000000000020 +:043F9E00000000001F +:043F9F00000000001E +:043FA000000000001D +:043FA100000000001C +:043FA200000000001B +:043FA300000000001A +:043FA4000000000019 +:043FA5000000000018 +:043FA6000000000017 +:043FA7000000000016 +:043FA8000000000015 +:043FA9000000000014 +:043FAA000000000013 +:043FAB000000000012 +:043FAC000000000011 +:043FAD000000000010 +:043FAE00000000000F +:043FAF00000000000E +:043FB000000000000D +:043FB100000000000C +:043FB200000000000B +:043FB300000000000A +:043FB4000000000009 +:043FB5000000000008 +:043FB6000000000007 +:043FB7000000000006 +:043FB8000000000005 +:043FB9000000000004 +:043FBA000000000003 +:043FBB000000000002 +:043FBC000000000001 +:043FBD000000000000 +:043FBE0000000000FF +:043FBF0000000000FE +:043FC00000000000FD +:043FC10000000000FC +:043FC20000000000FB +:043FC30000000000FA +:043FC40000000000F9 +:043FC50000000000F8 +:043FC60000000000F7 +:043FC70000000000F6 +:043FC80000000000F5 +:043FC90000000000F4 +:043FCA0000000000F3 +:043FCB0000000000F2 +:043FCC0000000000F1 +:043FCD0000000000F0 +:043FCE0000000000EF +:043FCF0000000000EE +:043FD00000000000ED +:043FD10000000000EC +:043FD20000000000EB +:043FD30000000000EA +:043FD40000000000E9 +:043FD50000000000E8 +:043FD60000000000E7 +:043FD70000000000E6 +:043FD80000000000E5 +:043FD90000000000E4 +:043FDA0000000000E3 +:043FDB0000000000E2 +:043FDC0000000000E1 +:043FDD0000000000E0 +:043FDE0000000000DF +:043FDF0000000000DE +:043FE00000000000DD +:043FE10000000000DC +:043FE20000000000DB +:043FE30000000000DA +:043FE40000000000D9 +:043FE50000000000D8 +:043FE60000000000D7 +:043FE70000000000D6 +:043FE80000000000D5 +:043FE90000000000D4 +:043FEA0000000000D3 +:043FEB0000000000D2 +:043FEC0000000000D1 +:043FED0000000000D0 +:043FEE0000000000CF +:043FEF0000000000CE +:043FF00000000000CD +:043FF10000000000CC +:043FF20000000000CB +:043FF30000000000CA +:043FF40000000000C9 +:043FF50000000000C8 +:043FF60000000000C7 +:043FF70000000000C6 +:043FF80000000000C5 +:043FF90000000000C4 +:043FFA0000000000C3 +:043FFB0000000000C2 +:043FFC0000000000C1 +:043FFD0000000000C0 +:043FFE0000000000BF +:043FFF0000000000BE +:0440000000000000BC +:0440010000000000BB +:0440020000000000BA +:0440030000000000B9 +:0440040000000000B8 +:0440050000000000B7 +:0440060000000000B6 +:0440070000000000B5 +:0440080000000000B4 +:0440090000000000B3 +:04400A0000000000B2 +:04400B0000000000B1 +:04400C0000000000B0 +:04400D0000000000AF +:04400E0000000000AE +:04400F0000000000AD +:0440100000000000AC +:0440110000000000AB +:0440120000000000AA +:0440130000000000A9 +:0440140000000000A8 +:0440150000000000A7 +:0440160000000000A6 +:0440170000000000A5 +:0440180000000000A4 +:0440190000000000A3 +:04401A0000000000A2 +:04401B0000000000A1 +:04401C0000000000A0 +:04401D00000000009F +:04401E00000000009E +:04401F00000000009D +:04402000000000009C +:04402100000000009B +:04402200000000009A +:044023000000000099 +:044024000000000098 +:044025000000000097 +:044026000000000096 +:044027000000000095 +:044028000000000094 +:044029000000000093 +:04402A000000000092 +:04402B000000000091 +:04402C000000000090 +:04402D00000000008F +:04402E00000000008E +:04402F00000000008D +:04403000000000008C +:04403100000000008B +:04403200000000008A +:044033000000000089 +:044034000000000088 +:044035000000000087 +:044036000000000086 +:044037000000000085 +:044038000000000084 +:044039000000000083 +:04403A000000000082 +:04403B000000000081 +:04403C000000000080 +:04403D00000000007F +:04403E00000000007E +:04403F00000000007D +:04404000000000007C +:04404100000000007B +:04404200000000007A +:044043000000000079 +:044044000000000078 +:044045000000000077 +:044046000000000076 +:044047000000000075 +:044048000000000074 +:044049000000000073 +:04404A000000000072 +:04404B000000000071 +:04404C000000000070 +:04404D00000000006F +:04404E00000000006E +:04404F00000000006D +:04405000000000006C +:04405100000000006B +:04405200000000006A +:044053000000000069 +:044054000000000068 +:044055000000000067 +:044056000000000066 +:044057000000000065 +:044058000000000064 +:044059000000000063 +:04405A000000000062 +:04405B000000000061 +:04405C000000000060 +:04405D00000000005F +:04405E00000000005E +:04405F00000000005D +:04406000000000005C +:04406100000000005B +:04406200000000005A +:044063000000000059 +:044064000000000058 +:044065000000000057 +:044066000000000056 +:044067000000000055 +:044068000000000054 +:044069000000000053 +:04406A000000000052 +:04406B000000000051 +:04406C000000000050 +:04406D00000000004F +:04406E00000000004E +:04406F00000000004D +:04407000000000004C +:04407100000000004B +:04407200000000004A +:044073000000000049 +:044074000000000048 +:044075000000000047 +:044076000000000046 +:044077000000000045 +:044078000000000044 +:044079000000000043 +:04407A000000000042 +:04407B000000000041 +:04407C000000000040 +:04407D00000000003F +:04407E00000000003E +:04407F00000000003D +:04408000000000003C +:04408100000000003B +:04408200000000003A +:044083000000000039 +:044084000000000038 +:044085000000000037 +:044086000000000036 +:044087000000000035 +:044088000000000034 +:044089000000000033 +:04408A000000000032 +:04408B000000000031 +:04408C000000000030 +:04408D00000000002F +:04408E00000000002E +:04408F00000000002D +:04409000000000002C +:04409100000000002B +:04409200000000002A +:044093000000000029 +:044094000000000028 +:044095000000000027 +:044096000000000026 +:044097000000000025 +:044098000000000024 +:044099000000000023 +:04409A000000000022 +:04409B000000000021 +:04409C000000000020 +:04409D00000000001F +:04409E00000000001E +:04409F00000000001D +:0440A000000000001C +:0440A100000000001B +:0440A200000000001A +:0440A3000000000019 +:0440A4000000000018 +:0440A5000000000017 +:0440A6000000000016 +:0440A7000000000015 +:0440A8000000000014 +:0440A9000000000013 +:0440AA000000000012 +:0440AB000000000011 +:0440AC000000000010 +:0440AD00000000000F +:0440AE00000000000E +:0440AF00000000000D +:0440B000000000000C +:0440B100000000000B +:0440B200000000000A +:0440B3000000000009 +:0440B4000000000008 +:0440B5000000000007 +:0440B6000000000006 +:0440B7000000000005 +:0440B8000000000004 +:0440B9000000000003 +:0440BA000000000002 +:0440BB000000000001 +:0440BC000000000000 +:0440BD0000000000FF +:0440BE0000000000FE +:0440BF0000000000FD +:0440C00000000000FC +:0440C10000000000FB +:0440C20000000000FA +:0440C30000000000F9 +:0440C40000000000F8 +:0440C50000000000F7 +:0440C60000000000F6 +:0440C70000000000F5 +:0440C80000000000F4 +:0440C90000000000F3 +:0440CA0000000000F2 +:0440CB0000000000F1 +:0440CC0000000000F0 +:0440CD0000000000EF +:0440CE0000000000EE +:0440CF0000000000ED +:0440D00000000000EC +:0440D10000000000EB +:0440D20000000000EA +:0440D30000000000E9 +:0440D40000000000E8 +:0440D50000000000E7 +:0440D60000000000E6 +:0440D70000000000E5 +:0440D80000000000E4 +:0440D90000000000E3 +:0440DA0000000000E2 +:0440DB0000000000E1 +:0440DC0000000000E0 +:0440DD0000000000DF +:0440DE0000000000DE +:0440DF0000000000DD +:0440E00000000000DC +:0440E10000000000DB +:0440E20000000000DA +:0440E30000000000D9 +:0440E40000000000D8 +:0440E50000000000D7 +:0440E60000000000D6 +:0440E70000000000D5 +:0440E80000000000D4 +:0440E90000000000D3 +:0440EA0000000000D2 +:0440EB0000000000D1 +:0440EC0000000000D0 +:0440ED0000000000CF +:0440EE0000000000CE +:0440EF0000000000CD +:0440F00000000000CC +:0440F10000000000CB +:0440F20000000000CA +:0440F30000000000C9 +:0440F40000000000C8 +:0440F50000000000C7 +:0440F60000000000C6 +:0440F70000000000C5 +:0440F80000000000C4 +:0440F90000000000C3 +:0440FA0000000000C2 +:0440FB0000000000C1 +:0440FC0000000000C0 +:0440FD0000000000BF +:0440FE0000000000BE +:0440FF0000000000BD +:0441000000000000BB +:0441010000000000BA +:0441020000000000B9 +:0441030000000000B8 +:0441040000000000B7 +:0441050000000000B6 +:0441060000000000B5 +:0441070000000000B4 +:0441080000000000B3 +:0441090000000000B2 +:04410A0000000000B1 +:04410B0000000000B0 +:04410C0000000000AF +:04410D0000000000AE +:04410E0000000000AD +:04410F0000000000AC +:0441100000000000AB +:0441110000000000AA +:0441120000000000A9 +:0441130000000000A8 +:0441140000000000A7 +:0441150000000000A6 +:0441160000000000A5 +:0441170000000000A4 +:0441180000000000A3 +:0441190000000000A2 +:04411A0000000000A1 +:04411B0000000000A0 +:04411C00000000009F +:04411D00000000009E +:04411E00000000009D +:04411F00000000009C +:04412000000000009B +:04412100000000009A +:044122000000000099 +:044123000000000098 +:044124000000000097 +:044125000000000096 +:044126000000000095 +:044127000000000094 +:044128000000000093 +:044129000000000092 +:04412A000000000091 +:04412B000000000090 +:04412C00000000008F +:04412D00000000008E +:04412E00000000008D +:04412F00000000008C +:04413000000000008B +:04413100000000008A +:044132000000000089 +:044133000000000088 +:044134000000000087 +:044135000000000086 +:044136000000000085 +:044137000000000084 +:044138000000000083 +:044139000000000082 +:04413A000000000081 +:04413B000000000080 +:04413C00000000007F +:04413D00000000007E +:04413E00000000007D +:04413F00000000007C +:04414000000000007B +:04414100000000007A +:044142000000000079 +:044143000000000078 +:044144000000000077 +:044145000000000076 +:044146000000000075 +:044147000000000074 +:044148000000000073 +:044149000000000072 +:04414A000000000071 +:04414B000000000070 +:04414C00000000006F +:04414D00000000006E +:04414E00000000006D +:04414F00000000006C +:04415000000000006B +:04415100000000006A +:044152000000000069 +:044153000000000068 +:044154000000000067 +:044155000000000066 +:044156000000000065 +:044157000000000064 +:044158000000000063 +:044159000000000062 +:04415A000000000061 +:04415B000000000060 +:04415C00000000005F +:04415D00000000005E +:04415E00000000005D +:04415F00000000005C +:04416000000000005B +:04416100000000005A +:044162000000000059 +:044163000000000058 +:044164000000000057 +:044165000000000056 +:044166000000000055 +:044167000000000054 +:044168000000000053 +:044169000000000052 +:04416A000000000051 +:04416B000000000050 +:04416C00000000004F +:04416D00000000004E +:04416E00000000004D +:04416F00000000004C +:04417000000000004B +:04417100000000004A +:044172000000000049 +:044173000000000048 +:044174000000000047 +:044175000000000046 +:044176000000000045 +:044177000000000044 +:044178000000000043 +:044179000000000042 +:04417A000000000041 +:04417B000000000040 +:04417C00000000003F +:04417D00000000003E +:04417E00000000003D +:04417F00000000003C +:04418000000000003B +:04418100000000003A +:044182000000000039 +:044183000000000038 +:044184000000000037 +:044185000000000036 +:044186000000000035 +:044187000000000034 +:044188000000000033 +:044189000000000032 +:04418A000000000031 +:04418B000000000030 +:04418C00000000002F +:04418D00000000002E +:04418E00000000002D +:04418F00000000002C +:04419000000000002B +:04419100000000002A +:044192000000000029 +:044193000000000028 +:044194000000000027 +:044195000000000026 +:044196000000000025 +:044197000000000024 +:044198000000000023 +:044199000000000022 +:04419A000000000021 +:04419B000000000020 +:04419C00000000001F +:04419D00000000001E +:04419E00000000001D +:04419F00000000001C +:0441A000000000001B +:0441A100000000001A +:0441A2000000000019 +:0441A3000000000018 +:0441A4000000000017 +:0441A5000000000016 +:0441A6000000000015 +:0441A7000000000014 +:0441A8000000000013 +:0441A9000000000012 +:0441AA000000000011 +:0441AB000000000010 +:0441AC00000000000F +:0441AD00000000000E +:0441AE00000000000D +:0441AF00000000000C +:0441B000000000000B +:0441B100000000000A +:0441B2000000000009 +:0441B3000000000008 +:0441B4000000000007 +:0441B5000000000006 +:0441B6000000000005 +:0441B7000000000004 +:0441B8000000000003 +:0441B9000000000002 +:0441BA000000000001 +:0441BB000000000000 +:0441BC0000000000FF +:0441BD0000000000FE +:0441BE0000000000FD +:0441BF0000000000FC +:0441C00000000000FB +:0441C10000000000FA +:0441C20000000000F9 +:0441C30000000000F8 +:0441C40000000000F7 +:0441C50000000000F6 +:0441C60000000000F5 +:0441C70000000000F4 +:0441C80000000000F3 +:0441C90000000000F2 +:0441CA0000000000F1 +:0441CB0000000000F0 +:0441CC0000000000EF +:0441CD0000000000EE +:0441CE0000000000ED +:0441CF0000000000EC +:0441D00000000000EB +:0441D10000000000EA +:0441D20000000000E9 +:0441D30000000000E8 +:0441D40000000000E7 +:0441D50000000000E6 +:0441D60000000000E5 +:0441D70000000000E4 +:0441D80000000000E3 +:0441D90000000000E2 +:0441DA0000000000E1 +:0441DB0000000000E0 +:0441DC0000000000DF +:0441DD0000000000DE +:0441DE0000000000DD +:0441DF0000000000DC +:0441E00000000000DB +:0441E10000000000DA +:0441E20000000000D9 +:0441E30000000000D8 +:0441E40000000000D7 +:0441E50000000000D6 +:0441E60000000000D5 +:0441E70000000000D4 +:0441E80000000000D3 +:0441E90000000000D2 +:0441EA0000000000D1 +:0441EB0000000000D0 +:0441EC0000000000CF +:0441ED0000000000CE +:0441EE0000000000CD +:0441EF0000000000CC +:0441F00000000000CB +:0441F10000000000CA +:0441F20000000000C9 +:0441F30000000000C8 +:0441F40000000000C7 +:0441F50000000000C6 +:0441F60000000000C5 +:0441F70000000000C4 +:0441F80000000000C3 +:0441F90000000000C2 +:0441FA0000000000C1 +:0441FB0000000000C0 +:0441FC0000000000BF +:0441FD0000000000BE +:0441FE0000000000BD +:0441FF0000000000BC +:0442000000000000BA +:0442010000000000B9 +:0442020000000000B8 +:0442030000000000B7 +:0442040000000000B6 +:0442050000000000B5 +:0442060000000000B4 +:0442070000000000B3 +:0442080000000000B2 +:0442090000000000B1 +:04420A0000000000B0 +:04420B0000000000AF +:04420C0000000000AE +:04420D0000000000AD +:04420E0000000000AC +:04420F0000000000AB +:0442100000000000AA +:0442110000000000A9 +:0442120000000000A8 +:0442130000000000A7 +:0442140000000000A6 +:0442150000000000A5 +:0442160000000000A4 +:0442170000000000A3 +:0442180000000000A2 +:0442190000000000A1 +:04421A0000000000A0 +:04421B00000000009F +:04421C00000000009E +:04421D00000000009D +:04421E00000000009C +:04421F00000000009B +:04422000000000009A +:044221000000000099 +:044222000000000098 +:044223000000000097 +:044224000000000096 +:044225000000000095 +:044226000000000094 +:044227000000000093 +:044228000000000092 +:044229000000000091 +:04422A000000000090 +:04422B00000000008F +:04422C00000000008E +:04422D00000000008D +:04422E00000000008C +:04422F00000000008B +:04423000000000008A +:044231000000000089 +:044232000000000088 +:044233000000000087 +:044234000000000086 +:044235000000000085 +:044236000000000084 +:044237000000000083 +:044238000000000082 +:044239000000000081 +:04423A000000000080 +:04423B00000000007F +:04423C00000000007E +:04423D00000000007D +:04423E00000000007C +:04423F00000000007B +:04424000000000007A +:044241000000000079 +:044242000000000078 +:044243000000000077 +:044244000000000076 +:044245000000000075 +:044246000000000074 +:044247000000000073 +:044248000000000072 +:044249000000000071 +:04424A000000000070 +:04424B00000000006F +:04424C00000000006E +:04424D00000000006D +:04424E00000000006C +:04424F00000000006B +:04425000000000006A +:044251000000000069 +:044252000000000068 +:044253000000000067 +:044254000000000066 +:044255000000000065 +:044256000000000064 +:044257000000000063 +:044258000000000062 +:044259000000000061 +:04425A000000000060 +:04425B00000000005F +:04425C00000000005E +:04425D00000000005D +:04425E00000000005C +:04425F00000000005B +:04426000000000005A +:044261000000000059 +:044262000000000058 +:044263000000000057 +:044264000000000056 +:044265000000000055 +:044266000000000054 +:044267000000000053 +:044268000000000052 +:044269000000000051 +:04426A000000000050 +:04426B00000000004F +:04426C00000000004E +:04426D00000000004D +:04426E00000000004C +:04426F00000000004B +:04427000000000004A +:044271000000000049 +:044272000000000048 +:044273000000000047 +:044274000000000046 +:044275000000000045 +:044276000000000044 +:044277000000000043 +:044278000000000042 +:044279000000000041 +:04427A000000000040 +:04427B00000000003F +:04427C00000000003E +:04427D00000000003D +:04427E00000000003C +:04427F00000000003B +:04428000000000003A +:044281000000000039 +:044282000000000038 +:044283000000000037 +:044284000000000036 +:044285000000000035 +:044286000000000034 +:044287000000000033 +:044288000000000032 +:044289000000000031 +:04428A000000000030 +:04428B00000000002F +:04428C00000000002E +:04428D00000000002D +:04428E00000000002C +:04428F00000000002B +:04429000000000002A +:044291000000000029 +:044292000000000028 +:044293000000000027 +:044294000000000026 +:044295000000000025 +:044296000000000024 +:044297000000000023 +:044298000000000022 +:044299000000000021 +:04429A000000000020 +:04429B00000000001F +:04429C00000000001E +:04429D00000000001D +:04429E00000000001C +:04429F00000000001B +:0442A000000000001A +:0442A1000000000019 +:0442A2000000000018 +:0442A3000000000017 +:0442A4000000000016 +:0442A5000000000015 +:0442A6000000000014 +:0442A7000000000013 +:0442A8000000000012 +:0442A9000000000011 +:0442AA000000000010 +:0442AB00000000000F +:0442AC00000000000E +:0442AD00000000000D +:0442AE00000000000C +:0442AF00000000000B +:0442B000000000000A +:0442B1000000000009 +:0442B2000000000008 +:0442B3000000000007 +:0442B4000000000006 +:0442B5000000000005 +:0442B6000000000004 +:0442B7000000000003 +:0442B8000000000002 +:0442B9000000000001 +:0442BA000000000000 +:0442BB0000000000FF +:0442BC0000000000FE +:0442BD0000000000FD +:0442BE0000000000FC +:0442BF0000000000FB +:0442C00000000000FA +:0442C10000000000F9 +:0442C20000000000F8 +:0442C30000000000F7 +:0442C40000000000F6 +:0442C50000000000F5 +:0442C60000000000F4 +:0442C70000000000F3 +:0442C80000000000F2 +:0442C90000000000F1 +:0442CA0000000000F0 +:0442CB0000000000EF +:0442CC0000000000EE +:0442CD0000000000ED +:0442CE0000000000EC +:0442CF0000000000EB +:0442D00000000000EA +:0442D10000000000E9 +:0442D20000000000E8 +:0442D30000000000E7 +:0442D40000000000E6 +:0442D50000000000E5 +:0442D60000000000E4 +:0442D70000000000E3 +:0442D80000000000E2 +:0442D90000000000E1 +:0442DA0000000000E0 +:0442DB0000000000DF +:0442DC0000000000DE +:0442DD0000000000DD +:0442DE0000000000DC +:0442DF0000000000DB +:0442E00000000000DA +:0442E10000000000D9 +:0442E20000000000D8 +:0442E30000000000D7 +:0442E40000000000D6 +:0442E50000000000D5 +:0442E60000000000D4 +:0442E70000000000D3 +:0442E80000000000D2 +:0442E90000000000D1 +:0442EA0000000000D0 +:0442EB0000000000CF +:0442EC0000000000CE +:0442ED0000000000CD +:0442EE0000000000CC +:0442EF0000000000CB +:0442F00000000000CA +:0442F10000000000C9 +:0442F20000000000C8 +:0442F30000000000C7 +:0442F40000000000C6 +:0442F50000000000C5 +:0442F60000000000C4 +:0442F70000000000C3 +:0442F80000000000C2 +:0442F90000000000C1 +:0442FA0000000000C0 +:0442FB0000000000BF +:0442FC0000000000BE +:0442FD0000000000BD +:0442FE0000000000BC +:0442FF0000000000BB +:0443000000000000B9 +:0443010000000000B8 +:0443020000000000B7 +:0443030000000000B6 +:0443040000000000B5 +:0443050000000000B4 +:0443060000000000B3 +:0443070000000000B2 +:0443080000000000B1 +:0443090000000000B0 +:04430A0000000000AF +:04430B0000000000AE +:04430C0000000000AD +:04430D0000000000AC +:04430E0000000000AB +:04430F0000000000AA +:0443100000000000A9 +:0443110000000000A8 +:0443120000000000A7 +:0443130000000000A6 +:0443140000000000A5 +:0443150000000000A4 +:0443160000000000A3 +:0443170000000000A2 +:0443180000000000A1 +:0443190000000000A0 +:04431A00000000009F +:04431B00000000009E +:04431C00000000009D +:04431D00000000009C +:04431E00000000009B +:04431F00000000009A +:044320000000000099 +:044321000000000098 +:044322000000000097 +:044323000000000096 +:044324000000000095 +:044325000000000094 +:044326000000000093 +:044327000000000092 +:044328000000000091 +:044329000000000090 +:04432A00000000008F +:04432B00000000008E +:04432C00000000008D +:04432D00000000008C +:04432E00000000008B +:04432F00000000008A +:044330000000000089 +:044331000000000088 +:044332000000000087 +:044333000000000086 +:044334000000000085 +:044335000000000084 +:044336000000000083 +:044337000000000082 +:044338000000000081 +:044339000000000080 +:04433A00000000007F +:04433B00000000007E +:04433C00000000007D +:04433D00000000007C +:04433E00000000007B +:04433F00000000007A +:044340000000000079 +:044341000000000078 +:044342000000000077 +:044343000000000076 +:044344000000000075 +:044345000000000074 +:044346000000000073 +:044347000000000072 +:044348000000000071 +:044349000000000070 +:04434A00000000006F +:04434B00000000006E +:04434C00000000006D +:04434D00000000006C +:04434E00000000006B +:04434F00000000006A +:044350000000000069 +:044351000000000068 +:044352000000000067 +:044353000000000066 +:044354000000000065 +:044355000000000064 +:044356000000000063 +:044357000000000062 +:044358000000000061 +:044359000000000060 +:04435A00000000005F +:04435B00000000005E +:04435C00000000005D +:04435D00000000005C +:04435E00000000005B +:04435F00000000005A +:044360000000000059 +:044361000000000058 +:044362000000000057 +:044363000000000056 +:044364000000000055 +:044365000000000054 +:044366000000000053 +:044367000000000052 +:044368000000000051 +:044369000000000050 +:04436A00000000004F +:04436B00000000004E +:04436C00000000004D +:04436D00000000004C +:04436E00000000004B +:04436F00000000004A +:044370000000000049 +:044371000000000048 +:044372000000000047 +:044373000000000046 +:044374000000000045 +:044375000000000044 +:044376000000000043 +:044377000000000042 +:044378000000000041 +:044379000000000040 +:04437A00000000003F +:04437B00000000003E +:04437C00000000003D +:04437D00000000003C +:04437E00000000003B +:04437F00000000003A +:044380000000000039 +:044381000000000038 +:044382000000000037 +:044383000000000036 +:044384000000000035 +:044385000000000034 +:044386000000000033 +:044387000000000032 +:044388000000000031 +:044389000000000030 +:04438A00000000002F +:04438B00000000002E +:04438C00000000002D +:04438D00000000002C +:04438E00000000002B +:04438F00000000002A +:044390000000000029 +:044391000000000028 +:044392000000000027 +:044393000000000026 +:044394000000000025 +:044395000000000024 +:044396000000000023 +:044397000000000022 +:044398000000000021 +:044399000000000020 +:04439A00000000001F +:04439B00000000001E +:04439C00000000001D +:04439D00000000001C +:04439E00000000001B +:04439F00000000001A +:0443A0000000000019 +:0443A1000000000018 +:0443A2000000000017 +:0443A3000000000016 +:0443A4000000000015 +:0443A5000000000014 +:0443A6000000000013 +:0443A7000000000012 +:0443A8000000000011 +:0443A9000000000010 +:0443AA00000000000F +:0443AB00000000000E +:0443AC00000000000D +:0443AD00000000000C +:0443AE00000000000B +:0443AF00000000000A +:0443B0000000000009 +:0443B1000000000008 +:0443B2000000000007 +:0443B3000000000006 +:0443B4000000000005 +:0443B5000000000004 +:0443B6000000000003 +:0443B7000000000002 +:0443B8000000000001 +:0443B9000000000000 +:0443BA0000000000FF +:0443BB0000000000FE +:0443BC0000000000FD +:0443BD0000000000FC +:0443BE0000000000FB +:0443BF0000000000FA +:0443C00000000000F9 +:0443C10000000000F8 +:0443C20000000000F7 +:0443C30000000000F6 +:0443C40000000000F5 +:0443C50000000000F4 +:0443C60000000000F3 +:0443C70000000000F2 +:0443C80000000000F1 +:0443C90000000000F0 +:0443CA0000000000EF +:0443CB0000000000EE +:0443CC0000000000ED +:0443CD0000000000EC +:0443CE0000000000EB +:0443CF0000000000EA +:0443D00000000000E9 +:0443D10000000000E8 +:0443D20000000000E7 +:0443D30000000000E6 +:0443D40000000000E5 +:0443D50000000000E4 +:0443D60000000000E3 +:0443D70000000000E2 +:0443D80000000000E1 +:0443D90000000000E0 +:0443DA0000000000DF +:0443DB0000000000DE +:0443DC0000000000DD +:0443DD0000000000DC +:0443DE0000000000DB +:0443DF0000000000DA +:0443E00000000000D9 +:0443E10000000000D8 +:0443E20000000000D7 +:0443E30000000000D6 +:0443E40000000000D5 +:0443E50000000000D4 +:0443E60000000000D3 +:0443E70000000000D2 +:0443E80000000000D1 +:0443E90000000000D0 +:0443EA0000000000CF +:0443EB0000000000CE +:0443EC0000000000CD +:0443ED0000000000CC +:0443EE0000000000CB +:0443EF0000000000CA +:0443F00000000000C9 +:0443F10000000000C8 +:0443F20000000000C7 +:0443F30000000000C6 +:0443F40000000000C5 +:0443F50000000000C4 +:0443F60000000000C3 +:0443F70000000000C2 +:0443F80000000000C1 +:0443F90000000000C0 +:0443FA0000000000BF +:0443FB0000000000BE +:0443FC0000000000BD +:0443FD0000000000BC +:0443FE0000000000BB +:0443FF0000000000BA +:0444000000000000B8 +:0444010000000000B7 +:0444020000000000B6 +:0444030000000000B5 +:0444040000000000B4 +:0444050000000000B3 +:0444060000000000B2 +:0444070000000000B1 +:0444080000000000B0 +:0444090000000000AF +:04440A0000000000AE +:04440B0000000000AD +:04440C0000000000AC +:04440D0000000000AB +:04440E0000000000AA +:04440F0000000000A9 +:0444100000000000A8 +:0444110000000000A7 +:0444120000000000A6 +:0444130000000000A5 +:0444140000000000A4 +:0444150000000000A3 +:0444160000000000A2 +:0444170000000000A1 +:0444180000000000A0 +:04441900000000009F +:04441A00000000009E +:04441B00000000009D +:04441C00000000009C +:04441D00000000009B +:04441E00000000009A +:04441F000000000099 +:044420000000000098 +:044421000000000097 +:044422000000000096 +:044423000000000095 +:044424000000000094 +:044425000000000093 +:044426000000000092 +:044427000000000091 +:044428000000000090 +:04442900000000008F +:04442A00000000008E +:04442B00000000008D +:04442C00000000008C +:04442D00000000008B +:04442E00000000008A +:04442F000000000089 +:044430000000000088 +:044431000000000087 +:044432000000000086 +:044433000000000085 +:044434000000000084 +:044435000000000083 +:044436000000000082 +:044437000000000081 +:044438000000000080 +:04443900000000007F +:04443A00000000007E +:04443B00000000007D +:04443C00000000007C +:04443D00000000007B +:04443E00000000007A +:04443F000000000079 +:044440000000000078 +:044441000000000077 +:044442000000000076 +:044443000000000075 +:044444000000000074 +:044445000000000073 +:044446000000000072 +:044447000000000071 +:044448000000000070 +:04444900000000006F +:04444A00000000006E +:04444B00000000006D +:04444C00000000006C +:04444D00000000006B +:04444E00000000006A +:04444F000000000069 +:044450000000000068 +:044451000000000067 +:044452000000000066 +:044453000000000065 +:044454000000000064 +:044455000000000063 +:044456000000000062 +:044457000000000061 +:044458000000000060 +:04445900000000005F +:04445A00000000005E +:04445B00000000005D +:04445C00000000005C +:04445D00000000005B +:04445E00000000005A +:04445F000000000059 +:044460000000000058 +:044461000000000057 +:044462000000000056 +:044463000000000055 +:044464000000000054 +:044465000000000053 +:044466000000000052 +:044467000000000051 +:044468000000000050 +:04446900000000004F +:04446A00000000004E +:04446B00000000004D +:04446C00000000004C +:04446D00000000004B +:04446E00000000004A +:04446F000000000049 +:044470000000000048 +:044471000000000047 +:044472000000000046 +:044473000000000045 +:044474000000000044 +:044475000000000043 +:044476000000000042 +:044477000000000041 +:044478000000000040 +:04447900000000003F +:04447A00000000003E +:04447B00000000003D +:04447C00000000003C +:04447D00000000003B +:04447E00000000003A +:04447F000000000039 +:044480000000000038 +:044481000000000037 +:044482000000000036 +:044483000000000035 +:044484000000000034 +:044485000000000033 +:044486000000000032 +:044487000000000031 +:044488000000000030 +:04448900000000002F +:04448A00000000002E +:04448B00000000002D +:04448C00000000002C +:04448D00000000002B +:04448E00000000002A +:04448F000000000029 +:044490000000000028 +:044491000000000027 +:044492000000000026 +:044493000000000025 +:044494000000000024 +:044495000000000023 +:044496000000000022 +:044497000000000021 +:044498000000000020 +:04449900000000001F +:04449A00000000001E +:04449B00000000001D +:04449C00000000001C +:04449D00000000001B +:04449E00000000001A +:04449F000000000019 +:0444A0000000000018 +:0444A1000000000017 +:0444A2000000000016 +:0444A3000000000015 +:0444A4000000000014 +:0444A5000000000013 +:0444A6000000000012 +:0444A7000000000011 +:0444A8000000000010 +:0444A900000000000F +:0444AA00000000000E +:0444AB00000000000D +:0444AC00000000000C +:0444AD00000000000B +:0444AE00000000000A +:0444AF000000000009 +:0444B0000000000008 +:0444B1000000000007 +:0444B2000000000006 +:0444B3000000000005 +:0444B4000000000004 +:0444B5000000000003 +:0444B6000000000002 +:0444B7000000000001 +:0444B8000000000000 +:0444B90000000000FF +:0444BA0000000000FE +:0444BB0000000000FD +:0444BC0000000000FC +:0444BD0000000000FB +:0444BE0000000000FA +:0444BF0000000000F9 +:0444C00000000000F8 +:0444C10000000000F7 +:0444C20000000000F6 +:0444C30000000000F5 +:0444C40000000000F4 +:0444C50000000000F3 +:0444C60000000000F2 +:0444C70000000000F1 +:0444C80000000000F0 +:0444C90000000000EF +:0444CA0000000000EE +:0444CB0000000000ED +:0444CC0000000000EC +:0444CD0000000000EB +:0444CE0000000000EA +:0444CF0000000000E9 +:0444D00000000000E8 +:0444D10000000000E7 +:0444D20000000000E6 +:0444D30000000000E5 +:0444D40000000000E4 +:0444D50000000000E3 +:0444D60000000000E2 +:0444D70000000000E1 +:0444D80000000000E0 +:0444D90000000000DF +:0444DA0000000000DE +:0444DB0000000000DD +:0444DC0000000000DC +:0444DD0000000000DB +:0444DE0000000000DA +:0444DF0000000000D9 +:0444E00000000000D8 +:0444E10000000000D7 +:0444E20000000000D6 +:0444E30000000000D5 +:0444E40000000000D4 +:0444E50000000000D3 +:0444E60000000000D2 +:0444E70000000000D1 +:0444E80000000000D0 +:0444E90000000000CF +:0444EA0000000000CE +:0444EB0000000000CD +:0444EC0000000000CC +:0444ED0000000000CB +:0444EE0000000000CA +:0444EF0000000000C9 +:0444F00000000000C8 +:0444F10000000000C7 +:0444F20000000000C6 +:0444F30000000000C5 +:0444F40000000000C4 +:0444F50000000000C3 +:0444F60000000000C2 +:0444F70000000000C1 +:0444F80000000000C0 +:0444F90000000000BF +:0444FA0000000000BE +:0444FB0000000000BD +:0444FC0000000000BC +:0444FD0000000000BB +:0444FE0000000000BA +:0444FF0000000000B9 +:0445000000000000B7 +:0445010000000000B6 +:0445020000000000B5 +:0445030000000000B4 +:0445040000000000B3 +:0445050000000000B2 +:0445060000000000B1 +:0445070000000000B0 +:0445080000000000AF +:0445090000000000AE +:04450A0000000000AD +:04450B0000000000AC +:04450C0000000000AB +:04450D0000000000AA +:04450E0000000000A9 +:04450F0000000000A8 +:0445100000000000A7 +:0445110000000000A6 +:0445120000000000A5 +:0445130000000000A4 +:0445140000000000A3 +:0445150000000000A2 +:0445160000000000A1 +:0445170000000000A0 +:04451800000000009F +:04451900000000009E +:04451A00000000009D +:04451B00000000009C +:04451C00000000009B +:04451D00000000009A +:04451E000000000099 +:04451F000000000098 +:044520000000000097 +:044521000000000096 +:044522000000000095 +:044523000000000094 +:044524000000000093 +:044525000000000092 +:044526000000000091 +:044527000000000090 +:04452800000000008F +:04452900000000008E +:04452A00000000008D +:04452B00000000008C +:04452C00000000008B +:04452D00000000008A +:04452E000000000089 +:04452F000000000088 +:044530000000000087 +:044531000000000086 +:044532000000000085 +:044533000000000084 +:044534000000000083 +:044535000000000082 +:044536000000000081 +:044537000000000080 +:04453800000000007F +:04453900000000007E +:04453A00000000007D +:04453B00000000007C +:04453C00000000007B +:04453D00000000007A +:04453E000000000079 +:04453F000000000078 +:044540000000000077 +:044541000000000076 +:044542000000000075 +:044543000000000074 +:044544000000000073 +:044545000000000072 +:044546000000000071 +:044547000000000070 +:04454800000000006F +:04454900000000006E +:04454A00000000006D +:04454B00000000006C +:04454C00000000006B +:04454D00000000006A +:04454E000000000069 +:04454F000000000068 +:044550000000000067 +:044551000000000066 +:044552000000000065 +:044553000000000064 +:044554000000000063 +:044555000000000062 +:044556000000000061 +:044557000000000060 +:04455800000000005F +:04455900000000005E +:04455A00000000005D +:04455B00000000005C +:04455C00000000005B +:04455D00000000005A +:04455E000000000059 +:04455F000000000058 +:044560000000000057 +:044561000000000056 +:044562000000000055 +:044563000000000054 +:044564000000000053 +:044565000000000052 +:044566000000000051 +:044567000000000050 +:04456800000000004F +:04456900000000004E +:04456A00000000004D +:04456B00000000004C +:04456C00000000004B +:04456D00000000004A +:04456E000000000049 +:04456F000000000048 +:044570000000000047 +:044571000000000046 +:044572000000000045 +:044573000000000044 +:044574000000000043 +:044575000000000042 +:044576000000000041 +:044577000000000040 +:04457800000000003F +:04457900000000003E +:04457A00000000003D +:04457B00000000003C +:04457C00000000003B +:04457D00000000003A +:04457E000000000039 +:04457F000000000038 +:044580000000000037 +:044581000000000036 +:044582000000000035 +:044583000000000034 +:044584000000000033 +:044585000000000032 +:044586000000000031 +:044587000000000030 +:04458800000000002F +:04458900000000002E +:04458A00000000002D +:04458B00000000002C +:04458C00000000002B +:04458D00000000002A +:04458E000000000029 +:04458F000000000028 +:044590000000000027 +:044591000000000026 +:044592000000000025 +:044593000000000024 +:044594000000000023 +:044595000000000022 +:044596000000000021 +:044597000000000020 +:04459800000000001F +:04459900000000001E +:04459A00000000001D +:04459B00000000001C +:04459C00000000001B +:04459D00000000001A +:04459E000000000019 +:04459F000000000018 +:0445A0000000000017 +:0445A1000000000016 +:0445A2000000000015 +:0445A3000000000014 +:0445A4000000000013 +:0445A5000000000012 +:0445A6000000000011 +:0445A7000000000010 +:0445A800000000000F +:0445A900000000000E +:0445AA00000000000D +:0445AB00000000000C +:0445AC00000000000B +:0445AD00000000000A +:0445AE000000000009 +:0445AF000000000008 +:0445B0000000000007 +:0445B1000000000006 +:0445B2000000000005 +:0445B3000000000004 +:0445B4000000000003 +:0445B5000000000002 +:0445B6000000000001 +:0445B7000000000000 +:0445B80000000000FF +:0445B90000000000FE +:0445BA0000000000FD +:0445BB0000000000FC +:0445BC0000000000FB +:0445BD0000000000FA +:0445BE0000000000F9 +:0445BF0000000000F8 +:0445C00000000000F7 +:0445C10000000000F6 +:0445C20000000000F5 +:0445C30000000000F4 +:0445C40000000000F3 +:0445C50000000000F2 +:0445C60000000000F1 +:0445C70000000000F0 +:0445C80000000000EF +:0445C90000000000EE +:0445CA0000000000ED +:0445CB0000000000EC +:0445CC0000000000EB +:0445CD0000000000EA +:0445CE0000000000E9 +:0445CF0000000000E8 +:0445D00000000000E7 +:0445D10000000000E6 +:0445D20000000000E5 +:0445D30000000000E4 +:0445D40000000000E3 +:0445D50000000000E2 +:0445D60000000000E1 +:0445D70000000000E0 +:0445D80000000000DF +:0445D90000000000DE +:0445DA0000000000DD +:0445DB0000000000DC +:0445DC0000000000DB +:0445DD0000000000DA +:0445DE0000000000D9 +:0445DF0000000000D8 +:0445E00000000000D7 +:0445E10000000000D6 +:0445E20000000000D5 +:0445E30000000000D4 +:0445E40000000000D3 +:0445E50000000000D2 +:0445E60000000000D1 +:0445E70000000000D0 +:0445E80000000000CF +:0445E90000000000CE +:0445EA0000000000CD +:0445EB0000000000CC +:0445EC0000000000CB +:0445ED0000000000CA +:0445EE0000000000C9 +:0445EF0000000000C8 +:0445F00000000000C7 +:0445F10000000000C6 +:0445F20000000000C5 +:0445F30000000000C4 +:0445F40000000000C3 +:0445F50000000000C2 +:0445F60000000000C1 +:0445F70000000000C0 +:0445F80000000000BF +:0445F90000000000BE +:0445FA0000000000BD +:0445FB0000000000BC +:0445FC0000000000BB +:0445FD0000000000BA +:0445FE0000000000B9 +:0445FF0000000000B8 +:0446000000000000B6 +:0446010000000000B5 +:0446020000000000B4 +:0446030000000000B3 +:0446040000000000B2 +:0446050000000000B1 +:0446060000000000B0 +:0446070000000000AF +:0446080000000000AE +:0446090000000000AD +:04460A0000000000AC +:04460B0000000000AB +:04460C0000000000AA +:04460D0000000000A9 +:04460E0000000000A8 +:04460F0000000000A7 +:0446100000000000A6 +:0446110000000000A5 +:0446120000000000A4 +:0446130000000000A3 +:0446140000000000A2 +:0446150000000000A1 +:0446160000000000A0 +:04461700000000009F +:04461800000000009E +:04461900000000009D +:04461A00000000009C +:04461B00000000009B +:04461C00000000009A +:04461D000000000099 +:04461E000000000098 +:04461F000000000097 +:044620000000000096 +:044621000000000095 +:044622000000000094 +:044623000000000093 +:044624000000000092 +:044625000000000091 +:044626000000000090 +:04462700000000008F +:04462800000000008E +:04462900000000008D +:04462A00000000008C +:04462B00000000008B +:04462C00000000008A +:04462D000000000089 +:04462E000000000088 +:04462F000000000087 +:044630000000000086 +:044631000000000085 +:044632000000000084 +:044633000000000083 +:044634000000000082 +:044635000000000081 +:044636000000000080 +:04463700000000007F +:04463800000000007E +:04463900000000007D +:04463A00000000007C +:04463B00000000007B +:04463C00000000007A +:04463D000000000079 +:04463E000000000078 +:04463F000000000077 +:044640000000000076 +:044641000000000075 +:044642000000000074 +:044643000000000073 +:044644000000000072 +:044645000000000071 +:044646000000000070 +:04464700000000006F +:04464800000000006E +:04464900000000006D +:04464A00000000006C +:04464B00000000006B +:04464C00000000006A +:04464D000000000069 +:04464E000000000068 +:04464F000000000067 +:044650000000000066 +:044651000000000065 +:044652000000000064 +:044653000000000063 +:044654000000000062 +:044655000000000061 +:044656000000000060 +:04465700000000005F +:04465800000000005E +:04465900000000005D +:04465A00000000005C +:04465B00000000005B +:04465C00000000005A +:04465D000000000059 +:04465E000000000058 +:04465F000000000057 +:044660000000000056 +:044661000000000055 +:044662000000000054 +:044663000000000053 +:044664000000000052 +:044665000000000051 +:044666000000000050 +:04466700000000004F +:04466800000000004E +:04466900000000004D +:04466A00000000004C +:04466B00000000004B +:04466C00000000004A +:04466D000000000049 +:04466E000000000048 +:04466F000000000047 +:044670000000000046 +:044671000000000045 +:044672000000000044 +:044673000000000043 +:044674000000000042 +:044675000000000041 +:044676000000000040 +:04467700000000003F +:04467800000000003E +:04467900000000003D +:04467A00000000003C +:04467B00000000003B +:04467C00000000003A +:04467D000000000039 +:04467E000000000038 +:04467F000000000037 +:044680000000000036 +:044681000000000035 +:044682000000000034 +:044683000000000033 +:044684000000000032 +:044685000000000031 +:044686000000000030 +:04468700000000002F +:04468800000000002E +:04468900000000002D +:04468A00000000002C +:04468B00000000002B +:04468C00000000002A +:04468D000000000029 +:04468E000000000028 +:04468F000000000027 +:044690000000000026 +:044691000000000025 +:044692000000000024 +:044693000000000023 +:044694000000000022 +:044695000000000021 +:044696000000000020 +:04469700000000001F +:04469800000000001E +:04469900000000001D +:04469A00000000001C +:04469B00000000001B +:04469C00000000001A +:04469D000000000019 +:04469E000000000018 +:04469F000000000017 +:0446A0000000000016 +:0446A1000000000015 +:0446A2000000000014 +:0446A3000000000013 +:0446A4000000000012 +:0446A5000000000011 +:0446A6000000000010 +:0446A700000000000F +:0446A800000000000E +:0446A900000000000D +:0446AA00000000000C +:0446AB00000000000B +:0446AC00000000000A +:0446AD000000000009 +:0446AE000000000008 +:0446AF000000000007 +:0446B0000000000006 +:0446B1000000000005 +:0446B2000000000004 +:0446B3000000000003 +:0446B4000000000002 +:0446B5000000000001 +:0446B6000000000000 +:0446B70000000000FF +:0446B80000000000FE +:0446B90000000000FD +:0446BA0000000000FC +:0446BB0000000000FB +:0446BC0000000000FA +:0446BD0000000000F9 +:0446BE0000000000F8 +:0446BF0000000000F7 +:0446C00000000000F6 +:0446C10000000000F5 +:0446C20000000000F4 +:0446C30000000000F3 +:0446C40000000000F2 +:0446C50000000000F1 +:0446C60000000000F0 +:0446C70000000000EF +:0446C80000000000EE +:0446C90000000000ED +:0446CA0000000000EC +:0446CB0000000000EB +:0446CC0000000000EA +:0446CD0000000000E9 +:0446CE0000000000E8 +:0446CF0000000000E7 +:0446D00000000000E6 +:0446D10000000000E5 +:0446D20000000000E4 +:0446D30000000000E3 +:0446D40000000000E2 +:0446D50000000000E1 +:0446D60000000000E0 +:0446D70000000000DF +:0446D80000000000DE +:0446D90000000000DD +:0446DA0000000000DC +:0446DB0000000000DB +:0446DC0000000000DA +:0446DD0000000000D9 +:0446DE0000000000D8 +:0446DF0000000000D7 +:0446E00000000000D6 +:0446E10000000000D5 +:0446E20000000000D4 +:0446E30000000000D3 +:0446E40000000000D2 +:0446E50000000000D1 +:0446E60000000000D0 +:0446E70000000000CF +:0446E80000000000CE +:0446E90000000000CD +:0446EA0000000000CC +:0446EB0000000000CB +:0446EC0000000000CA +:0446ED0000000000C9 +:0446EE0000000000C8 +:0446EF0000000000C7 +:0446F00000000000C6 +:0446F10000000000C5 +:0446F20000000000C4 +:0446F30000000000C3 +:0446F40000000000C2 +:0446F50000000000C1 +:0446F60000000000C0 +:0446F70000000000BF +:0446F80000000000BE +:0446F90000000000BD +:0446FA0000000000BC +:0446FB0000000000BB +:0446FC0000000000BA +:0446FD0000000000B9 +:0446FE0000000000B8 +:0446FF0000000000B7 +:0447000000000000B5 +:0447010000000000B4 +:0447020000000000B3 +:0447030000000000B2 +:0447040000000000B1 +:0447050000000000B0 +:0447060000000000AF +:0447070000000000AE +:0447080000000000AD +:0447090000000000AC +:04470A0000000000AB +:04470B0000000000AA +:04470C0000000000A9 +:04470D0000000000A8 +:04470E0000000000A7 +:04470F0000000000A6 +:0447100000000000A5 +:0447110000000000A4 +:0447120000000000A3 +:0447130000000000A2 +:0447140000000000A1 +:0447150000000000A0 +:04471600000000009F +:04471700000000009E +:04471800000000009D +:04471900000000009C +:04471A00000000009B +:04471B00000000009A +:04471C000000000099 +:04471D000000000098 +:04471E000000000097 +:04471F000000000096 +:044720000000000095 +:044721000000000094 +:044722000000000093 +:044723000000000092 +:044724000000000091 +:044725000000000090 +:04472600000000008F +:04472700000000008E +:04472800000000008D +:04472900000000008C +:04472A00000000008B +:04472B00000000008A +:04472C000000000089 +:04472D000000000088 +:04472E000000000087 +:04472F000000000086 +:044730000000000085 +:044731000000000084 +:044732000000000083 +:044733000000000082 +:044734000000000081 +:044735000000000080 +:04473600000000007F +:04473700000000007E +:04473800000000007D +:04473900000000007C +:04473A00000000007B +:04473B00000000007A +:04473C000000000079 +:04473D000000000078 +:04473E000000000077 +:04473F000000000076 +:044740000000000075 +:044741000000000074 +:044742000000000073 +:044743000000000072 +:044744000000000071 +:044745000000000070 +:04474600000000006F +:04474700000000006E +:04474800000000006D +:04474900000000006C +:04474A00000000006B +:04474B00000000006A +:04474C000000000069 +:04474D000000000068 +:04474E000000000067 +:04474F000000000066 +:044750000000000065 +:044751000000000064 +:044752000000000063 +:044753000000000062 +:044754000000000061 +:044755000000000060 +:04475600000000005F +:04475700000000005E +:04475800000000005D +:04475900000000005C +:04475A00000000005B +:04475B00000000005A +:04475C000000000059 +:04475D000000000058 +:04475E000000000057 +:04475F000000000056 +:044760000000000055 +:044761000000000054 +:044762000000000053 +:044763000000000052 +:044764000000000051 +:044765000000000050 +:04476600000000004F +:04476700000000004E +:04476800000000004D +:04476900000000004C +:04476A00000000004B +:04476B00000000004A +:04476C000000000049 +:04476D000000000048 +:04476E000000000047 +:04476F000000000046 +:044770000000000045 +:044771000000000044 +:044772000000000043 +:044773000000000042 +:044774000000000041 +:044775000000000040 +:04477600000000003F +:04477700000000003E +:04477800000000003D +:04477900000000003C +:04477A00000000003B +:04477B00000000003A +:04477C000000000039 +:04477D000000000038 +:04477E000000000037 +:04477F000000000036 +:044780000000000035 +:044781000000000034 +:044782000000000033 +:044783000000000032 +:044784000000000031 +:044785000000000030 +:04478600000000002F +:04478700000000002E +:04478800000000002D +:04478900000000002C +:04478A00000000002B +:04478B00000000002A +:04478C000000000029 +:04478D000000000028 +:04478E000000000027 +:04478F000000000026 +:044790000000000025 +:044791000000000024 +:044792000000000023 +:044793000000000022 +:044794000000000021 +:044795000000000020 +:04479600000000001F +:04479700000000001E +:04479800000000001D +:04479900000000001C +:04479A00000000001B +:04479B00000000001A +:04479C000000000019 +:04479D000000000018 +:04479E000000000017 +:04479F000000000016 +:0447A0000000000015 +:0447A1000000000014 +:0447A2000000000013 +:0447A3000000000012 +:0447A4000000000011 +:0447A5000000000010 +:0447A600000000000F +:0447A700000000000E +:0447A800000000000D +:0447A900000000000C +:0447AA00000000000B +:0447AB00000000000A +:0447AC000000000009 +:0447AD000000000008 +:0447AE000000000007 +:0447AF000000000006 +:0447B0000000000005 +:0447B1000000000004 +:0447B2000000000003 +:0447B3000000000002 +:0447B4000000000001 +:0447B5000000000000 +:0447B60000000000FF +:0447B70000000000FE +:0447B80000000000FD +:0447B90000000000FC +:0447BA0000000000FB +:0447BB0000000000FA +:0447BC0000000000F9 +:0447BD0000000000F8 +:0447BE0000000000F7 +:0447BF0000000000F6 +:0447C00000000000F5 +:0447C10000000000F4 +:0447C20000000000F3 +:0447C30000000000F2 +:0447C40000000000F1 +:0447C50000000000F0 +:0447C60000000000EF +:0447C70000000000EE +:0447C80000000000ED +:0447C90000000000EC +:0447CA0000000000EB +:0447CB0000000000EA +:0447CC0000000000E9 +:0447CD0000000000E8 +:0447CE0000000000E7 +:0447CF0000000000E6 +:0447D00000000000E5 +:0447D10000000000E4 +:0447D20000000000E3 +:0447D30000000000E2 +:0447D40000000000E1 +:0447D50000000000E0 +:0447D60000000000DF +:0447D70000000000DE +:0447D80000000000DD +:0447D90000000000DC +:0447DA0000000000DB +:0447DB0000000000DA +:0447DC0000000000D9 +:0447DD0000000000D8 +:0447DE0000000000D7 +:0447DF0000000000D6 +:0447E00000000000D5 +:0447E10000000000D4 +:0447E20000000000D3 +:0447E30000000000D2 +:0447E40000000000D1 +:0447E50000000000D0 +:0447E60000000000CF +:0447E70000000000CE +:0447E80000000000CD +:0447E90000000000CC +:0447EA0000000000CB +:0447EB0000000000CA +:0447EC0000000000C9 +:0447ED0000000000C8 +:0447EE0000000000C7 +:0447EF0000000000C6 +:0447F00000000000C5 +:0447F10000000000C4 +:0447F20000000000C3 +:0447F30000000000C2 +:0447F40000000000C1 +:0447F50000000000C0 +:0447F60000000000BF +:0447F70000000000BE +:0447F80000000000BD +:0447F90000000000BC +:0447FA0000000000BB +:0447FB0000000000BA +:0447FC0000000000B9 +:0447FD0000000000B8 +:0447FE0000000000B7 +:0447FF0000000000B6 +:0448000000000000B4 +:0448010000000000B3 +:0448020000000000B2 +:0448030000000000B1 +:0448040000000000B0 +:0448050000000000AF +:0448060000000000AE +:0448070000000000AD +:0448080000000000AC +:0448090000000000AB +:04480A0000000000AA +:04480B0000000000A9 +:04480C0000000000A8 +:04480D0000000000A7 +:04480E0000000000A6 +:04480F0000000000A5 +:0448100000000000A4 +:0448110000000000A3 +:0448120000000000A2 +:0448130000000000A1 +:0448140000000000A0 +:04481500000000009F +:04481600000000009E +:04481700000000009D +:04481800000000009C +:04481900000000009B +:04481A00000000009A +:04481B000000000099 +:04481C000000000098 +:04481D000000000097 +:04481E000000000096 +:04481F000000000095 +:044820000000000094 +:044821000000000093 +:044822000000000092 +:044823000000000091 +:044824000000000090 +:04482500000000008F +:04482600000000008E +:04482700000000008D +:04482800000000008C +:04482900000000008B +:04482A00000000008A +:04482B000000000089 +:04482C000000000088 +:04482D000000000087 +:04482E000000000086 +:04482F000000000085 +:044830000000000084 +:044831000000000083 +:044832000000000082 +:044833000000000081 +:044834000000000080 +:04483500000000007F +:04483600000000007E +:04483700000000007D +:04483800000000007C +:04483900000000007B +:04483A00000000007A +:04483B000000000079 +:04483C000000000078 +:04483D000000000077 +:04483E000000000076 +:04483F000000000075 +:044840000000000074 +:044841000000000073 +:044842000000000072 +:044843000000000071 +:044844000000000070 +:04484500000000006F +:04484600000000006E +:04484700000000006D +:04484800000000006C +:04484900000000006B +:04484A00000000006A +:04484B000000000069 +:04484C000000000068 +:04484D000000000067 +:04484E000000000066 +:04484F000000000065 +:044850000000000064 +:044851000000000063 +:044852000000000062 +:044853000000000061 +:044854000000000060 +:04485500000000005F +:04485600000000005E +:04485700000000005D +:04485800000000005C +:04485900000000005B +:04485A00000000005A +:04485B000000000059 +:04485C000000000058 +:04485D000000000057 +:04485E000000000056 +:04485F000000000055 +:044860000000000054 +:044861000000000053 +:044862000000000052 +:044863000000000051 +:044864000000000050 +:04486500000000004F +:04486600000000004E +:04486700000000004D +:04486800000000004C +:04486900000000004B +:04486A00000000004A +:04486B000000000049 +:04486C000000000048 +:04486D000000000047 +:04486E000000000046 +:04486F000000000045 +:044870000000000044 +:044871000000000043 +:044872000000000042 +:044873000000000041 +:044874000000000040 +:04487500000000003F +:04487600000000003E +:04487700000000003D +:04487800000000003C +:04487900000000003B +:04487A00000000003A +:04487B000000000039 +:04487C000000000038 +:04487D000000000037 +:04487E000000000036 +:04487F000000000035 +:044880000000000034 +:044881000000000033 +:044882000000000032 +:044883000000000031 +:044884000000000030 +:04488500000000002F +:04488600000000002E +:04488700000000002D +:04488800000000002C +:04488900000000002B +:04488A00000000002A +:04488B000000000029 +:04488C000000000028 +:04488D000000000027 +:04488E000000000026 +:04488F000000000025 +:044890000000000024 +:044891000000000023 +:044892000000000022 +:044893000000000021 +:044894000000000020 +:04489500000000001F +:04489600000000001E +:04489700000000001D +:04489800000000001C +:04489900000000001B +:04489A00000000001A +:04489B000000000019 +:04489C000000000018 +:04489D000000000017 +:04489E000000000016 +:04489F000000000015 +:0448A0000000000014 +:0448A1000000000013 +:0448A2000000000012 +:0448A3000000000011 +:0448A4000000000010 +:0448A500000000000F +:0448A600000000000E +:0448A700000000000D +:0448A800000000000C +:0448A900000000000B +:0448AA00000000000A +:0448AB000000000009 +:0448AC000000000008 +:0448AD000000000007 +:0448AE000000000006 +:0448AF000000000005 +:0448B0000000000004 +:0448B1000000000003 +:0448B2000000000002 +:0448B3000000000001 +:0448B4000000000000 +:0448B50000000000FF +:0448B60000000000FE +:0448B70000000000FD +:0448B80000000000FC +:0448B90000000000FB +:0448BA0000000000FA +:0448BB0000000000F9 +:0448BC0000000000F8 +:0448BD0000000000F7 +:0448BE0000000000F6 +:0448BF0000000000F5 +:0448C00000000000F4 +:0448C10000000000F3 +:0448C20000000000F2 +:0448C30000000000F1 +:0448C40000000000F0 +:0448C50000000000EF +:0448C60000000000EE +:0448C70000000000ED +:0448C80000000000EC +:0448C90000000000EB +:0448CA0000000000EA +:0448CB0000000000E9 +:0448CC0000000000E8 +:0448CD0000000000E7 +:0448CE0000000000E6 +:0448CF0000000000E5 +:0448D00000000000E4 +:0448D10000000000E3 +:0448D20000000000E2 +:0448D30000000000E1 +:0448D40000000000E0 +:0448D50000000000DF +:0448D60000000000DE +:0448D70000000000DD +:0448D80000000000DC +:0448D90000000000DB +:0448DA0000000000DA +:0448DB0000000000D9 +:0448DC0000000000D8 +:0448DD0000000000D7 +:0448DE0000000000D6 +:0448DF0000000000D5 +:0448E00000000000D4 +:0448E10000000000D3 +:0448E20000000000D2 +:0448E30000000000D1 +:0448E40000000000D0 +:0448E50000000000CF +:0448E60000000000CE +:0448E70000000000CD +:0448E80000000000CC +:0448E90000000000CB +:0448EA0000000000CA +:0448EB0000000000C9 +:0448EC0000000000C8 +:0448ED0000000000C7 +:0448EE0000000000C6 +:0448EF0000000000C5 +:0448F00000000000C4 +:0448F10000000000C3 +:0448F20000000000C2 +:0448F30000000000C1 +:0448F40000000000C0 +:0448F50000000000BF +:0448F60000000000BE +:0448F70000000000BD +:0448F80000000000BC +:0448F90000000000BB +:0448FA0000000000BA +:0448FB0000000000B9 +:0448FC0000000000B8 +:0448FD0000000000B7 +:0448FE0000000000B6 +:0448FF0000000000B5 +:0449000000000000B3 +:0449010000000000B2 +:0449020000000000B1 +:0449030000000000B0 +:0449040000000000AF +:0449050000000000AE +:0449060000000000AD +:0449070000000000AC +:0449080000000000AB +:0449090000000000AA +:04490A0000000000A9 +:04490B0000000000A8 +:04490C0000000000A7 +:04490D0000000000A6 +:04490E0000000000A5 +:04490F0000000000A4 +:0449100000000000A3 +:0449110000000000A2 +:0449120000000000A1 +:0449130000000000A0 +:04491400000000009F +:04491500000000009E +:04491600000000009D +:04491700000000009C +:04491800000000009B +:04491900000000009A +:04491A000000000099 +:04491B000000000098 +:04491C000000000097 +:04491D000000000096 +:04491E000000000095 +:04491F000000000094 +:044920000000000093 +:044921000000000092 +:044922000000000091 +:044923000000000090 +:04492400000000008F +:04492500000000008E +:04492600000000008D +:04492700000000008C +:04492800000000008B +:04492900000000008A +:04492A000000000089 +:04492B000000000088 +:04492C000000000087 +:04492D000000000086 +:04492E000000000085 +:04492F000000000084 +:044930000000000083 +:044931000000000082 +:044932000000000081 +:044933000000000080 +:04493400000000007F +:04493500000000007E +:04493600000000007D +:04493700000000007C +:04493800000000007B +:04493900000000007A +:04493A000000000079 +:04493B000000000078 +:04493C000000000077 +:04493D000000000076 +:04493E000000000075 +:04493F000000000074 +:044940000000000073 +:044941000000000072 +:044942000000000071 +:044943000000000070 +:04494400000000006F +:04494500000000006E +:04494600000000006D +:04494700000000006C +:04494800000000006B +:04494900000000006A +:04494A000000000069 +:04494B000000000068 +:04494C000000000067 +:04494D000000000066 +:04494E000000000065 +:04494F000000000064 +:044950000000000063 +:044951000000000062 +:044952000000000061 +:044953000000000060 +:04495400000000005F +:04495500000000005E +:04495600000000005D +:04495700000000005C +:04495800000000005B +:04495900000000005A +:04495A000000000059 +:04495B000000000058 +:04495C000000000057 +:04495D000000000056 +:04495E000000000055 +:04495F000000000054 +:044960000000000053 +:044961000000000052 +:044962000000000051 +:044963000000000050 +:04496400000000004F +:04496500000000004E +:04496600000000004D +:04496700000000004C +:04496800000000004B +:04496900000000004A +:04496A000000000049 +:04496B000000000048 +:04496C000000000047 +:04496D000000000046 +:04496E000000000045 +:04496F000000000044 +:044970000000000043 +:044971000000000042 +:044972000000000041 +:044973000000000040 +:04497400000000003F +:04497500000000003E +:04497600000000003D +:04497700000000003C +:04497800000000003B +:04497900000000003A +:04497A000000000039 +:04497B000000000038 +:04497C000000000037 +:04497D000000000036 +:04497E000000000035 +:04497F000000000034 +:044980000000000033 +:044981000000000032 +:044982000000000031 +:044983000000000030 +:04498400000000002F +:04498500000000002E +:04498600000000002D +:04498700000000002C +:04498800000000002B +:04498900000000002A +:04498A000000000029 +:04498B000000000028 +:04498C000000000027 +:04498D000000000026 +:04498E000000000025 +:04498F000000000024 +:044990000000000023 +:044991000000000022 +:044992000000000021 +:044993000000000020 +:04499400000000001F +:04499500000000001E +:04499600000000001D +:04499700000000001C +:04499800000000001B +:04499900000000001A +:04499A000000000019 +:04499B000000000018 +:04499C000000000017 +:04499D000000000016 +:04499E000000000015 +:04499F000000000014 +:0449A0000000000013 +:0449A1000000000012 +:0449A2000000000011 +:0449A3000000000010 +:0449A400000000000F +:0449A500000000000E +:0449A600000000000D +:0449A700000000000C +:0449A800000000000B +:0449A900000000000A +:0449AA000000000009 +:0449AB000000000008 +:0449AC000000000007 +:0449AD000000000006 +:0449AE000000000005 +:0449AF000000000004 +:0449B0000000000003 +:0449B1000000000002 +:0449B2000000000001 +:0449B3000000000000 +:0449B40000000000FF +:0449B50000000000FE +:0449B60000000000FD +:0449B70000000000FC +:0449B80000000000FB +:0449B90000000000FA +:0449BA0000000000F9 +:0449BB0000000000F8 +:0449BC0000000000F7 +:0449BD0000000000F6 +:0449BE0000000000F5 +:0449BF0000000000F4 +:0449C00000000000F3 +:0449C10000000000F2 +:0449C20000000000F1 +:0449C30000000000F0 +:0449C40000000000EF +:0449C50000000000EE +:0449C60000000000ED +:0449C70000000000EC +:0449C80000000000EB +:0449C90000000000EA +:0449CA0000000000E9 +:0449CB0000000000E8 +:0449CC0000000000E7 +:0449CD0000000000E6 +:0449CE0000000000E5 +:0449CF0000000000E4 +:0449D00000000000E3 +:0449D10000000000E2 +:0449D20000000000E1 +:0449D30000000000E0 +:0449D40000000000DF +:0449D50000000000DE +:0449D60000000000DD +:0449D70000000000DC +:0449D80000000000DB +:0449D90000000000DA +:0449DA0000000000D9 +:0449DB0000000000D8 +:0449DC0000000000D7 +:0449DD0000000000D6 +:0449DE0000000000D5 +:0449DF0000000000D4 +:0449E00000000000D3 +:0449E10000000000D2 +:0449E20000000000D1 +:0449E30000000000D0 +:0449E40000000000CF +:0449E50000000000CE +:0449E60000000000CD +:0449E70000000000CC +:0449E80000000000CB +:0449E90000000000CA +:0449EA0000000000C9 +:0449EB0000000000C8 +:0449EC0000000000C7 +:0449ED0000000000C6 +:0449EE0000000000C5 +:0449EF0000000000C4 +:0449F00000000000C3 +:0449F10000000000C2 +:0449F20000000000C1 +:0449F30000000000C0 +:0449F40000000000BF +:0449F50000000000BE +:0449F60000000000BD +:0449F70000000000BC +:0449F80000000000BB +:0449F90000000000BA +:0449FA0000000000B9 +:0449FB0000000000B8 +:0449FC0000000000B7 +:0449FD0000000000B6 +:0449FE0000000000B5 +:0449FF0000000000B4 +:044A000000000000B2 +:044A010000000000B1 +:044A020000000000B0 +:044A030000000000AF +:044A040000000000AE +:044A050000000000AD +:044A060000000000AC +:044A070000000000AB +:044A080000000000AA +:044A090000000000A9 +:044A0A0000000000A8 +:044A0B0000000000A7 +:044A0C0000000000A6 +:044A0D0000000000A5 +:044A0E0000000000A4 +:044A0F0000000000A3 +:044A100000000000A2 +:044A110000000000A1 +:044A120000000000A0 +:044A1300000000009F +:044A1400000000009E +:044A1500000000009D +:044A1600000000009C +:044A1700000000009B +:044A1800000000009A +:044A19000000000099 +:044A1A000000000098 +:044A1B000000000097 +:044A1C000000000096 +:044A1D000000000095 +:044A1E000000000094 +:044A1F000000000093 +:044A20000000000092 +:044A21000000000091 +:044A22000000000090 +:044A2300000000008F +:044A2400000000008E +:044A2500000000008D +:044A2600000000008C +:044A2700000000008B +:044A2800000000008A +:044A29000000000089 +:044A2A000000000088 +:044A2B000000000087 +:044A2C000000000086 +:044A2D000000000085 +:044A2E000000000084 +:044A2F000000000083 +:044A30000000000082 +:044A31000000000081 +:044A32000000000080 +:044A3300000000007F +:044A3400000000007E +:044A3500000000007D +:044A3600000000007C +:044A3700000000007B +:044A3800000000007A +:044A39000000000079 +:044A3A000000000078 +:044A3B000000000077 +:044A3C000000000076 +:044A3D000000000075 +:044A3E000000000074 +:044A3F000000000073 +:044A40000000000072 +:044A41000000000071 +:044A42000000000070 +:044A4300000000006F +:044A4400000000006E +:044A4500000000006D +:044A4600000000006C +:044A4700000000006B +:044A4800000000006A +:044A49000000000069 +:044A4A000000000068 +:044A4B000000000067 +:044A4C000000000066 +:044A4D000000000065 +:044A4E000000000064 +:044A4F000000000063 +:044A50000000000062 +:044A51000000000061 +:044A52000000000060 +:044A5300000000005F +:044A5400000000005E +:044A5500000000005D +:044A5600000000005C +:044A5700000000005B +:044A5800000000005A +:044A59000000000059 +:044A5A000000000058 +:044A5B000000000057 +:044A5C000000000056 +:044A5D000000000055 +:044A5E000000000054 +:044A5F000000000053 +:044A60000000000052 +:044A61000000000051 +:044A62000000000050 +:044A6300000000004F +:044A6400000000004E +:044A6500000000004D +:044A6600000000004C +:044A6700000000004B +:044A6800000000004A +:044A69000000000049 +:044A6A000000000048 +:044A6B000000000047 +:044A6C000000000046 +:044A6D000000000045 +:044A6E000000000044 +:044A6F000000000043 +:044A70000000000042 +:044A71000000000041 +:044A72000000000040 +:044A7300000000003F +:044A7400000000003E +:044A7500000000003D +:044A7600000000003C +:044A7700000000003B +:044A7800000000003A +:044A79000000000039 +:044A7A000000000038 +:044A7B000000000037 +:044A7C000000000036 +:044A7D000000000035 +:044A7E000000000034 +:044A7F000000000033 +:044A80000000000032 +:044A81000000000031 +:044A82000000000030 +:044A8300000000002F +:044A8400000000002E +:044A8500000000002D +:044A8600000000002C +:044A8700000000002B +:044A8800000000002A +:044A89000000000029 +:044A8A000000000028 +:044A8B000000000027 +:044A8C000000000026 +:044A8D000000000025 +:044A8E000000000024 +:044A8F000000000023 +:044A90000000000022 +:044A91000000000021 +:044A92000000000020 +:044A9300000000001F +:044A9400000000001E +:044A9500000000001D +:044A9600000000001C +:044A9700000000001B +:044A9800000000001A +:044A99000000000019 +:044A9A000000000018 +:044A9B000000000017 +:044A9C000000000016 +:044A9D000000000015 +:044A9E000000000014 +:044A9F000000000013 +:044AA0000000000012 +:044AA1000000000011 +:044AA2000000000010 +:044AA300000000000F +:044AA400000000000E +:044AA500000000000D +:044AA600000000000C +:044AA700000000000B +:044AA800000000000A +:044AA9000000000009 +:044AAA000000000008 +:044AAB000000000007 +:044AAC000000000006 +:044AAD000000000005 +:044AAE000000000004 +:044AAF000000000003 +:044AB0000000000002 +:044AB1000000000001 +:044AB2000000000000 +:044AB30000000000FF +:044AB40000000000FE +:044AB50000000000FD +:044AB60000000000FC +:044AB70000000000FB +:044AB80000000000FA +:044AB90000000000F9 +:044ABA0000000000F8 +:044ABB0000000000F7 +:044ABC0000000000F6 +:044ABD0000000000F5 +:044ABE0000000000F4 +:044ABF0000000000F3 +:044AC00000000000F2 +:044AC10000000000F1 +:044AC20000000000F0 +:044AC30000000000EF +:044AC40000000000EE +:044AC50000000000ED +:044AC60000000000EC +:044AC70000000000EB +:044AC80000000000EA +:044AC90000000000E9 +:044ACA0000000000E8 +:044ACB0000000000E7 +:044ACC0000000000E6 +:044ACD0000000000E5 +:044ACE0000000000E4 +:044ACF0000000000E3 +:044AD00000000000E2 +:044AD10000000000E1 +:044AD20000000000E0 +:044AD30000000000DF +:044AD40000000000DE +:044AD50000000000DD +:044AD60000000000DC +:044AD70000000000DB +:044AD80000000000DA +:044AD90000000000D9 +:044ADA0000000000D8 +:044ADB0000000000D7 +:044ADC0000000000D6 +:044ADD0000000000D5 +:044ADE0000000000D4 +:044ADF0000000000D3 +:044AE00000000000D2 +:044AE10000000000D1 +:044AE20000000000D0 +:044AE30000000000CF +:044AE40000000000CE +:044AE50000000000CD +:044AE60000000000CC +:044AE70000000000CB +:044AE80000000000CA +:044AE90000000000C9 +:044AEA0000000000C8 +:044AEB0000000000C7 +:044AEC0000000000C6 +:044AED0000000000C5 +:044AEE0000000000C4 +:044AEF0000000000C3 +:044AF00000000000C2 +:044AF10000000000C1 +:044AF20000000000C0 +:044AF30000000000BF +:044AF40000000000BE +:044AF50000000000BD +:044AF60000000000BC +:044AF70000000000BB +:044AF80000000000BA +:044AF90000000000B9 +:044AFA0000000000B8 +:044AFB0000000000B7 +:044AFC0000000000B6 +:044AFD0000000000B5 +:044AFE0000000000B4 +:044AFF0000000000B3 +:044B000000000000B1 +:044B010000000000B0 +:044B020000000000AF +:044B030000000000AE +:044B040000000000AD +:044B050000000000AC +:044B060000000000AB +:044B070000000000AA +:044B080000000000A9 +:044B090000000000A8 +:044B0A0000000000A7 +:044B0B0000000000A6 +:044B0C0000000000A5 +:044B0D0000000000A4 +:044B0E0000000000A3 +:044B0F0000000000A2 +:044B100000000000A1 +:044B110000000000A0 +:044B1200000000009F +:044B1300000000009E +:044B1400000000009D +:044B1500000000009C +:044B1600000000009B +:044B1700000000009A +:044B18000000000099 +:044B19000000000098 +:044B1A000000000097 +:044B1B000000000096 +:044B1C000000000095 +:044B1D000000000094 +:044B1E000000000093 +:044B1F000000000092 +:044B20000000000091 +:044B21000000000090 +:044B2200000000008F +:044B2300000000008E +:044B2400000000008D +:044B2500000000008C +:044B2600000000008B +:044B2700000000008A +:044B28000000000089 +:044B29000000000088 +:044B2A000000000087 +:044B2B000000000086 +:044B2C000000000085 +:044B2D000000000084 +:044B2E000000000083 +:044B2F000000000082 +:044B30000000000081 +:044B31000000000080 +:044B3200000000007F +:044B3300000000007E +:044B3400000000007D +:044B3500000000007C +:044B3600000000007B +:044B3700000000007A +:044B38000000000079 +:044B39000000000078 +:044B3A000000000077 +:044B3B000000000076 +:044B3C000000000075 +:044B3D000000000074 +:044B3E000000000073 +:044B3F000000000072 +:044B40000000000071 +:044B41000000000070 +:044B4200000000006F +:044B4300000000006E +:044B4400000000006D +:044B4500000000006C +:044B4600000000006B +:044B4700000000006A +:044B48000000000069 +:044B49000000000068 +:044B4A000000000067 +:044B4B000000000066 +:044B4C000000000065 +:044B4D000000000064 +:044B4E000000000063 +:044B4F000000000062 +:044B50000000000061 +:044B51000000000060 +:044B5200000000005F +:044B5300000000005E +:044B5400000000005D +:044B5500000000005C +:044B5600000000005B +:044B5700000000005A +:044B58000000000059 +:044B59000000000058 +:044B5A000000000057 +:044B5B000000000056 +:044B5C000000000055 +:044B5D000000000054 +:044B5E000000000053 +:044B5F000000000052 +:044B60000000000051 +:044B61000000000050 +:044B6200000000004F +:044B6300000000004E +:044B6400000000004D +:044B6500000000004C +:044B6600000000004B +:044B6700000000004A +:044B68000000000049 +:044B69000000000048 +:044B6A000000000047 +:044B6B000000000046 +:044B6C000000000045 +:044B6D000000000044 +:044B6E000000000043 +:044B6F000000000042 +:044B70000000000041 +:044B71000000000040 +:044B7200000000003F +:044B7300000000003E +:044B7400000000003D +:044B7500000000003C +:044B7600000000003B +:044B7700000000003A +:044B78000000000039 +:044B79000000000038 +:044B7A000000000037 +:044B7B000000000036 +:044B7C000000000035 +:044B7D000000000034 +:044B7E000000000033 +:044B7F000000000032 +:044B80000000000031 +:044B81000000000030 +:044B8200000000002F +:044B8300000000002E +:044B8400000000002D +:044B8500000000002C +:044B8600000000002B +:044B8700000000002A +:044B88000000000029 +:044B89000000000028 +:044B8A000000000027 +:044B8B000000000026 +:044B8C000000000025 +:044B8D000000000024 +:044B8E000000000023 +:044B8F000000000022 +:044B90000000000021 +:044B91000000000020 +:044B9200000000001F +:044B9300000000001E +:044B9400000000001D +:044B9500000000001C +:044B9600000000001B +:044B9700000000001A +:044B98000000000019 +:044B99000000000018 +:044B9A000000000017 +:044B9B000000000016 +:044B9C000000000015 +:044B9D000000000014 +:044B9E000000000013 +:044B9F000000000012 +:044BA0000000000011 +:044BA1000000000010 +:044BA200000000000F +:044BA300000000000E +:044BA400000000000D +:044BA500000000000C +:044BA600000000000B +:044BA700000000000A +:044BA8000000000009 +:044BA9000000000008 +:044BAA000000000007 +:044BAB000000000006 +:044BAC000000000005 +:044BAD000000000004 +:044BAE000000000003 +:044BAF000000000002 +:044BB0000000000001 +:044BB1000000000000 +:044BB20000000000FF +:044BB30000000000FE +:044BB40000000000FD +:044BB50000000000FC +:044BB60000000000FB +:044BB70000000000FA +:044BB80000000000F9 +:044BB90000000000F8 +:044BBA0000000000F7 +:044BBB0000000000F6 +:044BBC0000000000F5 +:044BBD0000000000F4 +:044BBE0000000000F3 +:044BBF0000000000F2 +:044BC00000000000F1 +:044BC10000000000F0 +:044BC20000000000EF +:044BC30000000000EE +:044BC40000000000ED +:044BC50000000000EC +:044BC60000000000EB +:044BC70000000000EA +:044BC80000000000E9 +:044BC90000000000E8 +:044BCA0000000000E7 +:044BCB0000000000E6 +:044BCC0000000000E5 +:044BCD0000000000E4 +:044BCE0000000000E3 +:044BCF0000000000E2 +:044BD00000000000E1 +:044BD10000000000E0 +:044BD20000000000DF +:044BD30000000000DE +:044BD40000000000DD +:044BD50000000000DC +:044BD60000000000DB +:044BD70000000000DA +:044BD80000000000D9 +:044BD90000000000D8 +:044BDA0000000000D7 +:044BDB0000000000D6 +:044BDC0000000000D5 +:044BDD0000000000D4 +:044BDE0000000000D3 +:044BDF0000000000D2 +:044BE00000000000D1 +:044BE10000000000D0 +:044BE20000000000CF +:044BE30000000000CE +:044BE40000000000CD +:044BE50000000000CC +:044BE60000000000CB +:044BE70000000000CA +:044BE80000000000C9 +:044BE90000000000C8 +:044BEA0000000000C7 +:044BEB0000000000C6 +:044BEC0000000000C5 +:044BED0000000000C4 +:044BEE0000000000C3 +:044BEF0000000000C2 +:044BF00000000000C1 +:044BF10000000000C0 +:044BF20000000000BF +:044BF30000000000BE +:044BF40000000000BD +:044BF50000000000BC +:044BF60000000000BB +:044BF70000000000BA +:044BF80000000000B9 +:044BF90000000000B8 +:044BFA0000000000B7 +:044BFB0000000000B6 +:044BFC0000000000B5 +:044BFD0000000000B4 +:044BFE0000000000B3 +:044BFF0000000000B2 +:044C000000000000B0 +:044C010000000000AF +:044C020000000000AE +:044C030000000000AD +:044C040000000000AC +:044C050000000000AB +:044C060000000000AA +:044C070000000000A9 +:044C080000000000A8 +:044C090000000000A7 +:044C0A0000000000A6 +:044C0B0000000000A5 +:044C0C0000000000A4 +:044C0D0000000000A3 +:044C0E0000000000A2 +:044C0F0000000000A1 +:044C100000000000A0 +:044C1100000000009F +:044C1200000000009E +:044C1300000000009D +:044C1400000000009C +:044C1500000000009B +:044C1600000000009A +:044C17000000000099 +:044C18000000000098 +:044C19000000000097 +:044C1A000000000096 +:044C1B000000000095 +:044C1C000000000094 +:044C1D000000000093 +:044C1E000000000092 +:044C1F000000000091 +:044C20000000000090 +:044C2100000000008F +:044C2200000000008E +:044C2300000000008D +:044C2400000000008C +:044C2500000000008B +:044C2600000000008A +:044C27000000000089 +:044C28000000000088 +:044C29000000000087 +:044C2A000000000086 +:044C2B000000000085 +:044C2C000000000084 +:044C2D000000000083 +:044C2E000000000082 +:044C2F000000000081 +:044C30000000000080 +:044C3100000000007F +:044C3200000000007E +:044C3300000000007D +:044C3400000000007C +:044C3500000000007B +:044C3600000000007A +:044C37000000000079 +:044C38000000000078 +:044C39000000000077 +:044C3A000000000076 +:044C3B000000000075 +:044C3C000000000074 +:044C3D000000000073 +:044C3E000000000072 +:044C3F000000000071 +:044C40000000000070 +:044C4100000000006F +:044C4200000000006E +:044C4300000000006D +:044C4400000000006C +:044C4500000000006B +:044C4600000000006A +:044C47000000000069 +:044C48000000000068 +:044C49000000000067 +:044C4A000000000066 +:044C4B000000000065 +:044C4C000000000064 +:044C4D000000000063 +:044C4E000000000062 +:044C4F000000000061 +:044C50000000000060 +:044C5100000000005F +:044C5200000000005E +:044C5300000000005D +:044C5400000000005C +:044C5500000000005B +:044C5600000000005A +:044C57000000000059 +:044C58000000000058 +:044C59000000000057 +:044C5A000000000056 +:044C5B000000000055 +:044C5C000000000054 +:044C5D000000000053 +:044C5E000000000052 +:044C5F000000000051 +:044C60000000000050 +:044C6100000000004F +:044C6200000000004E +:044C6300000000004D +:044C6400000000004C +:044C6500000000004B +:044C6600000000004A +:044C67000000000049 +:044C68000000000048 +:044C69000000000047 +:044C6A000000000046 +:044C6B000000000045 +:044C6C000000000044 +:044C6D000000000043 +:044C6E000000000042 +:044C6F000000000041 +:044C70000000000040 +:044C7100000000003F +:044C7200000000003E +:044C7300000000003D +:044C7400000000003C +:044C7500000000003B +:044C7600000000003A +:044C77000000000039 +:044C78000000000038 +:044C79000000000037 +:044C7A000000000036 +:044C7B000000000035 +:044C7C000000000034 +:044C7D000000000033 +:044C7E000000000032 +:044C7F000000000031 +:044C80000000000030 +:044C8100000000002F +:044C8200000000002E +:044C8300000000002D +:044C8400000000002C +:044C8500000000002B +:044C8600000000002A +:044C87000000000029 +:044C88000000000028 +:044C89000000000027 +:044C8A000000000026 +:044C8B000000000025 +:044C8C000000000024 +:044C8D000000000023 +:044C8E000000000022 +:044C8F000000000021 +:044C90000000000020 +:044C9100000000001F +:044C9200000000001E +:044C9300000000001D +:044C9400000000001C +:044C9500000000001B +:044C9600000000001A +:044C97000000000019 +:044C98000000000018 +:044C99000000000017 +:044C9A000000000016 +:044C9B000000000015 +:044C9C000000000014 +:044C9D000000000013 +:044C9E000000000012 +:044C9F000000000011 +:044CA0000000000010 +:044CA100000000000F +:044CA200000000000E +:044CA300000000000D +:044CA400000000000C +:044CA500000000000B +:044CA600000000000A +:044CA7000000000009 +:044CA8000000000008 +:044CA9000000000007 +:044CAA000000000006 +:044CAB000000000005 +:044CAC000000000004 +:044CAD000000000003 +:044CAE000000000002 +:044CAF000000000001 +:044CB0000000000000 +:044CB10000000000FF +:044CB20000000000FE +:044CB30000000000FD +:044CB40000000000FC +:044CB50000000000FB +:044CB60000000000FA +:044CB70000000000F9 +:044CB80000000000F8 +:044CB90000000000F7 +:044CBA0000000000F6 +:044CBB0000000000F5 +:044CBC0000000000F4 +:044CBD0000000000F3 +:044CBE0000000000F2 +:044CBF0000000000F1 +:044CC00000000000F0 +:044CC10000000000EF +:044CC20000000000EE +:044CC30000000000ED +:044CC40000000000EC +:044CC50000000000EB +:044CC60000000000EA +:044CC70000000000E9 +:044CC80000000000E8 +:044CC90000000000E7 +:044CCA0000000000E6 +:044CCB0000000000E5 +:044CCC0000000000E4 +:044CCD0000000000E3 +:044CCE0000000000E2 +:044CCF0000000000E1 +:044CD00000000000E0 +:044CD10000000000DF +:044CD20000000000DE +:044CD30000000000DD +:044CD40000000000DC +:044CD50000000000DB +:044CD60000000000DA +:044CD70000000000D9 +:044CD80000000000D8 +:044CD90000000000D7 +:044CDA0000000000D6 +:044CDB0000000000D5 +:044CDC0000000000D4 +:044CDD0000000000D3 +:044CDE0000000000D2 +:044CDF0000000000D1 +:044CE00000000000D0 +:044CE10000000000CF +:044CE20000000000CE +:044CE30000000000CD +:044CE40000000000CC +:044CE50000000000CB +:044CE60000000000CA +:044CE70000000000C9 +:044CE80000000000C8 +:044CE90000000000C7 +:044CEA0000000000C6 +:044CEB0000000000C5 +:044CEC0000000000C4 +:044CED0000000000C3 +:044CEE0000000000C2 +:044CEF0000000000C1 +:044CF00000000000C0 +:044CF10000000000BF +:044CF20000000000BE +:044CF30000000000BD +:044CF40000000000BC +:044CF50000000000BB +:044CF60000000000BA +:044CF70000000000B9 +:044CF80000000000B8 +:044CF90000000000B7 +:044CFA0000000000B6 +:044CFB0000000000B5 +:044CFC0000000000B4 +:044CFD0000000000B3 +:044CFE0000000000B2 +:044CFF0000000000B1 +:044D000000000000AF +:044D010000000000AE +:044D020000000000AD +:044D030000000000AC +:044D040000000000AB +:044D050000000000AA +:044D060000000000A9 +:044D070000000000A8 +:044D080000000000A7 +:044D090000000000A6 +:044D0A0000000000A5 +:044D0B0000000000A4 +:044D0C0000000000A3 +:044D0D0000000000A2 +:044D0E0000000000A1 +:044D0F0000000000A0 +:044D1000000000009F +:044D1100000000009E +:044D1200000000009D +:044D1300000000009C +:044D1400000000009B +:044D1500000000009A +:044D16000000000099 +:044D17000000000098 +:044D18000000000097 +:044D19000000000096 +:044D1A000000000095 +:044D1B000000000094 +:044D1C000000000093 +:044D1D000000000092 +:044D1E000000000091 +:044D1F000000000090 +:044D2000000000008F +:044D2100000000008E +:044D2200000000008D +:044D2300000000008C +:044D2400000000008B +:044D2500000000008A +:044D26000000000089 +:044D27000000000088 +:044D28000000000087 +:044D29000000000086 +:044D2A000000000085 +:044D2B000000000084 +:044D2C000000000083 +:044D2D000000000082 +:044D2E000000000081 +:044D2F000000000080 +:044D3000000000007F +:044D3100000000007E +:044D3200000000007D +:044D3300000000007C +:044D3400000000007B +:044D3500000000007A +:044D36000000000079 +:044D37000000000078 +:044D38000000000077 +:044D39000000000076 +:044D3A000000000075 +:044D3B000000000074 +:044D3C000000000073 +:044D3D000000000072 +:044D3E000000000071 +:044D3F000000000070 +:044D4000000000006F +:044D4100000000006E +:044D4200000000006D +:044D4300000000006C +:044D4400000000006B +:044D4500000000006A +:044D46000000000069 +:044D47000000000068 +:044D48000000000067 +:044D49000000000066 +:044D4A000000000065 +:044D4B000000000064 +:044D4C000000000063 +:044D4D000000000062 +:044D4E000000000061 +:044D4F000000000060 +:044D5000000000005F +:044D5100000000005E +:044D5200000000005D +:044D5300000000005C +:044D5400000000005B +:044D5500000000005A +:044D56000000000059 +:044D57000000000058 +:044D58000000000057 +:044D59000000000056 +:044D5A000000000055 +:044D5B000000000054 +:044D5C000000000053 +:044D5D000000000052 +:044D5E000000000051 +:044D5F000000000050 +:044D6000000000004F +:044D6100000000004E +:044D6200000000004D +:044D6300000000004C +:044D6400000000004B +:044D6500000000004A +:044D66000000000049 +:044D67000000000048 +:044D68000000000047 +:044D69000000000046 +:044D6A000000000045 +:044D6B000000000044 +:044D6C000000000043 +:044D6D000000000042 +:044D6E000000000041 +:044D6F000000000040 +:044D7000000000003F +:044D7100000000003E +:044D7200000000003D +:044D7300000000003C +:044D7400000000003B +:044D7500000000003A +:044D76000000000039 +:044D77000000000038 +:044D78000000000037 +:044D79000000000036 +:044D7A000000000035 +:044D7B000000000034 +:044D7C000000000033 +:044D7D000000000032 +:044D7E000000000031 +:044D7F000000000030 +:044D8000000000002F +:044D8100000000002E +:044D8200000000002D +:044D8300000000002C +:044D8400000000002B +:044D8500000000002A +:044D86000000000029 +:044D87000000000028 +:044D88000000000027 +:044D89000000000026 +:044D8A000000000025 +:044D8B000000000024 +:044D8C000000000023 +:044D8D000000000022 +:044D8E000000000021 +:044D8F000000000020 +:044D9000000000001F +:044D9100000000001E +:044D9200000000001D +:044D9300000000001C +:044D9400000000001B +:044D9500000000001A +:044D96000000000019 +:044D97000000000018 +:044D98000000000017 +:044D99000000000016 +:044D9A000000000015 +:044D9B000000000014 +:044D9C000000000013 +:044D9D000000000012 +:044D9E000000000011 +:044D9F000000000010 +:044DA000000000000F +:044DA100000000000E +:044DA200000000000D +:044DA300000000000C +:044DA400000000000B +:044DA500000000000A +:044DA6000000000009 +:044DA7000000000008 +:044DA8000000000007 +:044DA9000000000006 +:044DAA000000000005 +:044DAB000000000004 +:044DAC000000000003 +:044DAD000000000002 +:044DAE000000000001 +:044DAF000000000000 +:044DB00000000000FF +:044DB10000000000FE +:044DB20000000000FD +:044DB30000000000FC +:044DB40000000000FB +:044DB50000000000FA +:044DB60000000000F9 +:044DB70000000000F8 +:044DB80000000000F7 +:044DB90000000000F6 +:044DBA0000000000F5 +:044DBB0000000000F4 +:044DBC0000000000F3 +:044DBD0000000000F2 +:044DBE0000000000F1 +:044DBF0000000000F0 +:044DC00000000000EF +:044DC10000000000EE +:044DC20000000000ED +:044DC30000000000EC +:044DC40000000000EB +:044DC50000000000EA +:044DC60000000000E9 +:044DC70000000000E8 +:044DC80000000000E7 +:044DC90000000000E6 +:044DCA0000000000E5 +:044DCB0000000000E4 +:044DCC0000000000E3 +:044DCD0000000000E2 +:044DCE0000000000E1 +:044DCF0000000000E0 +:044DD00000000000DF +:044DD10000000000DE +:044DD20000000000DD +:044DD30000000000DC +:044DD40000000000DB +:044DD50000000000DA +:044DD60000000000D9 +:044DD70000000000D8 +:044DD80000000000D7 +:044DD90000000000D6 +:044DDA0000000000D5 +:044DDB0000000000D4 +:044DDC0000000000D3 +:044DDD0000000000D2 +:044DDE0000000000D1 +:044DDF0000000000D0 +:044DE00000000000CF +:044DE10000000000CE +:044DE20000000000CD +:044DE30000000000CC +:044DE40000000000CB +:044DE50000000000CA +:044DE60000000000C9 +:044DE70000000000C8 +:044DE80000000000C7 +:044DE90000000000C6 +:044DEA0000000000C5 +:044DEB0000000000C4 +:044DEC0000000000C3 +:044DED0000000000C2 +:044DEE0000000000C1 +:044DEF0000000000C0 +:044DF00000000000BF +:044DF10000000000BE +:044DF20000000000BD +:044DF30000000000BC +:044DF40000000000BB +:044DF50000000000BA +:044DF60000000000B9 +:044DF70000000000B8 +:044DF80000000000B7 +:044DF90000000000B6 +:044DFA0000000000B5 +:044DFB0000000000B4 +:044DFC0000000000B3 +:044DFD0000000000B2 +:044DFE0000000000B1 +:044DFF0000000000B0 +:044E000000000000AE +:044E010000000000AD +:044E020000000000AC +:044E030000000000AB +:044E040000000000AA +:044E050000000000A9 +:044E060000000000A8 +:044E070000000000A7 +:044E080000000000A6 +:044E090000000000A5 +:044E0A0000000000A4 +:044E0B0000000000A3 +:044E0C0000000000A2 +:044E0D0000000000A1 +:044E0E0000000000A0 +:044E0F00000000009F +:044E1000000000009E +:044E1100000000009D +:044E1200000000009C +:044E1300000000009B +:044E1400000000009A +:044E15000000000099 +:044E16000000000098 +:044E17000000000097 +:044E18000000000096 +:044E19000000000095 +:044E1A000000000094 +:044E1B000000000093 +:044E1C000000000092 +:044E1D000000000091 +:044E1E000000000090 +:044E1F00000000008F +:044E2000000000008E +:044E2100000000008D +:044E2200000000008C +:044E2300000000008B +:044E2400000000008A +:044E25000000000089 +:044E26000000000088 +:044E27000000000087 +:044E28000000000086 +:044E29000000000085 +:044E2A000000000084 +:044E2B000000000083 +:044E2C000000000082 +:044E2D000000000081 +:044E2E000000000080 +:044E2F00000000007F +:044E3000000000007E +:044E3100000000007D +:044E3200000000007C +:044E3300000000007B +:044E3400000000007A +:044E35000000000079 +:044E36000000000078 +:044E37000000000077 +:044E38000000000076 +:044E39000000000075 +:044E3A000000000074 +:044E3B000000000073 +:044E3C000000000072 +:044E3D000000000071 +:044E3E000000000070 +:044E3F00000000006F +:044E4000000000006E +:044E4100000000006D +:044E4200000000006C +:044E4300000000006B +:044E4400000000006A +:044E45000000000069 +:044E46000000000068 +:044E47000000000067 +:044E48000000000066 +:044E49000000000065 +:044E4A000000000064 +:044E4B000000000063 +:044E4C000000000062 +:044E4D000000000061 +:044E4E000000000060 +:044E4F00000000005F +:044E5000000000005E +:044E5100000000005D +:044E5200000000005C +:044E5300000000005B +:044E5400000000005A +:044E55000000000059 +:044E56000000000058 +:044E57000000000057 +:044E58000000000056 +:044E59000000000055 +:044E5A000000000054 +:044E5B000000000053 +:044E5C000000000052 +:044E5D000000000051 +:044E5E000000000050 +:044E5F00000000004F +:044E6000000000004E +:044E6100000000004D +:044E6200000000004C +:044E6300000000004B +:044E6400000000004A +:044E65000000000049 +:044E66000000000048 +:044E67000000000047 +:044E68000000000046 +:044E69000000000045 +:044E6A000000000044 +:044E6B000000000043 +:044E6C000000000042 +:044E6D000000000041 +:044E6E000000000040 +:044E6F00000000003F +:044E7000000000003E +:044E7100000000003D +:044E7200000000003C +:044E7300000000003B +:044E7400000000003A +:044E75000000000039 +:044E76000000000038 +:044E77000000000037 +:044E78000000000036 +:044E79000000000035 +:044E7A000000000034 +:044E7B000000000033 +:044E7C000000000032 +:044E7D000000000031 +:044E7E000000000030 +:044E7F00000000002F +:044E8000000000002E +:044E8100000000002D +:044E8200000000002C +:044E8300000000002B +:044E8400000000002A +:044E85000000000029 +:044E86000000000028 +:044E87000000000027 +:044E88000000000026 +:044E89000000000025 +:044E8A000000000024 +:044E8B000000000023 +:044E8C000000000022 +:044E8D000000000021 +:044E8E000000000020 +:044E8F00000000001F +:044E9000000000001E +:044E9100000000001D +:044E9200000000001C +:044E9300000000001B +:044E9400000000001A +:044E95000000000019 +:044E96000000000018 +:044E97000000000017 +:044E98000000000016 +:044E99000000000015 +:044E9A000000000014 +:044E9B000000000013 +:044E9C000000000012 +:044E9D000000000011 +:044E9E000000000010 +:044E9F00000000000F +:044EA000000000000E +:044EA100000000000D +:044EA200000000000C +:044EA300000000000B +:044EA400000000000A +:044EA5000000000009 +:044EA6000000000008 +:044EA7000000000007 +:044EA8000000000006 +:044EA9000000000005 +:044EAA000000000004 +:044EAB000000000003 +:044EAC000000000002 +:044EAD000000000001 +:044EAE000000000000 +:044EAF0000000000FF +:044EB00000000000FE +:044EB10000000000FD +:044EB20000000000FC +:044EB30000000000FB +:044EB40000000000FA +:044EB50000000000F9 +:044EB60000000000F8 +:044EB70000000000F7 +:044EB80000000000F6 +:044EB90000000000F5 +:044EBA0000000000F4 +:044EBB0000000000F3 +:044EBC0000000000F2 +:044EBD0000000000F1 +:044EBE0000000000F0 +:044EBF0000000000EF +:044EC00000000000EE +:044EC10000000000ED +:044EC20000000000EC +:044EC30000000000EB +:044EC40000000000EA +:044EC50000000000E9 +:044EC60000000000E8 +:044EC70000000000E7 +:044EC80000000000E6 +:044EC90000000000E5 +:044ECA0000000000E4 +:044ECB0000000000E3 +:044ECC0000000000E2 +:044ECD0000000000E1 +:044ECE0000000000E0 +:044ECF0000000000DF +:044ED00000000000DE +:044ED10000000000DD +:044ED20000000000DC +:044ED30000000000DB +:044ED40000000000DA +:044ED50000000000D9 +:044ED60000000000D8 +:044ED70000000000D7 +:044ED80000000000D6 +:044ED90000000000D5 +:044EDA0000000000D4 +:044EDB0000000000D3 +:044EDC0000000000D2 +:044EDD0000000000D1 +:044EDE0000000000D0 +:044EDF0000000000CF +:044EE00000000000CE +:044EE10000000000CD +:044EE20000000000CC +:044EE30000000000CB +:044EE40000000000CA +:044EE50000000000C9 +:044EE60000000000C8 +:044EE70000000000C7 +:044EE80000000000C6 +:044EE90000000000C5 +:044EEA0000000000C4 +:044EEB0000000000C3 +:044EEC0000000000C2 +:044EED0000000000C1 +:044EEE0000000000C0 +:044EEF0000000000BF +:044EF00000000000BE +:044EF10000000000BD +:044EF20000000000BC +:044EF30000000000BB +:044EF40000000000BA +:044EF50000000000B9 +:044EF60000000000B8 +:044EF70000000000B7 +:044EF80000000000B6 +:044EF90000000000B5 +:044EFA0000000000B4 +:044EFB0000000000B3 +:044EFC0000000000B2 +:044EFD0000000000B1 +:044EFE0000000000B0 +:044EFF0000000000AF +:044F000000000000AD +:044F010000000000AC +:044F020000000000AB +:044F030000000000AA +:044F040000000000A9 +:044F050000000000A8 +:044F060000000000A7 +:044F070000000000A6 +:044F080000000000A5 +:044F090000000000A4 +:044F0A0000000000A3 +:044F0B0000000000A2 +:044F0C0000000000A1 +:044F0D0000000000A0 +:044F0E00000000009F +:044F0F00000000009E +:044F1000000000009D +:044F1100000000009C +:044F1200000000009B +:044F1300000000009A +:044F14000000000099 +:044F15000000000098 +:044F16000000000097 +:044F17000000000096 +:044F18000000000095 +:044F19000000000094 +:044F1A000000000093 +:044F1B000000000092 +:044F1C000000000091 +:044F1D000000000090 +:044F1E00000000008F +:044F1F00000000008E +:044F2000000000008D +:044F2100000000008C +:044F2200000000008B +:044F2300000000008A +:044F24000000000089 +:044F25000000000088 +:044F26000000000087 +:044F27000000000086 +:044F28000000000085 +:044F29000000000084 +:044F2A000000000083 +:044F2B000000000082 +:044F2C000000000081 +:044F2D000000000080 +:044F2E00000000007F +:044F2F00000000007E +:044F3000000000007D +:044F3100000000007C +:044F3200000000007B +:044F3300000000007A +:044F34000000000079 +:044F35000000000078 +:044F36000000000077 +:044F37000000000076 +:044F38000000000075 +:044F39000000000074 +:044F3A000000000073 +:044F3B000000000072 +:044F3C000000000071 +:044F3D000000000070 +:044F3E00000000006F +:044F3F00000000006E +:044F4000000000006D +:044F4100000000006C +:044F4200000000006B +:044F4300000000006A +:044F44000000000069 +:044F45000000000068 +:044F46000000000067 +:044F47000000000066 +:044F48000000000065 +:044F49000000000064 +:044F4A000000000063 +:044F4B000000000062 +:044F4C000000000061 +:044F4D000000000060 +:044F4E00000000005F +:044F4F00000000005E +:044F5000000000005D +:044F5100000000005C +:044F5200000000005B +:044F5300000000005A +:044F54000000000059 +:044F55000000000058 +:044F56000000000057 +:044F57000000000056 +:044F58000000000055 +:044F59000000000054 +:044F5A000000000053 +:044F5B000000000052 +:044F5C000000000051 +:044F5D000000000050 +:044F5E00000000004F +:044F5F00000000004E +:044F6000000000004D +:044F6100000000004C +:044F6200000000004B +:044F6300000000004A +:044F64000000000049 +:044F65000000000048 +:044F66000000000047 +:044F67000000000046 +:044F68000000000045 +:044F69000000000044 +:044F6A000000000043 +:044F6B000000000042 +:044F6C000000000041 +:044F6D000000000040 +:044F6E00000000003F +:044F6F00000000003E +:044F7000000000003D +:044F7100000000003C +:044F7200000000003B +:044F7300000000003A +:044F74000000000039 +:044F75000000000038 +:044F76000000000037 +:044F77000000000036 +:044F78000000000035 +:044F79000000000034 +:044F7A000000000033 +:044F7B000000000032 +:044F7C000000000031 +:044F7D000000000030 +:044F7E00000000002F +:044F7F00000000002E +:044F8000000000002D +:044F8100000000002C +:044F8200000000002B +:044F8300000000002A +:044F84000000000029 +:044F85000000000028 +:044F86000000000027 +:044F87000000000026 +:044F88000000000025 +:044F89000000000024 +:044F8A000000000023 +:044F8B000000000022 +:044F8C000000000021 +:044F8D000000000020 +:044F8E00000000001F +:044F8F00000000001E +:044F9000000000001D +:044F9100000000001C +:044F9200000000001B +:044F9300000000001A +:044F94000000000019 +:044F95000000000018 +:044F96000000000017 +:044F97000000000016 +:044F98000000000015 +:044F99000000000014 +:044F9A000000000013 +:044F9B000000000012 +:044F9C000000000011 +:044F9D000000000010 +:044F9E00000000000F +:044F9F00000000000E +:044FA000000000000D +:044FA100000000000C +:044FA200000000000B +:044FA300000000000A +:044FA4000000000009 +:044FA5000000000008 +:044FA6000000000007 +:044FA7000000000006 +:044FA8000000000005 +:044FA9000000000004 +:044FAA000000000003 +:044FAB000000000002 +:044FAC000000000001 +:044FAD000000000000 +:044FAE0000000000FF +:044FAF0000000000FE +:044FB00000000000FD +:044FB10000000000FC +:044FB20000000000FB +:044FB30000000000FA +:044FB40000000000F9 +:044FB50000000000F8 +:044FB60000000000F7 +:044FB70000000000F6 +:044FB80000000000F5 +:044FB90000000000F4 +:044FBA0000000000F3 +:044FBB0000000000F2 +:044FBC0000000000F1 +:044FBD0000000000F0 +:044FBE0000000000EF +:044FBF0000000000EE +:044FC00000000000ED +:044FC10000000000EC +:044FC20000000000EB +:044FC30000000000EA +:044FC40000000000E9 +:044FC50000000000E8 +:044FC60000000000E7 +:044FC70000000000E6 +:044FC80000000000E5 +:044FC90000000000E4 +:044FCA0000000000E3 +:044FCB0000000000E2 +:044FCC0000000000E1 +:044FCD0000000000E0 +:044FCE0000000000DF +:044FCF0000000000DE +:044FD00000000000DD +:044FD10000000000DC +:044FD20000000000DB +:044FD30000000000DA +:044FD40000000000D9 +:044FD50000000000D8 +:044FD60000000000D7 +:044FD70000000000D6 +:044FD80000000000D5 +:044FD90000000000D4 +:044FDA0000000000D3 +:044FDB0000000000D2 +:044FDC0000000000D1 +:044FDD0000000000D0 +:044FDE0000000000CF +:044FDF0000000000CE +:044FE00000000000CD +:044FE10000000000CC +:044FE20000000000CB +:044FE30000000000CA +:044FE40000000000C9 +:044FE50000000000C8 +:044FE60000000000C7 +:044FE70000000000C6 +:044FE80000000000C5 +:044FE90000000000C4 +:044FEA0000000000C3 +:044FEB0000000000C2 +:044FEC0000000000C1 +:044FED0000000000C0 +:044FEE0000000000BF +:044FEF0000000000BE +:044FF00000000000BD +:044FF10000000000BC +:044FF20000000000BB +:044FF30000000000BA +:044FF40000000000B9 +:044FF50000000000B8 +:044FF60000000000B7 +:044FF70000000000B6 +:044FF80000000000B5 +:044FF90000000000B4 +:044FFA0000000000B3 +:044FFB0000000000B2 +:044FFC0000000000B1 +:044FFD0000000000B0 +:044FFE0000000000AF +:044FFF0000000000AE +:0450000000000000AC +:0450010000000000AB +:0450020000000000AA +:0450030000000000A9 +:0450040000000000A8 +:0450050000000000A7 +:0450060000000000A6 +:0450070000000000A5 +:0450080000000000A4 +:0450090000000000A3 +:04500A0000000000A2 +:04500B0000000000A1 +:04500C0000000000A0 +:04500D00000000009F +:04500E00000000009E +:04500F00000000009D +:04501000000000009C +:04501100000000009B +:04501200000000009A +:045013000000000099 +:045014000000000098 +:045015000000000097 +:045016000000000096 +:045017000000000095 +:045018000000000094 +:045019000000000093 +:04501A000000000092 +:04501B000000000091 +:04501C000000000090 +:04501D00000000008F +:04501E00000000008E +:04501F00000000008D +:04502000000000008C +:04502100000000008B +:04502200000000008A +:045023000000000089 +:045024000000000088 +:045025000000000087 +:045026000000000086 +:045027000000000085 +:045028000000000084 +:045029000000000083 +:04502A000000000082 +:04502B000000000081 +:04502C000000000080 +:04502D00000000007F +:04502E00000000007E +:04502F00000000007D +:04503000000000007C +:04503100000000007B +:04503200000000007A +:045033000000000079 +:045034000000000078 +:045035000000000077 +:045036000000000076 +:045037000000000075 +:045038000000000074 +:045039000000000073 +:04503A000000000072 +:04503B000000000071 +:04503C000000000070 +:04503D00000000006F +:04503E00000000006E +:04503F00000000006D +:04504000000000006C +:04504100000000006B +:04504200000000006A +:045043000000000069 +:045044000000000068 +:045045000000000067 +:045046000000000066 +:045047000000000065 +:045048000000000064 +:045049000000000063 +:04504A000000000062 +:04504B000000000061 +:04504C000000000060 +:04504D00000000005F +:04504E00000000005E +:04504F00000000005D +:04505000000000005C +:04505100000000005B +:04505200000000005A +:045053000000000059 +:045054000000000058 +:045055000000000057 +:045056000000000056 +:045057000000000055 +:045058000000000054 +:045059000000000053 +:04505A000000000052 +:04505B000000000051 +:04505C000000000050 +:04505D00000000004F +:04505E00000000004E +:04505F00000000004D +:04506000000000004C +:04506100000000004B +:04506200000000004A +:045063000000000049 +:045064000000000048 +:045065000000000047 +:045066000000000046 +:045067000000000045 +:045068000000000044 +:045069000000000043 +:04506A000000000042 +:04506B000000000041 +:04506C000000000040 +:04506D00000000003F +:04506E00000000003E +:04506F00000000003D +:04507000000000003C +:04507100000000003B +:04507200000000003A +:045073000000000039 +:045074000000000038 +:045075000000000037 +:045076000000000036 +:045077000000000035 +:045078000000000034 +:045079000000000033 +:04507A000000000032 +:04507B000000000031 +:04507C000000000030 +:04507D00000000002F +:04507E00000000002E +:04507F00000000002D +:04508000000000002C +:04508100000000002B +:04508200000000002A +:045083000000000029 +:045084000000000028 +:045085000000000027 +:045086000000000026 +:045087000000000025 +:045088000000000024 +:045089000000000023 +:04508A000000000022 +:04508B000000000021 +:04508C000000000020 +:04508D00000000001F +:04508E00000000001E +:04508F00000000001D +:04509000000000001C +:04509100000000001B +:04509200000000001A +:045093000000000019 +:045094000000000018 +:045095000000000017 +:045096000000000016 +:045097000000000015 +:045098000000000014 +:045099000000000013 +:04509A000000000012 +:04509B000000000011 +:04509C000000000010 +:04509D00000000000F +:04509E00000000000E +:04509F00000000000D +:0450A000000000000C +:0450A100000000000B +:0450A200000000000A +:0450A3000000000009 +:0450A4000000000008 +:0450A5000000000007 +:0450A6000000000006 +:0450A7000000000005 +:0450A8000000000004 +:0450A9000000000003 +:0450AA000000000002 +:0450AB000000000001 +:0450AC000000000000 +:0450AD0000000000FF +:0450AE0000000000FE +:0450AF0000000000FD +:0450B00000000000FC +:0450B10000000000FB +:0450B20000000000FA +:0450B30000000000F9 +:0450B40000000000F8 +:0450B50000000000F7 +:0450B60000000000F6 +:0450B70000000000F5 +:0450B80000000000F4 +:0450B90000000000F3 +:0450BA0000000000F2 +:0450BB0000000000F1 +:0450BC0000000000F0 +:0450BD0000000000EF +:0450BE0000000000EE +:0450BF0000000000ED +:0450C00000000000EC +:0450C10000000000EB +:0450C20000000000EA +:0450C30000000000E9 +:0450C40000000000E8 +:0450C50000000000E7 +:0450C60000000000E6 +:0450C70000000000E5 +:0450C80000000000E4 +:0450C90000000000E3 +:0450CA0000000000E2 +:0450CB0000000000E1 +:0450CC0000000000E0 +:0450CD0000000000DF +:0450CE0000000000DE +:0450CF0000000000DD +:0450D00000000000DC +:0450D10000000000DB +:0450D20000000000DA +:0450D30000000000D9 +:0450D40000000000D8 +:0450D50000000000D7 +:0450D60000000000D6 +:0450D70000000000D5 +:0450D80000000000D4 +:0450D90000000000D3 +:0450DA0000000000D2 +:0450DB0000000000D1 +:0450DC0000000000D0 +:0450DD0000000000CF +:0450DE0000000000CE +:0450DF0000000000CD +:0450E00000000000CC +:0450E10000000000CB +:0450E20000000000CA +:0450E30000000000C9 +:0450E40000000000C8 +:0450E50000000000C7 +:0450E60000000000C6 +:0450E70000000000C5 +:0450E80000000000C4 +:0450E90000000000C3 +:0450EA0000000000C2 +:0450EB0000000000C1 +:0450EC0000000000C0 +:0450ED0000000000BF +:0450EE0000000000BE +:0450EF0000000000BD +:0450F00000000000BC +:0450F10000000000BB +:0450F20000000000BA +:0450F30000000000B9 +:0450F40000000000B8 +:0450F50000000000B7 +:0450F60000000000B6 +:0450F70000000000B5 +:0450F80000000000B4 +:0450F90000000000B3 +:0450FA0000000000B2 +:0450FB0000000000B1 +:0450FC0000000000B0 +:0450FD0000000000AF +:0450FE0000000000AE +:0450FF0000000000AD +:0451000000000000AB +:0451010000000000AA +:0451020000000000A9 +:0451030000000000A8 +:0451040000000000A7 +:0451050000000000A6 +:0451060000000000A5 +:0451070000000000A4 +:0451080000000000A3 +:0451090000000000A2 +:04510A0000000000A1 +:04510B0000000000A0 +:04510C00000000009F +:04510D00000000009E +:04510E00000000009D +:04510F00000000009C +:04511000000000009B +:04511100000000009A +:045112000000000099 +:045113000000000098 +:045114000000000097 +:045115000000000096 +:045116000000000095 +:045117000000000094 +:045118000000000093 +:045119000000000092 +:04511A000000000091 +:04511B000000000090 +:04511C00000000008F +:04511D00000000008E +:04511E00000000008D +:04511F00000000008C +:04512000000000008B +:04512100000000008A +:045122000000000089 +:045123000000000088 +:045124000000000087 +:045125000000000086 +:045126000000000085 +:045127000000000084 +:045128000000000083 +:045129000000000082 +:04512A000000000081 +:04512B000000000080 +:04512C00000000007F +:04512D00000000007E +:04512E00000000007D +:04512F00000000007C +:04513000000000007B +:04513100000000007A +:045132000000000079 +:045133000000000078 +:045134000000000077 +:045135000000000076 +:045136000000000075 +:045137000000000074 +:045138000000000073 +:045139000000000072 +:04513A000000000071 +:04513B000000000070 +:04513C00000000006F +:04513D00000000006E +:04513E00000000006D +:04513F00000000006C +:04514000000000006B +:04514100000000006A +:045142000000000069 +:045143000000000068 +:045144000000000067 +:045145000000000066 +:045146000000000065 +:045147000000000064 +:045148000000000063 +:045149000000000062 +:04514A000000000061 +:04514B000000000060 +:04514C00000000005F +:04514D00000000005E +:04514E00000000005D +:04514F00000000005C +:04515000000000005B +:04515100000000005A +:045152000000000059 +:045153000000000058 +:045154000000000057 +:045155000000000056 +:045156000000000055 +:045157000000000054 +:045158000000000053 +:045159000000000052 +:04515A000000000051 +:04515B000000000050 +:04515C00000000004F +:04515D00000000004E +:04515E00000000004D +:04515F00000000004C +:04516000000000004B +:04516100000000004A +:045162000000000049 +:045163000000000048 +:045164000000000047 +:045165000000000046 +:045166000000000045 +:045167000000000044 +:045168000000000043 +:045169000000000042 +:04516A000000000041 +:04516B000000000040 +:04516C00000000003F +:04516D00000000003E +:04516E00000000003D +:04516F00000000003C +:04517000000000003B +:04517100000000003A +:045172000000000039 +:045173000000000038 +:045174000000000037 +:045175000000000036 +:045176000000000035 +:045177000000000034 +:045178000000000033 +:045179000000000032 +:04517A000000000031 +:04517B000000000030 +:04517C00000000002F +:04517D00000000002E +:04517E00000000002D +:04517F00000000002C +:04518000000000002B +:04518100000000002A +:045182000000000029 +:045183000000000028 +:045184000000000027 +:045185000000000026 +:045186000000000025 +:045187000000000024 +:045188000000000023 +:045189000000000022 +:04518A000000000021 +:04518B000000000020 +:04518C00000000001F +:04518D00000000001E +:04518E00000000001D +:04518F00000000001C +:04519000000000001B +:04519100000000001A +:045192000000000019 +:045193000000000018 +:045194000000000017 +:045195000000000016 +:045196000000000015 +:045197000000000014 +:045198000000000013 +:045199000000000012 +:04519A000000000011 +:04519B000000000010 +:04519C00000000000F +:04519D00000000000E +:04519E00000000000D +:04519F00000000000C +:0451A000000000000B +:0451A100000000000A +:0451A2000000000009 +:0451A3000000000008 +:0451A4000000000007 +:0451A5000000000006 +:0451A6000000000005 +:0451A7000000000004 +:0451A8000000000003 +:0451A9000000000002 +:0451AA000000000001 +:0451AB000000000000 +:0451AC0000000000FF +:0451AD0000000000FE +:0451AE0000000000FD +:0451AF0000000000FC +:0451B00000000000FB +:0451B10000000000FA +:0451B20000000000F9 +:0451B30000000000F8 +:0451B40000000000F7 +:0451B50000000000F6 +:0451B60000000000F5 +:0451B70000000000F4 +:0451B80000000000F3 +:0451B90000000000F2 +:0451BA0000000000F1 +:0451BB0000000000F0 +:0451BC0000000000EF +:0451BD0000000000EE +:0451BE0000000000ED +:0451BF0000000000EC +:0451C00000000000EB +:0451C10000000000EA +:0451C20000000000E9 +:0451C30000000000E8 +:0451C40000000000E7 +:0451C50000000000E6 +:0451C60000000000E5 +:0451C70000000000E4 +:0451C80000000000E3 +:0451C90000000000E2 +:0451CA0000000000E1 +:0451CB0000000000E0 +:0451CC0000000000DF +:0451CD0000000000DE +:0451CE0000000000DD +:0451CF0000000000DC +:0451D00000000000DB +:0451D10000000000DA +:0451D20000000000D9 +:0451D30000000000D8 +:0451D40000000000D7 +:0451D50000000000D6 +:0451D60000000000D5 +:0451D70000000000D4 +:0451D80000000000D3 +:0451D90000000000D2 +:0451DA0000000000D1 +:0451DB0000000000D0 +:0451DC0000000000CF +:0451DD0000000000CE +:0451DE0000000000CD +:0451DF0000000000CC +:0451E00000000000CB +:0451E10000000000CA +:0451E20000000000C9 +:0451E30000000000C8 +:0451E40000000000C7 +:0451E50000000000C6 +:0451E60000000000C5 +:0451E70000000000C4 +:0451E80000000000C3 +:0451E90000000000C2 +:0451EA0000000000C1 +:0451EB0000000000C0 +:0451EC0000000000BF +:0451ED0000000000BE +:0451EE0000000000BD +:0451EF0000000000BC +:0451F00000000000BB +:0451F10000000000BA +:0451F20000000000B9 +:0451F30000000000B8 +:0451F40000000000B7 +:0451F50000000000B6 +:0451F60000000000B5 +:0451F70000000000B4 +:0451F80000000000B3 +:0451F90000000000B2 +:0451FA0000000000B1 +:0451FB0000000000B0 +:0451FC0000000000AF +:0451FD0000000000AE +:0451FE0000000000AD +:0451FF0000000000AC +:0452000000000000AA +:0452010000000000A9 +:0452020000000000A8 +:0452030000000000A7 +:0452040000000000A6 +:0452050000000000A5 +:0452060000000000A4 +:0452070000000000A3 +:0452080000000000A2 +:0452090000000000A1 +:04520A0000000000A0 +:04520B00000000009F +:04520C00000000009E +:04520D00000000009D +:04520E00000000009C +:04520F00000000009B +:04521000000000009A +:045211000000000099 +:045212000000000098 +:045213000000000097 +:045214000000000096 +:045215000000000095 +:045216000000000094 +:045217000000000093 +:045218000000000092 +:045219000000000091 +:04521A000000000090 +:04521B00000000008F +:04521C00000000008E +:04521D00000000008D +:04521E00000000008C +:04521F00000000008B +:04522000000000008A +:045221000000000089 +:045222000000000088 +:045223000000000087 +:045224000000000086 +:045225000000000085 +:045226000000000084 +:045227000000000083 +:045228000000000082 +:045229000000000081 +:04522A000000000080 +:04522B00000000007F +:04522C00000000007E +:04522D00000000007D +:04522E00000000007C +:04522F00000000007B +:04523000000000007A +:045231000000000079 +:045232000000000078 +:045233000000000077 +:045234000000000076 +:045235000000000075 +:045236000000000074 +:045237000000000073 +:045238000000000072 +:045239000000000071 +:04523A000000000070 +:04523B00000000006F +:04523C00000000006E +:04523D00000000006D +:04523E00000000006C +:04523F00000000006B +:04524000000000006A +:045241000000000069 +:045242000000000068 +:045243000000000067 +:045244000000000066 +:045245000000000065 +:045246000000000064 +:045247000000000063 +:045248000000000062 +:045249000000000061 +:04524A000000000060 +:04524B00000000005F +:04524C00000000005E +:04524D00000000005D +:04524E00000000005C +:04524F00000000005B +:04525000000000005A +:045251000000000059 +:045252000000000058 +:045253000000000057 +:045254000000000056 +:045255000000000055 +:045256000000000054 +:045257000000000053 +:045258000000000052 +:045259000000000051 +:04525A000000000050 +:04525B00000000004F +:04525C00000000004E +:04525D00000000004D +:04525E00000000004C +:04525F00000000004B +:04526000000000004A +:045261000000000049 +:045262000000000048 +:045263000000000047 +:045264000000000046 +:045265000000000045 +:045266000000000044 +:045267000000000043 +:045268000000000042 +:045269000000000041 +:04526A000000000040 +:04526B00000000003F +:04526C00000000003E +:04526D00000000003D +:04526E00000000003C +:04526F00000000003B +:04527000000000003A +:045271000000000039 +:045272000000000038 +:045273000000000037 +:045274000000000036 +:045275000000000035 +:045276000000000034 +:045277000000000033 +:045278000000000032 +:045279000000000031 +:04527A000000000030 +:04527B00000000002F +:04527C00000000002E +:04527D00000000002D +:04527E00000000002C +:04527F00000000002B +:04528000000000002A +:045281000000000029 +:045282000000000028 +:045283000000000027 +:045284000000000026 +:045285000000000025 +:045286000000000024 +:045287000000000023 +:045288000000000022 +:045289000000000021 +:04528A000000000020 +:04528B00000000001F +:04528C00000000001E +:04528D00000000001D +:04528E00000000001C +:04528F00000000001B +:04529000000000001A +:045291000000000019 +:045292000000000018 +:045293000000000017 +:045294000000000016 +:045295000000000015 +:045296000000000014 +:045297000000000013 +:045298000000000012 +:045299000000000011 +:04529A000000000010 +:04529B00000000000F +:04529C00000000000E +:04529D00000000000D +:04529E00000000000C +:04529F00000000000B +:0452A000000000000A +:0452A1000000000009 +:0452A2000000000008 +:0452A3000000000007 +:0452A4000000000006 +:0452A5000000000005 +:0452A6000000000004 +:0452A7000000000003 +:0452A8000000000002 +:0452A9000000000001 +:0452AA000000000000 +:0452AB0000000000FF +:0452AC0000000000FE +:0452AD0000000000FD +:0452AE0000000000FC +:0452AF0000000000FB +:0452B00000000000FA +:0452B10000000000F9 +:0452B20000000000F8 +:0452B30000000000F7 +:0452B40000000000F6 +:0452B50000000000F5 +:0452B60000000000F4 +:0452B70000000000F3 +:0452B80000000000F2 +:0452B90000000000F1 +:0452BA0000000000F0 +:0452BB0000000000EF +:0452BC0000000000EE +:0452BD0000000000ED +:0452BE0000000000EC +:0452BF0000000000EB +:0452C00000000000EA +:0452C10000000000E9 +:0452C20000000000E8 +:0452C30000000000E7 +:0452C40000000000E6 +:0452C50000000000E5 +:0452C60000000000E4 +:0452C70000000000E3 +:0452C80000000000E2 +:0452C90000000000E1 +:0452CA0000000000E0 +:0452CB0000000000DF +:0452CC0000000000DE +:0452CD0000000000DD +:0452CE0000000000DC +:0452CF0000000000DB +:0452D00000000000DA +:0452D10000000000D9 +:0452D20000000000D8 +:0452D30000000000D7 +:0452D40000000000D6 +:0452D50000000000D5 +:0452D60000000000D4 +:0452D70000000000D3 +:0452D80000000000D2 +:0452D90000000000D1 +:0452DA0000000000D0 +:0452DB0000000000CF +:0452DC0000000000CE +:0452DD0000000000CD +:0452DE0000000000CC +:0452DF0000000000CB +:0452E00000000000CA +:0452E10000000000C9 +:0452E20000000000C8 +:0452E30000000000C7 +:0452E40000000000C6 +:0452E50000000000C5 +:0452E60000000000C4 +:0452E70000000000C3 +:0452E80000000000C2 +:0452E90000000000C1 +:0452EA0000000000C0 +:0452EB0000000000BF +:0452EC0000000000BE +:0452ED0000000000BD +:0452EE0000000000BC +:0452EF0000000000BB +:0452F00000000000BA +:0452F10000000000B9 +:0452F20000000000B8 +:0452F30000000000B7 +:0452F40000000000B6 +:0452F50000000000B5 +:0452F60000000000B4 +:0452F70000000000B3 +:0452F80000000000B2 +:0452F90000000000B1 +:0452FA0000000000B0 +:0452FB0000000000AF +:0452FC0000000000AE +:0452FD0000000000AD +:0452FE0000000000AC +:0452FF0000000000AB +:0453000000000000A9 +:0453010000000000A8 +:0453020000000000A7 +:0453030000000000A6 +:0453040000000000A5 +:0453050000000000A4 +:0453060000000000A3 +:0453070000000000A2 +:0453080000000000A1 +:0453090000000000A0 +:04530A00000000009F +:04530B00000000009E +:04530C00000000009D +:04530D00000000009C +:04530E00000000009B +:04530F00000000009A +:045310000000000099 +:045311000000000098 +:045312000000000097 +:045313000000000096 +:045314000000000095 +:045315000000000094 +:045316000000000093 +:045317000000000092 +:045318000000000091 +:045319000000000090 +:04531A00000000008F +:04531B00000000008E +:04531C00000000008D +:04531D00000000008C +:04531E00000000008B +:04531F00000000008A +:045320000000000089 +:045321000000000088 +:045322000000000087 +:045323000000000086 +:045324000000000085 +:045325000000000084 +:045326000000000083 +:045327000000000082 +:045328000000000081 +:045329000000000080 +:04532A00000000007F +:04532B00000000007E +:04532C00000000007D +:04532D00000000007C +:04532E00000000007B +:04532F00000000007A +:045330000000000079 +:045331000000000078 +:045332000000000077 +:045333000000000076 +:045334000000000075 +:045335000000000074 +:045336000000000073 +:045337000000000072 +:045338000000000071 +:045339000000000070 +:04533A00000000006F +:04533B00000000006E +:04533C00000000006D +:04533D00000000006C +:04533E00000000006B +:04533F00000000006A +:045340000000000069 +:045341000000000068 +:045342000000000067 +:045343000000000066 +:045344000000000065 +:045345000000000064 +:045346000000000063 +:045347000000000062 +:045348000000000061 +:045349000000000060 +:04534A00000000005F +:04534B00000000005E +:04534C00000000005D +:04534D00000000005C +:04534E00000000005B +:04534F00000000005A +:045350000000000059 +:045351000000000058 +:045352000000000057 +:045353000000000056 +:045354000000000055 +:045355000000000054 +:045356000000000053 +:045357000000000052 +:045358000000000051 +:045359000000000050 +:04535A00000000004F +:04535B00000000004E +:04535C00000000004D +:04535D00000000004C +:04535E00000000004B +:04535F00000000004A +:045360000000000049 +:045361000000000048 +:045362000000000047 +:045363000000000046 +:045364000000000045 +:045365000000000044 +:045366000000000043 +:045367000000000042 +:045368000000000041 +:045369000000000040 +:04536A00000000003F +:04536B00000000003E +:04536C00000000003D +:04536D00000000003C +:04536E00000000003B +:04536F00000000003A +:045370000000000039 +:045371000000000038 +:045372000000000037 +:045373000000000036 +:045374000000000035 +:045375000000000034 +:045376000000000033 +:045377000000000032 +:045378000000000031 +:045379000000000030 +:04537A00000000002F +:04537B00000000002E +:04537C00000000002D +:04537D00000000002C +:04537E00000000002B +:04537F00000000002A +:045380000000000029 +:045381000000000028 +:045382000000000027 +:045383000000000026 +:045384000000000025 +:045385000000000024 +:045386000000000023 +:045387000000000022 +:045388000000000021 +:045389000000000020 +:04538A00000000001F +:04538B00000000001E +:04538C00000000001D +:04538D00000000001C +:04538E00000000001B +:04538F00000000001A +:045390000000000019 +:045391000000000018 +:045392000000000017 +:045393000000000016 +:045394000000000015 +:045395000000000014 +:045396000000000013 +:045397000000000012 +:045398000000000011 +:045399000000000010 +:04539A00000000000F +:04539B00000000000E +:04539C00000000000D +:04539D00000000000C +:04539E00000000000B +:04539F00000000000A +:0453A0000000000009 +:0453A1000000000008 +:0453A2000000000007 +:0453A3000000000006 +:0453A4000000000005 +:0453A5000000000004 +:0453A6000000000003 +:0453A7000000000002 +:0453A8000000000001 +:0453A9000000000000 +:0453AA0000000000FF +:0453AB0000000000FE +:0453AC0000000000FD +:0453AD0000000000FC +:0453AE0000000000FB +:0453AF0000000000FA +:0453B00000000000F9 +:0453B10000000000F8 +:0453B20000000000F7 +:0453B30000000000F6 +:0453B40000000000F5 +:0453B50000000000F4 +:0453B60000000000F3 +:0453B70000000000F2 +:0453B80000000000F1 +:0453B90000000000F0 +:0453BA0000000000EF +:0453BB0000000000EE +:0453BC0000000000ED +:0453BD0000000000EC +:0453BE0000000000EB +:0453BF0000000000EA +:0453C00000000000E9 +:0453C10000000000E8 +:0453C20000000000E7 +:0453C30000000000E6 +:0453C40000000000E5 +:0453C50000000000E4 +:0453C60000000000E3 +:0453C70000000000E2 +:0453C80000000000E1 +:0453C90000000000E0 +:0453CA0000000000DF +:0453CB0000000000DE +:0453CC0000000000DD +:0453CD0000000000DC +:0453CE0000000000DB +:0453CF0000000000DA +:0453D00000000000D9 +:0453D10000000000D8 +:0453D20000000000D7 +:0453D30000000000D6 +:0453D40000000000D5 +:0453D50000000000D4 +:0453D60000000000D3 +:0453D70000000000D2 +:0453D80000000000D1 +:0453D90000000000D0 +:0453DA0000000000CF +:0453DB0000000000CE +:0453DC0000000000CD +:0453DD0000000000CC +:0453DE0000000000CB +:0453DF0000000000CA +:0453E00000000000C9 +:0453E10000000000C8 +:0453E20000000000C7 +:0453E30000000000C6 +:0453E40000000000C5 +:0453E50000000000C4 +:0453E60000000000C3 +:0453E70000000000C2 +:0453E80000000000C1 +:0453E90000000000C0 +:0453EA0000000000BF +:0453EB0000000000BE +:0453EC0000000000BD +:0453ED0000000000BC +:0453EE0000000000BB +:0453EF0000000000BA +:0453F00000000000B9 +:0453F10000000000B8 +:0453F20000000000B7 +:0453F30000000000B6 +:0453F40000000000B5 +:0453F50000000000B4 +:0453F60000000000B3 +:0453F70000000000B2 +:0453F80000000000B1 +:0453F90000000000B0 +:0453FA0000000000AF +:0453FB0000000000AE +:0453FC0000000000AD +:0453FD0000000000AC +:0453FE0000000000AB +:0453FF0000000000AA +:0454000000000000A8 +:0454010000000000A7 +:0454020000000000A6 +:0454030000000000A5 +:0454040000000000A4 +:0454050000000000A3 +:0454060000000000A2 +:0454070000000000A1 +:0454080000000000A0 +:04540900000000009F +:04540A00000000009E +:04540B00000000009D +:04540C00000000009C +:04540D00000000009B +:04540E00000000009A +:04540F000000000099 +:045410000000000098 +:045411000000000097 +:045412000000000096 +:045413000000000095 +:045414000000000094 +:045415000000000093 +:045416000000000092 +:045417000000000091 +:045418000000000090 +:04541900000000008F +:04541A00000000008E +:04541B00000000008D +:04541C00000000008C +:04541D00000000008B +:04541E00000000008A +:04541F000000000089 +:045420000000000088 +:045421000000000087 +:045422000000000086 +:045423000000000085 +:045424000000000084 +:045425000000000083 +:045426000000000082 +:045427000000000081 +:045428000000000080 +:04542900000000007F +:04542A00000000007E +:04542B00000000007D +:04542C00000000007C +:04542D00000000007B +:04542E00000000007A +:04542F000000000079 +:045430000000000078 +:045431000000000077 +:045432000000000076 +:045433000000000075 +:045434000000000074 +:045435000000000073 +:045436000000000072 +:045437000000000071 +:045438000000000070 +:04543900000000006F +:04543A00000000006E +:04543B00000000006D +:04543C00000000006C +:04543D00000000006B +:04543E00000000006A +:04543F000000000069 +:045440000000000068 +:045441000000000067 +:045442000000000066 +:045443000000000065 +:045444000000000064 +:045445000000000063 +:045446000000000062 +:045447000000000061 +:045448000000000060 +:04544900000000005F +:04544A00000000005E +:04544B00000000005D +:04544C00000000005C +:04544D00000000005B +:04544E00000000005A +:04544F000000000059 +:045450000000000058 +:045451000000000057 +:045452000000000056 +:045453000000000055 +:045454000000000054 +:045455000000000053 +:045456000000000052 +:045457000000000051 +:045458000000000050 +:04545900000000004F +:04545A00000000004E +:04545B00000000004D +:04545C00000000004C +:04545D00000000004B +:04545E00000000004A +:04545F000000000049 +:045460000000000048 +:045461000000000047 +:045462000000000046 +:045463000000000045 +:045464000000000044 +:045465000000000043 +:045466000000000042 +:045467000000000041 +:045468000000000040 +:04546900000000003F +:04546A00000000003E +:04546B00000000003D +:04546C00000000003C +:04546D00000000003B +:04546E00000000003A +:04546F000000000039 +:045470000000000038 +:045471000000000037 +:045472000000000036 +:045473000000000035 +:045474000000000034 +:045475000000000033 +:045476000000000032 +:045477000000000031 +:045478000000000030 +:04547900000000002F +:04547A00000000002E +:04547B00000000002D +:04547C00000000002C +:04547D00000000002B +:04547E00000000002A +:04547F000000000029 +:045480000000000028 +:045481000000000027 +:045482000000000026 +:045483000000000025 +:045484000000000024 +:045485000000000023 +:045486000000000022 +:045487000000000021 +:045488000000000020 +:04548900000000001F +:04548A00000000001E +:04548B00000000001D +:04548C00000000001C +:04548D00000000001B +:04548E00000000001A +:04548F000000000019 +:045490000000000018 +:045491000000000017 +:045492000000000016 +:045493000000000015 +:045494000000000014 +:045495000000000013 +:045496000000000012 +:045497000000000011 +:045498000000000010 +:04549900000000000F +:04549A00000000000E +:04549B00000000000D +:04549C00000000000C +:04549D00000000000B +:04549E00000000000A +:04549F000000000009 +:0454A0000000000008 +:0454A1000000000007 +:0454A2000000000006 +:0454A3000000000005 +:0454A4000000000004 +:0454A5000000000003 +:0454A6000000000002 +:0454A7000000000001 +:0454A8000000000000 +:0454A90000000000FF +:0454AA0000000000FE +:0454AB0000000000FD +:0454AC0000000000FC +:0454AD0000000000FB +:0454AE0000000000FA +:0454AF0000000000F9 +:0454B00000000000F8 +:0454B10000000000F7 +:0454B20000000000F6 +:0454B30000000000F5 +:0454B40000000000F4 +:0454B50000000000F3 +:0454B60000000000F2 +:0454B70000000000F1 +:0454B80000000000F0 +:0454B90000000000EF +:0454BA0000000000EE +:0454BB0000000000ED +:0454BC0000000000EC +:0454BD0000000000EB +:0454BE0000000000EA +:0454BF0000000000E9 +:0454C00000000000E8 +:0454C10000000000E7 +:0454C20000000000E6 +:0454C30000000000E5 +:0454C40000000000E4 +:0454C50000000000E3 +:0454C60000000000E2 +:0454C70000000000E1 +:0454C80000000000E0 +:0454C90000000000DF +:0454CA0000000000DE +:0454CB0000000000DD +:0454CC0000000000DC +:0454CD0000000000DB +:0454CE0000000000DA +:0454CF0000000000D9 +:0454D00000000000D8 +:0454D10000000000D7 +:0454D20000000000D6 +:0454D30000000000D5 +:0454D40000000000D4 +:0454D50000000000D3 +:0454D60000000000D2 +:0454D70000000000D1 +:0454D80000000000D0 +:0454D90000000000CF +:0454DA0000000000CE +:0454DB0000000000CD +:0454DC0000000000CC +:0454DD0000000000CB +:0454DE0000000000CA +:0454DF0000000000C9 +:0454E00000000000C8 +:0454E10000000000C7 +:0454E20000000000C6 +:0454E30000000000C5 +:0454E40000000000C4 +:0454E50000000000C3 +:0454E60000000000C2 +:0454E70000000000C1 +:0454E80000000000C0 +:0454E90000000000BF +:0454EA0000000000BE +:0454EB0000000000BD +:0454EC0000000000BC +:0454ED0000000000BB +:0454EE0000000000BA +:0454EF0000000000B9 +:0454F00000000000B8 +:0454F10000000000B7 +:0454F20000000000B6 +:0454F30000000000B5 +:0454F40000000000B4 +:0454F50000000000B3 +:0454F60000000000B2 +:0454F70000000000B1 +:0454F80000000000B0 +:0454F90000000000AF +:0454FA0000000000AE +:0454FB0000000000AD +:0454FC0000000000AC +:0454FD0000000000AB +:0454FE0000000000AA +:0454FF0000000000A9 +:0455000000000000A7 +:0455010000000000A6 +:0455020000000000A5 +:0455030000000000A4 +:0455040000000000A3 +:0455050000000000A2 +:0455060000000000A1 +:0455070000000000A0 +:04550800000000009F +:04550900000000009E +:04550A00000000009D +:04550B00000000009C +:04550C00000000009B +:04550D00000000009A +:04550E000000000099 +:04550F000000000098 +:045510000000000097 +:045511000000000096 +:045512000000000095 +:045513000000000094 +:045514000000000093 +:045515000000000092 +:045516000000000091 +:045517000000000090 +:04551800000000008F +:04551900000000008E +:04551A00000000008D +:04551B00000000008C +:04551C00000000008B +:04551D00000000008A +:04551E000000000089 +:04551F000000000088 +:045520000000000087 +:045521000000000086 +:045522000000000085 +:045523000000000084 +:045524000000000083 +:045525000000000082 +:045526000000000081 +:045527000000000080 +:04552800000000007F +:04552900000000007E +:04552A00000000007D +:04552B00000000007C +:04552C00000000007B +:04552D00000000007A +:04552E000000000079 +:04552F000000000078 +:045530000000000077 +:045531000000000076 +:045532000000000075 +:045533000000000074 +:045534000000000073 +:045535000000000072 +:045536000000000071 +:045537000000000070 +:04553800000000006F +:04553900000000006E +:04553A00000000006D +:04553B00000000006C +:04553C00000000006B +:04553D00000000006A +:04553E000000000069 +:04553F000000000068 +:045540000000000067 +:045541000000000066 +:045542000000000065 +:045543000000000064 +:045544000000000063 +:045545000000000062 +:045546000000000061 +:045547000000000060 +:04554800000000005F +:04554900000000005E +:04554A00000000005D +:04554B00000000005C +:04554C00000000005B +:04554D00000000005A +:04554E000000000059 +:04554F000000000058 +:045550000000000057 +:045551000000000056 +:045552000000000055 +:045553000000000054 +:045554000000000053 +:045555000000000052 +:045556000000000051 +:045557000000000050 +:04555800000000004F +:04555900000000004E +:04555A00000000004D +:04555B00000000004C +:04555C00000000004B +:04555D00000000004A +:04555E000000000049 +:04555F000000000048 +:045560000000000047 +:045561000000000046 +:045562000000000045 +:045563000000000044 +:045564000000000043 +:045565000000000042 +:045566000000000041 +:045567000000000040 +:04556800000000003F +:04556900000000003E +:04556A00000000003D +:04556B00000000003C +:04556C00000000003B +:04556D00000000003A +:04556E000000000039 +:04556F000000000038 +:045570000000000037 +:045571000000000036 +:045572000000000035 +:045573000000000034 +:045574000000000033 +:045575000000000032 +:045576000000000031 +:045577000000000030 +:04557800000000002F +:04557900000000002E +:04557A00000000002D +:04557B00000000002C +:04557C00000000002B +:04557D00000000002A +:04557E000000000029 +:04557F000000000028 +:045580000000000027 +:045581000000000026 +:045582000000000025 +:045583000000000024 +:045584000000000023 +:045585000000000022 +:045586000000000021 +:045587000000000020 +:04558800000000001F +:04558900000000001E +:04558A00000000001D +:04558B00000000001C +:04558C00000000001B +:04558D00000000001A +:04558E000000000019 +:04558F000000000018 +:045590000000000017 +:045591000000000016 +:045592000000000015 +:045593000000000014 +:045594000000000013 +:045595000000000012 +:045596000000000011 +:045597000000000010 +:04559800000000000F +:04559900000000000E +:04559A00000000000D +:04559B00000000000C +:04559C00000000000B +:04559D00000000000A +:04559E000000000009 +:04559F000000000008 +:0455A0000000000007 +:0455A1000000000006 +:0455A2000000000005 +:0455A3000000000004 +:0455A4000000000003 +:0455A5000000000002 +:0455A6000000000001 +:0455A7000000000000 +:0455A80000000000FF +:0455A90000000000FE +:0455AA0000000000FD +:0455AB0000000000FC +:0455AC0000000000FB +:0455AD0000000000FA +:0455AE0000000000F9 +:0455AF0000000000F8 +:0455B00000000000F7 +:0455B10000000000F6 +:0455B20000000000F5 +:0455B30000000000F4 +:0455B40000000000F3 +:0455B50000000000F2 +:0455B60000000000F1 +:0455B70000000000F0 +:0455B80000000000EF +:0455B90000000000EE +:0455BA0000000000ED +:0455BB0000000000EC +:0455BC0000000000EB +:0455BD0000000000EA +:0455BE0000000000E9 +:0455BF0000000000E8 +:0455C00000000000E7 +:0455C10000000000E6 +:0455C20000000000E5 +:0455C30000000000E4 +:0455C40000000000E3 +:0455C50000000000E2 +:0455C60000000000E1 +:0455C70000000000E0 +:0455C80000000000DF +:0455C90000000000DE +:0455CA0000000000DD +:0455CB0000000000DC +:0455CC0000000000DB +:0455CD0000000000DA +:0455CE0000000000D9 +:0455CF0000000000D8 +:0455D00000000000D7 +:0455D10000000000D6 +:0455D20000000000D5 +:0455D30000000000D4 +:0455D40000000000D3 +:0455D50000000000D2 +:0455D60000000000D1 +:0455D70000000000D0 +:0455D80000000000CF +:0455D90000000000CE +:0455DA0000000000CD +:0455DB0000000000CC +:0455DC0000000000CB +:0455DD0000000000CA +:0455DE0000000000C9 +:0455DF0000000000C8 +:0455E00000000000C7 +:0455E10000000000C6 +:0455E20000000000C5 +:0455E30000000000C4 +:0455E40000000000C3 +:0455E50000000000C2 +:0455E60000000000C1 +:0455E70000000000C0 +:0455E80000000000BF +:0455E90000000000BE +:0455EA0000000000BD +:0455EB0000000000BC +:0455EC0000000000BB +:0455ED0000000000BA +:0455EE0000000000B9 +:0455EF0000000000B8 +:0455F00000000000B7 +:0455F10000000000B6 +:0455F20000000000B5 +:0455F30000000000B4 +:0455F40000000000B3 +:0455F50000000000B2 +:0455F60000000000B1 +:0455F70000000000B0 +:0455F80000000000AF +:0455F90000000000AE +:0455FA0000000000AD +:0455FB0000000000AC +:0455FC0000000000AB +:0455FD0000000000AA +:0455FE0000000000A9 +:0455FF0000000000A8 +:0456000000000000A6 +:0456010000000000A5 +:0456020000000000A4 +:0456030000000000A3 +:0456040000000000A2 +:0456050000000000A1 +:0456060000000000A0 +:04560700000000009F +:04560800000000009E +:04560900000000009D +:04560A00000000009C +:04560B00000000009B +:04560C00000000009A +:04560D000000000099 +:04560E000000000098 +:04560F000000000097 +:045610000000000096 +:045611000000000095 +:045612000000000094 +:045613000000000093 +:045614000000000092 +:045615000000000091 +:045616000000000090 +:04561700000000008F +:04561800000000008E +:04561900000000008D +:04561A00000000008C +:04561B00000000008B +:04561C00000000008A +:04561D000000000089 +:04561E000000000088 +:04561F000000000087 +:045620000000000086 +:045621000000000085 +:045622000000000084 +:045623000000000083 +:045624000000000082 +:045625000000000081 +:045626000000000080 +:04562700000000007F +:04562800000000007E +:04562900000000007D +:04562A00000000007C +:04562B00000000007B +:04562C00000000007A +:04562D000000000079 +:04562E000000000078 +:04562F000000000077 +:045630000000000076 +:045631000000000075 +:045632000000000074 +:045633000000000073 +:045634000000000072 +:045635000000000071 +:045636000000000070 +:04563700000000006F +:04563800000000006E +:04563900000000006D +:04563A00000000006C +:04563B00000000006B +:04563C00000000006A +:04563D000000000069 +:04563E000000000068 +:04563F000000000067 +:045640000000000066 +:045641000000000065 +:045642000000000064 +:045643000000000063 +:045644000000000062 +:045645000000000061 +:045646000000000060 +:04564700000000005F +:04564800000000005E +:04564900000000005D +:04564A00000000005C +:04564B00000000005B +:04564C00000000005A +:04564D000000000059 +:04564E000000000058 +:04564F000000000057 +:045650000000000056 +:045651000000000055 +:045652000000000054 +:045653000000000053 +:045654000000000052 +:045655000000000051 +:045656000000000050 +:04565700000000004F +:04565800000000004E +:04565900000000004D +:04565A00000000004C +:04565B00000000004B +:04565C00000000004A +:04565D000000000049 +:04565E000000000048 +:04565F000000000047 +:045660000000000046 +:045661000000000045 +:045662000000000044 +:045663000000000043 +:045664000000000042 +:045665000000000041 +:045666000000000040 +:04566700000000003F +:04566800000000003E +:04566900000000003D +:04566A00000000003C +:04566B00000000003B +:04566C00000000003A +:04566D000000000039 +:04566E000000000038 +:04566F000000000037 +:045670000000000036 +:045671000000000035 +:045672000000000034 +:045673000000000033 +:045674000000000032 +:045675000000000031 +:045676000000000030 +:04567700000000002F +:04567800000000002E +:04567900000000002D +:04567A00000000002C +:04567B00000000002B +:04567C00000000002A +:04567D000000000029 +:04567E000000000028 +:04567F000000000027 +:045680000000000026 +:045681000000000025 +:045682000000000024 +:045683000000000023 +:045684000000000022 +:045685000000000021 +:045686000000000020 +:04568700000000001F +:04568800000000001E +:04568900000000001D +:04568A00000000001C +:04568B00000000001B +:04568C00000000001A +:04568D000000000019 +:04568E000000000018 +:04568F000000000017 +:045690000000000016 +:045691000000000015 +:045692000000000014 +:045693000000000013 +:045694000000000012 +:045695000000000011 +:045696000000000010 +:04569700000000000F +:04569800000000000E +:04569900000000000D +:04569A00000000000C +:04569B00000000000B +:04569C00000000000A +:04569D000000000009 +:04569E000000000008 +:04569F000000000007 +:0456A0000000000006 +:0456A1000000000005 +:0456A2000000000004 +:0456A3000000000003 +:0456A4000000000002 +:0456A5000000000001 +:0456A6000000000000 +:0456A70000000000FF +:0456A80000000000FE +:0456A90000000000FD +:0456AA0000000000FC +:0456AB0000000000FB +:0456AC0000000000FA +:0456AD0000000000F9 +:0456AE0000000000F8 +:0456AF0000000000F7 +:0456B00000000000F6 +:0456B10000000000F5 +:0456B20000000000F4 +:0456B30000000000F3 +:0456B40000000000F2 +:0456B50000000000F1 +:0456B60000000000F0 +:0456B70000000000EF +:0456B80000000000EE +:0456B90000000000ED +:0456BA0000000000EC +:0456BB0000000000EB +:0456BC0000000000EA +:0456BD0000000000E9 +:0456BE0000000000E8 +:0456BF0000000000E7 +:0456C00000000000E6 +:0456C10000000000E5 +:0456C20000000000E4 +:0456C30000000000E3 +:0456C40000000000E2 +:0456C50000000000E1 +:0456C60000000000E0 +:0456C70000000000DF +:0456C80000000000DE +:0456C90000000000DD +:0456CA0000000000DC +:0456CB0000000000DB +:0456CC0000000000DA +:0456CD0000000000D9 +:0456CE0000000000D8 +:0456CF0000000000D7 +:0456D00000000000D6 +:0456D10000000000D5 +:0456D20000000000D4 +:0456D30000000000D3 +:0456D40000000000D2 +:0456D50000000000D1 +:0456D60000000000D0 +:0456D70000000000CF +:0456D80000000000CE +:0456D90000000000CD +:0456DA0000000000CC +:0456DB0000000000CB +:0456DC0000000000CA +:0456DD0000000000C9 +:0456DE0000000000C8 +:0456DF0000000000C7 +:0456E00000000000C6 +:0456E10000000000C5 +:0456E20000000000C4 +:0456E30000000000C3 +:0456E40000000000C2 +:0456E50000000000C1 +:0456E60000000000C0 +:0456E70000000000BF +:0456E80000000000BE +:0456E90000000000BD +:0456EA0000000000BC +:0456EB0000000000BB +:0456EC0000000000BA +:0456ED0000000000B9 +:0456EE0000000000B8 +:0456EF0000000000B7 +:0456F00000000000B6 +:0456F10000000000B5 +:0456F20000000000B4 +:0456F30000000000B3 +:0456F40000000000B2 +:0456F50000000000B1 +:0456F60000000000B0 +:0456F70000000000AF +:0456F80000000000AE +:0456F90000000000AD +:0456FA0000000000AC +:0456FB0000000000AB +:0456FC0000000000AA +:0456FD0000000000A9 +:0456FE0000000000A8 +:0456FF0000000000A7 +:0457000000000000A5 +:0457010000000000A4 +:0457020000000000A3 +:0457030000000000A2 +:0457040000000000A1 +:0457050000000000A0 +:04570600000000009F +:04570700000000009E +:04570800000000009D +:04570900000000009C +:04570A00000000009B +:04570B00000000009A +:04570C000000000099 +:04570D000000000098 +:04570E000000000097 +:04570F000000000096 +:045710000000000095 +:045711000000000094 +:045712000000000093 +:045713000000000092 +:045714000000000091 +:045715000000000090 +:04571600000000008F +:04571700000000008E +:04571800000000008D +:04571900000000008C +:04571A00000000008B +:04571B00000000008A +:04571C000000000089 +:04571D000000000088 +:04571E000000000087 +:04571F000000000086 +:045720000000000085 +:045721000000000084 +:045722000000000083 +:045723000000000082 +:045724000000000081 +:045725000000000080 +:04572600000000007F +:04572700000000007E +:04572800000000007D +:04572900000000007C +:04572A00000000007B +:04572B00000000007A +:04572C000000000079 +:04572D000000000078 +:04572E000000000077 +:04572F000000000076 +:045730000000000075 +:045731000000000074 +:045732000000000073 +:045733000000000072 +:045734000000000071 +:045735000000000070 +:04573600000000006F +:04573700000000006E +:04573800000000006D +:04573900000000006C +:04573A00000000006B +:04573B00000000006A +:04573C000000000069 +:04573D000000000068 +:04573E000000000067 +:04573F000000000066 +:045740000000000065 +:045741000000000064 +:045742000000000063 +:045743000000000062 +:045744000000000061 +:045745000000000060 +:04574600000000005F +:04574700000000005E +:04574800000000005D +:04574900000000005C +:04574A00000000005B +:04574B00000000005A +:04574C000000000059 +:04574D000000000058 +:04574E000000000057 +:04574F000000000056 +:045750000000000055 +:045751000000000054 +:045752000000000053 +:045753000000000052 +:045754000000000051 +:045755000000000050 +:04575600000000004F +:04575700000000004E +:04575800000000004D +:04575900000000004C +:04575A00000000004B +:04575B00000000004A +:04575C000000000049 +:04575D000000000048 +:04575E000000000047 +:04575F000000000046 +:045760000000000045 +:045761000000000044 +:045762000000000043 +:045763000000000042 +:045764000000000041 +:045765000000000040 +:04576600000000003F +:04576700000000003E +:04576800000000003D +:04576900000000003C +:04576A00000000003B +:04576B00000000003A +:04576C000000000039 +:04576D000000000038 +:04576E000000000037 +:04576F000000000036 +:045770000000000035 +:045771000000000034 +:045772000000000033 +:045773000000000032 +:045774000000000031 +:045775000000000030 +:04577600000000002F +:04577700000000002E +:04577800000000002D +:04577900000000002C +:04577A00000000002B +:04577B00000000002A +:04577C000000000029 +:04577D000000000028 +:04577E000000000027 +:04577F000000000026 +:045780000000000025 +:045781000000000024 +:045782000000000023 +:045783000000000022 +:045784000000000021 +:045785000000000020 +:04578600000000001F +:04578700000000001E +:04578800000000001D +:04578900000000001C +:04578A00000000001B +:04578B00000000001A +:04578C000000000019 +:04578D000000000018 +:04578E000000000017 +:04578F000000000016 +:045790000000000015 +:045791000000000014 +:045792000000000013 +:045793000000000012 +:045794000000000011 +:045795000000000010 +:04579600000000000F +:04579700000000000E +:04579800000000000D +:04579900000000000C +:04579A00000000000B +:04579B00000000000A +:04579C000000000009 +:04579D000000000008 +:04579E000000000007 +:04579F000000000006 +:0457A0000000000005 +:0457A1000000000004 +:0457A2000000000003 +:0457A3000000000002 +:0457A4000000000001 +:0457A5000000000000 +:0457A60000000000FF +:0457A70000000000FE +:0457A80000000000FD +:0457A90000000000FC +:0457AA0000000000FB +:0457AB0000000000FA +:0457AC0000000000F9 +:0457AD0000000000F8 +:0457AE0000000000F7 +:0457AF0000000000F6 +:0457B00000000000F5 +:0457B10000000000F4 +:0457B20000000000F3 +:0457B30000000000F2 +:0457B40000000000F1 +:0457B50000000000F0 +:0457B60000000000EF +:0457B70000000000EE +:0457B80000000000ED +:0457B90000000000EC +:0457BA0000000000EB +:0457BB0000000000EA +:0457BC0000000000E9 +:0457BD0000000000E8 +:0457BE0000000000E7 +:0457BF0000000000E6 +:0457C00000000000E5 +:0457C10000000000E4 +:0457C20000000000E3 +:0457C30000000000E2 +:0457C40000000000E1 +:0457C50000000000E0 +:0457C60000000000DF +:0457C70000000000DE +:0457C80000000000DD +:0457C90000000000DC +:0457CA0000000000DB +:0457CB0000000000DA +:0457CC0000000000D9 +:0457CD0000000000D8 +:0457CE0000000000D7 +:0457CF0000000000D6 +:0457D00000000000D5 +:0457D10000000000D4 +:0457D20000000000D3 +:0457D30000000000D2 +:0457D40000000000D1 +:0457D50000000000D0 +:0457D60000000000CF +:0457D70000000000CE +:0457D80000000000CD +:0457D90000000000CC +:0457DA0000000000CB +:0457DB0000000000CA +:0457DC0000000000C9 +:0457DD0000000000C8 +:0457DE0000000000C7 +:0457DF0000000000C6 +:0457E00000000000C5 +:0457E10000000000C4 +:0457E20000000000C3 +:0457E30000000000C2 +:0457E40000000000C1 +:0457E50000000000C0 +:0457E60000000000BF +:0457E70000000000BE +:0457E80000000000BD +:0457E90000000000BC +:0457EA0000000000BB +:0457EB0000000000BA +:0457EC0000000000B9 +:0457ED0000000000B8 +:0457EE0000000000B7 +:0457EF0000000000B6 +:0457F00000000000B5 +:0457F10000000000B4 +:0457F20000000000B3 +:0457F30000000000B2 +:0457F40000000000B1 +:0457F50000000000B0 +:0457F60000000000AF +:0457F70000000000AE +:0457F80000000000AD +:0457F90000000000AC +:0457FA0000000000AB +:0457FB0000000000AA +:0457FC0000000000A9 +:0457FD0000000000A8 +:0457FE0000000000A7 +:0457FF0000000000A6 +:0458000000000000A4 +:0458010000000000A3 +:0458020000000000A2 +:0458030000000000A1 +:0458040000000000A0 +:04580500000000009F +:04580600000000009E +:04580700000000009D +:04580800000000009C +:04580900000000009B +:04580A00000000009A +:04580B000000000099 +:04580C000000000098 +:04580D000000000097 +:04580E000000000096 +:04580F000000000095 +:045810000000000094 +:045811000000000093 +:045812000000000092 +:045813000000000091 +:045814000000000090 +:04581500000000008F +:04581600000000008E +:04581700000000008D +:04581800000000008C +:04581900000000008B +:04581A00000000008A +:04581B000000000089 +:04581C000000000088 +:04581D000000000087 +:04581E000000000086 +:04581F000000000085 +:045820000000000084 +:045821000000000083 +:045822000000000082 +:045823000000000081 +:045824000000000080 +:04582500000000007F +:04582600000000007E +:04582700000000007D +:04582800000000007C +:04582900000000007B +:04582A00000000007A +:04582B000000000079 +:04582C000000000078 +:04582D000000000077 +:04582E000000000076 +:04582F000000000075 +:045830000000000074 +:045831000000000073 +:045832000000000072 +:045833000000000071 +:045834000000000070 +:04583500000000006F +:04583600000000006E +:04583700000000006D +:04583800000000006C +:04583900000000006B +:04583A00000000006A +:04583B000000000069 +:04583C000000000068 +:04583D000000000067 +:04583E000000000066 +:04583F000000000065 +:045840000000000064 +:045841000000000063 +:045842000000000062 +:045843000000000061 +:045844000000000060 +:04584500000000005F +:04584600000000005E +:04584700000000005D +:04584800000000005C +:04584900000000005B +:04584A00000000005A +:04584B000000000059 +:04584C000000000058 +:04584D000000000057 +:04584E000000000056 +:04584F000000000055 +:045850000000000054 +:045851000000000053 +:045852000000000052 +:045853000000000051 +:045854000000000050 +:04585500000000004F +:04585600000000004E +:04585700000000004D +:04585800000000004C +:04585900000000004B +:04585A00000000004A +:04585B000000000049 +:04585C000000000048 +:04585D000000000047 +:04585E000000000046 +:04585F000000000045 +:045860000000000044 +:045861000000000043 +:045862000000000042 +:045863000000000041 +:045864000000000040 +:04586500000000003F +:04586600000000003E +:04586700000000003D +:04586800000000003C +:04586900000000003B +:04586A00000000003A +:04586B000000000039 +:04586C000000000038 +:04586D000000000037 +:04586E000000000036 +:04586F000000000035 +:045870000000000034 +:045871000000000033 +:045872000000000032 +:045873000000000031 +:045874000000000030 +:04587500000000002F +:04587600000000002E +:04587700000000002D +:04587800000000002C +:04587900000000002B +:04587A00000000002A +:04587B000000000029 +:04587C000000000028 +:04587D000000000027 +:04587E000000000026 +:04587F000000000025 +:045880000000000024 +:045881000000000023 +:045882000000000022 +:045883000000000021 +:045884000000000020 +:04588500000000001F +:04588600000000001E +:04588700000000001D +:04588800000000001C +:04588900000000001B +:04588A00000000001A +:04588B000000000019 +:04588C000000000018 +:04588D000000000017 +:04588E000000000016 +:04588F000000000015 +:045890000000000014 +:045891000000000013 +:045892000000000012 +:045893000000000011 +:045894000000000010 +:04589500000000000F +:04589600000000000E +:04589700000000000D +:04589800000000000C +:04589900000000000B +:04589A00000000000A +:04589B000000000009 +:04589C000000000008 +:04589D000000000007 +:04589E000000000006 +:04589F000000000005 +:0458A0000000000004 +:0458A1000000000003 +:0458A2000000000002 +:0458A3000000000001 +:0458A4000000000000 +:0458A50000000000FF +:0458A60000000000FE +:0458A70000000000FD +:0458A80000000000FC +:0458A90000000000FB +:0458AA0000000000FA +:0458AB0000000000F9 +:0458AC0000000000F8 +:0458AD0000000000F7 +:0458AE0000000000F6 +:0458AF0000000000F5 +:0458B00000000000F4 +:0458B10000000000F3 +:0458B20000000000F2 +:0458B30000000000F1 +:0458B40000000000F0 +:0458B50000000000EF +:0458B60000000000EE +:0458B70000000000ED +:0458B80000000000EC +:0458B90000000000EB +:0458BA0000000000EA +:0458BB0000000000E9 +:0458BC0000000000E8 +:0458BD0000000000E7 +:0458BE0000000000E6 +:0458BF0000000000E5 +:0458C00000000000E4 +:0458C10000000000E3 +:0458C20000000000E2 +:0458C30000000000E1 +:0458C40000000000E0 +:0458C50000000000DF +:0458C60000000000DE +:0458C70000000000DD +:0458C80000000000DC +:0458C90000000000DB +:0458CA0000000000DA +:0458CB0000000000D9 +:0458CC0000000000D8 +:0458CD0000000000D7 +:0458CE0000000000D6 +:0458CF0000000000D5 +:0458D00000000000D4 +:0458D10000000000D3 +:0458D20000000000D2 +:0458D30000000000D1 +:0458D40000000000D0 +:0458D50000000000CF +:0458D60000000000CE +:0458D70000000000CD +:0458D80000000000CC +:0458D90000000000CB +:0458DA0000000000CA +:0458DB0000000000C9 +:0458DC0000000000C8 +:0458DD0000000000C7 +:0458DE0000000000C6 +:0458DF0000000000C5 +:0458E00000000000C4 +:0458E10000000000C3 +:0458E20000000000C2 +:0458E30000000000C1 +:0458E40000000000C0 +:0458E50000000000BF +:0458E60000000000BE +:0458E70000000000BD +:0458E80000000000BC +:0458E90000000000BB +:0458EA0000000000BA +:0458EB0000000000B9 +:0458EC0000000000B8 +:0458ED0000000000B7 +:0458EE0000000000B6 +:0458EF0000000000B5 +:0458F00000000000B4 +:0458F10000000000B3 +:0458F20000000000B2 +:0458F30000000000B1 +:0458F40000000000B0 +:0458F50000000000AF +:0458F60000000000AE +:0458F70000000000AD +:0458F80000000000AC +:0458F90000000000AB +:0458FA0000000000AA +:0458FB0000000000A9 +:0458FC0000000000A8 +:0458FD0000000000A7 +:0458FE0000000000A6 +:0458FF0000000000A5 +:0459000000000000A3 +:0459010000000000A2 +:0459020000000000A1 +:0459030000000000A0 +:04590400000000009F +:04590500000000009E +:04590600000000009D +:04590700000000009C +:04590800000000009B +:04590900000000009A +:04590A000000000099 +:04590B000000000098 +:04590C000000000097 +:04590D000000000096 +:04590E000000000095 +:04590F000000000094 +:045910000000000093 +:045911000000000092 +:045912000000000091 +:045913000000000090 +:04591400000000008F +:04591500000000008E +:04591600000000008D +:04591700000000008C +:04591800000000008B +:04591900000000008A +:04591A000000000089 +:04591B000000000088 +:04591C000000000087 +:04591D000000000086 +:04591E000000000085 +:04591F000000000084 +:045920000000000083 +:045921000000000082 +:045922000000000081 +:045923000000000080 +:04592400000000007F +:04592500000000007E +:04592600000000007D +:04592700000000007C +:04592800000000007B +:04592900000000007A +:04592A000000000079 +:04592B000000000078 +:04592C000000000077 +:04592D000000000076 +:04592E000000000075 +:04592F000000000074 +:045930000000000073 +:045931000000000072 +:045932000000000071 +:045933000000000070 +:04593400000000006F +:04593500000000006E +:04593600000000006D +:04593700000000006C +:04593800000000006B +:04593900000000006A +:04593A000000000069 +:04593B000000000068 +:04593C000000000067 +:04593D000000000066 +:04593E000000000065 +:04593F000000000064 +:045940000000000063 +:045941000000000062 +:045942000000000061 +:045943000000000060 +:04594400000000005F +:04594500000000005E +:04594600000000005D +:04594700000000005C +:04594800000000005B +:04594900000000005A +:04594A000000000059 +:04594B000000000058 +:04594C000000000057 +:04594D000000000056 +:04594E000000000055 +:04594F000000000054 +:045950000000000053 +:045951000000000052 +:045952000000000051 +:045953000000000050 +:04595400000000004F +:04595500000000004E +:04595600000000004D +:04595700000000004C +:04595800000000004B +:04595900000000004A +:04595A000000000049 +:04595B000000000048 +:04595C000000000047 +:04595D000000000046 +:04595E000000000045 +:04595F000000000044 +:045960000000000043 +:045961000000000042 +:045962000000000041 +:045963000000000040 +:04596400000000003F +:04596500000000003E +:04596600000000003D +:04596700000000003C +:04596800000000003B +:04596900000000003A +:04596A000000000039 +:04596B000000000038 +:04596C000000000037 +:04596D000000000036 +:04596E000000000035 +:04596F000000000034 +:045970000000000033 +:045971000000000032 +:045972000000000031 +:045973000000000030 +:04597400000000002F +:04597500000000002E +:04597600000000002D +:04597700000000002C +:04597800000000002B +:04597900000000002A +:04597A000000000029 +:04597B000000000028 +:04597C000000000027 +:04597D000000000026 +:04597E000000000025 +:04597F000000000024 +:045980000000000023 +:045981000000000022 +:045982000000000021 +:045983000000000020 +:04598400000000001F +:04598500000000001E +:04598600000000001D +:04598700000000001C +:04598800000000001B +:04598900000000001A +:04598A000000000019 +:04598B000000000018 +:04598C000000000017 +:04598D000000000016 +:04598E000000000015 +:04598F000000000014 +:045990000000000013 +:045991000000000012 +:045992000000000011 +:045993000000000010 +:04599400000000000F +:04599500000000000E +:04599600000000000D +:04599700000000000C +:04599800000000000B +:04599900000000000A +:04599A000000000009 +:04599B000000000008 +:04599C000000000007 +:04599D000000000006 +:04599E000000000005 +:04599F000000000004 +:0459A0000000000003 +:0459A1000000000002 +:0459A2000000000001 +:0459A3000000000000 +:0459A40000000000FF +:0459A50000000000FE +:0459A60000000000FD +:0459A70000000000FC +:0459A80000000000FB +:0459A90000000000FA +:0459AA0000000000F9 +:0459AB0000000000F8 +:0459AC0000000000F7 +:0459AD0000000000F6 +:0459AE0000000000F5 +:0459AF0000000000F4 +:0459B00000000000F3 +:0459B10000000000F2 +:0459B20000000000F1 +:0459B30000000000F0 +:0459B40000000000EF +:0459B50000000000EE +:0459B60000000000ED +:0459B70000000000EC +:0459B80000000000EB +:0459B90000000000EA +:0459BA0000000000E9 +:0459BB0000000000E8 +:0459BC0000000000E7 +:0459BD0000000000E6 +:0459BE0000000000E5 +:0459BF0000000000E4 +:0459C00000000000E3 +:0459C10000000000E2 +:0459C20000000000E1 +:0459C30000000000E0 +:0459C40000000000DF +:0459C50000000000DE +:0459C60000000000DD +:0459C70000000000DC +:0459C80000000000DB +:0459C90000000000DA +:0459CA0000000000D9 +:0459CB0000000000D8 +:0459CC0000000000D7 +:0459CD0000000000D6 +:0459CE0000000000D5 +:0459CF0000000000D4 +:0459D00000000000D3 +:0459D10000000000D2 +:0459D20000000000D1 +:0459D30000000000D0 +:0459D40000000000CF +:0459D50000000000CE +:0459D60000000000CD +:0459D70000000000CC +:0459D80000000000CB +:0459D90000000000CA +:0459DA0000000000C9 +:0459DB0000000000C8 +:0459DC0000000000C7 +:0459DD0000000000C6 +:0459DE0000000000C5 +:0459DF0000000000C4 +:0459E00000000000C3 +:0459E10000000000C2 +:0459E20000000000C1 +:0459E30000000000C0 +:0459E40000000000BF +:0459E50000000000BE +:0459E60000000000BD +:0459E70000000000BC +:0459E80000000000BB +:0459E90000000000BA +:0459EA0000000000B9 +:0459EB0000000000B8 +:0459EC0000000000B7 +:0459ED0000000000B6 +:0459EE0000000000B5 +:0459EF0000000000B4 +:0459F00000000000B3 +:0459F10000000000B2 +:0459F20000000000B1 +:0459F30000000000B0 +:0459F40000000000AF +:0459F50000000000AE +:0459F60000000000AD +:0459F70000000000AC +:0459F80000000000AB +:0459F90000000000AA +:0459FA0000000000A9 +:0459FB0000000000A8 +:0459FC0000000000A7 +:0459FD0000000000A6 +:0459FE0000000000A5 +:0459FF0000000000A4 +:045A000000000000A2 +:045A010000000000A1 +:045A020000000000A0 +:045A0300000000009F +:045A0400000000009E +:045A0500000000009D +:045A0600000000009C +:045A0700000000009B +:045A0800000000009A +:045A09000000000099 +:045A0A000000000098 +:045A0B000000000097 +:045A0C000000000096 +:045A0D000000000095 +:045A0E000000000094 +:045A0F000000000093 +:045A10000000000092 +:045A11000000000091 +:045A12000000000090 +:045A1300000000008F +:045A1400000000008E +:045A1500000000008D +:045A1600000000008C +:045A1700000000008B +:045A1800000000008A +:045A19000000000089 +:045A1A000000000088 +:045A1B000000000087 +:045A1C000000000086 +:045A1D000000000085 +:045A1E000000000084 +:045A1F000000000083 +:045A20000000000082 +:045A21000000000081 +:045A22000000000080 +:045A2300000000007F +:045A2400000000007E +:045A2500000000007D +:045A2600000000007C +:045A2700000000007B +:045A2800000000007A +:045A29000000000079 +:045A2A000000000078 +:045A2B000000000077 +:045A2C000000000076 +:045A2D000000000075 +:045A2E000000000074 +:045A2F000000000073 +:045A30000000000072 +:045A31000000000071 +:045A32000000000070 +:045A3300000000006F +:045A3400000000006E +:045A3500000000006D +:045A3600000000006C +:045A3700000000006B +:045A3800000000006A +:045A39000000000069 +:045A3A000000000068 +:045A3B000000000067 +:045A3C000000000066 +:045A3D000000000065 +:045A3E000000000064 +:045A3F000000000063 +:045A40000000000062 +:045A41000000000061 +:045A42000000000060 +:045A4300000000005F +:045A4400000000005E +:045A4500000000005D +:045A4600000000005C +:045A4700000000005B +:045A4800000000005A +:045A49000000000059 +:045A4A000000000058 +:045A4B000000000057 +:045A4C000000000056 +:045A4D000000000055 +:045A4E000000000054 +:045A4F000000000053 +:045A50000000000052 +:045A51000000000051 +:045A52000000000050 +:045A5300000000004F +:045A5400000000004E +:045A5500000000004D +:045A5600000000004C +:045A5700000000004B +:045A5800000000004A +:045A59000000000049 +:045A5A000000000048 +:045A5B000000000047 +:045A5C000000000046 +:045A5D000000000045 +:045A5E000000000044 +:045A5F000000000043 +:045A60000000000042 +:045A61000000000041 +:045A62000000000040 +:045A6300000000003F +:045A6400000000003E +:045A6500000000003D +:045A6600000000003C +:045A6700000000003B +:045A6800000000003A +:045A69000000000039 +:045A6A000000000038 +:045A6B000000000037 +:045A6C000000000036 +:045A6D000000000035 +:045A6E000000000034 +:045A6F000000000033 +:045A70000000000032 +:045A71000000000031 +:045A72000000000030 +:045A7300000000002F +:045A7400000000002E +:045A7500000000002D +:045A7600000000002C +:045A7700000000002B +:045A7800000000002A +:045A79000000000029 +:045A7A000000000028 +:045A7B000000000027 +:045A7C000000000026 +:045A7D000000000025 +:045A7E000000000024 +:045A7F000000000023 +:045A80000000000022 +:045A81000000000021 +:045A82000000000020 +:045A8300000000001F +:045A8400000000001E +:045A8500000000001D +:045A8600000000001C +:045A8700000000001B +:045A8800000000001A +:045A89000000000019 +:045A8A000000000018 +:045A8B000000000017 +:045A8C000000000016 +:045A8D000000000015 +:045A8E000000000014 +:045A8F000000000013 +:045A90000000000012 +:045A91000000000011 +:045A92000000000010 +:045A9300000000000F +:045A9400000000000E +:045A9500000000000D +:045A9600000000000C +:045A9700000000000B +:045A9800000000000A +:045A99000000000009 +:045A9A000000000008 +:045A9B000000000007 +:045A9C000000000006 +:045A9D000000000005 +:045A9E000000000004 +:045A9F000000000003 +:045AA0000000000002 +:045AA1000000000001 +:045AA2000000000000 +:045AA30000000000FF +:045AA40000000000FE +:045AA50000000000FD +:045AA60000000000FC +:045AA70000000000FB +:045AA80000000000FA +:045AA90000000000F9 +:045AAA0000000000F8 +:045AAB0000000000F7 +:045AAC0000000000F6 +:045AAD0000000000F5 +:045AAE0000000000F4 +:045AAF0000000000F3 +:045AB00000000000F2 +:045AB10000000000F1 +:045AB20000000000F0 +:045AB30000000000EF +:045AB40000000000EE +:045AB50000000000ED +:045AB60000000000EC +:045AB70000000000EB +:045AB80000000000EA +:045AB90000000000E9 +:045ABA0000000000E8 +:045ABB0000000000E7 +:045ABC0000000000E6 +:045ABD0000000000E5 +:045ABE0000000000E4 +:045ABF0000000000E3 +:045AC00000000000E2 +:045AC10000000000E1 +:045AC20000000000E0 +:045AC30000000000DF +:045AC40000000000DE +:045AC50000000000DD +:045AC60000000000DC +:045AC70000000000DB +:045AC80000000000DA +:045AC90000000000D9 +:045ACA0000000000D8 +:045ACB0000000000D7 +:045ACC0000000000D6 +:045ACD0000000000D5 +:045ACE0000000000D4 +:045ACF0000000000D3 +:045AD00000000000D2 +:045AD10000000000D1 +:045AD20000000000D0 +:045AD30000000000CF +:045AD40000000000CE +:045AD50000000000CD +:045AD60000000000CC +:045AD70000000000CB +:045AD80000000000CA +:045AD90000000000C9 +:045ADA0000000000C8 +:045ADB0000000000C7 +:045ADC0000000000C6 +:045ADD0000000000C5 +:045ADE0000000000C4 +:045ADF0000000000C3 +:045AE00000000000C2 +:045AE10000000000C1 +:045AE20000000000C0 +:045AE30000000000BF +:045AE40000000000BE +:045AE50000000000BD +:045AE60000000000BC +:045AE70000000000BB +:045AE80000000000BA +:045AE90000000000B9 +:045AEA0000000000B8 +:045AEB0000000000B7 +:045AEC0000000000B6 +:045AED0000000000B5 +:045AEE0000000000B4 +:045AEF0000000000B3 +:045AF00000000000B2 +:045AF10000000000B1 +:045AF20000000000B0 +:045AF30000000000AF +:045AF40000000000AE +:045AF50000000000AD +:045AF60000000000AC +:045AF70000000000AB +:045AF80000000000AA +:045AF90000000000A9 +:045AFA0000000000A8 +:045AFB0000000000A7 +:045AFC0000000000A6 +:045AFD0000000000A5 +:045AFE0000000000A4 +:045AFF0000000000A3 +:045B000000000000A1 +:045B010000000000A0 +:045B0200000000009F +:045B0300000000009E +:045B0400000000009D +:045B0500000000009C +:045B0600000000009B +:045B0700000000009A +:045B08000000000099 +:045B09000000000098 +:045B0A000000000097 +:045B0B000000000096 +:045B0C000000000095 +:045B0D000000000094 +:045B0E000000000093 +:045B0F000000000092 +:045B10000000000091 +:045B11000000000090 +:045B1200000000008F +:045B1300000000008E +:045B1400000000008D +:045B1500000000008C +:045B1600000000008B +:045B1700000000008A +:045B18000000000089 +:045B19000000000088 +:045B1A000000000087 +:045B1B000000000086 +:045B1C000000000085 +:045B1D000000000084 +:045B1E000000000083 +:045B1F000000000082 +:045B20000000000081 +:045B21000000000080 +:045B2200000000007F +:045B2300000000007E +:045B2400000000007D +:045B2500000000007C +:045B2600000000007B +:045B2700000000007A +:045B28000000000079 +:045B29000000000078 +:045B2A000000000077 +:045B2B000000000076 +:045B2C000000000075 +:045B2D000000000074 +:045B2E000000000073 +:045B2F000000000072 +:045B30000000000071 +:045B31000000000070 +:045B3200000000006F +:045B3300000000006E +:045B3400000000006D +:045B3500000000006C +:045B3600000000006B +:045B3700000000006A +:045B38000000000069 +:045B39000000000068 +:045B3A000000000067 +:045B3B000000000066 +:045B3C000000000065 +:045B3D000000000064 +:045B3E000000000063 +:045B3F000000000062 +:045B40000000000061 +:045B41000000000060 +:045B4200000000005F +:045B4300000000005E +:045B4400000000005D +:045B4500000000005C +:045B4600000000005B +:045B4700000000005A +:045B48000000000059 +:045B49000000000058 +:045B4A000000000057 +:045B4B000000000056 +:045B4C000000000055 +:045B4D000000000054 +:045B4E000000000053 +:045B4F000000000052 +:045B50000000000051 +:045B51000000000050 +:045B5200000000004F +:045B5300000000004E +:045B5400000000004D +:045B5500000000004C +:045B5600000000004B +:045B5700000000004A +:045B58000000000049 +:045B59000000000048 +:045B5A000000000047 +:045B5B000000000046 +:045B5C000000000045 +:045B5D000000000044 +:045B5E000000000043 +:045B5F000000000042 +:045B60000000000041 +:045B61000000000040 +:045B6200000000003F +:045B6300000000003E +:045B6400000000003D +:045B6500000000003C +:045B6600000000003B +:045B6700000000003A +:045B68000000000039 +:045B69000000000038 +:045B6A000000000037 +:045B6B000000000036 +:045B6C000000000035 +:045B6D000000000034 +:045B6E000000000033 +:045B6F000000000032 +:045B70000000000031 +:045B71000000000030 +:045B7200000000002F +:045B7300000000002E +:045B7400000000002D +:045B7500000000002C +:045B7600000000002B +:045B7700000000002A +:045B78000000000029 +:045B79000000000028 +:045B7A000000000027 +:045B7B000000000026 +:045B7C000000000025 +:045B7D000000000024 +:045B7E000000000023 +:045B7F000000000022 +:045B80000000000021 +:045B81000000000020 +:045B8200000000001F +:045B8300000000001E +:045B8400000000001D +:045B8500000000001C +:045B8600000000001B +:045B8700000000001A +:045B88000000000019 +:045B89000000000018 +:045B8A000000000017 +:045B8B000000000016 +:045B8C000000000015 +:045B8D000000000014 +:045B8E000000000013 +:045B8F000000000012 +:045B90000000000011 +:045B91000000000010 +:045B9200000000000F +:045B9300000000000E +:045B9400000000000D +:045B9500000000000C +:045B9600000000000B +:045B9700000000000A +:045B98000000000009 +:045B99000000000008 +:045B9A000000000007 +:045B9B000000000006 +:045B9C000000000005 +:045B9D000000000004 +:045B9E000000000003 +:045B9F000000000002 +:045BA0000000000001 +:045BA1000000000000 +:045BA20000000000FF +:045BA30000000000FE +:045BA40000000000FD +:045BA50000000000FC +:045BA60000000000FB +:045BA70000000000FA +:045BA80000000000F9 +:045BA90000000000F8 +:045BAA0000000000F7 +:045BAB0000000000F6 +:045BAC0000000000F5 +:045BAD0000000000F4 +:045BAE0000000000F3 +:045BAF0000000000F2 +:045BB00000000000F1 +:045BB10000000000F0 +:045BB20000000000EF +:045BB30000000000EE +:045BB40000000000ED +:045BB50000000000EC +:045BB60000000000EB +:045BB70000000000EA +:045BB80000000000E9 +:045BB90000000000E8 +:045BBA0000000000E7 +:045BBB0000000000E6 +:045BBC0000000000E5 +:045BBD0000000000E4 +:045BBE0000000000E3 +:045BBF0000000000E2 +:045BC00000000000E1 +:045BC10000000000E0 +:045BC20000000000DF +:045BC30000000000DE +:045BC40000000000DD +:045BC50000000000DC +:045BC60000000000DB +:045BC70000000000DA +:045BC80000000000D9 +:045BC90000000000D8 +:045BCA0000000000D7 +:045BCB0000000000D6 +:045BCC0000000000D5 +:045BCD0000000000D4 +:045BCE0000000000D3 +:045BCF0000000000D2 +:045BD00000000000D1 +:045BD10000000000D0 +:045BD20000000000CF +:045BD30000000000CE +:045BD40000000000CD +:045BD50000000000CC +:045BD60000000000CB +:045BD70000000000CA +:045BD80000000000C9 +:045BD90000000000C8 +:045BDA0000000000C7 +:045BDB0000000000C6 +:045BDC0000000000C5 +:045BDD0000000000C4 +:045BDE0000000000C3 +:045BDF0000000000C2 +:045BE00000000000C1 +:045BE10000000000C0 +:045BE20000000000BF +:045BE30000000000BE +:045BE40000000000BD +:045BE50000000000BC +:045BE60000000000BB +:045BE70000000000BA +:045BE80000000000B9 +:045BE90000000000B8 +:045BEA0000000000B7 +:045BEB0000000000B6 +:045BEC0000000000B5 +:045BED0000000000B4 +:045BEE0000000000B3 +:045BEF0000000000B2 +:045BF00000000000B1 +:045BF10000000000B0 +:045BF20000000000AF +:045BF30000000000AE +:045BF40000000000AD +:045BF50000000000AC +:045BF60000000000AB +:045BF70000000000AA +:045BF80000000000A9 +:045BF90000000000A8 +:045BFA0000000000A7 +:045BFB0000000000A6 +:045BFC0000000000A5 +:045BFD0000000000A4 +:045BFE0000000000A3 +:045BFF0000000000A2 +:045C000000000000A0 +:045C0100000000009F +:045C0200000000009E +:045C0300000000009D +:045C0400000000009C +:045C0500000000009B +:045C0600000000009A +:045C07000000000099 +:045C08000000000098 +:045C09000000000097 +:045C0A000000000096 +:045C0B000000000095 +:045C0C000000000094 +:045C0D000000000093 +:045C0E000000000092 +:045C0F000000000091 +:045C10000000000090 +:045C1100000000008F +:045C1200000000008E +:045C1300000000008D +:045C1400000000008C +:045C1500000000008B +:045C1600000000008A +:045C17000000000089 +:045C18000000000088 +:045C19000000000087 +:045C1A000000000086 +:045C1B000000000085 +:045C1C000000000084 +:045C1D000000000083 +:045C1E000000000082 +:045C1F000000000081 +:045C20000000000080 +:045C2100000000007F +:045C2200000000007E +:045C2300000000007D +:045C2400000000007C +:045C2500000000007B +:045C2600000000007A +:045C27000000000079 +:045C28000000000078 +:045C29000000000077 +:045C2A000000000076 +:045C2B000000000075 +:045C2C000000000074 +:045C2D000000000073 +:045C2E000000000072 +:045C2F000000000071 +:045C30000000000070 +:045C3100000000006F +:045C3200000000006E +:045C3300000000006D +:045C3400000000006C +:045C3500000000006B +:045C3600000000006A +:045C37000000000069 +:045C38000000000068 +:045C39000000000067 +:045C3A000000000066 +:045C3B000000000065 +:045C3C000000000064 +:045C3D000000000063 +:045C3E000000000062 +:045C3F000000000061 +:045C40000000000060 +:045C4100000000005F +:045C4200000000005E +:045C4300000000005D +:045C4400000000005C +:045C4500000000005B +:045C4600000000005A +:045C47000000000059 +:045C48000000000058 +:045C49000000000057 +:045C4A000000000056 +:045C4B000000000055 +:045C4C000000000054 +:045C4D000000000053 +:045C4E000000000052 +:045C4F000000000051 +:045C50000000000050 +:045C5100000000004F +:045C5200000000004E +:045C5300000000004D +:045C5400000000004C +:045C5500000000004B +:045C5600000000004A +:045C57000000000049 +:045C58000000000048 +:045C59000000000047 +:045C5A000000000046 +:045C5B000000000045 +:045C5C000000000044 +:045C5D000000000043 +:045C5E000000000042 +:045C5F000000000041 +:045C60000000000040 +:045C6100000000003F +:045C6200000000003E +:045C6300000000003D +:045C6400000000003C +:045C6500000000003B +:045C6600000000003A +:045C67000000000039 +:045C68000000000038 +:045C69000000000037 +:045C6A000000000036 +:045C6B000000000035 +:045C6C000000000034 +:045C6D000000000033 +:045C6E000000000032 +:045C6F000000000031 +:045C70000000000030 +:045C7100000000002F +:045C7200000000002E +:045C7300000000002D +:045C7400000000002C +:045C7500000000002B +:045C7600000000002A +:045C77000000000029 +:045C78000000000028 +:045C79000000000027 +:045C7A000000000026 +:045C7B000000000025 +:045C7C000000000024 +:045C7D000000000023 +:045C7E000000000022 +:045C7F000000000021 +:045C80000000000020 +:045C8100000000001F +:045C8200000000001E +:045C8300000000001D +:045C8400000000001C +:045C8500000000001B +:045C8600000000001A +:045C87000000000019 +:045C88000000000018 +:045C89000000000017 +:045C8A000000000016 +:045C8B000000000015 +:045C8C000000000014 +:045C8D000000000013 +:045C8E000000000012 +:045C8F000000000011 +:045C90000000000010 +:045C9100000000000F +:045C9200000000000E +:045C9300000000000D +:045C9400000000000C +:045C9500000000000B +:045C9600000000000A +:045C97000000000009 +:045C98000000000008 +:045C99000000000007 +:045C9A000000000006 +:045C9B000000000005 +:045C9C000000000004 +:045C9D000000000003 +:045C9E000000000002 +:045C9F000000000001 +:045CA0000000000000 +:045CA10000000000FF +:045CA20000000000FE +:045CA30000000000FD +:045CA40000000000FC +:045CA50000000000FB +:045CA60000000000FA +:045CA70000000000F9 +:045CA80000000000F8 +:045CA90000000000F7 +:045CAA0000000000F6 +:045CAB0000000000F5 +:045CAC0000000000F4 +:045CAD0000000000F3 +:045CAE0000000000F2 +:045CAF0000000000F1 +:045CB00000000000F0 +:045CB10000000000EF +:045CB20000000000EE +:045CB30000000000ED +:045CB40000000000EC +:045CB50000000000EB +:045CB60000000000EA +:045CB70000000000E9 +:045CB80000000000E8 +:045CB90000000000E7 +:045CBA0000000000E6 +:045CBB0000000000E5 +:045CBC0000000000E4 +:045CBD0000000000E3 +:045CBE0000000000E2 +:045CBF0000000000E1 +:045CC00000000000E0 +:045CC10000000000DF +:045CC20000000000DE +:045CC30000000000DD +:045CC40000000000DC +:045CC50000000000DB +:045CC60000000000DA +:045CC70000000000D9 +:045CC80000000000D8 +:045CC90000000000D7 +:045CCA0000000000D6 +:045CCB0000000000D5 +:045CCC0000000000D4 +:045CCD0000000000D3 +:045CCE0000000000D2 +:045CCF0000000000D1 +:045CD00000000000D0 +:045CD10000000000CF +:045CD20000000000CE +:045CD30000000000CD +:045CD40000000000CC +:045CD50000000000CB +:045CD60000000000CA +:045CD70000000000C9 +:045CD80000000000C8 +:045CD90000000000C7 +:045CDA0000000000C6 +:045CDB0000000000C5 +:045CDC0000000000C4 +:045CDD0000000000C3 +:045CDE0000000000C2 +:045CDF0000000000C1 +:045CE00000000000C0 +:045CE10000000000BF +:045CE20000000000BE +:045CE30000000000BD +:045CE40000000000BC +:045CE50000000000BB +:045CE60000000000BA +:045CE70000000000B9 +:045CE80000000000B8 +:045CE90000000000B7 +:045CEA0000000000B6 +:045CEB0000000000B5 +:045CEC0000000000B4 +:045CED0000000000B3 +:045CEE0000000000B2 +:045CEF0000000000B1 +:045CF00000000000B0 +:045CF10000000000AF +:045CF20000000000AE +:045CF30000000000AD +:045CF40000000000AC +:045CF50000000000AB +:045CF60000000000AA +:045CF70000000000A9 +:045CF80000000000A8 +:045CF90000000000A7 +:045CFA0000000000A6 +:045CFB0000000000A5 +:045CFC0000000000A4 +:045CFD0000000000A3 +:045CFE0000000000A2 +:045CFF0000000000A1 +:045D0000000000009F +:045D0100000000009E +:045D0200000000009D +:045D0300000000009C +:045D0400000000009B +:045D0500000000009A +:045D06000000000099 +:045D07000000000098 +:045D08000000000097 +:045D09000000000096 +:045D0A000000000095 +:045D0B000000000094 +:045D0C000000000093 +:045D0D000000000092 +:045D0E000000000091 +:045D0F000000000090 +:045D1000000000008F +:045D1100000000008E +:045D1200000000008D +:045D1300000000008C +:045D1400000000008B +:045D1500000000008A +:045D16000000000089 +:045D17000000000088 +:045D18000000000087 +:045D19000000000086 +:045D1A000000000085 +:045D1B000000000084 +:045D1C000000000083 +:045D1D000000000082 +:045D1E000000000081 +:045D1F000000000080 +:045D2000000000007F +:045D2100000000007E +:045D2200000000007D +:045D2300000000007C +:045D2400000000007B +:045D2500000000007A +:045D26000000000079 +:045D27000000000078 +:045D28000000000077 +:045D29000000000076 +:045D2A000000000075 +:045D2B000000000074 +:045D2C000000000073 +:045D2D000000000072 +:045D2E000000000071 +:045D2F000000000070 +:045D3000000000006F +:045D3100000000006E +:045D3200000000006D +:045D3300000000006C +:045D3400000000006B +:045D3500000000006A +:045D36000000000069 +:045D37000000000068 +:045D38000000000067 +:045D39000000000066 +:045D3A000000000065 +:045D3B000000000064 +:045D3C000000000063 +:045D3D000000000062 +:045D3E000000000061 +:045D3F000000000060 +:045D4000000000005F +:045D4100000000005E +:045D4200000000005D +:045D4300000000005C +:045D4400000000005B +:045D4500000000005A +:045D46000000000059 +:045D47000000000058 +:045D48000000000057 +:045D49000000000056 +:045D4A000000000055 +:045D4B000000000054 +:045D4C000000000053 +:045D4D000000000052 +:045D4E000000000051 +:045D4F000000000050 +:045D5000000000004F +:045D5100000000004E +:045D5200000000004D +:045D5300000000004C +:045D5400000000004B +:045D5500000000004A +:045D56000000000049 +:045D57000000000048 +:045D58000000000047 +:045D59000000000046 +:045D5A000000000045 +:045D5B000000000044 +:045D5C000000000043 +:045D5D000000000042 +:045D5E000000000041 +:045D5F000000000040 +:045D6000000000003F +:045D6100000000003E +:045D6200000000003D +:045D6300000000003C +:045D6400000000003B +:045D6500000000003A +:045D66000000000039 +:045D67000000000038 +:045D68000000000037 +:045D69000000000036 +:045D6A000000000035 +:045D6B000000000034 +:045D6C000000000033 +:045D6D000000000032 +:045D6E000000000031 +:045D6F000000000030 +:045D7000000000002F +:045D7100000000002E +:045D7200000000002D +:045D7300000000002C +:045D7400000000002B +:045D7500000000002A +:045D76000000000029 +:045D77000000000028 +:045D78000000000027 +:045D79000000000026 +:045D7A000000000025 +:045D7B000000000024 +:045D7C000000000023 +:045D7D000000000022 +:045D7E000000000021 +:045D7F000000000020 +:045D8000000000001F +:045D8100000000001E +:045D8200000000001D +:045D8300000000001C +:045D8400000000001B +:045D8500000000001A +:045D86000000000019 +:045D87000000000018 +:045D88000000000017 +:045D89000000000016 +:045D8A000000000015 +:045D8B000000000014 +:045D8C000000000013 +:045D8D000000000012 +:045D8E000000000011 +:045D8F000000000010 +:045D9000000000000F +:045D9100000000000E +:045D9200000000000D +:045D9300000000000C +:045D9400000000000B +:045D9500000000000A +:045D96000000000009 +:045D97000000000008 +:045D98000000000007 +:045D99000000000006 +:045D9A000000000005 +:045D9B000000000004 +:045D9C000000000003 +:045D9D000000000002 +:045D9E000000000001 +:045D9F000000000000 +:045DA00000000000FF +:045DA10000000000FE +:045DA20000000000FD +:045DA30000000000FC +:045DA40000000000FB +:045DA50000000000FA +:045DA60000000000F9 +:045DA70000000000F8 +:045DA80000000000F7 +:045DA90000000000F6 +:045DAA0000000000F5 +:045DAB0000000000F4 +:045DAC0000000000F3 +:045DAD0000000000F2 +:045DAE0000000000F1 +:045DAF0000000000F0 +:045DB00000000000EF +:045DB10000000000EE +:045DB20000000000ED +:045DB30000000000EC +:045DB40000000000EB +:045DB50000000000EA +:045DB60000000000E9 +:045DB70000000000E8 +:045DB80000000000E7 +:045DB90000000000E6 +:045DBA0000000000E5 +:045DBB0000000000E4 +:045DBC0000000000E3 +:045DBD0000000000E2 +:045DBE0000000000E1 +:045DBF0000000000E0 +:045DC00000000000DF +:045DC10000000000DE +:045DC20000000000DD +:045DC30000000000DC +:045DC40000000000DB +:045DC50000000000DA +:045DC60000000000D9 +:045DC70000000000D8 +:045DC80000000000D7 +:045DC90000000000D6 +:045DCA0000000000D5 +:045DCB0000000000D4 +:045DCC0000000000D3 +:045DCD0000000000D2 +:045DCE0000000000D1 +:045DCF0000000000D0 +:045DD00000000000CF +:045DD10000000000CE +:045DD20000000000CD +:045DD30000000000CC +:045DD40000000000CB +:045DD50000000000CA +:045DD60000000000C9 +:045DD70000000000C8 +:045DD80000000000C7 +:045DD90000000000C6 +:045DDA0000000000C5 +:045DDB0000000000C4 +:045DDC0000000000C3 +:045DDD0000000000C2 +:045DDE0000000000C1 +:045DDF0000000000C0 +:045DE00000000000BF +:045DE10000000000BE +:045DE20000000000BD +:045DE30000000000BC +:045DE40000000000BB +:045DE50000000000BA +:045DE60000000000B9 +:045DE70000000000B8 +:045DE80000000000B7 +:045DE90000000000B6 +:045DEA0000000000B5 +:045DEB0000000000B4 +:045DEC0000000000B3 +:045DED0000000000B2 +:045DEE0000000000B1 +:045DEF0000000000B0 +:045DF00000000000AF +:045DF10000000000AE +:045DF20000000000AD +:045DF30000000000AC +:045DF40000000000AB +:045DF50000000000AA +:045DF60000000000A9 +:045DF70000000000A8 +:045DF80000000000A7 +:045DF90000000000A6 +:045DFA0000000000A5 +:045DFB0000000000A4 +:045DFC0000000000A3 +:045DFD0000000000A2 +:045DFE0000000000A1 +:045DFF0000000000A0 +:045E0000000000009E +:045E0100000000009D +:045E0200000000009C +:045E0300000000009B +:045E0400000000009A +:045E05000000000099 +:045E06000000000098 +:045E07000000000097 +:045E08000000000096 +:045E09000000000095 +:045E0A000000000094 +:045E0B000000000093 +:045E0C000000000092 +:045E0D000000000091 +:045E0E000000000090 +:045E0F00000000008F +:045E1000000000008E +:045E1100000000008D +:045E1200000000008C +:045E1300000000008B +:045E1400000000008A +:045E15000000000089 +:045E16000000000088 +:045E17000000000087 +:045E18000000000086 +:045E19000000000085 +:045E1A000000000084 +:045E1B000000000083 +:045E1C000000000082 +:045E1D000000000081 +:045E1E000000000080 +:045E1F00000000007F +:045E2000000000007E +:045E2100000000007D +:045E2200000000007C +:045E2300000000007B +:045E2400000000007A +:045E25000000000079 +:045E26000000000078 +:045E27000000000077 +:045E28000000000076 +:045E29000000000075 +:045E2A000000000074 +:045E2B000000000073 +:045E2C000000000072 +:045E2D000000000071 +:045E2E000000000070 +:045E2F00000000006F +:045E3000000000006E +:045E3100000000006D +:045E3200000000006C +:045E3300000000006B +:045E3400000000006A +:045E35000000000069 +:045E36000000000068 +:045E37000000000067 +:045E38000000000066 +:045E39000000000065 +:045E3A000000000064 +:045E3B000000000063 +:045E3C000000000062 +:045E3D000000000061 +:045E3E000000000060 +:045E3F00000000005F +:045E4000000000005E +:045E4100000000005D +:045E4200000000005C +:045E4300000000005B +:045E4400000000005A +:045E45000000000059 +:045E46000000000058 +:045E47000000000057 +:045E48000000000056 +:045E49000000000055 +:045E4A000000000054 +:045E4B000000000053 +:045E4C000000000052 +:045E4D000000000051 +:045E4E000000000050 +:045E4F00000000004F +:045E5000000000004E +:045E5100000000004D +:045E5200000000004C +:045E5300000000004B +:045E5400000000004A +:045E55000000000049 +:045E56000000000048 +:045E57000000000047 +:045E58000000000046 +:045E59000000000045 +:045E5A000000000044 +:045E5B000000000043 +:045E5C000000000042 +:045E5D000000000041 +:045E5E000000000040 +:045E5F00000000003F +:045E6000000000003E +:045E6100000000003D +:045E6200000000003C +:045E6300000000003B +:045E6400000000003A +:045E65000000000039 +:045E66000000000038 +:045E67000000000037 +:045E68000000000036 +:045E69000000000035 +:045E6A000000000034 +:045E6B000000000033 +:045E6C000000000032 +:045E6D000000000031 +:045E6E000000000030 +:045E6F00000000002F +:045E7000000000002E +:045E7100000000002D +:045E7200000000002C +:045E7300000000002B +:045E7400000000002A +:045E75000000000029 +:045E76000000000028 +:045E77000000000027 +:045E78000000000026 +:045E79000000000025 +:045E7A000000000024 +:045E7B000000000023 +:045E7C000000000022 +:045E7D000000000021 +:045E7E000000000020 +:045E7F00000000001F +:045E8000000000001E +:045E8100000000001D +:045E8200000000001C +:045E8300000000001B +:045E8400000000001A +:045E85000000000019 +:045E86000000000018 +:045E87000000000017 +:045E88000000000016 +:045E89000000000015 +:045E8A000000000014 +:045E8B000000000013 +:045E8C000000000012 +:045E8D000000000011 +:045E8E000000000010 +:045E8F00000000000F +:045E9000000000000E +:045E9100000000000D +:045E9200000000000C +:045E9300000000000B +:045E9400000000000A +:045E95000000000009 +:045E96000000000008 +:045E97000000000007 +:045E98000000000006 +:045E99000000000005 +:045E9A000000000004 +:045E9B000000000003 +:045E9C000000000002 +:045E9D000000000001 +:045E9E000000000000 +:045E9F0000000000FF +:045EA00000000000FE +:045EA10000000000FD +:045EA20000000000FC +:045EA30000000000FB +:045EA40000000000FA +:045EA50000000000F9 +:045EA60000000000F8 +:045EA70000000000F7 +:045EA80000000000F6 +:045EA90000000000F5 +:045EAA0000000000F4 +:045EAB0000000000F3 +:045EAC0000000000F2 +:045EAD0000000000F1 +:045EAE0000000000F0 +:045EAF0000000000EF +:045EB00000000000EE +:045EB10000000000ED +:045EB20000000000EC +:045EB30000000000EB +:045EB40000000000EA +:045EB50000000000E9 +:045EB60000000000E8 +:045EB70000000000E7 +:045EB80000000000E6 +:045EB90000000000E5 +:045EBA0000000000E4 +:045EBB0000000000E3 +:045EBC0000000000E2 +:045EBD0000000000E1 +:045EBE0000000000E0 +:045EBF0000000000DF +:045EC00000000000DE +:045EC10000000000DD +:045EC20000000000DC +:045EC30000000000DB +:045EC40000000000DA +:045EC50000000000D9 +:045EC60000000000D8 +:045EC70000000000D7 +:045EC80000000000D6 +:045EC90000000000D5 +:045ECA0000000000D4 +:045ECB0000000000D3 +:045ECC0000000000D2 +:045ECD0000000000D1 +:045ECE0000000000D0 +:045ECF0000000000CF +:045ED00000000000CE +:045ED10000000000CD +:045ED20000000000CC +:045ED30000000000CB +:045ED40000000000CA +:045ED50000000000C9 +:045ED60000000000C8 +:045ED70000000000C7 +:045ED80000000000C6 +:045ED90000000000C5 +:045EDA0000000000C4 +:045EDB0000000000C3 +:045EDC0000000000C2 +:045EDD0000000000C1 +:045EDE0000000000C0 +:045EDF0000000000BF +:045EE00000000000BE +:045EE10000000000BD +:045EE20000000000BC +:045EE30000000000BB +:045EE40000000000BA +:045EE50000000000B9 +:045EE60000000000B8 +:045EE70000000000B7 +:045EE80000000000B6 +:045EE90000000000B5 +:045EEA0000000000B4 +:045EEB0000000000B3 +:045EEC0000000000B2 +:045EED0000000000B1 +:045EEE0000000000B0 +:045EEF0000000000AF +:045EF00000000000AE +:045EF10000000000AD +:045EF20000000000AC +:045EF30000000000AB +:045EF40000000000AA +:045EF50000000000A9 +:045EF60000000000A8 +:045EF70000000000A7 +:045EF80000000000A6 +:045EF90000000000A5 +:045EFA0000000000A4 +:045EFB0000000000A3 +:045EFC0000000000A2 +:045EFD0000000000A1 +:045EFE0000000000A0 +:045EFF00000000009F +:045F0000000000009D +:045F0100000000009C +:045F0200000000009B +:045F0300000000009A +:045F04000000000099 +:045F05000000000098 +:045F06000000000097 +:045F07000000000096 +:045F08000000000095 +:045F09000000000094 +:045F0A000000000093 +:045F0B000000000092 +:045F0C000000000091 +:045F0D000000000090 +:045F0E00000000008F +:045F0F00000000008E +:045F1000000000008D +:045F1100000000008C +:045F1200000000008B +:045F1300000000008A +:045F14000000000089 +:045F15000000000088 +:045F16000000000087 +:045F17000000000086 +:045F18000000000085 +:045F19000000000084 +:045F1A000000000083 +:045F1B000000000082 +:045F1C000000000081 +:045F1D000000000080 +:045F1E00000000007F +:045F1F00000000007E +:045F2000000000007D +:045F2100000000007C +:045F2200000000007B +:045F2300000000007A +:045F24000000000079 +:045F25000000000078 +:045F26000000000077 +:045F27000000000076 +:045F28000000000075 +:045F29000000000074 +:045F2A000000000073 +:045F2B000000000072 +:045F2C000000000071 +:045F2D000000000070 +:045F2E00000000006F +:045F2F00000000006E +:045F3000000000006D +:045F3100000000006C +:045F3200000000006B +:045F3300000000006A +:045F34000000000069 +:045F35000000000068 +:045F36000000000067 +:045F37000000000066 +:045F38000000000065 +:045F39000000000064 +:045F3A000000000063 +:045F3B000000000062 +:045F3C000000000061 +:045F3D000000000060 +:045F3E00000000005F +:045F3F00000000005E +:045F4000000000005D +:045F4100000000005C +:045F4200000000005B +:045F4300000000005A +:045F44000000000059 +:045F45000000000058 +:045F46000000000057 +:045F47000000000056 +:045F48000000000055 +:045F49000000000054 +:045F4A000000000053 +:045F4B000000000052 +:045F4C000000000051 +:045F4D000000000050 +:045F4E00000000004F +:045F4F00000000004E +:045F5000000000004D +:045F5100000000004C +:045F5200000000004B +:045F5300000000004A +:045F54000000000049 +:045F55000000000048 +:045F56000000000047 +:045F57000000000046 +:045F58000000000045 +:045F59000000000044 +:045F5A000000000043 +:045F5B000000000042 +:045F5C000000000041 +:045F5D000000000040 +:045F5E00000000003F +:045F5F00000000003E +:045F6000000000003D +:045F6100000000003C +:045F6200000000003B +:045F6300000000003A +:045F64000000000039 +:045F65000000000038 +:045F66000000000037 +:045F67000000000036 +:045F68000000000035 +:045F69000000000034 +:045F6A000000000033 +:045F6B000000000032 +:045F6C000000000031 +:045F6D000000000030 +:045F6E00000000002F +:045F6F00000000002E +:045F7000000000002D +:045F7100000000002C +:045F7200000000002B +:045F7300000000002A +:045F74000000000029 +:045F75000000000028 +:045F76000000000027 +:045F77000000000026 +:045F78000000000025 +:045F79000000000024 +:045F7A000000000023 +:045F7B000000000022 +:045F7C000000000021 +:045F7D000000000020 +:045F7E00000000001F +:045F7F00000000001E +:045F8000000000001D +:045F8100000000001C +:045F8200000000001B +:045F8300000000001A +:045F84000000000019 +:045F85000000000018 +:045F86000000000017 +:045F87000000000016 +:045F88000000000015 +:045F89000000000014 +:045F8A000000000013 +:045F8B000000000012 +:045F8C000000000011 +:045F8D000000000010 +:045F8E00000000000F +:045F8F00000000000E +:045F9000000000000D +:045F9100000000000C +:045F9200000000000B +:045F9300000000000A +:045F94000000000009 +:045F95000000000008 +:045F96000000000007 +:045F97000000000006 +:045F98000000000005 +:045F99000000000004 +:045F9A000000000003 +:045F9B000000000002 +:045F9C000000000001 +:045F9D000000000000 +:045F9E0000000000FF +:045F9F0000000000FE +:045FA00000000000FD +:045FA10000000000FC +:045FA20000000000FB +:045FA30000000000FA +:045FA40000000000F9 +:045FA50000000000F8 +:045FA60000000000F7 +:045FA70000000000F6 +:045FA80000000000F5 +:045FA90000000000F4 +:045FAA0000000000F3 +:045FAB0000000000F2 +:045FAC0000000000F1 +:045FAD0000000000F0 +:045FAE0000000000EF +:045FAF0000000000EE +:045FB00000000000ED +:045FB10000000000EC +:045FB20000000000EB +:045FB30000000000EA +:045FB40000000000E9 +:045FB50000000000E8 +:045FB60000000000E7 +:045FB70000000000E6 +:045FB80000000000E5 +:045FB90000000000E4 +:045FBA0000000000E3 +:045FBB0000000000E2 +:045FBC0000000000E1 +:045FBD0000000000E0 +:045FBE0000000000DF +:045FBF0000000000DE +:045FC00000000000DD +:045FC10000000000DC +:045FC20000000000DB +:045FC30000000000DA +:045FC40000000000D9 +:045FC50000000000D8 +:045FC60000000000D7 +:045FC70000000000D6 +:045FC80000000000D5 +:045FC90000000000D4 +:045FCA0000000000D3 +:045FCB0000000000D2 +:045FCC0000000000D1 +:045FCD0000000000D0 +:045FCE0000000000CF +:045FCF0000000000CE +:045FD00000000000CD +:045FD10000000000CC +:045FD20000000000CB +:045FD30000000000CA +:045FD40000000000C9 +:045FD50000000000C8 +:045FD60000000000C7 +:045FD70000000000C6 +:045FD80000000000C5 +:045FD90000000000C4 +:045FDA0000000000C3 +:045FDB0000000000C2 +:045FDC0000000000C1 +:045FDD0000000000C0 +:045FDE0000000000BF +:045FDF0000000000BE +:045FE00000000000BD +:045FE10000000000BC +:045FE20000000000BB +:045FE30000000000BA +:045FE40000000000B9 +:045FE50000000000B8 +:045FE60000000000B7 +:045FE70000000000B6 +:045FE80000000000B5 +:045FE90000000000B4 +:045FEA0000000000B3 +:045FEB0000000000B2 +:045FEC0000000000B1 +:045FED0000000000B0 +:045FEE0000000000AF +:045FEF0000000000AE +:045FF00000000000AD +:045FF10000000000AC +:045FF20000000000AB +:045FF30000000000AA +:045FF40000000000A9 +:045FF50000000000A8 +:045FF60000000000A7 +:045FF70000000000A6 +:045FF80000000000A5 +:045FF90000000000A4 +:045FFA0000000000A3 +:045FFB0000000000A2 +:045FFC0000000000A1 +:045FFD0000000000A0 +:045FFE00000000009F +:045FFF00000000009E +:04600000000000009C +:04600100000000009B +:04600200000000009A +:046003000000000099 +:046004000000000098 +:046005000000000097 +:046006000000000096 +:046007000000000095 +:046008000000000094 +:046009000000000093 +:04600A000000000092 +:04600B000000000091 +:04600C000000000090 +:04600D00000000008F +:04600E00000000008E +:04600F00000000008D +:04601000000000008C +:04601100000000008B +:04601200000000008A +:046013000000000089 +:046014000000000088 +:046015000000000087 +:046016000000000086 +:046017000000000085 +:046018000000000084 +:046019000000000083 +:04601A000000000082 +:04601B000000000081 +:04601C000000000080 +:04601D00000000007F +:04601E00000000007E +:04601F00000000007D +:04602000000000007C +:04602100000000007B +:04602200000000007A +:046023000000000079 +:046024000000000078 +:046025000000000077 +:046026000000000076 +:046027000000000075 +:046028000000000074 +:046029000000000073 +:04602A000000000072 +:04602B000000000071 +:04602C000000000070 +:04602D00000000006F +:04602E00000000006E +:04602F00000000006D +:04603000000000006C +:04603100000000006B +:04603200000000006A +:046033000000000069 +:046034000000000068 +:046035000000000067 +:046036000000000066 +:046037000000000065 +:046038000000000064 +:046039000000000063 +:04603A000000000062 +:04603B000000000061 +:04603C000000000060 +:04603D00000000005F +:04603E00000000005E +:04603F00000000005D +:04604000000000005C +:04604100000000005B +:04604200000000005A +:046043000000000059 +:046044000000000058 +:046045000000000057 +:046046000000000056 +:046047000000000055 +:046048000000000054 +:046049000000000053 +:04604A000000000052 +:04604B000000000051 +:04604C000000000050 +:04604D00000000004F +:04604E00000000004E +:04604F00000000004D +:04605000000000004C +:04605100000000004B +:04605200000000004A +:046053000000000049 +:046054000000000048 +:046055000000000047 +:046056000000000046 +:046057000000000045 +:046058000000000044 +:046059000000000043 +:04605A000000000042 +:04605B000000000041 +:04605C000000000040 +:04605D00000000003F +:04605E00000000003E +:04605F00000000003D +:04606000000000003C +:04606100000000003B +:04606200000000003A +:046063000000000039 +:046064000000000038 +:046065000000000037 +:046066000000000036 +:046067000000000035 +:046068000000000034 +:046069000000000033 +:04606A000000000032 +:04606B000000000031 +:04606C000000000030 +:04606D00000000002F +:04606E00000000002E +:04606F00000000002D +:04607000000000002C +:04607100000000002B +:04607200000000002A +:046073000000000029 +:046074000000000028 +:046075000000000027 +:046076000000000026 +:046077000000000025 +:046078000000000024 +:046079000000000023 +:04607A000000000022 +:04607B000000000021 +:04607C000000000020 +:04607D00000000001F +:04607E00000000001E +:04607F00000000001D +:04608000000000001C +:04608100000000001B +:04608200000000001A +:046083000000000019 +:046084000000000018 +:046085000000000017 +:046086000000000016 +:046087000000000015 +:046088000000000014 +:046089000000000013 +:04608A000000000012 +:04608B000000000011 +:04608C000000000010 +:04608D00000000000F +:04608E00000000000E +:04608F00000000000D +:04609000000000000C +:04609100000000000B +:04609200000000000A +:046093000000000009 +:046094000000000008 +:046095000000000007 +:046096000000000006 +:046097000000000005 +:046098000000000004 +:046099000000000003 +:04609A000000000002 +:04609B000000000001 +:04609C000000000000 +:04609D0000000000FF +:04609E0000000000FE +:04609F0000000000FD +:0460A00000000000FC +:0460A10000000000FB +:0460A20000000000FA +:0460A30000000000F9 +:0460A40000000000F8 +:0460A50000000000F7 +:0460A60000000000F6 +:0460A70000000000F5 +:0460A80000000000F4 +:0460A90000000000F3 +:0460AA0000000000F2 +:0460AB0000000000F1 +:0460AC0000000000F0 +:0460AD0000000000EF +:0460AE0000000000EE +:0460AF0000000000ED +:0460B00000000000EC +:0460B10000000000EB +:0460B20000000000EA +:0460B30000000000E9 +:0460B40000000000E8 +:0460B50000000000E7 +:0460B60000000000E6 +:0460B70000000000E5 +:0460B80000000000E4 +:0460B90000000000E3 +:0460BA0000000000E2 +:0460BB0000000000E1 +:0460BC0000000000E0 +:0460BD0000000000DF +:0460BE0000000000DE +:0460BF0000000000DD +:0460C00000000000DC +:0460C10000000000DB +:0460C20000000000DA +:0460C30000000000D9 +:0460C40000000000D8 +:0460C50000000000D7 +:0460C60000000000D6 +:0460C70000000000D5 +:0460C80000000000D4 +:0460C90000000000D3 +:0460CA0000000000D2 +:0460CB0000000000D1 +:0460CC0000000000D0 +:0460CD0000000000CF +:0460CE0000000000CE +:0460CF0000000000CD +:0460D00000000000CC +:0460D10000000000CB +:0460D20000000000CA +:0460D30000000000C9 +:0460D40000000000C8 +:0460D50000000000C7 +:0460D60000000000C6 +:0460D70000000000C5 +:0460D80000000000C4 +:0460D90000000000C3 +:0460DA0000000000C2 +:0460DB0000000000C1 +:0460DC0000000000C0 +:0460DD0000000000BF +:0460DE0000000000BE +:0460DF0000000000BD +:0460E00000000000BC +:0460E10000000000BB +:0460E20000000000BA +:0460E30000000000B9 +:0460E40000000000B8 +:0460E50000000000B7 +:0460E60000000000B6 +:0460E70000000000B5 +:0460E80000000000B4 +:0460E90000000000B3 +:0460EA0000000000B2 +:0460EB0000000000B1 +:0460EC0000000000B0 +:0460ED0000000000AF +:0460EE0000000000AE +:0460EF0000000000AD +:0460F00000000000AC +:0460F10000000000AB +:0460F20000000000AA +:0460F30000000000A9 +:0460F40000000000A8 +:0460F50000000000A7 +:0460F60000000000A6 +:0460F70000000000A5 +:0460F80000000000A4 +:0460F90000000000A3 +:0460FA0000000000A2 +:0460FB0000000000A1 +:0460FC0000000000A0 +:0460FD00000000009F +:0460FE00000000009E +:0460FF00000000009D +:04610000000000009B +:04610100000000009A +:046102000000000099 +:046103000000000098 +:046104000000000097 +:046105000000000096 +:046106000000000095 +:046107000000000094 +:046108000000000093 +:046109000000000092 +:04610A000000000091 +:04610B000000000090 +:04610C00000000008F +:04610D00000000008E +:04610E00000000008D +:04610F00000000008C +:04611000000000008B +:04611100000000008A +:046112000000000089 +:046113000000000088 +:046114000000000087 +:046115000000000086 +:046116000000000085 +:046117000000000084 +:046118000000000083 +:046119000000000082 +:04611A000000000081 +:04611B000000000080 +:04611C00000000007F +:04611D00000000007E +:04611E00000000007D +:04611F00000000007C +:04612000000000007B +:04612100000000007A +:046122000000000079 +:046123000000000078 +:046124000000000077 +:046125000000000076 +:046126000000000075 +:046127000000000074 +:046128000000000073 +:046129000000000072 +:04612A000000000071 +:04612B000000000070 +:04612C00000000006F +:04612D00000000006E +:04612E00000000006D +:04612F00000000006C +:04613000000000006B +:04613100000000006A +:046132000000000069 +:046133000000000068 +:046134000000000067 +:046135000000000066 +:046136000000000065 +:046137000000000064 +:046138000000000063 +:046139000000000062 +:04613A000000000061 +:04613B000000000060 +:04613C00000000005F +:04613D00000000005E +:04613E00000000005D +:04613F00000000005C +:04614000000000005B +:04614100000000005A +:046142000000000059 +:046143000000000058 +:046144000000000057 +:046145000000000056 +:046146000000000055 +:046147000000000054 +:046148000000000053 +:046149000000000052 +:04614A000000000051 +:04614B000000000050 +:04614C00000000004F +:04614D00000000004E +:04614E00000000004D +:04614F00000000004C +:04615000000000004B +:04615100000000004A +:046152000000000049 +:046153000000000048 +:046154000000000047 +:046155000000000046 +:046156000000000045 +:046157000000000044 +:046158000000000043 +:046159000000000042 +:04615A000000000041 +:04615B000000000040 +:04615C00000000003F +:04615D00000000003E +:04615E00000000003D +:04615F00000000003C +:04616000000000003B +:04616100000000003A +:046162000000000039 +:046163000000000038 +:046164000000000037 +:046165000000000036 +:046166000000000035 +:046167000000000034 +:046168000000000033 +:046169000000000032 +:04616A000000000031 +:04616B000000000030 +:04616C00000000002F +:04616D00000000002E +:04616E00000000002D +:04616F00000000002C +:04617000000000002B +:04617100000000002A +:046172000000000029 +:046173000000000028 +:046174000000000027 +:046175000000000026 +:046176000000000025 +:046177000000000024 +:046178000000000023 +:046179000000000022 +:04617A000000000021 +:04617B000000000020 +:04617C00000000001F +:04617D00000000001E +:04617E00000000001D +:04617F00000000001C +:04618000000000001B +:04618100000000001A +:046182000000000019 +:046183000000000018 +:046184000000000017 +:046185000000000016 +:046186000000000015 +:046187000000000014 +:046188000000000013 +:046189000000000012 +:04618A000000000011 +:04618B000000000010 +:04618C00000000000F +:04618D00000000000E +:04618E00000000000D +:04618F00000000000C +:04619000000000000B +:04619100000000000A +:046192000000000009 +:046193000000000008 +:046194000000000007 +:046195000000000006 +:046196000000000005 +:046197000000000004 +:046198000000000003 +:046199000000000002 +:04619A000000000001 +:04619B000000000000 +:04619C0000000000FF +:04619D0000000000FE +:04619E0000000000FD +:04619F0000000000FC +:0461A00000000000FB +:0461A10000000000FA +:0461A20000000000F9 +:0461A30000000000F8 +:0461A40000000000F7 +:0461A50000000000F6 +:0461A60000000000F5 +:0461A70000000000F4 +:0461A80000000000F3 +:0461A90000000000F2 +:0461AA0000000000F1 +:0461AB0000000000F0 +:0461AC0000000000EF +:0461AD0000000000EE +:0461AE0000000000ED +:0461AF0000000000EC +:0461B00000000000EB +:0461B10000000000EA +:0461B20000000000E9 +:0461B30000000000E8 +:0461B40000000000E7 +:0461B50000000000E6 +:0461B60000000000E5 +:0461B70000000000E4 +:0461B80000000000E3 +:0461B90000000000E2 +:0461BA0000000000E1 +:0461BB0000000000E0 +:0461BC0000000000DF +:0461BD0000000000DE +:0461BE0000000000DD +:0461BF0000000000DC +:0461C00000000000DB +:0461C10000000000DA +:0461C20000000000D9 +:0461C30000000000D8 +:0461C40000000000D7 +:0461C50000000000D6 +:0461C60000000000D5 +:0461C70000000000D4 +:0461C80000000000D3 +:0461C90000000000D2 +:0461CA0000000000D1 +:0461CB0000000000D0 +:0461CC0000000000CF +:0461CD0000000000CE +:0461CE0000000000CD +:0461CF0000000000CC +:0461D00000000000CB +:0461D10000000000CA +:0461D20000000000C9 +:0461D30000000000C8 +:0461D40000000000C7 +:0461D50000000000C6 +:0461D60000000000C5 +:0461D70000000000C4 +:0461D80000000000C3 +:0461D90000000000C2 +:0461DA0000000000C1 +:0461DB0000000000C0 +:0461DC0000000000BF +:0461DD0000000000BE +:0461DE0000000000BD +:0461DF0000000000BC +:0461E00000000000BB +:0461E10000000000BA +:0461E20000000000B9 +:0461E30000000000B8 +:0461E40000000000B7 +:0461E50000000000B6 +:0461E60000000000B5 +:0461E70000000000B4 +:0461E80000000000B3 +:0461E90000000000B2 +:0461EA0000000000B1 +:0461EB0000000000B0 +:0461EC0000000000AF +:0461ED0000000000AE +:0461EE0000000000AD +:0461EF0000000000AC +:0461F00000000000AB +:0461F10000000000AA +:0461F20000000000A9 +:0461F30000000000A8 +:0461F40000000000A7 +:0461F50000000000A6 +:0461F60000000000A5 +:0461F70000000000A4 +:0461F80000000000A3 +:0461F90000000000A2 +:0461FA0000000000A1 +:0461FB0000000000A0 +:0461FC00000000009F +:0461FD00000000009E +:0461FE00000000009D +:0461FF00000000009C +:04620000000000009A +:046201000000000099 +:046202000000000098 +:046203000000000097 +:046204000000000096 +:046205000000000095 +:046206000000000094 +:046207000000000093 +:046208000000000092 +:046209000000000091 +:04620A000000000090 +:04620B00000000008F +:04620C00000000008E +:04620D00000000008D +:04620E00000000008C +:04620F00000000008B +:04621000000000008A +:046211000000000089 +:046212000000000088 +:046213000000000087 +:046214000000000086 +:046215000000000085 +:046216000000000084 +:046217000000000083 +:046218000000000082 +:046219000000000081 +:04621A000000000080 +:04621B00000000007F +:04621C00000000007E +:04621D00000000007D +:04621E00000000007C +:04621F00000000007B +:04622000000000007A +:046221000000000079 +:046222000000000078 +:046223000000000077 +:046224000000000076 +:046225000000000075 +:046226000000000074 +:046227000000000073 +:046228000000000072 +:046229000000000071 +:04622A000000000070 +:04622B00000000006F +:04622C00000000006E +:04622D00000000006D +:04622E00000000006C +:04622F00000000006B +:04623000000000006A +:046231000000000069 +:046232000000000068 +:046233000000000067 +:046234000000000066 +:046235000000000065 +:046236000000000064 +:046237000000000063 +:046238000000000062 +:046239000000000061 +:04623A000000000060 +:04623B00000000005F +:04623C00000000005E +:04623D00000000005D +:04623E00000000005C +:04623F00000000005B +:04624000000000005A +:046241000000000059 +:046242000000000058 +:046243000000000057 +:046244000000000056 +:046245000000000055 +:046246000000000054 +:046247000000000053 +:046248000000000052 +:046249000000000051 +:04624A000000000050 +:04624B00000000004F +:04624C00000000004E +:04624D00000000004D +:04624E00000000004C +:04624F00000000004B +:04625000000000004A +:046251000000000049 +:046252000000000048 +:046253000000000047 +:046254000000000046 +:046255000000000045 +:046256000000000044 +:046257000000000043 +:046258000000000042 +:046259000000000041 +:04625A000000000040 +:04625B00000000003F +:04625C00000000003E +:04625D00000000003D +:04625E00000000003C +:04625F00000000003B +:04626000000000003A +:046261000000000039 +:046262000000000038 +:046263000000000037 +:046264000000000036 +:046265000000000035 +:046266000000000034 +:046267000000000033 +:046268000000000032 +:046269000000000031 +:04626A000000000030 +:04626B00000000002F +:04626C00000000002E +:04626D00000000002D +:04626E00000000002C +:04626F00000000002B +:04627000000000002A +:046271000000000029 +:046272000000000028 +:046273000000000027 +:046274000000000026 +:046275000000000025 +:046276000000000024 +:046277000000000023 +:046278000000000022 +:046279000000000021 +:04627A000000000020 +:04627B00000000001F +:04627C00000000001E +:04627D00000000001D +:04627E00000000001C +:04627F00000000001B +:04628000000000001A +:046281000000000019 +:046282000000000018 +:046283000000000017 +:046284000000000016 +:046285000000000015 +:046286000000000014 +:046287000000000013 +:046288000000000012 +:046289000000000011 +:04628A000000000010 +:04628B00000000000F +:04628C00000000000E +:04628D00000000000D +:04628E00000000000C +:04628F00000000000B +:04629000000000000A +:046291000000000009 +:046292000000000008 +:046293000000000007 +:046294000000000006 +:046295000000000005 +:046296000000000004 +:046297000000000003 +:046298000000000002 +:046299000000000001 +:04629A000000000000 +:04629B0000000000FF +:04629C0000000000FE +:04629D0000000000FD +:04629E0000000000FC +:04629F0000000000FB +:0462A00000000000FA +:0462A10000000000F9 +:0462A20000000000F8 +:0462A30000000000F7 +:0462A40000000000F6 +:0462A50000000000F5 +:0462A60000000000F4 +:0462A70000000000F3 +:0462A80000000000F2 +:0462A90000000000F1 +:0462AA0000000000F0 +:0462AB0000000000EF +:0462AC0000000000EE +:0462AD0000000000ED +:0462AE0000000000EC +:0462AF0000000000EB +:0462B00000000000EA +:0462B10000000000E9 +:0462B20000000000E8 +:0462B30000000000E7 +:0462B40000000000E6 +:0462B50000000000E5 +:0462B60000000000E4 +:0462B70000000000E3 +:0462B80000000000E2 +:0462B90000000000E1 +:0462BA0000000000E0 +:0462BB0000000000DF +:0462BC0000000000DE +:0462BD0000000000DD +:0462BE0000000000DC +:0462BF0000000000DB +:0462C00000000000DA +:0462C10000000000D9 +:0462C20000000000D8 +:0462C30000000000D7 +:0462C40000000000D6 +:0462C50000000000D5 +:0462C60000000000D4 +:0462C70000000000D3 +:0462C80000000000D2 +:0462C90000000000D1 +:0462CA0000000000D0 +:0462CB0000000000CF +:0462CC0000000000CE +:0462CD0000000000CD +:0462CE0000000000CC +:0462CF0000000000CB +:0462D00000000000CA +:0462D10000000000C9 +:0462D20000000000C8 +:0462D30000000000C7 +:0462D40000000000C6 +:0462D50000000000C5 +:0462D60000000000C4 +:0462D70000000000C3 +:0462D80000000000C2 +:0462D90000000000C1 +:0462DA0000000000C0 +:0462DB0000000000BF +:0462DC0000000000BE +:0462DD0000000000BD +:0462DE0000000000BC +:0462DF0000000000BB +:0462E00000000000BA +:0462E10000000000B9 +:0462E20000000000B8 +:0462E30000000000B7 +:0462E40000000000B6 +:0462E50000000000B5 +:0462E60000000000B4 +:0462E70000000000B3 +:0462E80000000000B2 +:0462E90000000000B1 +:0462EA0000000000B0 +:0462EB0000000000AF +:0462EC0000000000AE +:0462ED0000000000AD +:0462EE0000000000AC +:0462EF0000000000AB +:0462F00000000000AA +:0462F10000000000A9 +:0462F20000000000A8 +:0462F30000000000A7 +:0462F40000000000A6 +:0462F50000000000A5 +:0462F60000000000A4 +:0462F70000000000A3 +:0462F80000000000A2 +:0462F90000000000A1 +:0462FA0000000000A0 +:0462FB00000000009F +:0462FC00000000009E +:0462FD00000000009D +:0462FE00000000009C +:0462FF00000000009B +:046300000000000099 +:046301000000000098 +:046302000000000097 +:046303000000000096 +:046304000000000095 +:046305000000000094 +:046306000000000093 +:046307000000000092 +:046308000000000091 +:046309000000000090 +:04630A00000000008F +:04630B00000000008E +:04630C00000000008D +:04630D00000000008C +:04630E00000000008B +:04630F00000000008A +:046310000000000089 +:046311000000000088 +:046312000000000087 +:046313000000000086 +:046314000000000085 +:046315000000000084 +:046316000000000083 +:046317000000000082 +:046318000000000081 +:046319000000000080 +:04631A00000000007F +:04631B00000000007E +:04631C00000000007D +:04631D00000000007C +:04631E00000000007B +:04631F00000000007A +:046320000000000079 +:046321000000000078 +:046322000000000077 +:046323000000000076 +:046324000000000075 +:046325000000000074 +:046326000000000073 +:046327000000000072 +:046328000000000071 +:046329000000000070 +:04632A00000000006F +:04632B00000000006E +:04632C00000000006D +:04632D00000000006C +:04632E00000000006B +:04632F00000000006A +:046330000000000069 +:046331000000000068 +:046332000000000067 +:046333000000000066 +:046334000000000065 +:046335000000000064 +:046336000000000063 +:046337000000000062 +:046338000000000061 +:046339000000000060 +:04633A00000000005F +:04633B00000000005E +:04633C00000000005D +:04633D00000000005C +:04633E00000000005B +:04633F00000000005A +:046340000000000059 +:046341000000000058 +:046342000000000057 +:046343000000000056 +:046344000000000055 +:046345000000000054 +:046346000000000053 +:046347000000000052 +:046348000000000051 +:046349000000000050 +:04634A00000000004F +:04634B00000000004E +:04634C00000000004D +:04634D00000000004C +:04634E00000000004B +:04634F00000000004A +:046350000000000049 +:046351000000000048 +:046352000000000047 +:046353000000000046 +:046354000000000045 +:046355000000000044 +:046356000000000043 +:046357000000000042 +:046358000000000041 +:046359000000000040 +:04635A00000000003F +:04635B00000000003E +:04635C00000000003D +:04635D00000000003C +:04635E00000000003B +:04635F00000000003A +:046360000000000039 +:046361000000000038 +:046362000000000037 +:046363000000000036 +:046364000000000035 +:046365000000000034 +:046366000000000033 +:046367000000000032 +:046368000000000031 +:046369000000000030 +:04636A00000000002F +:04636B00000000002E +:04636C00000000002D +:04636D00000000002C +:04636E00000000002B +:04636F00000000002A +:046370000000000029 +:046371000000000028 +:046372000000000027 +:046373000000000026 +:046374000000000025 +:046375000000000024 +:046376000000000023 +:046377000000000022 +:046378000000000021 +:046379000000000020 +:04637A00000000001F +:04637B00000000001E +:04637C00000000001D +:04637D00000000001C +:04637E00000000001B +:04637F00000000001A +:046380000000000019 +:046381000000000018 +:046382000000000017 +:046383000000000016 +:046384000000000015 +:046385000000000014 +:046386000000000013 +:046387000000000012 +:046388000000000011 +:046389000000000010 +:04638A00000000000F +:04638B00000000000E +:04638C00000000000D +:04638D00000000000C +:04638E00000000000B +:04638F00000000000A +:046390000000000009 +:046391000000000008 +:046392000000000007 +:046393000000000006 +:046394000000000005 +:046395000000000004 +:046396000000000003 +:046397000000000002 +:046398000000000001 +:046399000000000000 +:04639A0000000000FF +:04639B0000000000FE +:04639C0000000000FD +:04639D0000000000FC +:04639E0000000000FB +:04639F0000000000FA +:0463A00000000000F9 +:0463A10000000000F8 +:0463A20000000000F7 +:0463A30000000000F6 +:0463A40000000000F5 +:0463A50000000000F4 +:0463A60000000000F3 +:0463A70000000000F2 +:0463A80000000000F1 +:0463A90000000000F0 +:0463AA0000000000EF +:0463AB0000000000EE +:0463AC0000000000ED +:0463AD0000000000EC +:0463AE0000000000EB +:0463AF0000000000EA +:0463B00000000000E9 +:0463B10000000000E8 +:0463B20000000000E7 +:0463B30000000000E6 +:0463B40000000000E5 +:0463B50000000000E4 +:0463B60000000000E3 +:0463B70000000000E2 +:0463B80000000000E1 +:0463B90000000000E0 +:0463BA0000000000DF +:0463BB0000000000DE +:0463BC0000000000DD +:0463BD0000000000DC +:0463BE0000000000DB +:0463BF0000000000DA +:0463C00000000000D9 +:0463C10000000000D8 +:0463C20000000000D7 +:0463C30000000000D6 +:0463C40000000000D5 +:0463C50000000000D4 +:0463C60000000000D3 +:0463C70000000000D2 +:0463C80000000000D1 +:0463C90000000000D0 +:0463CA0000000000CF +:0463CB0000000000CE +:0463CC0000000000CD +:0463CD0000000000CC +:0463CE0000000000CB +:0463CF0000000000CA +:0463D00000000000C9 +:0463D10000000000C8 +:0463D20000000000C7 +:0463D30000000000C6 +:0463D40000000000C5 +:0463D50000000000C4 +:0463D60000000000C3 +:0463D70000000000C2 +:0463D80000000000C1 +:0463D90000000000C0 +:0463DA0000000000BF +:0463DB0000000000BE +:0463DC0000000000BD +:0463DD0000000000BC +:0463DE0000000000BB +:0463DF0000000000BA +:0463E00000000000B9 +:0463E10000000000B8 +:0463E20000000000B7 +:0463E30000000000B6 +:0463E40000000000B5 +:0463E50000000000B4 +:0463E60000000000B3 +:0463E70000000000B2 +:0463E80000000000B1 +:0463E90000000000B0 +:0463EA0000000000AF +:0463EB0000000000AE +:0463EC0000000000AD +:0463ED0000000000AC +:0463EE0000000000AB +:0463EF0000000000AA +:0463F00000000000A9 +:0463F10000000000A8 +:0463F20000000000A7 +:0463F30000000000A6 +:0463F40000000000A5 +:0463F50000000000A4 +:0463F60000000000A3 +:0463F70000000000A2 +:0463F80000000000A1 +:0463F90000000000A0 +:0463FA00000000009F +:0463FB00000000009E +:0463FC00000000009D +:0463FD00000000009C +:0463FE00000000009B +:0463FF00000000009A +:046400000000000098 +:046401000000000097 +:046402000000000096 +:046403000000000095 +:046404000000000094 +:046405000000000093 +:046406000000000092 +:046407000000000091 +:046408000000000090 +:04640900000000008F +:04640A00000000008E +:04640B00000000008D +:04640C00000000008C +:04640D00000000008B +:04640E00000000008A +:04640F000000000089 +:046410000000000088 +:046411000000000087 +:046412000000000086 +:046413000000000085 +:046414000000000084 +:046415000000000083 +:046416000000000082 +:046417000000000081 +:046418000000000080 +:04641900000000007F +:04641A00000000007E +:04641B00000000007D +:04641C00000000007C +:04641D00000000007B +:04641E00000000007A +:04641F000000000079 +:046420000000000078 +:046421000000000077 +:046422000000000076 +:046423000000000075 +:046424000000000074 +:046425000000000073 +:046426000000000072 +:046427000000000071 +:046428000000000070 +:04642900000000006F +:04642A00000000006E +:04642B00000000006D +:04642C00000000006C +:04642D00000000006B +:04642E00000000006A +:04642F000000000069 +:046430000000000068 +:046431000000000067 +:046432000000000066 +:046433000000000065 +:046434000000000064 +:046435000000000063 +:046436000000000062 +:046437000000000061 +:046438000000000060 +:04643900000000005F +:04643A00000000005E +:04643B00000000005D +:04643C00000000005C +:04643D00000000005B +:04643E00000000005A +:04643F000000000059 +:046440000000000058 +:046441000000000057 +:046442000000000056 +:046443000000000055 +:046444000000000054 +:046445000000000053 +:046446000000000052 +:046447000000000051 +:046448000000000050 +:04644900000000004F +:04644A00000000004E +:04644B00000000004D +:04644C00000000004C +:04644D00000000004B +:04644E00000000004A +:04644F000000000049 +:046450000000000048 +:046451000000000047 +:046452000000000046 +:046453000000000045 +:046454000000000044 +:046455000000000043 +:046456000000000042 +:046457000000000041 +:046458000000000040 +:04645900000000003F +:04645A00000000003E +:04645B00000000003D +:04645C00000000003C +:04645D00000000003B +:04645E00000000003A +:04645F000000000039 +:046460000000000038 +:046461000000000037 +:046462000000000036 +:046463000000000035 +:046464000000000034 +:046465000000000033 +:046466000000000032 +:046467000000000031 +:046468000000000030 +:04646900000000002F +:04646A00000000002E +:04646B00000000002D +:04646C00000000002C +:04646D00000000002B +:04646E00000000002A +:04646F000000000029 +:046470000000000028 +:046471000000000027 +:046472000000000026 +:046473000000000025 +:046474000000000024 +:046475000000000023 +:046476000000000022 +:046477000000000021 +:046478000000000020 +:04647900000000001F +:04647A00000000001E +:04647B00000000001D +:04647C00000000001C +:04647D00000000001B +:04647E00000000001A +:04647F000000000019 +:046480000000000018 +:046481000000000017 +:046482000000000016 +:046483000000000015 +:046484000000000014 +:046485000000000013 +:046486000000000012 +:046487000000000011 +:046488000000000010 +:04648900000000000F +:04648A00000000000E +:04648B00000000000D +:04648C00000000000C +:04648D00000000000B +:04648E00000000000A +:04648F000000000009 +:046490000000000008 +:046491000000000007 +:046492000000000006 +:046493000000000005 +:046494000000000004 +:046495000000000003 +:046496000000000002 +:046497000000000001 +:046498000000000000 +:0464990000000000FF +:04649A0000000000FE +:04649B0000000000FD +:04649C0000000000FC +:04649D0000000000FB +:04649E0000000000FA +:04649F0000000000F9 +:0464A00000000000F8 +:0464A10000000000F7 +:0464A20000000000F6 +:0464A30000000000F5 +:0464A40000000000F4 +:0464A50000000000F3 +:0464A60000000000F2 +:0464A70000000000F1 +:0464A80000000000F0 +:0464A90000000000EF +:0464AA0000000000EE +:0464AB0000000000ED +:0464AC0000000000EC +:0464AD0000000000EB +:0464AE0000000000EA +:0464AF0000000000E9 +:0464B00000000000E8 +:0464B10000000000E7 +:0464B20000000000E6 +:0464B30000000000E5 +:0464B40000000000E4 +:0464B50000000000E3 +:0464B60000000000E2 +:0464B70000000000E1 +:0464B80000000000E0 +:0464B90000000000DF +:0464BA0000000000DE +:0464BB0000000000DD +:0464BC0000000000DC +:0464BD0000000000DB +:0464BE0000000000DA +:0464BF0000000000D9 +:0464C00000000000D8 +:0464C10000000000D7 +:0464C20000000000D6 +:0464C30000000000D5 +:0464C40000000000D4 +:0464C50000000000D3 +:0464C60000000000D2 +:0464C70000000000D1 +:0464C80000000000D0 +:0464C90000000000CF +:0464CA0000000000CE +:0464CB0000000000CD +:0464CC0000000000CC +:0464CD0000000000CB +:0464CE0000000000CA +:0464CF0000000000C9 +:0464D00000000000C8 +:0464D10000000000C7 +:0464D20000000000C6 +:0464D30000000000C5 +:0464D40000000000C4 +:0464D50000000000C3 +:0464D60000000000C2 +:0464D70000000000C1 +:0464D80000000000C0 +:0464D90000000000BF +:0464DA0000000000BE +:0464DB0000000000BD +:0464DC0000000000BC +:0464DD0000000000BB +:0464DE0000000000BA +:0464DF0000000000B9 +:0464E00000000000B8 +:0464E10000000000B7 +:0464E20000000000B6 +:0464E30000000000B5 +:0464E40000000000B4 +:0464E50000000000B3 +:0464E60000000000B2 +:0464E70000000000B1 +:0464E80000000000B0 +:0464E90000000000AF +:0464EA0000000000AE +:0464EB0000000000AD +:0464EC0000000000AC +:0464ED0000000000AB +:0464EE0000000000AA +:0464EF0000000000A9 +:0464F00000000000A8 +:0464F10000000000A7 +:0464F20000000000A6 +:0464F30000000000A5 +:0464F40000000000A4 +:0464F50000000000A3 +:0464F60000000000A2 +:0464F70000000000A1 +:0464F80000000000A0 +:0464F900000000009F +:0464FA00000000009E +:0464FB00000000009D +:0464FC00000000009C +:0464FD00000000009B +:0464FE00000000009A +:0464FF000000000099 +:046500000000000097 +:046501000000000096 +:046502000000000095 +:046503000000000094 +:046504000000000093 +:046505000000000092 +:046506000000000091 +:046507000000000090 +:04650800000000008F +:04650900000000008E +:04650A00000000008D +:04650B00000000008C +:04650C00000000008B +:04650D00000000008A +:04650E000000000089 +:04650F000000000088 +:046510000000000087 +:046511000000000086 +:046512000000000085 +:046513000000000084 +:046514000000000083 +:046515000000000082 +:046516000000000081 +:046517000000000080 +:04651800000000007F +:04651900000000007E +:04651A00000000007D +:04651B00000000007C +:04651C00000000007B +:04651D00000000007A +:04651E000000000079 +:04651F000000000078 +:046520000000000077 +:046521000000000076 +:046522000000000075 +:046523000000000074 +:046524000000000073 +:046525000000000072 +:046526000000000071 +:046527000000000070 +:04652800000000006F +:04652900000000006E +:04652A00000000006D +:04652B00000000006C +:04652C00000000006B +:04652D00000000006A +:04652E000000000069 +:04652F000000000068 +:046530000000000067 +:046531000000000066 +:046532000000000065 +:046533000000000064 +:046534000000000063 +:046535000000000062 +:046536000000000061 +:046537000000000060 +:04653800000000005F +:04653900000000005E +:04653A00000000005D +:04653B00000000005C +:04653C00000000005B +:04653D00000000005A +:04653E000000000059 +:04653F000000000058 +:046540000000000057 +:046541000000000056 +:046542000000000055 +:046543000000000054 +:046544000000000053 +:046545000000000052 +:046546000000000051 +:046547000000000050 +:04654800000000004F +:04654900000000004E +:04654A00000000004D +:04654B00000000004C +:04654C00000000004B +:04654D00000000004A +:04654E000000000049 +:04654F000000000048 +:046550000000000047 +:046551000000000046 +:046552000000000045 +:046553000000000044 +:046554000000000043 +:046555000000000042 +:046556000000000041 +:046557000000000040 +:04655800000000003F +:04655900000000003E +:04655A00000000003D +:04655B00000000003C +:04655C00000000003B +:04655D00000000003A +:04655E000000000039 +:04655F000000000038 +:046560000000000037 +:046561000000000036 +:046562000000000035 +:046563000000000034 +:046564000000000033 +:046565000000000032 +:046566000000000031 +:046567000000000030 +:04656800000000002F +:04656900000000002E +:04656A00000000002D +:04656B00000000002C +:04656C00000000002B +:04656D00000000002A +:04656E000000000029 +:04656F000000000028 +:046570000000000027 +:046571000000000026 +:046572000000000025 +:046573000000000024 +:046574000000000023 +:046575000000000022 +:046576000000000021 +:046577000000000020 +:04657800000000001F +:04657900000000001E +:04657A00000000001D +:04657B00000000001C +:04657C00000000001B +:04657D00000000001A +:04657E000000000019 +:04657F000000000018 +:046580000000000017 +:046581000000000016 +:046582000000000015 +:046583000000000014 +:046584000000000013 +:046585000000000012 +:046586000000000011 +:046587000000000010 +:04658800000000000F +:04658900000000000E +:04658A00000000000D +:04658B00000000000C +:04658C00000000000B +:04658D00000000000A +:04658E000000000009 +:04658F000000000008 +:046590000000000007 +:046591000000000006 +:046592000000000005 +:046593000000000004 +:046594000000000003 +:046595000000000002 +:046596000000000001 +:046597000000000000 +:0465980000000000FF +:0465990000000000FE +:04659A0000000000FD +:04659B0000000000FC +:04659C0000000000FB +:04659D0000000000FA +:04659E0000000000F9 +:04659F0000000000F8 +:0465A00000000000F7 +:0465A10000000000F6 +:0465A20000000000F5 +:0465A30000000000F4 +:0465A40000000000F3 +:0465A50000000000F2 +:0465A60000000000F1 +:0465A70000000000F0 +:0465A80000000000EF +:0465A90000000000EE +:0465AA0000000000ED +:0465AB0000000000EC +:0465AC0000000000EB +:0465AD0000000000EA +:0465AE0000000000E9 +:0465AF0000000000E8 +:0465B00000000000E7 +:0465B10000000000E6 +:0465B20000000000E5 +:0465B30000000000E4 +:0465B40000000000E3 +:0465B50000000000E2 +:0465B60000000000E1 +:0465B70000000000E0 +:0465B80000000000DF +:0465B90000000000DE +:0465BA0000000000DD +:0465BB0000000000DC +:0465BC0000000000DB +:0465BD0000000000DA +:0465BE0000000000D9 +:0465BF0000000000D8 +:0465C00000000000D7 +:0465C10000000000D6 +:0465C20000000000D5 +:0465C30000000000D4 +:0465C40000000000D3 +:0465C50000000000D2 +:0465C60000000000D1 +:0465C70000000000D0 +:0465C80000000000CF +:0465C90000000000CE +:0465CA0000000000CD +:0465CB0000000000CC +:0465CC0000000000CB +:0465CD0000000000CA +:0465CE0000000000C9 +:0465CF0000000000C8 +:0465D00000000000C7 +:0465D10000000000C6 +:0465D20000000000C5 +:0465D30000000000C4 +:0465D40000000000C3 +:0465D50000000000C2 +:0465D60000000000C1 +:0465D70000000000C0 +:0465D80000000000BF +:0465D90000000000BE +:0465DA0000000000BD +:0465DB0000000000BC +:0465DC0000000000BB +:0465DD0000000000BA +:0465DE0000000000B9 +:0465DF0000000000B8 +:0465E00000000000B7 +:0465E10000000000B6 +:0465E20000000000B5 +:0465E30000000000B4 +:0465E40000000000B3 +:0465E50000000000B2 +:0465E60000000000B1 +:0465E70000000000B0 +:0465E80000000000AF +:0465E90000000000AE +:0465EA0000000000AD +:0465EB0000000000AC +:0465EC0000000000AB +:0465ED0000000000AA +:0465EE0000000000A9 +:0465EF0000000000A8 +:0465F00000000000A7 +:0465F10000000000A6 +:0465F20000000000A5 +:0465F30000000000A4 +:0465F40000000000A3 +:0465F50000000000A2 +:0465F60000000000A1 +:0465F70000000000A0 +:0465F800000000009F +:0465F900000000009E +:0465FA00000000009D +:0465FB00000000009C +:0465FC00000000009B +:0465FD00000000009A +:0465FE000000000099 +:0465FF000000000098 +:046600000000000096 +:046601000000000095 +:046602000000000094 +:046603000000000093 +:046604000000000092 +:046605000000000091 +:046606000000000090 +:04660700000000008F +:04660800000000008E +:04660900000000008D +:04660A00000000008C +:04660B00000000008B +:04660C00000000008A +:04660D000000000089 +:04660E000000000088 +:04660F000000000087 +:046610000000000086 +:046611000000000085 +:046612000000000084 +:046613000000000083 +:046614000000000082 +:046615000000000081 +:046616000000000080 +:04661700000000007F +:04661800000000007E +:04661900000000007D +:04661A00000000007C +:04661B00000000007B +:04661C00000000007A +:04661D000000000079 +:04661E000000000078 +:04661F000000000077 +:046620000000000076 +:046621000000000075 +:046622000000000074 +:046623000000000073 +:046624000000000072 +:046625000000000071 +:046626000000000070 +:04662700000000006F +:04662800000000006E +:04662900000000006D +:04662A00000000006C +:04662B00000000006B +:04662C00000000006A +:04662D000000000069 +:04662E000000000068 +:04662F000000000067 +:046630000000000066 +:046631000000000065 +:046632000000000064 +:046633000000000063 +:046634000000000062 +:046635000000000061 +:046636000000000060 +:04663700000000005F +:04663800000000005E +:04663900000000005D +:04663A00000000005C +:04663B00000000005B +:04663C00000000005A +:04663D000000000059 +:04663E000000000058 +:04663F000000000057 +:046640000000000056 +:046641000000000055 +:046642000000000054 +:046643000000000053 +:046644000000000052 +:046645000000000051 +:046646000000000050 +:04664700000000004F +:04664800000000004E +:04664900000000004D +:04664A00000000004C +:04664B00000000004B +:04664C00000000004A +:04664D000000000049 +:04664E000000000048 +:04664F000000000047 +:046650000000000046 +:046651000000000045 +:046652000000000044 +:046653000000000043 +:046654000000000042 +:046655000000000041 +:046656000000000040 +:04665700000000003F +:04665800000000003E +:04665900000000003D +:04665A00000000003C +:04665B00000000003B +:04665C00000000003A +:04665D000000000039 +:04665E000000000038 +:04665F000000000037 +:046660000000000036 +:046661000000000035 +:046662000000000034 +:046663000000000033 +:046664000000000032 +:046665000000000031 +:046666000000000030 +:04666700000000002F +:04666800000000002E +:04666900000000002D +:04666A00000000002C +:04666B00000000002B +:04666C00000000002A +:04666D000000000029 +:04666E000000000028 +:04666F000000000027 +:046670000000000026 +:046671000000000025 +:046672000000000024 +:046673000000000023 +:046674000000000022 +:046675000000000021 +:046676000000000020 +:04667700000000001F +:04667800000000001E +:04667900000000001D +:04667A00000000001C +:04667B00000000001B +:04667C00000000001A +:04667D000000000019 +:04667E000000000018 +:04667F000000000017 +:046680000000000016 +:046681000000000015 +:046682000000000014 +:046683000000000013 +:046684000000000012 +:046685000000000011 +:046686000000000010 +:04668700000000000F +:04668800000000000E +:04668900000000000D +:04668A00000000000C +:04668B00000000000B +:04668C00000000000A +:04668D000000000009 +:04668E000000000008 +:04668F000000000007 +:046690000000000006 +:046691000000000005 +:046692000000000004 +:046693000000000003 +:046694000000000002 +:046695000000000001 +:046696000000000000 +:0466970000000000FF +:0466980000000000FE +:0466990000000000FD +:04669A0000000000FC +:04669B0000000000FB +:04669C0000000000FA +:04669D0000000000F9 +:04669E0000000000F8 +:04669F0000000000F7 +:0466A00000000000F6 +:0466A10000000000F5 +:0466A20000000000F4 +:0466A30000000000F3 +:0466A40000000000F2 +:0466A50000000000F1 +:0466A60000000000F0 +:0466A70000000000EF +:0466A80000000000EE +:0466A90000000000ED +:0466AA0000000000EC +:0466AB0000000000EB +:0466AC0000000000EA +:0466AD0000000000E9 +:0466AE0000000000E8 +:0466AF0000000000E7 +:0466B00000000000E6 +:0466B10000000000E5 +:0466B20000000000E4 +:0466B30000000000E3 +:0466B40000000000E2 +:0466B50000000000E1 +:0466B60000000000E0 +:0466B70000000000DF +:0466B80000000000DE +:0466B90000000000DD +:0466BA0000000000DC +:0466BB0000000000DB +:0466BC0000000000DA +:0466BD0000000000D9 +:0466BE0000000000D8 +:0466BF0000000000D7 +:0466C00000000000D6 +:0466C10000000000D5 +:0466C20000000000D4 +:0466C30000000000D3 +:0466C40000000000D2 +:0466C50000000000D1 +:0466C60000000000D0 +:0466C70000000000CF +:0466C80000000000CE +:0466C90000000000CD +:0466CA0000000000CC +:0466CB0000000000CB +:0466CC0000000000CA +:0466CD0000000000C9 +:0466CE0000000000C8 +:0466CF0000000000C7 +:0466D00000000000C6 +:0466D10000000000C5 +:0466D20000000000C4 +:0466D30000000000C3 +:0466D40000000000C2 +:0466D50000000000C1 +:0466D60000000000C0 +:0466D70000000000BF +:0466D80000000000BE +:0466D90000000000BD +:0466DA0000000000BC +:0466DB0000000000BB +:0466DC0000000000BA +:0466DD0000000000B9 +:0466DE0000000000B8 +:0466DF0000000000B7 +:0466E00000000000B6 +:0466E10000000000B5 +:0466E20000000000B4 +:0466E30000000000B3 +:0466E40000000000B2 +:0466E50000000000B1 +:0466E60000000000B0 +:0466E70000000000AF +:0466E80000000000AE +:0466E90000000000AD +:0466EA0000000000AC +:0466EB0000000000AB +:0466EC0000000000AA +:0466ED0000000000A9 +:0466EE0000000000A8 +:0466EF0000000000A7 +:0466F00000000000A6 +:0466F10000000000A5 +:0466F20000000000A4 +:0466F30000000000A3 +:0466F40000000000A2 +:0466F50000000000A1 +:0466F60000000000A0 +:0466F700000000009F +:0466F800000000009E +:0466F900000000009D +:0466FA00000000009C +:0466FB00000000009B +:0466FC00000000009A +:0466FD000000000099 +:0466FE000000000098 +:0466FF000000000097 +:046700000000000095 +:046701000000000094 +:046702000000000093 +:046703000000000092 +:046704000000000091 +:046705000000000090 +:04670600000000008F +:04670700000000008E +:04670800000000008D +:04670900000000008C +:04670A00000000008B +:04670B00000000008A +:04670C000000000089 +:04670D000000000088 +:04670E000000000087 +:04670F000000000086 +:046710000000000085 +:046711000000000084 +:046712000000000083 +:046713000000000082 +:046714000000000081 +:046715000000000080 +:04671600000000007F +:04671700000000007E +:04671800000000007D +:04671900000000007C +:04671A00000000007B +:04671B00000000007A +:04671C000000000079 +:04671D000000000078 +:04671E000000000077 +:04671F000000000076 +:046720000000000075 +:046721000000000074 +:046722000000000073 +:046723000000000072 +:046724000000000071 +:046725000000000070 +:04672600000000006F +:04672700000000006E +:04672800000000006D +:04672900000000006C +:04672A00000000006B +:04672B00000000006A +:04672C000000000069 +:04672D000000000068 +:04672E000000000067 +:04672F000000000066 +:046730000000000065 +:046731000000000064 +:046732000000000063 +:046733000000000062 +:046734000000000061 +:046735000000000060 +:04673600000000005F +:04673700000000005E +:04673800000000005D +:04673900000000005C +:04673A00000000005B +:04673B00000000005A +:04673C000000000059 +:04673D000000000058 +:04673E000000000057 +:04673F000000000056 +:046740000000000055 +:046741000000000054 +:046742000000000053 +:046743000000000052 +:046744000000000051 +:046745000000000050 +:04674600000000004F +:04674700000000004E +:04674800000000004D +:04674900000000004C +:04674A00000000004B +:04674B00000000004A +:04674C000000000049 +:04674D000000000048 +:04674E000000000047 +:04674F000000000046 +:046750000000000045 +:046751000000000044 +:046752000000000043 +:046753000000000042 +:046754000000000041 +:046755000000000040 +:04675600000000003F +:04675700000000003E +:04675800000000003D +:04675900000000003C +:04675A00000000003B +:04675B00000000003A +:04675C000000000039 +:04675D000000000038 +:04675E000000000037 +:04675F000000000036 +:046760000000000035 +:046761000000000034 +:046762000000000033 +:046763000000000032 +:046764000000000031 +:046765000000000030 +:04676600000000002F +:04676700000000002E +:04676800000000002D +:04676900000000002C +:04676A00000000002B +:04676B00000000002A +:04676C000000000029 +:04676D000000000028 +:04676E000000000027 +:04676F000000000026 +:046770000000000025 +:046771000000000024 +:046772000000000023 +:046773000000000022 +:046774000000000021 +:046775000000000020 +:04677600000000001F +:04677700000000001E +:04677800000000001D +:04677900000000001C +:04677A00000000001B +:04677B00000000001A +:04677C000000000019 +:04677D000000000018 +:04677E000000000017 +:04677F000000000016 +:046780000000000015 +:046781000000000014 +:046782000000000013 +:046783000000000012 +:046784000000000011 +:046785000000000010 +:04678600000000000F +:04678700000000000E +:04678800000000000D +:04678900000000000C +:04678A00000000000B +:04678B00000000000A +:04678C000000000009 +:04678D000000000008 +:04678E000000000007 +:04678F000000000006 +:046790000000000005 +:046791000000000004 +:046792000000000003 +:046793000000000002 +:046794000000000001 +:046795000000000000 +:0467960000000000FF +:0467970000000000FE +:0467980000000000FD +:0467990000000000FC +:04679A0000000000FB +:04679B0000000000FA +:04679C0000000000F9 +:04679D0000000000F8 +:04679E0000000000F7 +:04679F0000000000F6 +:0467A00000000000F5 +:0467A10000000000F4 +:0467A20000000000F3 +:0467A30000000000F2 +:0467A40000000000F1 +:0467A50000000000F0 +:0467A60000000000EF +:0467A70000000000EE +:0467A80000000000ED +:0467A90000000000EC +:0467AA0000000000EB +:0467AB0000000000EA +:0467AC0000000000E9 +:0467AD0000000000E8 +:0467AE0000000000E7 +:0467AF0000000000E6 +:0467B00000000000E5 +:0467B10000000000E4 +:0467B20000000000E3 +:0467B30000000000E2 +:0467B40000000000E1 +:0467B50000000000E0 +:0467B60000000000DF +:0467B70000000000DE +:0467B80000000000DD +:0467B90000000000DC +:0467BA0000000000DB +:0467BB0000000000DA +:0467BC0000000000D9 +:0467BD0000000000D8 +:0467BE0000000000D7 +:0467BF0000000000D6 +:0467C00000000000D5 +:0467C10000000000D4 +:0467C20000000000D3 +:0467C30000000000D2 +:0467C40000000000D1 +:0467C50000000000D0 +:0467C60000000000CF +:0467C70000000000CE +:0467C80000000000CD +:0467C90000000000CC +:0467CA0000000000CB +:0467CB0000000000CA +:0467CC0000000000C9 +:0467CD0000000000C8 +:0467CE0000000000C7 +:0467CF0000000000C6 +:0467D00000000000C5 +:0467D10000000000C4 +:0467D20000000000C3 +:0467D30000000000C2 +:0467D40000000000C1 +:0467D50000000000C0 +:0467D60000000000BF +:0467D70000000000BE +:0467D80000000000BD +:0467D90000000000BC +:0467DA0000000000BB +:0467DB0000000000BA +:0467DC0000000000B9 +:0467DD0000000000B8 +:0467DE0000000000B7 +:0467DF0000000000B6 +:0467E00000000000B5 +:0467E10000000000B4 +:0467E20000000000B3 +:0467E30000000000B2 +:0467E40000000000B1 +:0467E50000000000B0 +:0467E60000000000AF +:0467E70000000000AE +:0467E80000000000AD +:0467E90000000000AC +:0467EA0000000000AB +:0467EB0000000000AA +:0467EC0000000000A9 +:0467ED0000000000A8 +:0467EE0000000000A7 +:0467EF0000000000A6 +:0467F00000000000A5 +:0467F10000000000A4 +:0467F20000000000A3 +:0467F30000000000A2 +:0467F40000000000A1 +:0467F50000000000A0 +:0467F600000000009F +:0467F700000000009E +:0467F800000000009D +:0467F900000000009C +:0467FA00000000009B +:0467FB00000000009A +:0467FC000000000099 +:0467FD000000000098 +:0467FE000000000097 +:0467FF000000000096 +:046800000000000094 +:046801000000000093 +:046802000000000092 +:046803000000000091 +:046804000000000090 +:04680500000000008F +:04680600000000008E +:04680700000000008D +:04680800000000008C +:04680900000000008B +:04680A00000000008A +:04680B000000000089 +:04680C000000000088 +:04680D000000000087 +:04680E000000000086 +:04680F000000000085 +:046810000000000084 +:046811000000000083 +:046812000000000082 +:046813000000000081 +:046814000000000080 +:04681500000000007F +:04681600000000007E +:04681700000000007D +:04681800000000007C +:04681900000000007B +:04681A00000000007A +:04681B000000000079 +:04681C000000000078 +:04681D000000000077 +:04681E000000000076 +:04681F000000000075 +:046820000000000074 +:046821000000000073 +:046822000000000072 +:046823000000000071 +:046824000000000070 +:04682500000000006F +:04682600000000006E +:04682700000000006D +:04682800000000006C +:04682900000000006B +:04682A00000000006A +:04682B000000000069 +:04682C000000000068 +:04682D000000000067 +:04682E000000000066 +:04682F000000000065 +:046830000000000064 +:046831000000000063 +:046832000000000062 +:046833000000000061 +:046834000000000060 +:04683500000000005F +:04683600000000005E +:04683700000000005D +:04683800000000005C +:04683900000000005B +:04683A00000000005A +:04683B000000000059 +:04683C000000000058 +:04683D000000000057 +:04683E000000000056 +:04683F000000000055 +:046840000000000054 +:046841000000000053 +:046842000000000052 +:046843000000000051 +:046844000000000050 +:04684500000000004F +:04684600000000004E +:04684700000000004D +:04684800000000004C +:04684900000000004B +:04684A00000000004A +:04684B000000000049 +:04684C000000000048 +:04684D000000000047 +:04684E000000000046 +:04684F000000000045 +:046850000000000044 +:046851000000000043 +:046852000000000042 +:046853000000000041 +:046854000000000040 +:04685500000000003F +:04685600000000003E +:04685700000000003D +:04685800000000003C +:04685900000000003B +:04685A00000000003A +:04685B000000000039 +:04685C000000000038 +:04685D000000000037 +:04685E000000000036 +:04685F000000000035 +:046860000000000034 +:046861000000000033 +:046862000000000032 +:046863000000000031 +:046864000000000030 +:04686500000000002F +:04686600000000002E +:04686700000000002D +:04686800000000002C +:04686900000000002B +:04686A00000000002A +:04686B000000000029 +:04686C000000000028 +:04686D000000000027 +:04686E000000000026 +:04686F000000000025 +:046870000000000024 +:046871000000000023 +:046872000000000022 +:046873000000000021 +:046874000000000020 +:04687500000000001F +:04687600000000001E +:04687700000000001D +:04687800000000001C +:04687900000000001B +:04687A00000000001A +:04687B000000000019 +:04687C000000000018 +:04687D000000000017 +:04687E000000000016 +:04687F000000000015 +:046880000000000014 +:046881000000000013 +:046882000000000012 +:046883000000000011 +:046884000000000010 +:04688500000000000F +:04688600000000000E +:04688700000000000D +:04688800000000000C +:04688900000000000B +:04688A00000000000A +:04688B000000000009 +:04688C000000000008 +:04688D000000000007 +:04688E000000000006 +:04688F000000000005 +:046890000000000004 +:046891000000000003 +:046892000000000002 +:046893000000000001 +:046894000000000000 +:0468950000000000FF +:0468960000000000FE +:0468970000000000FD +:0468980000000000FC +:0468990000000000FB +:04689A0000000000FA +:04689B0000000000F9 +:04689C0000000000F8 +:04689D0000000000F7 +:04689E0000000000F6 +:04689F0000000000F5 +:0468A00000000000F4 +:0468A10000000000F3 +:0468A20000000000F2 +:0468A30000000000F1 +:0468A40000000000F0 +:0468A50000000000EF +:0468A60000000000EE +:0468A70000000000ED +:0468A80000000000EC +:0468A90000000000EB +:0468AA0000000000EA +:0468AB0000000000E9 +:0468AC0000000000E8 +:0468AD0000000000E7 +:0468AE0000000000E6 +:0468AF0000000000E5 +:0468B00000000000E4 +:0468B10000000000E3 +:0468B20000000000E2 +:0468B30000000000E1 +:0468B40000000000E0 +:0468B50000000000DF +:0468B60000000000DE +:0468B70000000000DD +:0468B80000000000DC +:0468B90000000000DB +:0468BA0000000000DA +:0468BB0000000000D9 +:0468BC0000000000D8 +:0468BD0000000000D7 +:0468BE0000000000D6 +:0468BF0000000000D5 +:0468C00000000000D4 +:0468C10000000000D3 +:0468C20000000000D2 +:0468C30000000000D1 +:0468C40000000000D0 +:0468C50000000000CF +:0468C60000000000CE +:0468C70000000000CD +:0468C80000000000CC +:0468C90000000000CB +:0468CA0000000000CA +:0468CB0000000000C9 +:0468CC0000000000C8 +:0468CD0000000000C7 +:0468CE0000000000C6 +:0468CF0000000000C5 +:0468D00000000000C4 +:0468D10000000000C3 +:0468D20000000000C2 +:0468D30000000000C1 +:0468D40000000000C0 +:0468D50000000000BF +:0468D60000000000BE +:0468D70000000000BD +:0468D80000000000BC +:0468D90000000000BB +:0468DA0000000000BA +:0468DB0000000000B9 +:0468DC0000000000B8 +:0468DD0000000000B7 +:0468DE0000000000B6 +:0468DF0000000000B5 +:0468E00000000000B4 +:0468E10000000000B3 +:0468E20000000000B2 +:0468E30000000000B1 +:0468E40000000000B0 +:0468E50000000000AF +:0468E60000000000AE +:0468E70000000000AD +:0468E80000000000AC +:0468E90000000000AB +:0468EA0000000000AA +:0468EB0000000000A9 +:0468EC0000000000A8 +:0468ED0000000000A7 +:0468EE0000000000A6 +:0468EF0000000000A5 +:0468F00000000000A4 +:0468F10000000000A3 +:0468F20000000000A2 +:0468F30000000000A1 +:0468F40000000000A0 +:0468F500000000009F +:0468F600000000009E +:0468F700000000009D +:0468F800000000009C +:0468F900000000009B +:0468FA00000000009A +:0468FB000000000099 +:0468FC000000000098 +:0468FD000000000097 +:0468FE000000000096 +:0468FF000000000095 +:046900000000000093 +:046901000000000092 +:046902000000000091 +:046903000000000090 +:04690400000000008F +:04690500000000008E +:04690600000000008D +:04690700000000008C +:04690800000000008B +:04690900000000008A +:04690A000000000089 +:04690B000000000088 +:04690C000000000087 +:04690D000000000086 +:04690E000000000085 +:04690F000000000084 +:046910000000000083 +:046911000000000082 +:046912000000000081 +:046913000000000080 +:04691400000000007F +:04691500000000007E +:04691600000000007D +:04691700000000007C +:04691800000000007B +:04691900000000007A +:04691A000000000079 +:04691B000000000078 +:04691C000000000077 +:04691D000000000076 +:04691E000000000075 +:04691F000000000074 +:046920000000000073 +:046921000000000072 +:046922000000000071 +:046923000000000070 +:04692400000000006F +:04692500000000006E +:04692600000000006D +:04692700000000006C +:04692800000000006B +:04692900000000006A +:04692A000000000069 +:04692B000000000068 +:04692C000000000067 +:04692D000000000066 +:04692E000000000065 +:04692F000000000064 +:046930000000000063 +:046931000000000062 +:046932000000000061 +:046933000000000060 +:04693400000000005F +:04693500000000005E +:04693600000000005D +:04693700000000005C +:04693800000000005B +:04693900000000005A +:04693A000000000059 +:04693B000000000058 +:04693C000000000057 +:04693D000000000056 +:04693E000000000055 +:04693F000000000054 +:046940000000000053 +:046941000000000052 +:046942000000000051 +:046943000000000050 +:04694400000000004F +:04694500000000004E +:04694600000000004D +:04694700000000004C +:04694800000000004B +:04694900000000004A +:04694A000000000049 +:04694B000000000048 +:04694C000000000047 +:04694D000000000046 +:04694E000000000045 +:04694F000000000044 +:046950000000000043 +:046951000000000042 +:046952000000000041 +:046953000000000040 +:04695400000000003F +:04695500000000003E +:04695600000000003D +:04695700000000003C +:04695800000000003B +:04695900000000003A +:04695A000000000039 +:04695B000000000038 +:04695C000000000037 +:04695D000000000036 +:04695E000000000035 +:04695F000000000034 +:046960000000000033 +:046961000000000032 +:046962000000000031 +:046963000000000030 +:04696400000000002F +:04696500000000002E +:04696600000000002D +:04696700000000002C +:04696800000000002B +:04696900000000002A +:04696A000000000029 +:04696B000000000028 +:04696C000000000027 +:04696D000000000026 +:04696E000000000025 +:04696F000000000024 +:046970000000000023 +:046971000000000022 +:046972000000000021 +:046973000000000020 +:04697400000000001F +:04697500000000001E +:04697600000000001D +:04697700000000001C +:04697800000000001B +:04697900000000001A +:04697A000000000019 +:04697B000000000018 +:04697C000000000017 +:04697D000000000016 +:04697E000000000015 +:04697F000000000014 +:046980000000000013 +:046981000000000012 +:046982000000000011 +:046983000000000010 +:04698400000000000F +:04698500000000000E +:04698600000000000D +:04698700000000000C +:04698800000000000B +:04698900000000000A +:04698A000000000009 +:04698B000000000008 +:04698C000000000007 +:04698D000000000006 +:04698E000000000005 +:04698F000000000004 +:046990000000000003 +:046991000000000002 +:046992000000000001 +:046993000000000000 +:0469940000000000FF +:0469950000000000FE +:0469960000000000FD +:0469970000000000FC +:0469980000000000FB +:0469990000000000FA +:04699A0000000000F9 +:04699B0000000000F8 +:04699C0000000000F7 +:04699D0000000000F6 +:04699E0000000000F5 +:04699F0000000000F4 +:0469A00000000000F3 +:0469A10000000000F2 +:0469A20000000000F1 +:0469A30000000000F0 +:0469A40000000000EF +:0469A50000000000EE +:0469A60000000000ED +:0469A70000000000EC +:0469A80000000000EB +:0469A90000000000EA +:0469AA0000000000E9 +:0469AB0000000000E8 +:0469AC0000000000E7 +:0469AD0000000000E6 +:0469AE0000000000E5 +:0469AF0000000000E4 +:0469B00000000000E3 +:0469B10000000000E2 +:0469B20000000000E1 +:0469B30000000000E0 +:0469B40000000000DF +:0469B50000000000DE +:0469B60000000000DD +:0469B70000000000DC +:0469B80000000000DB +:0469B90000000000DA +:0469BA0000000000D9 +:0469BB0000000000D8 +:0469BC0000000000D7 +:0469BD0000000000D6 +:0469BE0000000000D5 +:0469BF0000000000D4 +:0469C00000000000D3 +:0469C10000000000D2 +:0469C20000000000D1 +:0469C30000000000D0 +:0469C40000000000CF +:0469C50000000000CE +:0469C60000000000CD +:0469C70000000000CC +:0469C80000000000CB +:0469C90000000000CA +:0469CA0000000000C9 +:0469CB0000000000C8 +:0469CC0000000000C7 +:0469CD0000000000C6 +:0469CE0000000000C5 +:0469CF0000000000C4 +:0469D00000000000C3 +:0469D10000000000C2 +:0469D20000000000C1 +:0469D30000000000C0 +:0469D40000000000BF +:0469D50000000000BE +:0469D60000000000BD +:0469D70000000000BC +:0469D80000000000BB +:0469D90000000000BA +:0469DA0000000000B9 +:0469DB0000000000B8 +:0469DC0000000000B7 +:0469DD0000000000B6 +:0469DE0000000000B5 +:0469DF0000000000B4 +:0469E00000000000B3 +:0469E10000000000B2 +:0469E20000000000B1 +:0469E30000000000B0 +:0469E40000000000AF +:0469E50000000000AE +:0469E60000000000AD +:0469E70000000000AC +:0469E80000000000AB +:0469E90000000000AA +:0469EA0000000000A9 +:0469EB0000000000A8 +:0469EC0000000000A7 +:0469ED0000000000A6 +:0469EE0000000000A5 +:0469EF0000000000A4 +:0469F00000000000A3 +:0469F10000000000A2 +:0469F20000000000A1 +:0469F30000000000A0 +:0469F400000000009F +:0469F500000000009E +:0469F600000000009D +:0469F700000000009C +:0469F800000000009B +:0469F900000000009A +:0469FA000000000099 +:0469FB000000000098 +:0469FC000000000097 +:0469FD000000000096 +:0469FE000000000095 +:0469FF000000000094 +:046A00000000000092 +:046A01000000000091 +:046A02000000000090 +:046A0300000000008F +:046A0400000000008E +:046A0500000000008D +:046A0600000000008C +:046A0700000000008B +:046A0800000000008A +:046A09000000000089 +:046A0A000000000088 +:046A0B000000000087 +:046A0C000000000086 +:046A0D000000000085 +:046A0E000000000084 +:046A0F000000000083 +:046A10000000000082 +:046A11000000000081 +:046A12000000000080 +:046A1300000000007F +:046A1400000000007E +:046A1500000000007D +:046A1600000000007C +:046A1700000000007B +:046A1800000000007A +:046A19000000000079 +:046A1A000000000078 +:046A1B000000000077 +:046A1C000000000076 +:046A1D000000000075 +:046A1E000000000074 +:046A1F000000000073 +:046A20000000000072 +:046A21000000000071 +:046A22000000000070 +:046A2300000000006F +:046A2400000000006E +:046A2500000000006D +:046A2600000000006C +:046A2700000000006B +:046A2800000000006A +:046A29000000000069 +:046A2A000000000068 +:046A2B000000000067 +:046A2C000000000066 +:046A2D000000000065 +:046A2E000000000064 +:046A2F000000000063 +:046A30000000000062 +:046A31000000000061 +:046A32000000000060 +:046A3300000000005F +:046A3400000000005E +:046A3500000000005D +:046A3600000000005C +:046A3700000000005B +:046A3800000000005A +:046A39000000000059 +:046A3A000000000058 +:046A3B000000000057 +:046A3C000000000056 +:046A3D000000000055 +:046A3E000000000054 +:046A3F000000000053 +:046A40000000000052 +:046A41000000000051 +:046A42000000000050 +:046A4300000000004F +:046A4400000000004E +:046A4500000000004D +:046A4600000000004C +:046A4700000000004B +:046A4800000000004A +:046A49000000000049 +:046A4A000000000048 +:046A4B000000000047 +:046A4C000000000046 +:046A4D000000000045 +:046A4E000000000044 +:046A4F000000000043 +:046A50000000000042 +:046A51000000000041 +:046A52000000000040 +:046A5300000000003F +:046A5400000000003E +:046A5500000000003D +:046A5600000000003C +:046A5700000000003B +:046A5800000000003A +:046A59000000000039 +:046A5A000000000038 +:046A5B000000000037 +:046A5C000000000036 +:046A5D000000000035 +:046A5E000000000034 +:046A5F000000000033 +:046A60000000000032 +:046A61000000000031 +:046A62000000000030 +:046A6300000000002F +:046A6400000000002E +:046A6500000000002D +:046A6600000000002C +:046A6700000000002B +:046A6800000000002A +:046A69000000000029 +:046A6A000000000028 +:046A6B000000000027 +:046A6C000000000026 +:046A6D000000000025 +:046A6E000000000024 +:046A6F000000000023 +:046A70000000000022 +:046A71000000000021 +:046A72000000000020 +:046A7300000000001F +:046A7400000000001E +:046A7500000000001D +:046A7600000000001C +:046A7700000000001B +:046A7800000000001A +:046A79000000000019 +:046A7A000000000018 +:046A7B000000000017 +:046A7C000000000016 +:046A7D000000000015 +:046A7E000000000014 +:046A7F000000000013 +:046A80000000000012 +:046A81000000000011 +:046A82000000000010 +:046A8300000000000F +:046A8400000000000E +:046A8500000000000D +:046A8600000000000C +:046A8700000000000B +:046A8800000000000A +:046A89000000000009 +:046A8A000000000008 +:046A8B000000000007 +:046A8C000000000006 +:046A8D000000000005 +:046A8E000000000004 +:046A8F000000000003 +:046A90000000000002 +:046A91000000000001 +:046A92000000000000 +:046A930000000000FF +:046A940000000000FE +:046A950000000000FD +:046A960000000000FC +:046A970000000000FB +:046A980000000000FA +:046A990000000000F9 +:046A9A0000000000F8 +:046A9B0000000000F7 +:046A9C0000000000F6 +:046A9D0000000000F5 +:046A9E0000000000F4 +:046A9F0000000000F3 +:046AA00000000000F2 +:046AA10000000000F1 +:046AA20000000000F0 +:046AA30000000000EF +:046AA40000000000EE +:046AA50000000000ED +:046AA60000000000EC +:046AA70000000000EB +:046AA80000000000EA +:046AA90000000000E9 +:046AAA0000000000E8 +:046AAB0000000000E7 +:046AAC0000000000E6 +:046AAD0000000000E5 +:046AAE0000000000E4 +:046AAF0000000000E3 +:046AB00000000000E2 +:046AB10000000000E1 +:046AB20000000000E0 +:046AB30000000000DF +:046AB40000000000DE +:046AB50000000000DD +:046AB60000000000DC +:046AB70000000000DB +:046AB80000000000DA +:046AB90000000000D9 +:046ABA0000000000D8 +:046ABB0000000000D7 +:046ABC0000000000D6 +:046ABD0000000000D5 +:046ABE0000000000D4 +:046ABF0000000000D3 +:046AC00000000000D2 +:046AC10000000000D1 +:046AC20000000000D0 +:046AC30000000000CF +:046AC40000000000CE +:046AC50000000000CD +:046AC60000000000CC +:046AC70000000000CB +:046AC80000000000CA +:046AC90000000000C9 +:046ACA0000000000C8 +:046ACB0000000000C7 +:046ACC0000000000C6 +:046ACD0000000000C5 +:046ACE0000000000C4 +:046ACF0000000000C3 +:046AD00000000000C2 +:046AD10000000000C1 +:046AD20000000000C0 +:046AD30000000000BF +:046AD40000000000BE +:046AD50000000000BD +:046AD60000000000BC +:046AD70000000000BB +:046AD80000000000BA +:046AD90000000000B9 +:046ADA0000000000B8 +:046ADB0000000000B7 +:046ADC0000000000B6 +:046ADD0000000000B5 +:046ADE0000000000B4 +:046ADF0000000000B3 +:046AE00000000000B2 +:046AE10000000000B1 +:046AE20000000000B0 +:046AE30000000000AF +:046AE40000000000AE +:046AE50000000000AD +:046AE60000000000AC +:046AE70000000000AB +:046AE80000000000AA +:046AE90000000000A9 +:046AEA0000000000A8 +:046AEB0000000000A7 +:046AEC0000000000A6 +:046AED0000000000A5 +:046AEE0000000000A4 +:046AEF0000000000A3 +:046AF00000000000A2 +:046AF10000000000A1 +:046AF20000000000A0 +:046AF300000000009F +:046AF400000000009E +:046AF500000000009D +:046AF600000000009C +:046AF700000000009B +:046AF800000000009A +:046AF9000000000099 +:046AFA000000000098 +:046AFB000000000097 +:046AFC000000000096 +:046AFD000000000095 +:046AFE000000000094 +:046AFF000000000093 +:046B00000000000091 +:046B01000000000090 +:046B0200000000008F +:046B0300000000008E +:046B0400000000008D +:046B0500000000008C +:046B0600000000008B +:046B0700000000008A +:046B08000000000089 +:046B09000000000088 +:046B0A000000000087 +:046B0B000000000086 +:046B0C000000000085 +:046B0D000000000084 +:046B0E000000000083 +:046B0F000000000082 +:046B10000000000081 +:046B11000000000080 +:046B1200000000007F +:046B1300000000007E +:046B1400000000007D +:046B1500000000007C +:046B1600000000007B +:046B1700000000007A +:046B18000000000079 +:046B19000000000078 +:046B1A000000000077 +:046B1B000000000076 +:046B1C000000000075 +:046B1D000000000074 +:046B1E000000000073 +:046B1F000000000072 +:046B20000000000071 +:046B21000000000070 +:046B2200000000006F +:046B2300000000006E +:046B2400000000006D +:046B2500000000006C +:046B2600000000006B +:046B2700000000006A +:046B28000000000069 +:046B29000000000068 +:046B2A000000000067 +:046B2B000000000066 +:046B2C000000000065 +:046B2D000000000064 +:046B2E000000000063 +:046B2F000000000062 +:046B30000000000061 +:046B31000000000060 +:046B3200000000005F +:046B3300000000005E +:046B3400000000005D +:046B3500000000005C +:046B3600000000005B +:046B3700000000005A +:046B38000000000059 +:046B39000000000058 +:046B3A000000000057 +:046B3B000000000056 +:046B3C000000000055 +:046B3D000000000054 +:046B3E000000000053 +:046B3F000000000052 +:046B40000000000051 +:046B41000000000050 +:046B4200000000004F +:046B4300000000004E +:046B4400000000004D +:046B4500000000004C +:046B4600000000004B +:046B4700000000004A +:046B48000000000049 +:046B49000000000048 +:046B4A000000000047 +:046B4B000000000046 +:046B4C000000000045 +:046B4D000000000044 +:046B4E000000000043 +:046B4F000000000042 +:046B50000000000041 +:046B51000000000040 +:046B5200000000003F +:046B5300000000003E +:046B5400000000003D +:046B5500000000003C +:046B5600000000003B +:046B5700000000003A +:046B58000000000039 +:046B59000000000038 +:046B5A000000000037 +:046B5B000000000036 +:046B5C000000000035 +:046B5D000000000034 +:046B5E000000000033 +:046B5F000000000032 +:046B60000000000031 +:046B61000000000030 +:046B6200000000002F +:046B6300000000002E +:046B6400000000002D +:046B6500000000002C +:046B6600000000002B +:046B6700000000002A +:046B68000000000029 +:046B69000000000028 +:046B6A000000000027 +:046B6B000000000026 +:046B6C000000000025 +:046B6D000000000024 +:046B6E000000000023 +:046B6F000000000022 +:046B70000000000021 +:046B71000000000020 +:046B7200000000001F +:046B7300000000001E +:046B7400000000001D +:046B7500000000001C +:046B7600000000001B +:046B7700000000001A +:046B78000000000019 +:046B79000000000018 +:046B7A000000000017 +:046B7B000000000016 +:046B7C000000000015 +:046B7D000000000014 +:046B7E000000000013 +:046B7F000000000012 +:046B80000000000011 +:046B81000000000010 +:046B8200000000000F +:046B8300000000000E +:046B8400000000000D +:046B8500000000000C +:046B8600000000000B +:046B8700000000000A +:046B88000000000009 +:046B89000000000008 +:046B8A000000000007 +:046B8B000000000006 +:046B8C000000000005 +:046B8D000000000004 +:046B8E000000000003 +:046B8F000000000002 +:046B90000000000001 +:046B91000000000000 +:046B920000000000FF +:046B930000000000FE +:046B940000000000FD +:046B950000000000FC +:046B960000000000FB +:046B970000000000FA +:046B980000000000F9 +:046B990000000000F8 +:046B9A0000000000F7 +:046B9B0000000000F6 +:046B9C0000000000F5 +:046B9D0000000000F4 +:046B9E0000000000F3 +:046B9F0000000000F2 +:046BA00000000000F1 +:046BA10000000000F0 +:046BA20000000000EF +:046BA30000000000EE +:046BA40000000000ED +:046BA50000000000EC +:046BA60000000000EB +:046BA70000000000EA +:046BA80000000000E9 +:046BA90000000000E8 +:046BAA0000000000E7 +:046BAB0000000000E6 +:046BAC0000000000E5 +:046BAD0000000000E4 +:046BAE0000000000E3 +:046BAF0000000000E2 +:046BB00000000000E1 +:046BB10000000000E0 +:046BB20000000000DF +:046BB30000000000DE +:046BB40000000000DD +:046BB50000000000DC +:046BB60000000000DB +:046BB70000000000DA +:046BB80000000000D9 +:046BB90000000000D8 +:046BBA0000000000D7 +:046BBB0000000000D6 +:046BBC0000000000D5 +:046BBD0000000000D4 +:046BBE0000000000D3 +:046BBF0000000000D2 +:046BC00000000000D1 +:046BC10000000000D0 +:046BC20000000000CF +:046BC30000000000CE +:046BC40000000000CD +:046BC50000000000CC +:046BC60000000000CB +:046BC70000000000CA +:046BC80000000000C9 +:046BC90000000000C8 +:046BCA0000000000C7 +:046BCB0000000000C6 +:046BCC0000000000C5 +:046BCD0000000000C4 +:046BCE0000000000C3 +:046BCF0000000000C2 +:046BD00000000000C1 +:046BD10000000000C0 +:046BD20000000000BF +:046BD30000000000BE +:046BD40000000000BD +:046BD50000000000BC +:046BD60000000000BB +:046BD70000000000BA +:046BD80000000000B9 +:046BD90000000000B8 +:046BDA0000000000B7 +:046BDB0000000000B6 +:046BDC0000000000B5 +:046BDD0000000000B4 +:046BDE0000000000B3 +:046BDF0000000000B2 +:046BE00000000000B1 +:046BE10000000000B0 +:046BE20000000000AF +:046BE30000000000AE +:046BE40000000000AD +:046BE50000000000AC +:046BE60000000000AB +:046BE70000000000AA +:046BE80000000000A9 +:046BE90000000000A8 +:046BEA0000000000A7 +:046BEB0000000000A6 +:046BEC0000000000A5 +:046BED0000000000A4 +:046BEE0000000000A3 +:046BEF0000000000A2 +:046BF00000000000A1 +:046BF10000000000A0 +:046BF200000000009F +:046BF300000000009E +:046BF400000000009D +:046BF500000000009C +:046BF600000000009B +:046BF700000000009A +:046BF8000000000099 +:046BF9000000000098 +:046BFA000000000097 +:046BFB000000000096 +:046BFC000000000095 +:046BFD000000000094 +:046BFE000000000093 +:046BFF000000000092 +:046C00000000000090 +:046C0100000000008F +:046C0200000000008E +:046C0300000000008D +:046C0400000000008C +:046C0500000000008B +:046C0600000000008A +:046C07000000000089 +:046C08000000000088 +:046C09000000000087 +:046C0A000000000086 +:046C0B000000000085 +:046C0C000000000084 +:046C0D000000000083 +:046C0E000000000082 +:046C0F000000000081 +:046C10000000000080 +:046C1100000000007F +:046C1200000000007E +:046C1300000000007D +:046C1400000000007C +:046C1500000000007B +:046C1600000000007A +:046C17000000000079 +:046C18000000000078 +:046C19000000000077 +:046C1A000000000076 +:046C1B000000000075 +:046C1C000000000074 +:046C1D000000000073 +:046C1E000000000072 +:046C1F000000000071 +:046C20000000000070 +:046C2100000000006F +:046C2200000000006E +:046C2300000000006D +:046C2400000000006C +:046C2500000000006B +:046C2600000000006A +:046C27000000000069 +:046C28000000000068 +:046C29000000000067 +:046C2A000000000066 +:046C2B000000000065 +:046C2C000000000064 +:046C2D000000000063 +:046C2E000000000062 +:046C2F000000000061 +:046C30000000000060 +:046C3100000000005F +:046C3200000000005E +:046C3300000000005D +:046C3400000000005C +:046C3500000000005B +:046C3600000000005A +:046C37000000000059 +:046C38000000000058 +:046C39000000000057 +:046C3A000000000056 +:046C3B000000000055 +:046C3C000000000054 +:046C3D000000000053 +:046C3E000000000052 +:046C3F000000000051 +:046C40000000000050 +:046C4100000000004F +:046C4200000000004E +:046C4300000000004D +:046C4400000000004C +:046C4500000000004B +:046C4600000000004A +:046C47000000000049 +:046C48000000000048 +:046C49000000000047 +:046C4A000000000046 +:046C4B000000000045 +:046C4C000000000044 +:046C4D000000000043 +:046C4E000000000042 +:046C4F000000000041 +:046C50000000000040 +:046C5100000000003F +:046C5200000000003E +:046C5300000000003D +:046C5400000000003C +:046C5500000000003B +:046C5600000000003A +:046C57000000000039 +:046C58000000000038 +:046C59000000000037 +:046C5A000000000036 +:046C5B000000000035 +:046C5C000000000034 +:046C5D000000000033 +:046C5E000000000032 +:046C5F000000000031 +:046C60000000000030 +:046C6100000000002F +:046C6200000000002E +:046C6300000000002D +:046C6400000000002C +:046C6500000000002B +:046C6600000000002A +:046C67000000000029 +:046C68000000000028 +:046C69000000000027 +:046C6A000000000026 +:046C6B000000000025 +:046C6C000000000024 +:046C6D000000000023 +:046C6E000000000022 +:046C6F000000000021 +:046C70000000000020 +:046C7100000000001F +:046C7200000000001E +:046C7300000000001D +:046C7400000000001C +:046C7500000000001B +:046C7600000000001A +:046C77000000000019 +:046C78000000000018 +:046C79000000000017 +:046C7A000000000016 +:046C7B000000000015 +:046C7C000000000014 +:046C7D000000000013 +:046C7E000000000012 +:046C7F000000000011 +:046C80000000000010 +:046C8100000000000F +:046C8200000000000E +:046C8300000000000D +:046C8400000000000C +:046C8500000000000B +:046C8600000000000A +:046C87000000000009 +:046C88000000000008 +:046C89000000000007 +:046C8A000000000006 +:046C8B000000000005 +:046C8C000000000004 +:046C8D000000000003 +:046C8E000000000002 +:046C8F000000000001 +:046C90000000000000 +:046C910000000000FF +:046C920000000000FE +:046C930000000000FD +:046C940000000000FC +:046C950000000000FB +:046C960000000000FA +:046C970000000000F9 +:046C980000000000F8 +:046C990000000000F7 +:046C9A0000000000F6 +:046C9B0000000000F5 +:046C9C0000000000F4 +:046C9D0000000000F3 +:046C9E0000000000F2 +:046C9F0000000000F1 +:046CA00000000000F0 +:046CA10000000000EF +:046CA20000000000EE +:046CA30000000000ED +:046CA40000000000EC +:046CA50000000000EB +:046CA60000000000EA +:046CA70000000000E9 +:046CA80000000000E8 +:046CA90000000000E7 +:046CAA0000000000E6 +:046CAB0000000000E5 +:046CAC0000000000E4 +:046CAD0000000000E3 +:046CAE0000000000E2 +:046CAF0000000000E1 +:046CB00000000000E0 +:046CB10000000000DF +:046CB20000000000DE +:046CB30000000000DD +:046CB40000000000DC +:046CB50000000000DB +:046CB60000000000DA +:046CB70000000000D9 +:046CB80000000000D8 +:046CB90000000000D7 +:046CBA0000000000D6 +:046CBB0000000000D5 +:046CBC0000000000D4 +:046CBD0000000000D3 +:046CBE0000000000D2 +:046CBF0000000000D1 +:046CC00000000000D0 +:046CC10000000000CF +:046CC20000000000CE +:046CC30000000000CD +:046CC40000000000CC +:046CC50000000000CB +:046CC60000000000CA +:046CC70000000000C9 +:046CC80000000000C8 +:046CC90000000000C7 +:046CCA0000000000C6 +:046CCB0000000000C5 +:046CCC0000000000C4 +:046CCD0000000000C3 +:046CCE0000000000C2 +:046CCF0000000000C1 +:046CD00000000000C0 +:046CD10000000000BF +:046CD20000000000BE +:046CD30000000000BD +:046CD40000000000BC +:046CD50000000000BB +:046CD60000000000BA +:046CD70000000000B9 +:046CD80000000000B8 +:046CD90000000000B7 +:046CDA0000000000B6 +:046CDB0000000000B5 +:046CDC0000000000B4 +:046CDD0000000000B3 +:046CDE0000000000B2 +:046CDF0000000000B1 +:046CE00000000000B0 +:046CE10000000000AF +:046CE20000000000AE +:046CE30000000000AD +:046CE40000000000AC +:046CE50000000000AB +:046CE60000000000AA +:046CE70000000000A9 +:046CE80000000000A8 +:046CE90000000000A7 +:046CEA0000000000A6 +:046CEB0000000000A5 +:046CEC0000000000A4 +:046CED0000000000A3 +:046CEE0000000000A2 +:046CEF0000000000A1 +:046CF00000000000A0 +:046CF100000000009F +:046CF200000000009E +:046CF300000000009D +:046CF400000000009C +:046CF500000000009B +:046CF600000000009A +:046CF7000000000099 +:046CF8000000000098 +:046CF9000000000097 +:046CFA000000000096 +:046CFB000000000095 +:046CFC000000000094 +:046CFD000000000093 +:046CFE000000000092 +:046CFF000000000091 +:046D0000000000008F +:046D0100000000008E +:046D0200000000008D +:046D0300000000008C +:046D0400000000008B +:046D0500000000008A +:046D06000000000089 +:046D07000000000088 +:046D08000000000087 +:046D09000000000086 +:046D0A000000000085 +:046D0B000000000084 +:046D0C000000000083 +:046D0D000000000082 +:046D0E000000000081 +:046D0F000000000080 +:046D1000000000007F +:046D1100000000007E +:046D1200000000007D +:046D1300000000007C +:046D1400000000007B +:046D1500000000007A +:046D16000000000079 +:046D17000000000078 +:046D18000000000077 +:046D19000000000076 +:046D1A000000000075 +:046D1B000000000074 +:046D1C000000000073 +:046D1D000000000072 +:046D1E000000000071 +:046D1F000000000070 +:046D2000000000006F +:046D2100000000006E +:046D2200000000006D +:046D2300000000006C +:046D2400000000006B +:046D2500000000006A +:046D26000000000069 +:046D27000000000068 +:046D28000000000067 +:046D29000000000066 +:046D2A000000000065 +:046D2B000000000064 +:046D2C000000000063 +:046D2D000000000062 +:046D2E000000000061 +:046D2F000000000060 +:046D3000000000005F +:046D3100000000005E +:046D3200000000005D +:046D3300000000005C +:046D3400000000005B +:046D3500000000005A +:046D36000000000059 +:046D37000000000058 +:046D38000000000057 +:046D39000000000056 +:046D3A000000000055 +:046D3B000000000054 +:046D3C000000000053 +:046D3D000000000052 +:046D3E000000000051 +:046D3F000000000050 +:046D4000000000004F +:046D4100000000004E +:046D4200000000004D +:046D4300000000004C +:046D4400000000004B +:046D4500000000004A +:046D46000000000049 +:046D47000000000048 +:046D48000000000047 +:046D49000000000046 +:046D4A000000000045 +:046D4B000000000044 +:046D4C000000000043 +:046D4D000000000042 +:046D4E000000000041 +:046D4F000000000040 +:046D5000000000003F +:046D5100000000003E +:046D5200000000003D +:046D5300000000003C +:046D5400000000003B +:046D5500000000003A +:046D56000000000039 +:046D57000000000038 +:046D58000000000037 +:046D59000000000036 +:046D5A000000000035 +:046D5B000000000034 +:046D5C000000000033 +:046D5D000000000032 +:046D5E000000000031 +:046D5F000000000030 +:046D6000000000002F +:046D6100000000002E +:046D6200000000002D +:046D6300000000002C +:046D6400000000002B +:046D6500000000002A +:046D66000000000029 +:046D67000000000028 +:046D68000000000027 +:046D69000000000026 +:046D6A000000000025 +:046D6B000000000024 +:046D6C000000000023 +:046D6D000000000022 +:046D6E000000000021 +:046D6F000000000020 +:046D7000000000001F +:046D7100000000001E +:046D7200000000001D +:046D7300000000001C +:046D7400000000001B +:046D7500000000001A +:046D76000000000019 +:046D77000000000018 +:046D78000000000017 +:046D79000000000016 +:046D7A000000000015 +:046D7B000000000014 +:046D7C000000000013 +:046D7D000000000012 +:046D7E000000000011 +:046D7F000000000010 +:046D8000000000000F +:046D8100000000000E +:046D8200000000000D +:046D8300000000000C +:046D8400000000000B +:046D8500000000000A +:046D86000000000009 +:046D87000000000008 +:046D88000000000007 +:046D89000000000006 +:046D8A000000000005 +:046D8B000000000004 +:046D8C000000000003 +:046D8D000000000002 +:046D8E000000000001 +:046D8F000000000000 +:046D900000000000FF +:046D910000000000FE +:046D920000000000FD +:046D930000000000FC +:046D940000000000FB +:046D950000000000FA +:046D960000000000F9 +:046D970000000000F8 +:046D980000000000F7 +:046D990000000000F6 +:046D9A0000000000F5 +:046D9B0000000000F4 +:046D9C0000000000F3 +:046D9D0000000000F2 +:046D9E0000000000F1 +:046D9F0000000000F0 +:046DA00000000000EF +:046DA10000000000EE +:046DA20000000000ED +:046DA30000000000EC +:046DA40000000000EB +:046DA50000000000EA +:046DA60000000000E9 +:046DA70000000000E8 +:046DA80000000000E7 +:046DA90000000000E6 +:046DAA0000000000E5 +:046DAB0000000000E4 +:046DAC0000000000E3 +:046DAD0000000000E2 +:046DAE0000000000E1 +:046DAF0000000000E0 +:046DB00000000000DF +:046DB10000000000DE +:046DB20000000000DD +:046DB30000000000DC +:046DB40000000000DB +:046DB50000000000DA +:046DB60000000000D9 +:046DB70000000000D8 +:046DB80000000000D7 +:046DB90000000000D6 +:046DBA0000000000D5 +:046DBB0000000000D4 +:046DBC0000000000D3 +:046DBD0000000000D2 +:046DBE0000000000D1 +:046DBF0000000000D0 +:046DC00000000000CF +:046DC10000000000CE +:046DC20000000000CD +:046DC30000000000CC +:046DC40000000000CB +:046DC50000000000CA +:046DC60000000000C9 +:046DC70000000000C8 +:046DC80000000000C7 +:046DC90000000000C6 +:046DCA0000000000C5 +:046DCB0000000000C4 +:046DCC0000000000C3 +:046DCD0000000000C2 +:046DCE0000000000C1 +:046DCF0000000000C0 +:046DD00000000000BF +:046DD10000000000BE +:046DD20000000000BD +:046DD30000000000BC +:046DD40000000000BB +:046DD50000000000BA +:046DD60000000000B9 +:046DD70000000000B8 +:046DD80000000000B7 +:046DD90000000000B6 +:046DDA0000000000B5 +:046DDB0000000000B4 +:046DDC0000000000B3 +:046DDD0000000000B2 +:046DDE0000000000B1 +:046DDF0000000000B0 +:046DE00000000000AF +:046DE10000000000AE +:046DE20000000000AD +:046DE30000000000AC +:046DE40000000000AB +:046DE50000000000AA +:046DE60000000000A9 +:046DE70000000000A8 +:046DE80000000000A7 +:046DE90000000000A6 +:046DEA0000000000A5 +:046DEB0000000000A4 +:046DEC0000000000A3 +:046DED0000000000A2 +:046DEE0000000000A1 +:046DEF0000000000A0 +:046DF000000000009F +:046DF100000000009E +:046DF200000000009D +:046DF300000000009C +:046DF400000000009B +:046DF500000000009A +:046DF6000000000099 +:046DF7000000000098 +:046DF8000000000097 +:046DF9000000000096 +:046DFA000000000095 +:046DFB000000000094 +:046DFC000000000093 +:046DFD000000000092 +:046DFE000000000091 +:046DFF000000000090 +:046E0000000000008E +:046E0100000000008D +:046E0200000000008C +:046E0300000000008B +:046E0400000000008A +:046E05000000000089 +:046E06000000000088 +:046E07000000000087 +:046E08000000000086 +:046E09000000000085 +:046E0A000000000084 +:046E0B000000000083 +:046E0C000000000082 +:046E0D000000000081 +:046E0E000000000080 +:046E0F00000000007F +:046E1000000000007E +:046E1100000000007D +:046E1200000000007C +:046E1300000000007B +:046E1400000000007A +:046E15000000000079 +:046E16000000000078 +:046E17000000000077 +:046E18000000000076 +:046E19000000000075 +:046E1A000000000074 +:046E1B000000000073 +:046E1C000000000072 +:046E1D000000000071 +:046E1E000000000070 +:046E1F00000000006F +:046E2000000000006E +:046E2100000000006D +:046E2200000000006C +:046E2300000000006B +:046E2400000000006A +:046E25000000000069 +:046E26000000000068 +:046E27000000000067 +:046E28000000000066 +:046E29000000000065 +:046E2A000000000064 +:046E2B000000000063 +:046E2C000000000062 +:046E2D000000000061 +:046E2E000000000060 +:046E2F00000000005F +:046E3000000000005E +:046E3100000000005D +:046E3200000000005C +:046E3300000000005B +:046E3400000000005A +:046E35000000000059 +:046E36000000000058 +:046E37000000000057 +:046E38000000000056 +:046E39000000000055 +:046E3A000000000054 +:046E3B000000000053 +:046E3C000000000052 +:046E3D000000000051 +:046E3E000000000050 +:046E3F00000000004F +:046E4000000000004E +:046E4100000000004D +:046E4200000000004C +:046E4300000000004B +:046E4400000000004A +:046E45000000000049 +:046E46000000000048 +:046E47000000000047 +:046E48000000000046 +:046E49000000000045 +:046E4A000000000044 +:046E4B000000000043 +:046E4C000000000042 +:046E4D000000000041 +:046E4E000000000040 +:046E4F00000000003F +:046E5000000000003E +:046E5100000000003D +:046E5200000000003C +:046E5300000000003B +:046E5400000000003A +:046E55000000000039 +:046E56000000000038 +:046E57000000000037 +:046E58000000000036 +:046E59000000000035 +:046E5A000000000034 +:046E5B000000000033 +:046E5C000000000032 +:046E5D000000000031 +:046E5E000000000030 +:046E5F00000000002F +:046E6000000000002E +:046E6100000000002D +:046E6200000000002C +:046E6300000000002B +:046E6400000000002A +:046E65000000000029 +:046E66000000000028 +:046E67000000000027 +:046E68000000000026 +:046E69000000000025 +:046E6A000000000024 +:046E6B000000000023 +:046E6C000000000022 +:046E6D000000000021 +:046E6E000000000020 +:046E6F00000000001F +:046E7000000000001E +:046E7100000000001D +:046E7200000000001C +:046E7300000000001B +:046E7400000000001A +:046E75000000000019 +:046E76000000000018 +:046E77000000000017 +:046E78000000000016 +:046E79000000000015 +:046E7A000000000014 +:046E7B000000000013 +:046E7C000000000012 +:046E7D000000000011 +:046E7E000000000010 +:046E7F00000000000F +:046E8000000000000E +:046E8100000000000D +:046E8200000000000C +:046E8300000000000B +:046E8400000000000A +:046E85000000000009 +:046E86000000000008 +:046E87000000000007 +:046E88000000000006 +:046E89000000000005 +:046E8A000000000004 +:046E8B000000000003 +:046E8C000000000002 +:046E8D000000000001 +:046E8E000000000000 +:046E8F0000000000FF +:046E900000000000FE +:046E910000000000FD +:046E920000000000FC +:046E930000000000FB +:046E940000000000FA +:046E950000000000F9 +:046E960000000000F8 +:046E970000000000F7 +:046E980000000000F6 +:046E990000000000F5 +:046E9A0000000000F4 +:046E9B0000000000F3 +:046E9C0000000000F2 +:046E9D0000000000F1 +:046E9E0000000000F0 +:046E9F0000000000EF +:046EA00000000000EE +:046EA10000000000ED +:046EA20000000000EC +:046EA30000000000EB +:046EA40000000000EA +:046EA50000000000E9 +:046EA60000000000E8 +:046EA70000000000E7 +:046EA80000000000E6 +:046EA90000000000E5 +:046EAA0000000000E4 +:046EAB0000000000E3 +:046EAC0000000000E2 +:046EAD0000000000E1 +:046EAE0000000000E0 +:046EAF0000000000DF +:046EB00000000000DE +:046EB10000000000DD +:046EB20000000000DC +:046EB30000000000DB +:046EB40000000000DA +:046EB50000000000D9 +:046EB60000000000D8 +:046EB70000000000D7 +:046EB80000000000D6 +:046EB90000000000D5 +:046EBA0000000000D4 +:046EBB0000000000D3 +:046EBC0000000000D2 +:046EBD0000000000D1 +:046EBE0000000000D0 +:046EBF0000000000CF +:046EC00000000000CE +:046EC10000000000CD +:046EC20000000000CC +:046EC30000000000CB +:046EC40000000000CA +:046EC50000000000C9 +:046EC60000000000C8 +:046EC70000000000C7 +:046EC80000000000C6 +:046EC90000000000C5 +:046ECA0000000000C4 +:046ECB0000000000C3 +:046ECC0000000000C2 +:046ECD0000000000C1 +:046ECE0000000000C0 +:046ECF0000000000BF +:046ED00000000000BE +:046ED10000000000BD +:046ED20000000000BC +:046ED30000000000BB +:046ED40000000000BA +:046ED50000000000B9 +:046ED60000000000B8 +:046ED70000000000B7 +:046ED80000000000B6 +:046ED90000000000B5 +:046EDA0000000000B4 +:046EDB0000000000B3 +:046EDC0000000000B2 +:046EDD0000000000B1 +:046EDE0000000000B0 +:046EDF0000000000AF +:046EE00000000000AE +:046EE10000000000AD +:046EE20000000000AC +:046EE30000000000AB +:046EE40000000000AA +:046EE50000000000A9 +:046EE60000000000A8 +:046EE70000000000A7 +:046EE80000000000A6 +:046EE90000000000A5 +:046EEA0000000000A4 +:046EEB0000000000A3 +:046EEC0000000000A2 +:046EED0000000000A1 +:046EEE0000000000A0 +:046EEF00000000009F +:046EF000000000009E +:046EF100000000009D +:046EF200000000009C +:046EF300000000009B +:046EF400000000009A +:046EF5000000000099 +:046EF6000000000098 +:046EF7000000000097 +:046EF8000000000096 +:046EF9000000000095 +:046EFA000000000094 +:046EFB000000000093 +:046EFC000000000092 +:046EFD000000000091 +:046EFE000000000090 +:046EFF00000000008F +:046F0000000000008D +:046F0100000000008C +:046F0200000000008B +:046F0300000000008A +:046F04000000000089 +:046F05000000000088 +:046F06000000000087 +:046F07000000000086 +:046F08000000000085 +:046F09000000000084 +:046F0A000000000083 +:046F0B000000000082 +:046F0C000000000081 +:046F0D000000000080 +:046F0E00000000007F +:046F0F00000000007E +:046F1000000000007D +:046F1100000000007C +:046F1200000000007B +:046F1300000000007A +:046F14000000000079 +:046F15000000000078 +:046F16000000000077 +:046F17000000000076 +:046F18000000000075 +:046F19000000000074 +:046F1A000000000073 +:046F1B000000000072 +:046F1C000000000071 +:046F1D000000000070 +:046F1E00000000006F +:046F1F00000000006E +:046F2000000000006D +:046F2100000000006C +:046F2200000000006B +:046F2300000000006A +:046F24000000000069 +:046F25000000000068 +:046F26000000000067 +:046F27000000000066 +:046F28000000000065 +:046F29000000000064 +:046F2A000000000063 +:046F2B000000000062 +:046F2C000000000061 +:046F2D000000000060 +:046F2E00000000005F +:046F2F00000000005E +:046F3000000000005D +:046F3100000000005C +:046F3200000000005B +:046F3300000000005A +:046F34000000000059 +:046F35000000000058 +:046F36000000000057 +:046F37000000000056 +:046F38000000000055 +:046F39000000000054 +:046F3A000000000053 +:046F3B000000000052 +:046F3C000000000051 +:046F3D000000000050 +:046F3E00000000004F +:046F3F00000000004E +:046F4000000000004D +:046F4100000000004C +:046F4200000000004B +:046F4300000000004A +:046F44000000000049 +:046F45000000000048 +:046F46000000000047 +:046F47000000000046 +:046F48000000000045 +:046F49000000000044 +:046F4A000000000043 +:046F4B000000000042 +:046F4C000000000041 +:046F4D000000000040 +:046F4E00000000003F +:046F4F00000000003E +:046F5000000000003D +:046F5100000000003C +:046F5200000000003B +:046F5300000000003A +:046F54000000000039 +:046F55000000000038 +:046F56000000000037 +:046F57000000000036 +:046F58000000000035 +:046F59000000000034 +:046F5A000000000033 +:046F5B000000000032 +:046F5C000000000031 +:046F5D000000000030 +:046F5E00000000002F +:046F5F00000000002E +:046F6000000000002D +:046F6100000000002C +:046F6200000000002B +:046F6300000000002A +:046F64000000000029 +:046F65000000000028 +:046F66000000000027 +:046F67000000000026 +:046F68000000000025 +:046F69000000000024 +:046F6A000000000023 +:046F6B000000000022 +:046F6C000000000021 +:046F6D000000000020 +:046F6E00000000001F +:046F6F00000000001E +:046F7000000000001D +:046F7100000000001C +:046F7200000000001B +:046F7300000000001A +:046F74000000000019 +:046F75000000000018 +:046F76000000000017 +:046F77000000000016 +:046F78000000000015 +:046F79000000000014 +:046F7A000000000013 +:046F7B000000000012 +:046F7C000000000011 +:046F7D000000000010 +:046F7E00000000000F +:046F7F00000000000E +:046F8000000000000D +:046F8100000000000C +:046F8200000000000B +:046F8300000000000A +:046F84000000000009 +:046F85000000000008 +:046F86000000000007 +:046F87000000000006 +:046F88000000000005 +:046F89000000000004 +:046F8A000000000003 +:046F8B000000000002 +:046F8C000000000001 +:046F8D000000000000 +:046F8E0000000000FF +:046F8F0000000000FE +:046F900000000000FD +:046F910000000000FC +:046F920000000000FB +:046F930000000000FA +:046F940000000000F9 +:046F950000000000F8 +:046F960000000000F7 +:046F970000000000F6 +:046F980000000000F5 +:046F990000000000F4 +:046F9A0000000000F3 +:046F9B0000000000F2 +:046F9C0000000000F1 +:046F9D0000000000F0 +:046F9E0000000000EF +:046F9F0000000000EE +:046FA00000000000ED +:046FA10000000000EC +:046FA20000000000EB +:046FA30000000000EA +:046FA40000000000E9 +:046FA50000000000E8 +:046FA60000000000E7 +:046FA70000000000E6 +:046FA80000000000E5 +:046FA90000000000E4 +:046FAA0000000000E3 +:046FAB0000000000E2 +:046FAC0000000000E1 +:046FAD0000000000E0 +:046FAE0000000000DF +:046FAF0000000000DE +:046FB00000000000DD +:046FB10000000000DC +:046FB20000000000DB +:046FB30000000000DA +:046FB40000000000D9 +:046FB50000000000D8 +:046FB60000000000D7 +:046FB70000000000D6 +:046FB80000000000D5 +:046FB90000000000D4 +:046FBA0000000000D3 +:046FBB0000000000D2 +:046FBC0000000000D1 +:046FBD0000000000D0 +:046FBE0000000000CF +:046FBF0000000000CE +:046FC00000000000CD +:046FC10000000000CC +:046FC20000000000CB +:046FC30000000000CA +:046FC40000000000C9 +:046FC50000000000C8 +:046FC60000000000C7 +:046FC70000000000C6 +:046FC80000000000C5 +:046FC90000000000C4 +:046FCA0000000000C3 +:046FCB0000000000C2 +:046FCC0000000000C1 +:046FCD0000000000C0 +:046FCE0000000000BF +:046FCF0000000000BE +:046FD00000000000BD +:046FD10000000000BC +:046FD20000000000BB +:046FD30000000000BA +:046FD40000000000B9 +:046FD50000000000B8 +:046FD60000000000B7 +:046FD70000000000B6 +:046FD80000000000B5 +:046FD90000000000B4 +:046FDA0000000000B3 +:046FDB0000000000B2 +:046FDC0000000000B1 +:046FDD0000000000B0 +:046FDE0000000000AF +:046FDF0000000000AE +:046FE00000000000AD +:046FE10000000000AC +:046FE20000000000AB +:046FE30000000000AA +:046FE40000000000A9 +:046FE50000000000A8 +:046FE60000000000A7 +:046FE70000000000A6 +:046FE80000000000A5 +:046FE90000000000A4 +:046FEA0000000000A3 +:046FEB0000000000A2 +:046FEC0000000000A1 +:046FED0000000000A0 +:046FEE00000000009F +:046FEF00000000009E +:046FF000000000009D +:046FF100000000009C +:046FF200000000009B +:046FF300000000009A +:046FF4000000000099 +:046FF5000000000098 +:046FF6000000000097 +:046FF7000000000096 +:046FF8000000000095 +:046FF9000000000094 +:046FFA000000000093 +:046FFB000000000092 +:046FFC000000000091 +:046FFD000000000090 +:046FFE00000000008F +:046FFF00000000008E +:04700000000000008C +:04700100000000008B +:04700200000000008A +:047003000000000089 +:047004000000000088 +:047005000000000087 +:047006000000000086 +:047007000000000085 +:047008000000000084 +:047009000000000083 +:04700A000000000082 +:04700B000000000081 +:04700C000000000080 +:04700D00000000007F +:04700E00000000007E +:04700F00000000007D +:04701000000000007C +:04701100000000007B +:04701200000000007A +:047013000000000079 +:047014000000000078 +:047015000000000077 +:047016000000000076 +:047017000000000075 +:047018000000000074 +:047019000000000073 +:04701A000000000072 +:04701B000000000071 +:04701C000000000070 +:04701D00000000006F +:04701E00000000006E +:04701F00000000006D +:04702000000000006C +:04702100000000006B +:04702200000000006A +:047023000000000069 +:047024000000000068 +:047025000000000067 +:047026000000000066 +:047027000000000065 +:047028000000000064 +:047029000000000063 +:04702A000000000062 +:04702B000000000061 +:04702C000000000060 +:04702D00000000005F +:04702E00000000005E +:04702F00000000005D +:04703000000000005C +:04703100000000005B +:04703200000000005A +:047033000000000059 +:047034000000000058 +:047035000000000057 +:047036000000000056 +:047037000000000055 +:047038000000000054 +:047039000000000053 +:04703A000000000052 +:04703B000000000051 +:04703C000000000050 +:04703D00000000004F +:04703E00000000004E +:04703F00000000004D +:04704000000000004C +:04704100000000004B +:04704200000000004A +:047043000000000049 +:047044000000000048 +:047045000000000047 +:047046000000000046 +:047047000000000045 +:047048000000000044 +:047049000000000043 +:04704A000000000042 +:04704B000000000041 +:04704C000000000040 +:04704D00000000003F +:04704E00000000003E +:04704F00000000003D +:04705000000000003C +:04705100000000003B +:04705200000000003A +:047053000000000039 +:047054000000000038 +:047055000000000037 +:047056000000000036 +:047057000000000035 +:047058000000000034 +:047059000000000033 +:04705A000000000032 +:04705B000000000031 +:04705C000000000030 +:04705D00000000002F +:04705E00000000002E +:04705F00000000002D +:04706000000000002C +:04706100000000002B +:04706200000000002A +:047063000000000029 +:047064000000000028 +:047065000000000027 +:047066000000000026 +:047067000000000025 +:047068000000000024 +:047069000000000023 +:04706A000000000022 +:04706B000000000021 +:04706C000000000020 +:04706D00000000001F +:04706E00000000001E +:04706F00000000001D +:04707000000000001C +:04707100000000001B +:04707200000000001A +:047073000000000019 +:047074000000000018 +:047075000000000017 +:047076000000000016 +:047077000000000015 +:047078000000000014 +:047079000000000013 +:04707A000000000012 +:04707B000000000011 +:04707C000000000010 +:04707D00000000000F +:04707E00000000000E +:04707F00000000000D +:04708000000000000C +:04708100000000000B +:04708200000000000A +:047083000000000009 +:047084000000000008 +:047085000000000007 +:047086000000000006 +:047087000000000005 +:047088000000000004 +:047089000000000003 +:04708A000000000002 +:04708B000000000001 +:04708C000000000000 +:04708D0000000000FF +:04708E0000000000FE +:04708F0000000000FD +:0470900000000000FC +:0470910000000000FB +:0470920000000000FA +:0470930000000000F9 +:0470940000000000F8 +:0470950000000000F7 +:0470960000000000F6 +:0470970000000000F5 +:0470980000000000F4 +:0470990000000000F3 +:04709A0000000000F2 +:04709B0000000000F1 +:04709C0000000000F0 +:04709D0000000000EF +:04709E0000000000EE +:04709F0000000000ED +:0470A00000000000EC +:0470A10000000000EB +:0470A20000000000EA +:0470A30000000000E9 +:0470A40000000000E8 +:0470A50000000000E7 +:0470A60000000000E6 +:0470A70000000000E5 +:0470A80000000000E4 +:0470A90000000000E3 +:0470AA0000000000E2 +:0470AB0000000000E1 +:0470AC0000000000E0 +:0470AD0000000000DF +:0470AE0000000000DE +:0470AF0000000000DD +:0470B00000000000DC +:0470B10000000000DB +:0470B20000000000DA +:0470B30000000000D9 +:0470B40000000000D8 +:0470B50000000000D7 +:0470B60000000000D6 +:0470B70000000000D5 +:0470B80000000000D4 +:0470B90000000000D3 +:0470BA0000000000D2 +:0470BB0000000000D1 +:0470BC0000000000D0 +:0470BD0000000000CF +:0470BE0000000000CE +:0470BF0000000000CD +:0470C00000000000CC +:0470C10000000000CB +:0470C20000000000CA +:0470C30000000000C9 +:0470C40000000000C8 +:0470C50000000000C7 +:0470C60000000000C6 +:0470C70000000000C5 +:0470C80000000000C4 +:0470C90000000000C3 +:0470CA0000000000C2 +:0470CB0000000000C1 +:0470CC0000000000C0 +:0470CD0000000000BF +:0470CE0000000000BE +:0470CF0000000000BD +:0470D00000000000BC +:0470D10000000000BB +:0470D20000000000BA +:0470D30000000000B9 +:0470D40000000000B8 +:0470D50000000000B7 +:0470D60000000000B6 +:0470D70000000000B5 +:0470D80000000000B4 +:0470D90000000000B3 +:0470DA0000000000B2 +:0470DB0000000000B1 +:0470DC0000000000B0 +:0470DD0000000000AF +:0470DE0000000000AE +:0470DF0000000000AD +:0470E00000000000AC +:0470E10000000000AB +:0470E20000000000AA +:0470E30000000000A9 +:0470E40000000000A8 +:0470E50000000000A7 +:0470E60000000000A6 +:0470E70000000000A5 +:0470E80000000000A4 +:0470E90000000000A3 +:0470EA0000000000A2 +:0470EB0000000000A1 +:0470EC0000000000A0 +:0470ED00000000009F +:0470EE00000000009E +:0470EF00000000009D +:0470F000000000009C +:0470F100000000009B +:0470F200000000009A +:0470F3000000000099 +:0470F4000000000098 +:0470F5000000000097 +:0470F6000000000096 +:0470F7000000000095 +:0470F8000000000094 +:0470F9000000000093 +:0470FA000000000092 +:0470FB000000000091 +:0470FC000000000090 +:0470FD00000000008F +:0470FE00000000008E +:0470FF00000000008D +:04710000000000008B +:04710100000000008A +:047102000000000089 +:047103000000000088 +:047104000000000087 +:047105000000000086 +:047106000000000085 +:047107000000000084 +:047108000000000083 +:047109000000000082 +:04710A000000000081 +:04710B000000000080 +:04710C00000000007F +:04710D00000000007E +:04710E00000000007D +:04710F00000000007C +:04711000000000007B +:04711100000000007A +:047112000000000079 +:047113000000000078 +:047114000000000077 +:047115000000000076 +:047116000000000075 +:047117000000000074 +:047118000000000073 +:047119000000000072 +:04711A000000000071 +:04711B000000000070 +:04711C00000000006F +:04711D00000000006E +:04711E00000000006D +:04711F00000000006C +:04712000000000006B +:04712100000000006A +:047122000000000069 +:047123000000000068 +:047124000000000067 +:047125000000000066 +:047126000000000065 +:047127000000000064 +:047128000000000063 +:047129000000000062 +:04712A000000000061 +:04712B000000000060 +:04712C00000000005F +:04712D00000000005E +:04712E00000000005D +:04712F00000000005C +:04713000000000005B +:04713100000000005A +:047132000000000059 +:047133000000000058 +:047134000000000057 +:047135000000000056 +:047136000000000055 +:047137000000000054 +:047138000000000053 +:047139000000000052 +:04713A000000000051 +:04713B000000000050 +:04713C00000000004F +:04713D00000000004E +:04713E00000000004D +:04713F00000000004C +:04714000000000004B +:04714100000000004A +:047142000000000049 +:047143000000000048 +:047144000000000047 +:047145000000000046 +:047146000000000045 +:047147000000000044 +:047148000000000043 +:047149000000000042 +:04714A000000000041 +:04714B000000000040 +:04714C00000000003F +:04714D00000000003E +:04714E00000000003D +:04714F00000000003C +:04715000000000003B +:04715100000000003A +:047152000000000039 +:047153000000000038 +:047154000000000037 +:047155000000000036 +:047156000000000035 +:047157000000000034 +:047158000000000033 +:047159000000000032 +:04715A000000000031 +:04715B000000000030 +:04715C00000000002F +:04715D00000000002E +:04715E00000000002D +:04715F00000000002C +:04716000000000002B +:04716100000000002A +:047162000000000029 +:047163000000000028 +:047164000000000027 +:047165000000000026 +:047166000000000025 +:047167000000000024 +:047168000000000023 +:047169000000000022 +:04716A000000000021 +:04716B000000000020 +:04716C00000000001F +:04716D00000000001E +:04716E00000000001D +:04716F00000000001C +:04717000000000001B +:04717100000000001A +:047172000000000019 +:047173000000000018 +:047174000000000017 +:047175000000000016 +:047176000000000015 +:047177000000000014 +:047178000000000013 +:047179000000000012 +:04717A000000000011 +:04717B000000000010 +:04717C00000000000F +:04717D00000000000E +:04717E00000000000D +:04717F00000000000C +:04718000000000000B +:04718100000000000A +:047182000000000009 +:047183000000000008 +:047184000000000007 +:047185000000000006 +:047186000000000005 +:047187000000000004 +:047188000000000003 +:047189000000000002 +:04718A000000000001 +:04718B000000000000 +:04718C0000000000FF +:04718D0000000000FE +:04718E0000000000FD +:04718F0000000000FC +:0471900000000000FB +:0471910000000000FA +:0471920000000000F9 +:0471930000000000F8 +:0471940000000000F7 +:0471950000000000F6 +:0471960000000000F5 +:0471970000000000F4 +:0471980000000000F3 +:0471990000000000F2 +:04719A0000000000F1 +:04719B0000000000F0 +:04719C0000000000EF +:04719D0000000000EE +:04719E0000000000ED +:04719F0000000000EC +:0471A00000000000EB +:0471A10000000000EA +:0471A20000000000E9 +:0471A30000000000E8 +:0471A40000000000E7 +:0471A50000000000E6 +:0471A60000000000E5 +:0471A70000000000E4 +:0471A80000000000E3 +:0471A90000000000E2 +:0471AA0000000000E1 +:0471AB0000000000E0 +:0471AC0000000000DF +:0471AD0000000000DE +:0471AE0000000000DD +:0471AF0000000000DC +:0471B00000000000DB +:0471B10000000000DA +:0471B20000000000D9 +:0471B30000000000D8 +:0471B40000000000D7 +:0471B50000000000D6 +:0471B60000000000D5 +:0471B70000000000D4 +:0471B80000000000D3 +:0471B90000000000D2 +:0471BA0000000000D1 +:0471BB0000000000D0 +:0471BC0000000000CF +:0471BD0000000000CE +:0471BE0000000000CD +:0471BF0000000000CC +:0471C00000000000CB +:0471C10000000000CA +:0471C20000000000C9 +:0471C30000000000C8 +:0471C40000000000C7 +:0471C50000000000C6 +:0471C60000000000C5 +:0471C70000000000C4 +:0471C80000000000C3 +:0471C90000000000C2 +:0471CA0000000000C1 +:0471CB0000000000C0 +:0471CC0000000000BF +:0471CD0000000000BE +:0471CE0000000000BD +:0471CF0000000000BC +:0471D00000000000BB +:0471D10000000000BA +:0471D20000000000B9 +:0471D30000000000B8 +:0471D40000000000B7 +:0471D50000000000B6 +:0471D60000000000B5 +:0471D70000000000B4 +:0471D80000000000B3 +:0471D90000000000B2 +:0471DA0000000000B1 +:0471DB0000000000B0 +:0471DC0000000000AF +:0471DD0000000000AE +:0471DE0000000000AD +:0471DF0000000000AC +:0471E00000000000AB +:0471E10000000000AA +:0471E20000000000A9 +:0471E30000000000A8 +:0471E40000000000A7 +:0471E50000000000A6 +:0471E60000000000A5 +:0471E70000000000A4 +:0471E80000000000A3 +:0471E90000000000A2 +:0471EA0000000000A1 +:0471EB0000000000A0 +:0471EC00000000009F +:0471ED00000000009E +:0471EE00000000009D +:0471EF00000000009C +:0471F000000000009B +:0471F100000000009A +:0471F2000000000099 +:0471F3000000000098 +:0471F4000000000097 +:0471F5000000000096 +:0471F6000000000095 +:0471F7000000000094 +:0471F8000000000093 +:0471F9000000000092 +:0471FA000000000091 +:0471FB000000000090 +:0471FC00000000008F +:0471FD00000000008E +:0471FE00000000008D +:0471FF00000000008C +:04720000000000008A +:047201000000000089 +:047202000000000088 +:047203000000000087 +:047204000000000086 +:047205000000000085 +:047206000000000084 +:047207000000000083 +:047208000000000082 +:047209000000000081 +:04720A000000000080 +:04720B00000000007F +:04720C00000000007E +:04720D00000000007D +:04720E00000000007C +:04720F00000000007B +:04721000000000007A +:047211000000000079 +:047212000000000078 +:047213000000000077 +:047214000000000076 +:047215000000000075 +:047216000000000074 +:047217000000000073 +:047218000000000072 +:047219000000000071 +:04721A000000000070 +:04721B00000000006F +:04721C00000000006E +:04721D00000000006D +:04721E00000000006C +:04721F00000000006B +:04722000000000006A +:047221000000000069 +:047222000000000068 +:047223000000000067 +:047224000000000066 +:047225000000000065 +:047226000000000064 +:047227000000000063 +:047228000000000062 +:047229000000000061 +:04722A000000000060 +:04722B00000000005F +:04722C00000000005E +:04722D00000000005D +:04722E00000000005C +:04722F00000000005B +:04723000000000005A +:047231000000000059 +:047232000000000058 +:047233000000000057 +:047234000000000056 +:047235000000000055 +:047236000000000054 +:047237000000000053 +:047238000000000052 +:047239000000000051 +:04723A000000000050 +:04723B00000000004F +:04723C00000000004E +:04723D00000000004D +:04723E00000000004C +:04723F00000000004B +:04724000000000004A +:047241000000000049 +:047242000000000048 +:047243000000000047 +:047244000000000046 +:047245000000000045 +:047246000000000044 +:047247000000000043 +:047248000000000042 +:047249000000000041 +:04724A000000000040 +:04724B00000000003F +:04724C00000000003E +:04724D00000000003D +:04724E00000000003C +:04724F00000000003B +:04725000000000003A +:047251000000000039 +:047252000000000038 +:047253000000000037 +:047254000000000036 +:047255000000000035 +:047256000000000034 +:047257000000000033 +:047258000000000032 +:047259000000000031 +:04725A000000000030 +:04725B00000000002F +:04725C00000000002E +:04725D00000000002D +:04725E00000000002C +:04725F00000000002B +:04726000000000002A +:047261000000000029 +:047262000000000028 +:047263000000000027 +:047264000000000026 +:047265000000000025 +:047266000000000024 +:047267000000000023 +:047268000000000022 +:047269000000000021 +:04726A000000000020 +:04726B00000000001F +:04726C00000000001E +:04726D00000000001D +:04726E00000000001C +:04726F00000000001B +:04727000000000001A +:047271000000000019 +:047272000000000018 +:047273000000000017 +:047274000000000016 +:047275000000000015 +:047276000000000014 +:047277000000000013 +:047278000000000012 +:047279000000000011 +:04727A000000000010 +:04727B00000000000F +:04727C00000000000E +:04727D00000000000D +:04727E00000000000C +:04727F00000000000B +:04728000000000000A +:047281000000000009 +:047282000000000008 +:047283000000000007 +:047284000000000006 +:047285000000000005 +:047286000000000004 +:047287000000000003 +:047288000000000002 +:047289000000000001 +:04728A000000000000 +:04728B0000000000FF +:04728C0000000000FE +:04728D0000000000FD +:04728E0000000000FC +:04728F0000000000FB +:0472900000000000FA +:0472910000000000F9 +:0472920000000000F8 +:0472930000000000F7 +:0472940000000000F6 +:0472950000000000F5 +:0472960000000000F4 +:0472970000000000F3 +:0472980000000000F2 +:0472990000000000F1 +:04729A0000000000F0 +:04729B0000000000EF +:04729C0000000000EE +:04729D0000000000ED +:04729E0000000000EC +:04729F0000000000EB +:0472A00000000000EA +:0472A10000000000E9 +:0472A20000000000E8 +:0472A30000000000E7 +:0472A40000000000E6 +:0472A50000000000E5 +:0472A60000000000E4 +:0472A70000000000E3 +:0472A80000000000E2 +:0472A90000000000E1 +:0472AA0000000000E0 +:0472AB0000000000DF +:0472AC0000000000DE +:0472AD0000000000DD +:0472AE0000000000DC +:0472AF0000000000DB +:0472B00000000000DA +:0472B10000000000D9 +:0472B20000000000D8 +:0472B30000000000D7 +:0472B40000000000D6 +:0472B50000000000D5 +:0472B60000000000D4 +:0472B70000000000D3 +:0472B80000000000D2 +:0472B90000000000D1 +:0472BA0000000000D0 +:0472BB0000000000CF +:0472BC0000000000CE +:0472BD0000000000CD +:0472BE0000000000CC +:0472BF0000000000CB +:0472C00000000000CA +:0472C10000000000C9 +:0472C20000000000C8 +:0472C30000000000C7 +:0472C40000000000C6 +:0472C50000000000C5 +:0472C60000000000C4 +:0472C70000000000C3 +:0472C80000000000C2 +:0472C90000000000C1 +:0472CA0000000000C0 +:0472CB0000000000BF +:0472CC0000000000BE +:0472CD0000000000BD +:0472CE0000000000BC +:0472CF0000000000BB +:0472D00000000000BA +:0472D10000000000B9 +:0472D20000000000B8 +:0472D30000000000B7 +:0472D40000000000B6 +:0472D50000000000B5 +:0472D60000000000B4 +:0472D70000000000B3 +:0472D80000000000B2 +:0472D90000000000B1 +:0472DA0000000000B0 +:0472DB0000000000AF +:0472DC0000000000AE +:0472DD0000000000AD +:0472DE0000000000AC +:0472DF0000000000AB +:0472E00000000000AA +:0472E10000000000A9 +:0472E20000000000A8 +:0472E30000000000A7 +:0472E40000000000A6 +:0472E50000000000A5 +:0472E60000000000A4 +:0472E70000000000A3 +:0472E80000000000A2 +:0472E90000000000A1 +:0472EA0000000000A0 +:0472EB00000000009F +:0472EC00000000009E +:0472ED00000000009D +:0472EE00000000009C +:0472EF00000000009B +:0472F000000000009A +:0472F1000000000099 +:0472F2000000000098 +:0472F3000000000097 +:0472F4000000000096 +:0472F5000000000095 +:0472F6000000000094 +:0472F7000000000093 +:0472F8000000000092 +:0472F9000000000091 +:0472FA000000000090 +:0472FB00000000008F +:0472FC00000000008E +:0472FD00000000008D +:0472FE00000000008C +:0472FF00000000008B +:047300000000000089 +:047301000000000088 +:047302000000000087 +:047303000000000086 +:047304000000000085 +:047305000000000084 +:047306000000000083 +:047307000000000082 +:047308000000000081 +:047309000000000080 +:04730A00000000007F +:04730B00000000007E +:04730C00000000007D +:04730D00000000007C +:04730E00000000007B +:04730F00000000007A +:047310000000000079 +:047311000000000078 +:047312000000000077 +:047313000000000076 +:047314000000000075 +:047315000000000074 +:047316000000000073 +:047317000000000072 +:047318000000000071 +:047319000000000070 +:04731A00000000006F +:04731B00000000006E +:04731C00000000006D +:04731D00000000006C +:04731E00000000006B +:04731F00000000006A +:047320000000000069 +:047321000000000068 +:047322000000000067 +:047323000000000066 +:047324000000000065 +:047325000000000064 +:047326000000000063 +:047327000000000062 +:047328000000000061 +:047329000000000060 +:04732A00000000005F +:04732B00000000005E +:04732C00000000005D +:04732D00000000005C +:04732E00000000005B +:04732F00000000005A +:047330000000000059 +:047331000000000058 +:047332000000000057 +:047333000000000056 +:047334000000000055 +:047335000000000054 +:047336000000000053 +:047337000000000052 +:047338000000000051 +:047339000000000050 +:04733A00000000004F +:04733B00000000004E +:04733C00000000004D +:04733D00000000004C +:04733E00000000004B +:04733F00000000004A +:047340000000000049 +:047341000000000048 +:047342000000000047 +:047343000000000046 +:047344000000000045 +:047345000000000044 +:047346000000000043 +:047347000000000042 +:047348000000000041 +:047349000000000040 +:04734A00000000003F +:04734B00000000003E +:04734C00000000003D +:04734D00000000003C +:04734E00000000003B +:04734F00000000003A +:047350000000000039 +:047351000000000038 +:047352000000000037 +:047353000000000036 +:047354000000000035 +:047355000000000034 +:047356000000000033 +:047357000000000032 +:047358000000000031 +:047359000000000030 +:04735A00000000002F +:04735B00000000002E +:04735C00000000002D +:04735D00000000002C +:04735E00000000002B +:04735F00000000002A +:047360000000000029 +:047361000000000028 +:047362000000000027 +:047363000000000026 +:047364000000000025 +:047365000000000024 +:047366000000000023 +:047367000000000022 +:047368000000000021 +:047369000000000020 +:04736A00000000001F +:04736B00000000001E +:04736C00000000001D +:04736D00000000001C +:04736E00000000001B +:04736F00000000001A +:047370000000000019 +:047371000000000018 +:047372000000000017 +:047373000000000016 +:047374000000000015 +:047375000000000014 +:047376000000000013 +:047377000000000012 +:047378000000000011 +:047379000000000010 +:04737A00000000000F +:04737B00000000000E +:04737C00000000000D +:04737D00000000000C +:04737E00000000000B +:04737F00000000000A +:047380000000000009 +:047381000000000008 +:047382000000000007 +:047383000000000006 +:047384000000000005 +:047385000000000004 +:047386000000000003 +:047387000000000002 +:047388000000000001 +:047389000000000000 +:04738A0000000000FF +:04738B0000000000FE +:04738C0000000000FD +:04738D0000000000FC +:04738E0000000000FB +:04738F0000000000FA +:0473900000000000F9 +:0473910000000000F8 +:0473920000000000F7 +:0473930000000000F6 +:0473940000000000F5 +:0473950000000000F4 +:0473960000000000F3 +:0473970000000000F2 +:0473980000000000F1 +:0473990000000000F0 +:04739A0000000000EF +:04739B0000000000EE +:04739C0000000000ED +:04739D0000000000EC +:04739E0000000000EB +:04739F0000000000EA +:0473A00000000000E9 +:0473A10000000000E8 +:0473A20000000000E7 +:0473A30000000000E6 +:0473A40000000000E5 +:0473A50000000000E4 +:0473A60000000000E3 +:0473A70000000000E2 +:0473A80000000000E1 +:0473A90000000000E0 +:0473AA0000000000DF +:0473AB0000000000DE +:0473AC0000000000DD +:0473AD0000000000DC +:0473AE0000000000DB +:0473AF0000000000DA +:0473B00000000000D9 +:0473B10000000000D8 +:0473B20000000000D7 +:0473B30000000000D6 +:0473B40000000000D5 +:0473B50000000000D4 +:0473B60000000000D3 +:0473B70000000000D2 +:0473B80000000000D1 +:0473B90000000000D0 +:0473BA0000000000CF +:0473BB0000000000CE +:0473BC0000000000CD +:0473BD0000000000CC +:0473BE0000000000CB +:0473BF0000000000CA +:0473C00000000000C9 +:0473C10000000000C8 +:0473C20000000000C7 +:0473C30000000000C6 +:0473C40000000000C5 +:0473C50000000000C4 +:0473C60000000000C3 +:0473C70000000000C2 +:0473C80000000000C1 +:0473C90000000000C0 +:0473CA0000000000BF +:0473CB0000000000BE +:0473CC0000000000BD +:0473CD0000000000BC +:0473CE0000000000BB +:0473CF0000000000BA +:0473D00000000000B9 +:0473D10000000000B8 +:0473D20000000000B7 +:0473D30000000000B6 +:0473D40000000000B5 +:0473D50000000000B4 +:0473D60000000000B3 +:0473D70000000000B2 +:0473D80000000000B1 +:0473D90000000000B0 +:0473DA0000000000AF +:0473DB0000000000AE +:0473DC0000000000AD +:0473DD0000000000AC +:0473DE0000000000AB +:0473DF0000000000AA +:0473E00000000000A9 +:0473E10000000000A8 +:0473E20000000000A7 +:0473E30000000000A6 +:0473E40000000000A5 +:0473E50000000000A4 +:0473E60000000000A3 +:0473E70000000000A2 +:0473E80000000000A1 +:0473E90000000000A0 +:0473EA00000000009F +:0473EB00000000009E +:0473EC00000000009D +:0473ED00000000009C +:0473EE00000000009B +:0473EF00000000009A +:0473F0000000000099 +:0473F1000000000098 +:0473F2000000000097 +:0473F3000000000096 +:0473F4000000000095 +:0473F5000000000094 +:0473F6000000000093 +:0473F7000000000092 +:0473F8000000000091 +:0473F9000000000090 +:0473FA00000000008F +:0473FB00000000008E +:0473FC00000000008D +:0473FD00000000008C +:0473FE00000000008B +:0473FF00000000008A +:047400000000000088 +:047401000000000087 +:047402000000000086 +:047403000000000085 +:047404000000000084 +:047405000000000083 +:047406000000000082 +:047407000000000081 +:047408000000000080 +:04740900000000007F +:04740A00000000007E +:04740B00000000007D +:04740C00000000007C +:04740D00000000007B +:04740E00000000007A +:04740F000000000079 +:047410000000000078 +:047411000000000077 +:047412000000000076 +:047413000000000075 +:047414000000000074 +:047415000000000073 +:047416000000000072 +:047417000000000071 +:047418000000000070 +:04741900000000006F +:04741A00000000006E +:04741B00000000006D +:04741C00000000006C +:04741D00000000006B +:04741E00000000006A +:04741F000000000069 +:047420000000000068 +:047421000000000067 +:047422000000000066 +:047423000000000065 +:047424000000000064 +:047425000000000063 +:047426000000000062 +:047427000000000061 +:047428000000000060 +:04742900000000005F +:04742A00000000005E +:04742B00000000005D +:04742C00000000005C +:04742D00000000005B +:04742E00000000005A +:04742F000000000059 +:047430000000000058 +:047431000000000057 +:047432000000000056 +:047433000000000055 +:047434000000000054 +:047435000000000053 +:047436000000000052 +:047437000000000051 +:047438000000000050 +:04743900000000004F +:04743A00000000004E +:04743B00000000004D +:04743C00000000004C +:04743D00000000004B +:04743E00000000004A +:04743F000000000049 +:047440000000000048 +:047441000000000047 +:047442000000000046 +:047443000000000045 +:047444000000000044 +:047445000000000043 +:047446000000000042 +:047447000000000041 +:047448000000000040 +:04744900000000003F +:04744A00000000003E +:04744B00000000003D +:04744C00000000003C +:04744D00000000003B +:04744E00000000003A +:04744F000000000039 +:047450000000000038 +:047451000000000037 +:047452000000000036 +:047453000000000035 +:047454000000000034 +:047455000000000033 +:047456000000000032 +:047457000000000031 +:047458000000000030 +:04745900000000002F +:04745A00000000002E +:04745B00000000002D +:04745C00000000002C +:04745D00000000002B +:04745E00000000002A +:04745F000000000029 +:047460000000000028 +:047461000000000027 +:047462000000000026 +:047463000000000025 +:047464000000000024 +:047465000000000023 +:047466000000000022 +:047467000000000021 +:047468000000000020 +:04746900000000001F +:04746A00000000001E +:04746B00000000001D +:04746C00000000001C +:04746D00000000001B +:04746E00000000001A +:04746F000000000019 +:047470000000000018 +:047471000000000017 +:047472000000000016 +:047473000000000015 +:047474000000000014 +:047475000000000013 +:047476000000000012 +:047477000000000011 +:047478000000000010 +:04747900000000000F +:04747A00000000000E +:04747B00000000000D +:04747C00000000000C +:04747D00000000000B +:04747E00000000000A +:04747F000000000009 +:047480000000000008 +:047481000000000007 +:047482000000000006 +:047483000000000005 +:047484000000000004 +:047485000000000003 +:047486000000000002 +:047487000000000001 +:047488000000000000 +:0474890000000000FF +:04748A0000000000FE +:04748B0000000000FD +:04748C0000000000FC +:04748D0000000000FB +:04748E0000000000FA +:04748F0000000000F9 +:0474900000000000F8 +:0474910000000000F7 +:0474920000000000F6 +:0474930000000000F5 +:0474940000000000F4 +:0474950000000000F3 +:0474960000000000F2 +:0474970000000000F1 +:0474980000000000F0 +:0474990000000000EF +:04749A0000000000EE +:04749B0000000000ED +:04749C0000000000EC +:04749D0000000000EB +:04749E0000000000EA +:04749F0000000000E9 +:0474A00000000000E8 +:0474A10000000000E7 +:0474A20000000000E6 +:0474A30000000000E5 +:0474A40000000000E4 +:0474A50000000000E3 +:0474A60000000000E2 +:0474A70000000000E1 +:0474A80000000000E0 +:0474A90000000000DF +:0474AA0000000000DE +:0474AB0000000000DD +:0474AC0000000000DC +:0474AD0000000000DB +:0474AE0000000000DA +:0474AF0000000000D9 +:0474B00000000000D8 +:0474B10000000000D7 +:0474B20000000000D6 +:0474B30000000000D5 +:0474B40000000000D4 +:0474B50000000000D3 +:0474B60000000000D2 +:0474B70000000000D1 +:0474B80000000000D0 +:0474B90000000000CF +:0474BA0000000000CE +:0474BB0000000000CD +:0474BC0000000000CC +:0474BD0000000000CB +:0474BE0000000000CA +:0474BF0000000000C9 +:0474C00000000000C8 +:0474C10000000000C7 +:0474C20000000000C6 +:0474C30000000000C5 +:0474C40000000000C4 +:0474C50000000000C3 +:0474C60000000000C2 +:0474C70000000000C1 +:0474C80000000000C0 +:0474C90000000000BF +:0474CA0000000000BE +:0474CB0000000000BD +:0474CC0000000000BC +:0474CD0000000000BB +:0474CE0000000000BA +:0474CF0000000000B9 +:0474D00000000000B8 +:0474D10000000000B7 +:0474D20000000000B6 +:0474D30000000000B5 +:0474D40000000000B4 +:0474D50000000000B3 +:0474D60000000000B2 +:0474D70000000000B1 +:0474D80000000000B0 +:0474D90000000000AF +:0474DA0000000000AE +:0474DB0000000000AD +:0474DC0000000000AC +:0474DD0000000000AB +:0474DE0000000000AA +:0474DF0000000000A9 +:0474E00000000000A8 +:0474E10000000000A7 +:0474E20000000000A6 +:0474E30000000000A5 +:0474E40000000000A4 +:0474E50000000000A3 +:0474E60000000000A2 +:0474E70000000000A1 +:0474E80000000000A0 +:0474E900000000009F +:0474EA00000000009E +:0474EB00000000009D +:0474EC00000000009C +:0474ED00000000009B +:0474EE00000000009A +:0474EF000000000099 +:0474F0000000000098 +:0474F1000000000097 +:0474F2000000000096 +:0474F3000000000095 +:0474F4000000000094 +:0474F5000000000093 +:0474F6000000000092 +:0474F7000000000091 +:0474F8000000000090 +:0474F900000000008F +:0474FA00000000008E +:0474FB00000000008D +:0474FC00000000008C +:0474FD00000000008B +:0474FE00000000008A +:0474FF000000000089 +:047500000000000087 +:047501000000000086 +:047502000000000085 +:047503000000000084 +:047504000000000083 +:047505000000000082 +:047506000000000081 +:047507000000000080 +:04750800000000007F +:04750900000000007E +:04750A00000000007D +:04750B00000000007C +:04750C00000000007B +:04750D00000000007A +:04750E000000000079 +:04750F000000000078 +:047510000000000077 +:047511000000000076 +:047512000000000075 +:047513000000000074 +:047514000000000073 +:047515000000000072 +:047516000000000071 +:047517000000000070 +:04751800000000006F +:04751900000000006E +:04751A00000000006D +:04751B00000000006C +:04751C00000000006B +:04751D00000000006A +:04751E000000000069 +:04751F000000000068 +:047520000000000067 +:047521000000000066 +:047522000000000065 +:047523000000000064 +:047524000000000063 +:047525000000000062 +:047526000000000061 +:047527000000000060 +:04752800000000005F +:04752900000000005E +:04752A00000000005D +:04752B00000000005C +:04752C00000000005B +:04752D00000000005A +:04752E000000000059 +:04752F000000000058 +:047530000000000057 +:047531000000000056 +:047532000000000055 +:047533000000000054 +:047534000000000053 +:047535000000000052 +:047536000000000051 +:047537000000000050 +:04753800000000004F +:04753900000000004E +:04753A00000000004D +:04753B00000000004C +:04753C00000000004B +:04753D00000000004A +:04753E000000000049 +:04753F000000000048 +:047540000000000047 +:047541000000000046 +:047542000000000045 +:047543000000000044 +:047544000000000043 +:047545000000000042 +:047546000000000041 +:047547000000000040 +:04754800000000003F +:04754900000000003E +:04754A00000000003D +:04754B00000000003C +:04754C00000000003B +:04754D00000000003A +:04754E000000000039 +:04754F000000000038 +:047550000000000037 +:047551000000000036 +:047552000000000035 +:047553000000000034 +:047554000000000033 +:047555000000000032 +:047556000000000031 +:047557000000000030 +:04755800000000002F +:04755900000000002E +:04755A00000000002D +:04755B00000000002C +:04755C00000000002B +:04755D00000000002A +:04755E000000000029 +:04755F000000000028 +:047560000000000027 +:047561000000000026 +:047562000000000025 +:047563000000000024 +:047564000000000023 +:047565000000000022 +:047566000000000021 +:047567000000000020 +:04756800000000001F +:04756900000000001E +:04756A00000000001D +:04756B00000000001C +:04756C00000000001B +:04756D00000000001A +:04756E000000000019 +:04756F000000000018 +:047570000000000017 +:047571000000000016 +:047572000000000015 +:047573000000000014 +:047574000000000013 +:047575000000000012 +:047576000000000011 +:047577000000000010 +:04757800000000000F +:04757900000000000E +:04757A00000000000D +:04757B00000000000C +:04757C00000000000B +:04757D00000000000A +:04757E000000000009 +:04757F000000000008 +:047580000000000007 +:047581000000000006 +:047582000000000005 +:047583000000000004 +:047584000000000003 +:047585000000000002 +:047586000000000001 +:047587000000000000 +:0475880000000000FF +:0475890000000000FE +:04758A0000000000FD +:04758B0000000000FC +:04758C0000000000FB +:04758D0000000000FA +:04758E0000000000F9 +:04758F0000000000F8 +:0475900000000000F7 +:0475910000000000F6 +:0475920000000000F5 +:0475930000000000F4 +:0475940000000000F3 +:0475950000000000F2 +:0475960000000000F1 +:0475970000000000F0 +:0475980000000000EF +:0475990000000000EE +:04759A0000000000ED +:04759B0000000000EC +:04759C0000000000EB +:04759D0000000000EA +:04759E0000000000E9 +:04759F0000000000E8 +:0475A00000000000E7 +:0475A10000000000E6 +:0475A20000000000E5 +:0475A30000000000E4 +:0475A40000000000E3 +:0475A50000000000E2 +:0475A60000000000E1 +:0475A70000000000E0 +:0475A80000000000DF +:0475A90000000000DE +:0475AA0000000000DD +:0475AB0000000000DC +:0475AC0000000000DB +:0475AD0000000000DA +:0475AE0000000000D9 +:0475AF0000000000D8 +:0475B00000000000D7 +:0475B10000000000D6 +:0475B20000000000D5 +:0475B30000000000D4 +:0475B40000000000D3 +:0475B50000000000D2 +:0475B60000000000D1 +:0475B70000000000D0 +:0475B80000000000CF +:0475B90000000000CE +:0475BA0000000000CD +:0475BB0000000000CC +:0475BC0000000000CB +:0475BD0000000000CA +:0475BE0000000000C9 +:0475BF0000000000C8 +:0475C00000000000C7 +:0475C10000000000C6 +:0475C20000000000C5 +:0475C30000000000C4 +:0475C40000000000C3 +:0475C50000000000C2 +:0475C60000000000C1 +:0475C70000000000C0 +:0475C80000000000BF +:0475C90000000000BE +:0475CA0000000000BD +:0475CB0000000000BC +:0475CC0000000000BB +:0475CD0000000000BA +:0475CE0000000000B9 +:0475CF0000000000B8 +:0475D00000000000B7 +:0475D10000000000B6 +:0475D20000000000B5 +:0475D30000000000B4 +:0475D40000000000B3 +:0475D50000000000B2 +:0475D60000000000B1 +:0475D70000000000B0 +:0475D80000000000AF +:0475D90000000000AE +:0475DA0000000000AD +:0475DB0000000000AC +:0475DC0000000000AB +:0475DD0000000000AA +:0475DE0000000000A9 +:0475DF0000000000A8 +:0475E00000000000A7 +:0475E10000000000A6 +:0475E20000000000A5 +:0475E30000000000A4 +:0475E40000000000A3 +:0475E50000000000A2 +:0475E60000000000A1 +:0475E70000000000A0 +:0475E800000000009F +:0475E900000000009E +:0475EA00000000009D +:0475EB00000000009C +:0475EC00000000009B +:0475ED00000000009A +:0475EE000000000099 +:0475EF000000000098 +:0475F0000000000097 +:0475F1000000000096 +:0475F2000000000095 +:0475F3000000000094 +:0475F4000000000093 +:0475F5000000000092 +:0475F6000000000091 +:0475F7000000000090 +:0475F800000000008F +:0475F900000000008E +:0475FA00000000008D +:0475FB00000000008C +:0475FC00000000008B +:0475FD00000000008A +:0475FE000000000089 +:0475FF000000000088 +:047600000000000086 +:047601000000000085 +:047602000000000084 +:047603000000000083 +:047604000000000082 +:047605000000000081 +:047606000000000080 +:04760700000000007F +:04760800000000007E +:04760900000000007D +:04760A00000000007C +:04760B00000000007B +:04760C00000000007A +:04760D000000000079 +:04760E000000000078 +:04760F000000000077 +:047610000000000076 +:047611000000000075 +:047612000000000074 +:047613000000000073 +:047614000000000072 +:047615000000000071 +:047616000000000070 +:04761700000000006F +:04761800000000006E +:04761900000000006D +:04761A00000000006C +:04761B00000000006B +:04761C00000000006A +:04761D000000000069 +:04761E000000000068 +:04761F000000000067 +:047620000000000066 +:047621000000000065 +:047622000000000064 +:047623000000000063 +:047624000000000062 +:047625000000000061 +:047626000000000060 +:04762700000000005F +:04762800000000005E +:04762900000000005D +:04762A00000000005C +:04762B00000000005B +:04762C00000000005A +:04762D000000000059 +:04762E000000000058 +:04762F000000000057 +:047630000000000056 +:047631000000000055 +:047632000000000054 +:047633000000000053 +:047634000000000052 +:047635000000000051 +:047636000000000050 +:04763700000000004F +:04763800000000004E +:04763900000000004D +:04763A00000000004C +:04763B00000000004B +:04763C00000000004A +:04763D000000000049 +:04763E000000000048 +:04763F000000000047 +:047640000000000046 +:047641000000000045 +:047642000000000044 +:047643000000000043 +:047644000000000042 +:047645000000000041 +:047646000000000040 +:04764700000000003F +:04764800000000003E +:04764900000000003D +:04764A00000000003C +:04764B00000000003B +:04764C00000000003A +:04764D000000000039 +:04764E000000000038 +:04764F000000000037 +:047650000000000036 +:047651000000000035 +:047652000000000034 +:047653000000000033 +:047654000000000032 +:047655000000000031 +:047656000000000030 +:04765700000000002F +:04765800000000002E +:04765900000000002D +:04765A00000000002C +:04765B00000000002B +:04765C00000000002A +:04765D000000000029 +:04765E000000000028 +:04765F000000000027 +:047660000000000026 +:047661000000000025 +:047662000000000024 +:047663000000000023 +:047664000000000022 +:047665000000000021 +:047666000000000020 +:04766700000000001F +:04766800000000001E +:04766900000000001D +:04766A00000000001C +:04766B00000000001B +:04766C00000000001A +:04766D000000000019 +:04766E000000000018 +:04766F000000000017 +:047670000000000016 +:047671000000000015 +:047672000000000014 +:047673000000000013 +:047674000000000012 +:047675000000000011 +:047676000000000010 +:04767700000000000F +:04767800000000000E +:04767900000000000D +:04767A00000000000C +:04767B00000000000B +:04767C00000000000A +:04767D000000000009 +:04767E000000000008 +:04767F000000000007 +:047680000000000006 +:047681000000000005 +:047682000000000004 +:047683000000000003 +:047684000000000002 +:047685000000000001 +:047686000000000000 +:0476870000000000FF +:0476880000000000FE +:0476890000000000FD +:04768A0000000000FC +:04768B0000000000FB +:04768C0000000000FA +:04768D0000000000F9 +:04768E0000000000F8 +:04768F0000000000F7 +:0476900000000000F6 +:0476910000000000F5 +:0476920000000000F4 +:0476930000000000F3 +:0476940000000000F2 +:0476950000000000F1 +:0476960000000000F0 +:0476970000000000EF +:0476980000000000EE +:0476990000000000ED +:04769A0000000000EC +:04769B0000000000EB +:04769C0000000000EA +:04769D0000000000E9 +:04769E0000000000E8 +:04769F0000000000E7 +:0476A00000000000E6 +:0476A10000000000E5 +:0476A20000000000E4 +:0476A30000000000E3 +:0476A40000000000E2 +:0476A50000000000E1 +:0476A60000000000E0 +:0476A70000000000DF +:0476A80000000000DE +:0476A90000000000DD +:0476AA0000000000DC +:0476AB0000000000DB +:0476AC0000000000DA +:0476AD0000000000D9 +:0476AE0000000000D8 +:0476AF0000000000D7 +:0476B00000000000D6 +:0476B10000000000D5 +:0476B20000000000D4 +:0476B30000000000D3 +:0476B40000000000D2 +:0476B50000000000D1 +:0476B60000000000D0 +:0476B70000000000CF +:0476B80000000000CE +:0476B90000000000CD +:0476BA0000000000CC +:0476BB0000000000CB +:0476BC0000000000CA +:0476BD0000000000C9 +:0476BE0000000000C8 +:0476BF0000000000C7 +:0476C00000000000C6 +:0476C10000000000C5 +:0476C20000000000C4 +:0476C30000000000C3 +:0476C40000000000C2 +:0476C50000000000C1 +:0476C60000000000C0 +:0476C70000000000BF +:0476C80000000000BE +:0476C90000000000BD +:0476CA0000000000BC +:0476CB0000000000BB +:0476CC0000000000BA +:0476CD0000000000B9 +:0476CE0000000000B8 +:0476CF0000000000B7 +:0476D00000000000B6 +:0476D10000000000B5 +:0476D20000000000B4 +:0476D30000000000B3 +:0476D40000000000B2 +:0476D50000000000B1 +:0476D60000000000B0 +:0476D70000000000AF +:0476D80000000000AE +:0476D90000000000AD +:0476DA0000000000AC +:0476DB0000000000AB +:0476DC0000000000AA +:0476DD0000000000A9 +:0476DE0000000000A8 +:0476DF0000000000A7 +:0476E00000000000A6 +:0476E10000000000A5 +:0476E20000000000A4 +:0476E30000000000A3 +:0476E40000000000A2 +:0476E50000000000A1 +:0476E60000000000A0 +:0476E700000000009F +:0476E800000000009E +:0476E900000000009D +:0476EA00000000009C +:0476EB00000000009B +:0476EC00000000009A +:0476ED000000000099 +:0476EE000000000098 +:0476EF000000000097 +:0476F0000000000096 +:0476F1000000000095 +:0476F2000000000094 +:0476F3000000000093 +:0476F4000000000092 +:0476F5000000000091 +:0476F6000000000090 +:0476F700000000008F +:0476F800000000008E +:0476F900000000008D +:0476FA00000000008C +:0476FB00000000008B +:0476FC00000000008A +:0476FD000000000089 +:0476FE000000000088 +:0476FF000000000087 +:047700000000000085 +:047701000000000084 +:047702000000000083 +:047703000000000082 +:047704000000000081 +:047705000000000080 +:04770600000000007F +:04770700000000007E +:04770800000000007D +:04770900000000007C +:04770A00000000007B +:04770B00000000007A +:04770C000000000079 +:04770D000000000078 +:04770E000000000077 +:04770F000000000076 +:047710000000000075 +:047711000000000074 +:047712000000000073 +:047713000000000072 +:047714000000000071 +:047715000000000070 +:04771600000000006F +:04771700000000006E +:04771800000000006D +:04771900000000006C +:04771A00000000006B +:04771B00000000006A +:04771C000000000069 +:04771D000000000068 +:04771E000000000067 +:04771F000000000066 +:047720000000000065 +:047721000000000064 +:047722000000000063 +:047723000000000062 +:047724000000000061 +:047725000000000060 +:04772600000000005F +:04772700000000005E +:04772800000000005D +:04772900000000005C +:04772A00000000005B +:04772B00000000005A +:04772C000000000059 +:04772D000000000058 +:04772E000000000057 +:04772F000000000056 +:047730000000000055 +:047731000000000054 +:047732000000000053 +:047733000000000052 +:047734000000000051 +:047735000000000050 +:04773600000000004F +:04773700000000004E +:04773800000000004D +:04773900000000004C +:04773A00000000004B +:04773B00000000004A +:04773C000000000049 +:04773D000000000048 +:04773E000000000047 +:04773F000000000046 +:047740000000000045 +:047741000000000044 +:047742000000000043 +:047743000000000042 +:047744000000000041 +:047745000000000040 +:04774600000000003F +:04774700000000003E +:04774800000000003D +:04774900000000003C +:04774A00000000003B +:04774B00000000003A +:04774C000000000039 +:04774D000000000038 +:04774E000000000037 +:04774F000000000036 +:047750000000000035 +:047751000000000034 +:047752000000000033 +:047753000000000032 +:047754000000000031 +:047755000000000030 +:04775600000000002F +:04775700000000002E +:04775800000000002D +:04775900000000002C +:04775A00000000002B +:04775B00000000002A +:04775C000000000029 +:04775D000000000028 +:04775E000000000027 +:04775F000000000026 +:047760000000000025 +:047761000000000024 +:047762000000000023 +:047763000000000022 +:047764000000000021 +:047765000000000020 +:04776600000000001F +:04776700000000001E +:04776800000000001D +:04776900000000001C +:04776A00000000001B +:04776B00000000001A +:04776C000000000019 +:04776D000000000018 +:04776E000000000017 +:04776F000000000016 +:047770000000000015 +:047771000000000014 +:047772000000000013 +:047773000000000012 +:047774000000000011 +:047775000000000010 +:04777600000000000F +:04777700000000000E +:04777800000000000D +:04777900000000000C +:04777A00000000000B +:04777B00000000000A +:04777C000000000009 +:04777D000000000008 +:04777E000000000007 +:04777F000000000006 +:047780000000000005 +:047781000000000004 +:047782000000000003 +:047783000000000002 +:047784000000000001 +:047785000000000000 +:0477860000000000FF +:0477870000000000FE +:0477880000000000FD +:0477890000000000FC +:04778A0000000000FB +:04778B0000000000FA +:04778C0000000000F9 +:04778D0000000000F8 +:04778E0000000000F7 +:04778F0000000000F6 +:0477900000000000F5 +:0477910000000000F4 +:0477920000000000F3 +:0477930000000000F2 +:0477940000000000F1 +:0477950000000000F0 +:0477960000000000EF +:0477970000000000EE +:0477980000000000ED +:0477990000000000EC +:04779A0000000000EB +:04779B0000000000EA +:04779C0000000000E9 +:04779D0000000000E8 +:04779E0000000000E7 +:04779F0000000000E6 +:0477A00000000000E5 +:0477A10000000000E4 +:0477A20000000000E3 +:0477A30000000000E2 +:0477A40000000000E1 +:0477A50000000000E0 +:0477A60000000000DF +:0477A70000000000DE +:0477A80000000000DD +:0477A90000000000DC +:0477AA0000000000DB +:0477AB0000000000DA +:0477AC0000000000D9 +:0477AD0000000000D8 +:0477AE0000000000D7 +:0477AF0000000000D6 +:0477B00000000000D5 +:0477B10000000000D4 +:0477B20000000000D3 +:0477B30000000000D2 +:0477B40000000000D1 +:0477B50000000000D0 +:0477B60000000000CF +:0477B70000000000CE +:0477B80000000000CD +:0477B90000000000CC +:0477BA0000000000CB +:0477BB0000000000CA +:0477BC0000000000C9 +:0477BD0000000000C8 +:0477BE0000000000C7 +:0477BF0000000000C6 +:0477C00000000000C5 +:0477C10000000000C4 +:0477C20000000000C3 +:0477C30000000000C2 +:0477C40000000000C1 +:0477C50000000000C0 +:0477C60000000000BF +:0477C70000000000BE +:0477C80000000000BD +:0477C90000000000BC +:0477CA0000000000BB +:0477CB0000000000BA +:0477CC0000000000B9 +:0477CD0000000000B8 +:0477CE0000000000B7 +:0477CF0000000000B6 +:0477D00000000000B5 +:0477D10000000000B4 +:0477D20000000000B3 +:0477D30000000000B2 +:0477D40000000000B1 +:0477D50000000000B0 +:0477D60000000000AF +:0477D70000000000AE +:0477D80000000000AD +:0477D90000000000AC +:0477DA0000000000AB +:0477DB0000000000AA +:0477DC0000000000A9 +:0477DD0000000000A8 +:0477DE0000000000A7 +:0477DF0000000000A6 +:0477E00000000000A5 +:0477E10000000000A4 +:0477E20000000000A3 +:0477E30000000000A2 +:0477E40000000000A1 +:0477E50000000000A0 +:0477E600000000009F +:0477E700000000009E +:0477E800000000009D +:0477E900000000009C +:0477EA00000000009B +:0477EB00000000009A +:0477EC000000000099 +:0477ED000000000098 +:0477EE000000000097 +:0477EF000000000096 +:0477F0000000000095 +:0477F1000000000094 +:0477F2000000000093 +:0477F3000000000092 +:0477F4000000000091 +:0477F5000000000090 +:0477F600000000008F +:0477F700000000008E +:0477F800000000008D +:0477F900000000008C +:0477FA00000000008B +:0477FB00000000008A +:0477FC000000000089 +:0477FD000000000088 +:0477FE000000000087 +:0477FF000000000086 +:047800000000000084 +:047801000000000083 +:047802000000000082 +:047803000000000081 +:047804000000000080 +:04780500000000007F +:04780600000000007E +:04780700000000007D +:04780800000000007C +:04780900000000007B +:04780A00000000007A +:04780B000000000079 +:04780C000000000078 +:04780D000000000077 +:04780E000000000076 +:04780F000000000075 +:047810000000000074 +:047811000000000073 +:047812000000000072 +:047813000000000071 +:047814000000000070 +:04781500000000006F +:04781600000000006E +:04781700000000006D +:04781800000000006C +:04781900000000006B +:04781A00000000006A +:04781B000000000069 +:04781C000000000068 +:04781D000000000067 +:04781E000000000066 +:04781F000000000065 +:047820000000000064 +:047821000000000063 +:047822000000000062 +:047823000000000061 +:047824000000000060 +:04782500000000005F +:04782600000000005E +:04782700000000005D +:04782800000000005C +:04782900000000005B +:04782A00000000005A +:04782B000000000059 +:04782C000000000058 +:04782D000000000057 +:04782E000000000056 +:04782F000000000055 +:047830000000000054 +:047831000000000053 +:047832000000000052 +:047833000000000051 +:047834000000000050 +:04783500000000004F +:04783600000000004E +:04783700000000004D +:04783800000000004C +:04783900000000004B +:04783A00000000004A +:04783B000000000049 +:04783C000000000048 +:04783D000000000047 +:04783E000000000046 +:04783F000000000045 +:047840000000000044 +:047841000000000043 +:047842000000000042 +:047843000000000041 +:047844000000000040 +:04784500000000003F +:04784600000000003E +:04784700000000003D +:04784800000000003C +:04784900000000003B +:04784A00000000003A +:04784B000000000039 +:04784C000000000038 +:04784D000000000037 +:04784E000000000036 +:04784F000000000035 +:047850000000000034 +:047851000000000033 +:047852000000000032 +:047853000000000031 +:047854000000000030 +:04785500000000002F +:04785600000000002E +:04785700000000002D +:04785800000000002C +:04785900000000002B +:04785A00000000002A +:04785B000000000029 +:04785C000000000028 +:04785D000000000027 +:04785E000000000026 +:04785F000000000025 +:047860000000000024 +:047861000000000023 +:047862000000000022 +:047863000000000021 +:047864000000000020 +:04786500000000001F +:04786600000000001E +:04786700000000001D +:04786800000000001C +:04786900000000001B +:04786A00000000001A +:04786B000000000019 +:04786C000000000018 +:04786D000000000017 +:04786E000000000016 +:04786F000000000015 +:047870000000000014 +:047871000000000013 +:047872000000000012 +:047873000000000011 +:047874000000000010 +:04787500000000000F +:04787600000000000E +:04787700000000000D +:04787800000000000C +:04787900000000000B +:04787A00000000000A +:04787B000000000009 +:04787C000000000008 +:04787D000000000007 +:04787E000000000006 +:04787F000000000005 +:047880000000000004 +:047881000000000003 +:047882000000000002 +:047883000000000001 +:047884000000000000 +:0478850000000000FF +:0478860000000000FE +:0478870000000000FD +:0478880000000000FC +:0478890000000000FB +:04788A0000000000FA +:04788B0000000000F9 +:04788C0000000000F8 +:04788D0000000000F7 +:04788E0000000000F6 +:04788F0000000000F5 +:0478900000000000F4 +:0478910000000000F3 +:0478920000000000F2 +:0478930000000000F1 +:0478940000000000F0 +:0478950000000000EF +:0478960000000000EE +:0478970000000000ED +:0478980000000000EC +:0478990000000000EB +:04789A0000000000EA +:04789B0000000000E9 +:04789C0000000000E8 +:04789D0000000000E7 +:04789E0000000000E6 +:04789F0000000000E5 +:0478A00000000000E4 +:0478A10000000000E3 +:0478A20000000000E2 +:0478A30000000000E1 +:0478A40000000000E0 +:0478A50000000000DF +:0478A60000000000DE +:0478A70000000000DD +:0478A80000000000DC +:0478A90000000000DB +:0478AA0000000000DA +:0478AB0000000000D9 +:0478AC0000000000D8 +:0478AD0000000000D7 +:0478AE0000000000D6 +:0478AF0000000000D5 +:0478B00000000000D4 +:0478B10000000000D3 +:0478B20000000000D2 +:0478B30000000000D1 +:0478B40000000000D0 +:0478B50000000000CF +:0478B60000000000CE +:0478B70000000000CD +:0478B80000000000CC +:0478B90000000000CB +:0478BA0000000000CA +:0478BB0000000000C9 +:0478BC0000000000C8 +:0478BD0000000000C7 +:0478BE0000000000C6 +:0478BF0000000000C5 +:0478C00000000000C4 +:0478C10000000000C3 +:0478C20000000000C2 +:0478C30000000000C1 +:0478C40000000000C0 +:0478C50000000000BF +:0478C60000000000BE +:0478C70000000000BD +:0478C80000000000BC +:0478C90000000000BB +:0478CA0000000000BA +:0478CB0000000000B9 +:0478CC0000000000B8 +:0478CD0000000000B7 +:0478CE0000000000B6 +:0478CF0000000000B5 +:0478D00000000000B4 +:0478D10000000000B3 +:0478D20000000000B2 +:0478D30000000000B1 +:0478D40000000000B0 +:0478D50000000000AF +:0478D60000000000AE +:0478D70000000000AD +:0478D80000000000AC +:0478D90000000000AB +:0478DA0000000000AA +:0478DB0000000000A9 +:0478DC0000000000A8 +:0478DD0000000000A7 +:0478DE0000000000A6 +:0478DF0000000000A5 +:0478E00000000000A4 +:0478E10000000000A3 +:0478E20000000000A2 +:0478E30000000000A1 +:0478E40000000000A0 +:0478E500000000009F +:0478E600000000009E +:0478E700000000009D +:0478E800000000009C +:0478E900000000009B +:0478EA00000000009A +:0478EB000000000099 +:0478EC000000000098 +:0478ED000000000097 +:0478EE000000000096 +:0478EF000000000095 +:0478F0000000000094 +:0478F1000000000093 +:0478F2000000000092 +:0478F3000000000091 +:0478F4000000000090 +:0478F500000000008F +:0478F600000000008E +:0478F700000000008D +:0478F800000000008C +:0478F900000000008B +:0478FA00000000008A +:0478FB000000000089 +:0478FC000000000088 +:0478FD000000000087 +:0478FE000000000086 +:0478FF000000000085 +:047900000000000083 +:047901000000000082 +:047902000000000081 +:047903000000000080 +:04790400000000007F +:04790500000000007E +:04790600000000007D +:04790700000000007C +:04790800000000007B +:04790900000000007A +:04790A000000000079 +:04790B000000000078 +:04790C000000000077 +:04790D000000000076 +:04790E000000000075 +:04790F000000000074 +:047910000000000073 +:047911000000000072 +:047912000000000071 +:047913000000000070 +:04791400000000006F +:04791500000000006E +:04791600000000006D +:04791700000000006C +:04791800000000006B +:04791900000000006A +:04791A000000000069 +:04791B000000000068 +:04791C000000000067 +:04791D000000000066 +:04791E000000000065 +:04791F000000000064 +:047920000000000063 +:047921000000000062 +:047922000000000061 +:047923000000000060 +:04792400000000005F +:04792500000000005E +:04792600000000005D +:04792700000000005C +:04792800000000005B +:04792900000000005A +:04792A000000000059 +:04792B000000000058 +:04792C000000000057 +:04792D000000000056 +:04792E000000000055 +:04792F000000000054 +:047930000000000053 +:047931000000000052 +:047932000000000051 +:047933000000000050 +:04793400000000004F +:04793500000000004E +:04793600000000004D +:04793700000000004C +:04793800000000004B +:04793900000000004A +:04793A000000000049 +:04793B000000000048 +:04793C000000000047 +:04793D000000000046 +:04793E000000000045 +:04793F000000000044 +:047940000000000043 +:047941000000000042 +:047942000000000041 +:047943000000000040 +:04794400000000003F +:04794500000000003E +:04794600000000003D +:04794700000000003C +:04794800000000003B +:04794900000000003A +:04794A000000000039 +:04794B000000000038 +:04794C000000000037 +:04794D000000000036 +:04794E000000000035 +:04794F000000000034 +:047950000000000033 +:047951000000000032 +:047952000000000031 +:047953000000000030 +:04795400000000002F +:04795500000000002E +:04795600000000002D +:04795700000000002C +:04795800000000002B +:04795900000000002A +:04795A000000000029 +:04795B000000000028 +:04795C000000000027 +:04795D000000000026 +:04795E000000000025 +:04795F000000000024 +:047960000000000023 +:047961000000000022 +:047962000000000021 +:047963000000000020 +:04796400000000001F +:04796500000000001E +:04796600000000001D +:04796700000000001C +:04796800000000001B +:04796900000000001A +:04796A000000000019 +:04796B000000000018 +:04796C000000000017 +:04796D000000000016 +:04796E000000000015 +:04796F000000000014 +:047970000000000013 +:047971000000000012 +:047972000000000011 +:047973000000000010 +:04797400000000000F +:04797500000000000E +:04797600000000000D +:04797700000000000C +:04797800000000000B +:04797900000000000A +:04797A000000000009 +:04797B000000000008 +:04797C000000000007 +:04797D000000000006 +:04797E000000000005 +:04797F000000000004 +:047980000000000003 +:047981000000000002 +:047982000000000001 +:047983000000000000 +:0479840000000000FF +:0479850000000000FE +:0479860000000000FD +:0479870000000000FC +:0479880000000000FB +:0479890000000000FA +:04798A0000000000F9 +:04798B0000000000F8 +:04798C0000000000F7 +:04798D0000000000F6 +:04798E0000000000F5 +:04798F0000000000F4 +:0479900000000000F3 +:0479910000000000F2 +:0479920000000000F1 +:0479930000000000F0 +:0479940000000000EF +:0479950000000000EE +:0479960000000000ED +:0479970000000000EC +:0479980000000000EB +:0479990000000000EA +:04799A0000000000E9 +:04799B0000000000E8 +:04799C0000000000E7 +:04799D0000000000E6 +:04799E0000000000E5 +:04799F0000000000E4 +:0479A00000000000E3 +:0479A10000000000E2 +:0479A20000000000E1 +:0479A30000000000E0 +:0479A40000000000DF +:0479A50000000000DE +:0479A60000000000DD +:0479A70000000000DC +:0479A80000000000DB +:0479A90000000000DA +:0479AA0000000000D9 +:0479AB0000000000D8 +:0479AC0000000000D7 +:0479AD0000000000D6 +:0479AE0000000000D5 +:0479AF0000000000D4 +:0479B00000000000D3 +:0479B10000000000D2 +:0479B20000000000D1 +:0479B30000000000D0 +:0479B40000000000CF +:0479B50000000000CE +:0479B60000000000CD +:0479B70000000000CC +:0479B80000000000CB +:0479B90000000000CA +:0479BA0000000000C9 +:0479BB0000000000C8 +:0479BC0000000000C7 +:0479BD0000000000C6 +:0479BE0000000000C5 +:0479BF0000000000C4 +:0479C00000000000C3 +:0479C10000000000C2 +:0479C20000000000C1 +:0479C30000000000C0 +:0479C40000000000BF +:0479C50000000000BE +:0479C60000000000BD +:0479C70000000000BC +:0479C80000000000BB +:0479C90000000000BA +:0479CA0000000000B9 +:0479CB0000000000B8 +:0479CC0000000000B7 +:0479CD0000000000B6 +:0479CE0000000000B5 +:0479CF0000000000B4 +:0479D00000000000B3 +:0479D10000000000B2 +:0479D20000000000B1 +:0479D30000000000B0 +:0479D40000000000AF +:0479D50000000000AE +:0479D60000000000AD +:0479D70000000000AC +:0479D80000000000AB +:0479D90000000000AA +:0479DA0000000000A9 +:0479DB0000000000A8 +:0479DC0000000000A7 +:0479DD0000000000A6 +:0479DE0000000000A5 +:0479DF0000000000A4 +:0479E00000000000A3 +:0479E10000000000A2 +:0479E20000000000A1 +:0479E30000000000A0 +:0479E400000000009F +:0479E500000000009E +:0479E600000000009D +:0479E700000000009C +:0479E800000000009B +:0479E900000000009A +:0479EA000000000099 +:0479EB000000000098 +:0479EC000000000097 +:0479ED000000000096 +:0479EE000000000095 +:0479EF000000000094 +:0479F0000000000093 +:0479F1000000000092 +:0479F2000000000091 +:0479F3000000000090 +:0479F400000000008F +:0479F500000000008E +:0479F600000000008D +:0479F700000000008C +:0479F800000000008B +:0479F900000000008A +:0479FA000000000089 +:0479FB000000000088 +:0479FC000000000087 +:0479FD000000000086 +:0479FE000000000085 +:0479FF000000000084 +:047A00000000000082 +:047A01000000000081 +:047A02000000000080 +:047A0300000000007F +:047A0400000000007E +:047A0500000000007D +:047A0600000000007C +:047A0700000000007B +:047A0800000000007A +:047A09000000000079 +:047A0A000000000078 +:047A0B000000000077 +:047A0C000000000076 +:047A0D000000000075 +:047A0E000000000074 +:047A0F000000000073 +:047A10000000000072 +:047A11000000000071 +:047A12000000000070 +:047A1300000000006F +:047A1400000000006E +:047A1500000000006D +:047A1600000000006C +:047A1700000000006B +:047A1800000000006A +:047A19000000000069 +:047A1A000000000068 +:047A1B000000000067 +:047A1C000000000066 +:047A1D000000000065 +:047A1E000000000064 +:047A1F000000000063 +:047A20000000000062 +:047A21000000000061 +:047A22000000000060 +:047A2300000000005F +:047A2400000000005E +:047A2500000000005D +:047A2600000000005C +:047A2700000000005B +:047A2800000000005A +:047A29000000000059 +:047A2A000000000058 +:047A2B000000000057 +:047A2C000000000056 +:047A2D000000000055 +:047A2E000000000054 +:047A2F000000000053 +:047A30000000000052 +:047A31000000000051 +:047A32000000000050 +:047A3300000000004F +:047A3400000000004E +:047A3500000000004D +:047A3600000000004C +:047A3700000000004B +:047A3800000000004A +:047A39000000000049 +:047A3A000000000048 +:047A3B000000000047 +:047A3C000000000046 +:047A3D000000000045 +:047A3E000000000044 +:047A3F000000000043 +:047A40000000000042 +:047A41000000000041 +:047A42000000000040 +:047A4300000000003F +:047A4400000000003E +:047A4500000000003D +:047A4600000000003C +:047A4700000000003B +:047A4800000000003A +:047A49000000000039 +:047A4A000000000038 +:047A4B000000000037 +:047A4C000000000036 +:047A4D000000000035 +:047A4E000000000034 +:047A4F000000000033 +:047A50000000000032 +:047A51000000000031 +:047A52000000000030 +:047A5300000000002F +:047A5400000000002E +:047A5500000000002D +:047A5600000000002C +:047A5700000000002B +:047A5800000000002A +:047A59000000000029 +:047A5A000000000028 +:047A5B000000000027 +:047A5C000000000026 +:047A5D000000000025 +:047A5E000000000024 +:047A5F000000000023 +:047A60000000000022 +:047A61000000000021 +:047A62000000000020 +:047A6300000000001F +:047A6400000000001E +:047A6500000000001D +:047A6600000000001C +:047A6700000000001B +:047A6800000000001A +:047A69000000000019 +:047A6A000000000018 +:047A6B000000000017 +:047A6C000000000016 +:047A6D000000000015 +:047A6E000000000014 +:047A6F000000000013 +:047A70000000000012 +:047A71000000000011 +:047A72000000000010 +:047A7300000000000F +:047A7400000000000E +:047A7500000000000D +:047A7600000000000C +:047A7700000000000B +:047A7800000000000A +:047A79000000000009 +:047A7A000000000008 +:047A7B000000000007 +:047A7C000000000006 +:047A7D000000000005 +:047A7E000000000004 +:047A7F000000000003 +:047A80000000000002 +:047A81000000000001 +:047A82000000000000 +:047A830000000000FF +:047A840000000000FE +:047A850000000000FD +:047A860000000000FC +:047A870000000000FB +:047A880000000000FA +:047A890000000000F9 +:047A8A0000000000F8 +:047A8B0000000000F7 +:047A8C0000000000F6 +:047A8D0000000000F5 +:047A8E0000000000F4 +:047A8F0000000000F3 +:047A900000000000F2 +:047A910000000000F1 +:047A920000000000F0 +:047A930000000000EF +:047A940000000000EE +:047A950000000000ED +:047A960000000000EC +:047A970000000000EB +:047A980000000000EA +:047A990000000000E9 +:047A9A0000000000E8 +:047A9B0000000000E7 +:047A9C0000000000E6 +:047A9D0000000000E5 +:047A9E0000000000E4 +:047A9F0000000000E3 +:047AA00000000000E2 +:047AA10000000000E1 +:047AA20000000000E0 +:047AA30000000000DF +:047AA40000000000DE +:047AA50000000000DD +:047AA60000000000DC +:047AA70000000000DB +:047AA80000000000DA +:047AA90000000000D9 +:047AAA0000000000D8 +:047AAB0000000000D7 +:047AAC0000000000D6 +:047AAD0000000000D5 +:047AAE0000000000D4 +:047AAF0000000000D3 +:047AB00000000000D2 +:047AB10000000000D1 +:047AB20000000000D0 +:047AB30000000000CF +:047AB40000000000CE +:047AB50000000000CD +:047AB60000000000CC +:047AB70000000000CB +:047AB80000000000CA +:047AB90000000000C9 +:047ABA0000000000C8 +:047ABB0000000000C7 +:047ABC0000000000C6 +:047ABD0000000000C5 +:047ABE0000000000C4 +:047ABF0000000000C3 +:047AC00000000000C2 +:047AC10000000000C1 +:047AC20000000000C0 +:047AC30000000000BF +:047AC40000000000BE +:047AC50000000000BD +:047AC60000000000BC +:047AC70000000000BB +:047AC80000000000BA +:047AC90000000000B9 +:047ACA0000000000B8 +:047ACB0000000000B7 +:047ACC0000000000B6 +:047ACD0000000000B5 +:047ACE0000000000B4 +:047ACF0000000000B3 +:047AD00000000000B2 +:047AD10000000000B1 +:047AD20000000000B0 +:047AD30000000000AF +:047AD40000000000AE +:047AD50000000000AD +:047AD60000000000AC +:047AD70000000000AB +:047AD80000000000AA +:047AD90000000000A9 +:047ADA0000000000A8 +:047ADB0000000000A7 +:047ADC0000000000A6 +:047ADD0000000000A5 +:047ADE0000000000A4 +:047ADF0000000000A3 +:047AE00000000000A2 +:047AE10000000000A1 +:047AE20000000000A0 +:047AE300000000009F +:047AE400000000009E +:047AE500000000009D +:047AE600000000009C +:047AE700000000009B +:047AE800000000009A +:047AE9000000000099 +:047AEA000000000098 +:047AEB000000000097 +:047AEC000000000096 +:047AED000000000095 +:047AEE000000000094 +:047AEF000000000093 +:047AF0000000000092 +:047AF1000000000091 +:047AF2000000000090 +:047AF300000000008F +:047AF400000000008E +:047AF500000000008D +:047AF600000000008C +:047AF700000000008B +:047AF800000000008A +:047AF9000000000089 +:047AFA000000000088 +:047AFB000000000087 +:047AFC000000000086 +:047AFD000000000085 +:047AFE000000000084 +:047AFF000000000083 +:047B00000000000081 +:047B01000000000080 +:047B0200000000007F +:047B0300000000007E +:047B0400000000007D +:047B0500000000007C +:047B0600000000007B +:047B0700000000007A +:047B08000000000079 +:047B09000000000078 +:047B0A000000000077 +:047B0B000000000076 +:047B0C000000000075 +:047B0D000000000074 +:047B0E000000000073 +:047B0F000000000072 +:047B10000000000071 +:047B11000000000070 +:047B1200000000006F +:047B1300000000006E +:047B1400000000006D +:047B1500000000006C +:047B1600000000006B +:047B1700000000006A +:047B18000000000069 +:047B19000000000068 +:047B1A000000000067 +:047B1B000000000066 +:047B1C000000000065 +:047B1D000000000064 +:047B1E000000000063 +:047B1F000000000062 +:047B20000000000061 +:047B21000000000060 +:047B2200000000005F +:047B2300000000005E +:047B2400000000005D +:047B2500000000005C +:047B2600000000005B +:047B2700000000005A +:047B28000000000059 +:047B29000000000058 +:047B2A000000000057 +:047B2B000000000056 +:047B2C000000000055 +:047B2D000000000054 +:047B2E000000000053 +:047B2F000000000052 +:047B30000000000051 +:047B31000000000050 +:047B3200000000004F +:047B3300000000004E +:047B3400000000004D +:047B3500000000004C +:047B3600000000004B +:047B3700000000004A +:047B38000000000049 +:047B39000000000048 +:047B3A000000000047 +:047B3B000000000046 +:047B3C000000000045 +:047B3D000000000044 +:047B3E000000000043 +:047B3F000000000042 +:047B40000000000041 +:047B41000000000040 +:047B4200000000003F +:047B4300000000003E +:047B4400000000003D +:047B4500000000003C +:047B4600000000003B +:047B4700000000003A +:047B48000000000039 +:047B49000000000038 +:047B4A000000000037 +:047B4B000000000036 +:047B4C000000000035 +:047B4D000000000034 +:047B4E000000000033 +:047B4F000000000032 +:047B50000000000031 +:047B51000000000030 +:047B5200000000002F +:047B5300000000002E +:047B5400000000002D +:047B5500000000002C +:047B5600000000002B +:047B5700000000002A +:047B58000000000029 +:047B59000000000028 +:047B5A000000000027 +:047B5B000000000026 +:047B5C000000000025 +:047B5D000000000024 +:047B5E000000000023 +:047B5F000000000022 +:047B60000000000021 +:047B61000000000020 +:047B6200000000001F +:047B6300000000001E +:047B6400000000001D +:047B6500000000001C +:047B6600000000001B +:047B6700000000001A +:047B68000000000019 +:047B69000000000018 +:047B6A000000000017 +:047B6B000000000016 +:047B6C000000000015 +:047B6D000000000014 +:047B6E000000000013 +:047B6F000000000012 +:047B70000000000011 +:047B71000000000010 +:047B7200000000000F +:047B7300000000000E +:047B7400000000000D +:047B7500000000000C +:047B7600000000000B +:047B7700000000000A +:047B78000000000009 +:047B79000000000008 +:047B7A000000000007 +:047B7B000000000006 +:047B7C000000000005 +:047B7D000000000004 +:047B7E000000000003 +:047B7F000000000002 +:047B80000000000001 +:047B81000000000000 +:047B820000000000FF +:047B830000000000FE +:047B840000000000FD +:047B850000000000FC +:047B860000000000FB +:047B870000000000FA +:047B880000000000F9 +:047B890000000000F8 +:047B8A0000000000F7 +:047B8B0000000000F6 +:047B8C0000000000F5 +:047B8D0000000000F4 +:047B8E0000000000F3 +:047B8F0000000000F2 +:047B900000000000F1 +:047B910000000000F0 +:047B920000000000EF +:047B930000000000EE +:047B940000000000ED +:047B950000000000EC +:047B960000000000EB +:047B970000000000EA +:047B980000000000E9 +:047B990000000000E8 +:047B9A0000000000E7 +:047B9B0000000000E6 +:047B9C0000000000E5 +:047B9D0000000000E4 +:047B9E0000000000E3 +:047B9F0000000000E2 +:047BA00000000000E1 +:047BA10000000000E0 +:047BA20000000000DF +:047BA30000000000DE +:047BA40000000000DD +:047BA50000000000DC +:047BA60000000000DB +:047BA70000000000DA +:047BA80000000000D9 +:047BA90000000000D8 +:047BAA0000000000D7 +:047BAB0000000000D6 +:047BAC0000000000D5 +:047BAD0000000000D4 +:047BAE0000000000D3 +:047BAF0000000000D2 +:047BB00000000000D1 +:047BB10000000000D0 +:047BB20000000000CF +:047BB30000000000CE +:047BB40000000000CD +:047BB50000000000CC +:047BB60000000000CB +:047BB70000000000CA +:047BB80000000000C9 +:047BB90000000000C8 +:047BBA0000000000C7 +:047BBB0000000000C6 +:047BBC0000000000C5 +:047BBD0000000000C4 +:047BBE0000000000C3 +:047BBF0000000000C2 +:047BC00000000000C1 +:047BC10000000000C0 +:047BC20000000000BF +:047BC30000000000BE +:047BC40000000000BD +:047BC50000000000BC +:047BC60000000000BB +:047BC70000000000BA +:047BC80000000000B9 +:047BC90000000000B8 +:047BCA0000000000B7 +:047BCB0000000000B6 +:047BCC0000000000B5 +:047BCD0000000000B4 +:047BCE0000000000B3 +:047BCF0000000000B2 +:047BD00000000000B1 +:047BD10000000000B0 +:047BD20000000000AF +:047BD30000000000AE +:047BD40000000000AD +:047BD50000000000AC +:047BD60000000000AB +:047BD70000000000AA +:047BD80000000000A9 +:047BD90000000000A8 +:047BDA0000000000A7 +:047BDB0000000000A6 +:047BDC0000000000A5 +:047BDD0000000000A4 +:047BDE0000000000A3 +:047BDF0000000000A2 +:047BE00000000000A1 +:047BE10000000000A0 +:047BE200000000009F +:047BE300000000009E +:047BE400000000009D +:047BE500000000009C +:047BE600000000009B +:047BE700000000009A +:047BE8000000000099 +:047BE9000000000098 +:047BEA000000000097 +:047BEB000000000096 +:047BEC000000000095 +:047BED000000000094 +:047BEE000000000093 +:047BEF000000000092 +:047BF0000000000091 +:047BF1000000000090 +:047BF200000000008F +:047BF300000000008E +:047BF400000000008D +:047BF500000000008C +:047BF600000000008B +:047BF700000000008A +:047BF8000000000089 +:047BF9000000000088 +:047BFA000000000087 +:047BFB000000000086 +:047BFC000000000085 +:047BFD000000000084 +:047BFE000000000083 +:047BFF000000000082 +:047C00000000000080 +:047C0100000000007F +:047C0200000000007E +:047C0300000000007D +:047C0400000000007C +:047C0500000000007B +:047C0600000000007A +:047C07000000000079 +:047C08000000000078 +:047C09000000000077 +:047C0A000000000076 +:047C0B000000000075 +:047C0C000000000074 +:047C0D000000000073 +:047C0E000000000072 +:047C0F000000000071 +:047C10000000000070 +:047C1100000000006F +:047C1200000000006E +:047C1300000000006D +:047C1400000000006C +:047C1500000000006B +:047C1600000000006A +:047C17000000000069 +:047C18000000000068 +:047C19000000000067 +:047C1A000000000066 +:047C1B000000000065 +:047C1C000000000064 +:047C1D000000000063 +:047C1E000000000062 +:047C1F000000000061 +:047C20000000000060 +:047C2100000000005F +:047C2200000000005E +:047C2300000000005D +:047C2400000000005C +:047C2500000000005B +:047C2600000000005A +:047C27000000000059 +:047C28000000000058 +:047C29000000000057 +:047C2A000000000056 +:047C2B000000000055 +:047C2C000000000054 +:047C2D000000000053 +:047C2E000000000052 +:047C2F000000000051 +:047C30000000000050 +:047C3100000000004F +:047C3200000000004E +:047C3300000000004D +:047C3400000000004C +:047C3500000000004B +:047C3600000000004A +:047C37000000000049 +:047C38000000000048 +:047C39000000000047 +:047C3A000000000046 +:047C3B000000000045 +:047C3C000000000044 +:047C3D000000000043 +:047C3E000000000042 +:047C3F000000000041 +:047C40000000000040 +:047C4100000000003F +:047C4200000000003E +:047C4300000000003D +:047C4400000000003C +:047C4500000000003B +:047C4600000000003A +:047C47000000000039 +:047C48000000000038 +:047C49000000000037 +:047C4A000000000036 +:047C4B000000000035 +:047C4C000000000034 +:047C4D000000000033 +:047C4E000000000032 +:047C4F000000000031 +:047C50000000000030 +:047C5100000000002F +:047C5200000000002E +:047C5300000000002D +:047C5400000000002C +:047C5500000000002B +:047C5600000000002A +:047C57000000000029 +:047C58000000000028 +:047C59000000000027 +:047C5A000000000026 +:047C5B000000000025 +:047C5C000000000024 +:047C5D000000000023 +:047C5E000000000022 +:047C5F000000000021 +:047C60000000000020 +:047C6100000000001F +:047C6200000000001E +:047C6300000000001D +:047C6400000000001C +:047C6500000000001B +:047C6600000000001A +:047C67000000000019 +:047C68000000000018 +:047C69000000000017 +:047C6A000000000016 +:047C6B000000000015 +:047C6C000000000014 +:047C6D000000000013 +:047C6E000000000012 +:047C6F000000000011 +:047C70000000000010 +:047C7100000000000F +:047C7200000000000E +:047C7300000000000D +:047C7400000000000C +:047C7500000000000B +:047C7600000000000A +:047C77000000000009 +:047C78000000000008 +:047C79000000000007 +:047C7A000000000006 +:047C7B000000000005 +:047C7C000000000004 +:047C7D000000000003 +:047C7E000000000002 +:047C7F000000000001 +:047C80000000000000 +:047C810000000000FF +:047C820000000000FE +:047C830000000000FD +:047C840000000000FC +:047C850000000000FB +:047C860000000000FA +:047C870000000000F9 +:047C880000000000F8 +:047C890000000000F7 +:047C8A0000000000F6 +:047C8B0000000000F5 +:047C8C0000000000F4 +:047C8D0000000000F3 +:047C8E0000000000F2 +:047C8F0000000000F1 +:047C900000000000F0 +:047C910000000000EF +:047C920000000000EE +:047C930000000000ED +:047C940000000000EC +:047C950000000000EB +:047C960000000000EA +:047C970000000000E9 +:047C980000000000E8 +:047C990000000000E7 +:047C9A0000000000E6 +:047C9B0000000000E5 +:047C9C0000000000E4 +:047C9D0000000000E3 +:047C9E0000000000E2 +:047C9F0000000000E1 +:047CA00000000000E0 +:047CA10000000000DF +:047CA20000000000DE +:047CA30000000000DD +:047CA40000000000DC +:047CA50000000000DB +:047CA60000000000DA +:047CA70000000000D9 +:047CA80000000000D8 +:047CA90000000000D7 +:047CAA0000000000D6 +:047CAB0000000000D5 +:047CAC0000000000D4 +:047CAD0000000000D3 +:047CAE0000000000D2 +:047CAF0000000000D1 +:047CB00000000000D0 +:047CB10000000000CF +:047CB20000000000CE +:047CB30000000000CD +:047CB40000000000CC +:047CB50000000000CB +:047CB60000000000CA +:047CB70000000000C9 +:047CB80000000000C8 +:047CB90000000000C7 +:047CBA0000000000C6 +:047CBB0000000000C5 +:047CBC0000000000C4 +:047CBD0000000000C3 +:047CBE0000000000C2 +:047CBF0000000000C1 +:047CC00000000000C0 +:047CC10000000000BF +:047CC20000000000BE +:047CC30000000000BD +:047CC40000000000BC +:047CC50000000000BB +:047CC60000000000BA +:047CC70000000000B9 +:047CC80000000000B8 +:047CC90000000000B7 +:047CCA0000000000B6 +:047CCB0000000000B5 +:047CCC0000000000B4 +:047CCD0000000000B3 +:047CCE0000000000B2 +:047CCF0000000000B1 +:047CD00000000000B0 +:047CD10000000000AF +:047CD20000000000AE +:047CD30000000000AD +:047CD40000000000AC +:047CD50000000000AB +:047CD60000000000AA +:047CD70000000000A9 +:047CD80000000000A8 +:047CD90000000000A7 +:047CDA0000000000A6 +:047CDB0000000000A5 +:047CDC0000000000A4 +:047CDD0000000000A3 +:047CDE0000000000A2 +:047CDF0000000000A1 +:047CE00000000000A0 +:047CE100000000009F +:047CE200000000009E +:047CE300000000009D +:047CE400000000009C +:047CE500000000009B +:047CE600000000009A +:047CE7000000000099 +:047CE8000000000098 +:047CE9000000000097 +:047CEA000000000096 +:047CEB000000000095 +:047CEC000000000094 +:047CED000000000093 +:047CEE000000000092 +:047CEF000000000091 +:047CF0000000000090 +:047CF100000000008F +:047CF200000000008E +:047CF300000000008D +:047CF400000000008C +:047CF500000000008B +:047CF600000000008A +:047CF7000000000089 +:047CF8000000000088 +:047CF9000000000087 +:047CFA000000000086 +:047CFB000000000085 +:047CFC000000000084 +:047CFD000000000083 +:047CFE000000000082 +:047CFF000000000081 +:047D0000000000007F +:047D0100000000007E +:047D0200000000007D +:047D0300000000007C +:047D0400000000007B +:047D0500000000007A +:047D06000000000079 +:047D07000000000078 +:047D08000000000077 +:047D09000000000076 +:047D0A000000000075 +:047D0B000000000074 +:047D0C000000000073 +:047D0D000000000072 +:047D0E000000000071 +:047D0F000000000070 +:047D1000000000006F +:047D1100000000006E +:047D1200000000006D +:047D1300000000006C +:047D1400000000006B +:047D1500000000006A +:047D16000000000069 +:047D17000000000068 +:047D18000000000067 +:047D19000000000066 +:047D1A000000000065 +:047D1B000000000064 +:047D1C000000000063 +:047D1D000000000062 +:047D1E000000000061 +:047D1F000000000060 +:047D2000000000005F +:047D2100000000005E +:047D2200000000005D +:047D2300000000005C +:047D2400000000005B +:047D2500000000005A +:047D26000000000059 +:047D27000000000058 +:047D28000000000057 +:047D29000000000056 +:047D2A000000000055 +:047D2B000000000054 +:047D2C000000000053 +:047D2D000000000052 +:047D2E000000000051 +:047D2F000000000050 +:047D3000000000004F +:047D3100000000004E +:047D3200000000004D +:047D3300000000004C +:047D3400000000004B +:047D3500000000004A +:047D36000000000049 +:047D37000000000048 +:047D38000000000047 +:047D39000000000046 +:047D3A000000000045 +:047D3B000000000044 +:047D3C000000000043 +:047D3D000000000042 +:047D3E000000000041 +:047D3F000000000040 +:047D4000000000003F +:047D4100000000003E +:047D4200000000003D +:047D4300000000003C +:047D4400000000003B +:047D4500000000003A +:047D46000000000039 +:047D47000000000038 +:047D48000000000037 +:047D49000000000036 +:047D4A000000000035 +:047D4B000000000034 +:047D4C000000000033 +:047D4D000000000032 +:047D4E000000000031 +:047D4F000000000030 +:047D5000000000002F +:047D5100000000002E +:047D5200000000002D +:047D5300000000002C +:047D5400000000002B +:047D5500000000002A +:047D56000000000029 +:047D57000000000028 +:047D58000000000027 +:047D59000000000026 +:047D5A000000000025 +:047D5B000000000024 +:047D5C000000000023 +:047D5D000000000022 +:047D5E000000000021 +:047D5F000000000020 +:047D6000000000001F +:047D6100000000001E +:047D6200000000001D +:047D6300000000001C +:047D6400000000001B +:047D6500000000001A +:047D66000000000019 +:047D67000000000018 +:047D68000000000017 +:047D69000000000016 +:047D6A000000000015 +:047D6B000000000014 +:047D6C000000000013 +:047D6D000000000012 +:047D6E000000000011 +:047D6F000000000010 +:047D7000000000000F +:047D7100000000000E +:047D7200000000000D +:047D7300000000000C +:047D7400000000000B +:047D7500000000000A +:047D76000000000009 +:047D77000000000008 +:047D78000000000007 +:047D79000000000006 +:047D7A000000000005 +:047D7B000000000004 +:047D7C000000000003 +:047D7D000000000002 +:047D7E000000000001 +:047D7F000000000000 +:047D800000000000FF +:047D810000000000FE +:047D820000000000FD +:047D830000000000FC +:047D840000000000FB +:047D850000000000FA +:047D860000000000F9 +:047D870000000000F8 +:047D880000000000F7 +:047D890000000000F6 +:047D8A0000000000F5 +:047D8B0000000000F4 +:047D8C0000000000F3 +:047D8D0000000000F2 +:047D8E0000000000F1 +:047D8F0000000000F0 +:047D900000000000EF +:047D910000000000EE +:047D920000000000ED +:047D930000000000EC +:047D940000000000EB +:047D950000000000EA +:047D960000000000E9 +:047D970000000000E8 +:047D980000000000E7 +:047D990000000000E6 +:047D9A0000000000E5 +:047D9B0000000000E4 +:047D9C0000000000E3 +:047D9D0000000000E2 +:047D9E0000000000E1 +:047D9F0000000000E0 +:047DA00000000000DF +:047DA10000000000DE +:047DA20000000000DD +:047DA30000000000DC +:047DA40000000000DB +:047DA50000000000DA +:047DA60000000000D9 +:047DA70000000000D8 +:047DA80000000000D7 +:047DA90000000000D6 +:047DAA0000000000D5 +:047DAB0000000000D4 +:047DAC0000000000D3 +:047DAD0000000000D2 +:047DAE0000000000D1 +:047DAF0000000000D0 +:047DB00000000000CF +:047DB10000000000CE +:047DB20000000000CD +:047DB30000000000CC +:047DB40000000000CB +:047DB50000000000CA +:047DB60000000000C9 +:047DB70000000000C8 +:047DB80000000000C7 +:047DB90000000000C6 +:047DBA0000000000C5 +:047DBB0000000000C4 +:047DBC0000000000C3 +:047DBD0000000000C2 +:047DBE0000000000C1 +:047DBF0000000000C0 +:047DC00000000000BF +:047DC10000000000BE +:047DC20000000000BD +:047DC30000000000BC +:047DC40000000000BB +:047DC50000000000BA +:047DC60000000000B9 +:047DC70000000000B8 +:047DC80000000000B7 +:047DC90000000000B6 +:047DCA0000000000B5 +:047DCB0000000000B4 +:047DCC0000000000B3 +:047DCD0000000000B2 +:047DCE0000000000B1 +:047DCF0000000000B0 +:047DD00000000000AF +:047DD10000000000AE +:047DD20000000000AD +:047DD30000000000AC +:047DD40000000000AB +:047DD50000000000AA +:047DD60000000000A9 +:047DD70000000000A8 +:047DD80000000000A7 +:047DD90000000000A6 +:047DDA0000000000A5 +:047DDB0000000000A4 +:047DDC0000000000A3 +:047DDD0000000000A2 +:047DDE0000000000A1 +:047DDF0000000000A0 +:047DE000000000009F +:047DE100000000009E +:047DE200000000009D +:047DE300000000009C +:047DE400000000009B +:047DE500000000009A +:047DE6000000000099 +:047DE7000000000098 +:047DE8000000000097 +:047DE9000000000096 +:047DEA000000000095 +:047DEB000000000094 +:047DEC000000000093 +:047DED000000000092 +:047DEE000000000091 +:047DEF000000000090 +:047DF000000000008F +:047DF100000000008E +:047DF200000000008D +:047DF300000000008C +:047DF400000000008B +:047DF500000000008A +:047DF6000000000089 +:047DF7000000000088 +:047DF8000000000087 +:047DF9000000000086 +:047DFA000000000085 +:047DFB000000000084 +:047DFC000000000083 +:047DFD000000000082 +:047DFE000000000081 +:047DFF000000000080 +:047E0000000000007E +:047E0100000000007D +:047E0200000000007C +:047E0300000000007B +:047E0400000000007A +:047E05000000000079 +:047E06000000000078 +:047E07000000000077 +:047E08000000000076 +:047E09000000000075 +:047E0A000000000074 +:047E0B000000000073 +:047E0C000000000072 +:047E0D000000000071 +:047E0E000000000070 +:047E0F00000000006F +:047E1000000000006E +:047E1100000000006D +:047E1200000000006C +:047E1300000000006B +:047E1400000000006A +:047E15000000000069 +:047E16000000000068 +:047E17000000000067 +:047E18000000000066 +:047E19000000000065 +:047E1A000000000064 +:047E1B000000000063 +:047E1C000000000062 +:047E1D000000000061 +:047E1E000000000060 +:047E1F00000000005F +:047E2000000000005E +:047E2100000000005D +:047E2200000000005C +:047E2300000000005B +:047E2400000000005A +:047E25000000000059 +:047E26000000000058 +:047E27000000000057 +:047E28000000000056 +:047E29000000000055 +:047E2A000000000054 +:047E2B000000000053 +:047E2C000000000052 +:047E2D000000000051 +:047E2E000000000050 +:047E2F00000000004F +:047E3000000000004E +:047E3100000000004D +:047E3200000000004C +:047E3300000000004B +:047E3400000000004A +:047E35000000000049 +:047E36000000000048 +:047E37000000000047 +:047E38000000000046 +:047E39000000000045 +:047E3A000000000044 +:047E3B000000000043 +:047E3C000000000042 +:047E3D000000000041 +:047E3E000000000040 +:047E3F00000000003F +:047E4000000000003E +:047E4100000000003D +:047E4200000000003C +:047E4300000000003B +:047E4400000000003A +:047E45000000000039 +:047E46000000000038 +:047E47000000000037 +:047E48000000000036 +:047E49000000000035 +:047E4A000000000034 +:047E4B000000000033 +:047E4C000000000032 +:047E4D000000000031 +:047E4E000000000030 +:047E4F00000000002F +:047E5000000000002E +:047E5100000000002D +:047E5200000000002C +:047E5300000000002B +:047E5400000000002A +:047E55000000000029 +:047E56000000000028 +:047E57000000000027 +:047E58000000000026 +:047E59000000000025 +:047E5A000000000024 +:047E5B000000000023 +:047E5C000000000022 +:047E5D000000000021 +:047E5E000000000020 +:047E5F00000000001F +:047E6000000000001E +:047E6100000000001D +:047E6200000000001C +:047E6300000000001B +:047E6400000000001A +:047E65000000000019 +:047E66000000000018 +:047E67000000000017 +:047E68000000000016 +:047E69000000000015 +:047E6A000000000014 +:047E6B000000000013 +:047E6C000000000012 +:047E6D000000000011 +:047E6E000000000010 +:047E6F00000000000F +:047E7000000000000E +:047E7100000000000D +:047E7200000000000C +:047E7300000000000B +:047E7400000000000A +:047E75000000000009 +:047E76000000000008 +:047E77000000000007 +:047E78000000000006 +:047E79000000000005 +:047E7A000000000004 +:047E7B000000000003 +:047E7C000000000002 +:047E7D000000000001 +:047E7E000000000000 +:047E7F0000000000FF +:047E800000000000FE +:047E810000000000FD +:047E820000000000FC +:047E830000000000FB +:047E840000000000FA +:047E850000000000F9 +:047E860000000000F8 +:047E870000000000F7 +:047E880000000000F6 +:047E890000000000F5 +:047E8A0000000000F4 +:047E8B0000000000F3 +:047E8C0000000000F2 +:047E8D0000000000F1 +:047E8E0000000000F0 +:047E8F0000000000EF +:047E900000000000EE +:047E910000000000ED +:047E920000000000EC +:047E930000000000EB +:047E940000000000EA +:047E950000000000E9 +:047E960000000000E8 +:047E970000000000E7 +:047E980000000000E6 +:047E990000000000E5 +:047E9A0000000000E4 +:047E9B0000000000E3 +:047E9C0000000000E2 +:047E9D0000000000E1 +:047E9E0000000000E0 +:047E9F0000000000DF +:047EA00000000000DE +:047EA10000000000DD +:047EA20000000000DC +:047EA30000000000DB +:047EA40000000000DA +:047EA50000000000D9 +:047EA60000000000D8 +:047EA70000000000D7 +:047EA80000000000D6 +:047EA90000000000D5 +:047EAA0000000000D4 +:047EAB0000000000D3 +:047EAC0000000000D2 +:047EAD0000000000D1 +:047EAE0000000000D0 +:047EAF0000000000CF +:047EB00000000000CE +:047EB10000000000CD +:047EB20000000000CC +:047EB30000000000CB +:047EB40000000000CA +:047EB50000000000C9 +:047EB60000000000C8 +:047EB70000000000C7 +:047EB80000000000C6 +:047EB90000000000C5 +:047EBA0000000000C4 +:047EBB0000000000C3 +:047EBC0000000000C2 +:047EBD0000000000C1 +:047EBE0000000000C0 +:047EBF0000000000BF +:047EC00000000000BE +:047EC10000000000BD +:047EC20000000000BC +:047EC30000000000BB +:047EC40000000000BA +:047EC50000000000B9 +:047EC60000000000B8 +:047EC70000000000B7 +:047EC80000000000B6 +:047EC90000000000B5 +:047ECA0000000000B4 +:047ECB0000000000B3 +:047ECC0000000000B2 +:047ECD0000000000B1 +:047ECE0000000000B0 +:047ECF0000000000AF +:047ED00000000000AE +:047ED10000000000AD +:047ED20000000000AC +:047ED30000000000AB +:047ED40000000000AA +:047ED50000000000A9 +:047ED60000000000A8 +:047ED70000000000A7 +:047ED80000000000A6 +:047ED90000000000A5 +:047EDA0000000000A4 +:047EDB0000000000A3 +:047EDC0000000000A2 +:047EDD0000000000A1 +:047EDE0000000000A0 +:047EDF00000000009F +:047EE000000000009E +:047EE100000000009D +:047EE200000000009C +:047EE300000000009B +:047EE400000000009A +:047EE5000000000099 +:047EE6000000000098 +:047EE7000000000097 +:047EE8000000000096 +:047EE9000000000095 +:047EEA000000000094 +:047EEB000000000093 +:047EEC000000000092 +:047EED000000000091 +:047EEE000000000090 +:047EEF00000000008F +:047EF000000000008E +:047EF100000000008D +:047EF200000000008C +:047EF300000000008B +:047EF400000000008A +:047EF5000000000089 +:047EF6000000000088 +:047EF7000000000087 +:047EF8000000000086 +:047EF9000000000085 +:047EFA000000000084 +:047EFB000000000083 +:047EFC000000000082 +:047EFD000000000081 +:047EFE000000000080 +:047EFF00000000007F +:047F0000000000007D +:047F0100000000007C +:047F0200000000007B +:047F0300000000007A +:047F04000000000079 +:047F05000000000078 +:047F06000000000077 +:047F07000000000076 +:047F08000000000075 +:047F09000000000074 +:047F0A000000000073 +:047F0B000000000072 +:047F0C000000000071 +:047F0D000000000070 +:047F0E00000000006F +:047F0F00000000006E +:047F1000000000006D +:047F1100000000006C +:047F1200000000006B +:047F1300000000006A +:047F14000000000069 +:047F15000000000068 +:047F16000000000067 +:047F17000000000066 +:047F18000000000065 +:047F19000000000064 +:047F1A000000000063 +:047F1B000000000062 +:047F1C000000000061 +:047F1D000000000060 +:047F1E00000000005F +:047F1F00000000005E +:047F2000000000005D +:047F2100000000005C +:047F2200000000005B +:047F2300000000005A +:047F24000000000059 +:047F25000000000058 +:047F26000000000057 +:047F27000000000056 +:047F28000000000055 +:047F29000000000054 +:047F2A000000000053 +:047F2B000000000052 +:047F2C000000000051 +:047F2D000000000050 +:047F2E00000000004F +:047F2F00000000004E +:047F3000000000004D +:047F3100000000004C +:047F3200000000004B +:047F3300000000004A +:047F34000000000049 +:047F35000000000048 +:047F36000000000047 +:047F37000000000046 +:047F38000000000045 +:047F39000000000044 +:047F3A000000000043 +:047F3B000000000042 +:047F3C000000000041 +:047F3D000000000040 +:047F3E00000000003F +:047F3F00000000003E +:047F4000000000003D +:047F4100000000003C +:047F4200000000003B +:047F4300000000003A +:047F44000000000039 +:047F45000000000038 +:047F46000000000037 +:047F47000000000036 +:047F48000000000035 +:047F49000000000034 +:047F4A000000000033 +:047F4B000000000032 +:047F4C000000000031 +:047F4D000000000030 +:047F4E00000000002F +:047F4F00000000002E +:047F5000000000002D +:047F5100000000002C +:047F5200000000002B +:047F5300000000002A +:047F54000000000029 +:047F55000000000028 +:047F56000000000027 +:047F57000000000026 +:047F58000000000025 +:047F59000000000024 +:047F5A000000000023 +:047F5B000000000022 +:047F5C000000000021 +:047F5D000000000020 +:047F5E00000000001F +:047F5F00000000001E +:047F6000000000001D +:047F6100000000001C +:047F6200000000001B +:047F6300000000001A +:047F64000000000019 +:047F65000000000018 +:047F66000000000017 +:047F67000000000016 +:047F68000000000015 +:047F69000000000014 +:047F6A000000000013 +:047F6B000000000012 +:047F6C000000000011 +:047F6D000000000010 +:047F6E00000000000F +:047F6F00000000000E +:047F7000000000000D +:047F7100000000000C +:047F7200000000000B +:047F7300000000000A +:047F74000000000009 +:047F75000000000008 +:047F76000000000007 +:047F77000000000006 +:047F78000000000005 +:047F79000000000004 +:047F7A000000000003 +:047F7B000000000002 +:047F7C000000000001 +:047F7D000000000000 +:047F7E0000000000FF +:047F7F0000000000FE +:047F800000000000FD +:047F810000000000FC +:047F820000000000FB +:047F830000000000FA +:047F840000000000F9 +:047F850000000000F8 +:047F860000000000F7 +:047F870000000000F6 +:047F880000000000F5 +:047F890000000000F4 +:047F8A0000000000F3 +:047F8B0000000000F2 +:047F8C0000000000F1 +:047F8D0000000000F0 +:047F8E0000000000EF +:047F8F0000000000EE +:047F900000000000ED +:047F910000000000EC +:047F920000000000EB +:047F930000000000EA +:047F940000000000E9 +:047F950000000000E8 +:047F960000000000E7 +:047F970000000000E6 +:047F980000000000E5 +:047F990000000000E4 +:047F9A0000000000E3 +:047F9B0000000000E2 +:047F9C0000000000E1 +:047F9D0000000000E0 +:047F9E0000000000DF +:047F9F0000000000DE +:047FA00000000000DD +:047FA10000000000DC +:047FA20000000000DB +:047FA30000000000DA +:047FA40000000000D9 +:047FA50000000000D8 +:047FA60000000000D7 +:047FA70000000000D6 +:047FA80000000000D5 +:047FA90000000000D4 +:047FAA0000000000D3 +:047FAB0000000000D2 +:047FAC0000000000D1 +:047FAD0000000000D0 +:047FAE0000000000CF +:047FAF0000000000CE +:047FB00000000000CD +:047FB10000000000CC +:047FB20000000000CB +:047FB30000000000CA +:047FB40000000000C9 +:047FB50000000000C8 +:047FB60000000000C7 +:047FB70000000000C6 +:047FB80000000000C5 +:047FB90000000000C4 +:047FBA0000000000C3 +:047FBB0000000000C2 +:047FBC0000000000C1 +:047FBD0000000000C0 +:047FBE0000000000BF +:047FBF0000000000BE +:047FC00000000000BD +:047FC10000000000BC +:047FC20000000000BB +:047FC30000000000BA +:047FC40000000000B9 +:047FC50000000000B8 +:047FC60000000000B7 +:047FC70000000000B6 +:047FC80000000000B5 +:047FC90000000000B4 +:047FCA0000000000B3 +:047FCB0000000000B2 +:047FCC0000000000B1 +:047FCD0000000000B0 +:047FCE0000000000AF +:047FCF0000000000AE +:047FD00000000000AD +:047FD10000000000AC +:047FD20000000000AB +:047FD30000000000AA +:047FD40000000000A9 +:047FD50000000000A8 +:047FD60000000000A7 +:047FD70000000000A6 +:047FD80000000000A5 +:047FD90000000000A4 +:047FDA0000000000A3 +:047FDB0000000000A2 +:047FDC0000000000A1 +:047FDD0000000000A0 +:047FDE00000000009F +:047FDF00000000009E +:047FE000000000009D +:047FE100000000009C +:047FE200000000009B +:047FE300000000009A +:047FE4000000000099 +:047FE5000000000098 +:047FE6000000000097 +:047FE7000000000096 +:047FE8000000000095 +:047FE9000000000094 +:047FEA000000000093 +:047FEB000000000092 +:047FEC000000000091 +:047FED000000000090 +:047FEE00000000008F +:047FEF00000000008E +:047FF000000000008D +:047FF100000000008C +:047FF200000000008B +:047FF300000000008A +:047FF4000000000089 +:047FF5000000000088 +:047FF6000000000087 +:047FF7000000000086 +:047FF8000000000085 +:047FF9000000000084 +:047FFA000000000083 +:047FFB000000000082 +:047FFC000000000081 +:047FFD000000000080 +:047FFE00000000007F +:047FFF00000000007E +:00000001ff diff --git a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0.v b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0.v index 89434ce..12ddf70 100644 --- a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0.v +++ b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0.v @@ -50,14 +50,8 @@ module niosII_mm_interconnect_0 ( output wire [3:0] mem_s2_byteenable, // .byteenable output wire mem_s2_chipselect, // .chipselect output wire mem_s2_clken, // .clken - output wire [0:0] sem_ctl_slave_address, // sem_ctl_slave.address - output wire sem_ctl_slave_write, // .write - output wire sem_ctl_slave_read, // .read - input wire [31:0] sem_ctl_slave_readdata, // .readdata - output wire [31:0] sem_ctl_slave_writedata, // .writedata - output wire [3:0] sem_ram_slave_address, // sem_ram_slave.address - output wire sem_ram_slave_write, // .write - output wire [31:0] sem_ram_slave_writedata, // .writedata + output wire sigdel_0_avalon_slave_write, // sigdel_0_avalon_slave.write + output wire [31:0] sigdel_0_avalon_slave_writedata, // .writedata output wire [2:0] sys_clk_timer_s1_address, // sys_clk_timer_s1.address output wire sys_clk_timer_s1_write, // .write input wire [15:0] sys_clk_timer_s1_readdata, // .readdata @@ -79,7 +73,7 @@ module niosII_mm_interconnect_0 ( wire rsp_mux_src_valid; // rsp_mux:src_valid -> cpu_data_master_agent:rp_valid wire [93:0] rsp_mux_src_data; // rsp_mux:src_data -> cpu_data_master_agent:rp_data wire rsp_mux_src_ready; // cpu_data_master_agent:rp_ready -> rsp_mux:src_ready - wire [6:0] rsp_mux_src_channel; // rsp_mux:src_channel -> cpu_data_master_agent:rp_channel + wire [5:0] rsp_mux_src_channel; // rsp_mux:src_channel -> cpu_data_master_agent:rp_channel wire rsp_mux_src_startofpacket; // rsp_mux:src_startofpacket -> cpu_data_master_agent:rp_startofpacket wire rsp_mux_src_endofpacket; // rsp_mux:src_endofpacket -> cpu_data_master_agent:rp_endofpacket wire cpu_instruction_master_translator_avalon_universal_master_0_waitrequest; // cpu_instruction_master_agent:av_waitrequest -> cpu_instruction_master_translator:uav_waitrequest @@ -96,7 +90,7 @@ module niosII_mm_interconnect_0 ( wire rsp_mux_001_src_valid; // rsp_mux_001:src_valid -> cpu_instruction_master_agent:rp_valid wire [93:0] rsp_mux_001_src_data; // rsp_mux_001:src_data -> cpu_instruction_master_agent:rp_data wire rsp_mux_001_src_ready; // cpu_instruction_master_agent:rp_ready -> rsp_mux_001:src_ready - wire [6:0] rsp_mux_001_src_channel; // rsp_mux_001:src_channel -> cpu_instruction_master_agent:rp_channel + wire [5:0] rsp_mux_001_src_channel; // rsp_mux_001:src_channel -> cpu_instruction_master_agent:rp_channel wire rsp_mux_001_src_startofpacket; // rsp_mux_001:src_startofpacket -> cpu_instruction_master_agent:rp_startofpacket wire rsp_mux_001_src_endofpacket; // rsp_mux_001:src_endofpacket -> cpu_instruction_master_agent:rp_endofpacket wire [31:0] jtag_uart_avalon_jtag_slave_agent_m0_readdata; // jtag_uart_avalon_jtag_slave_translator:uav_readdata -> jtag_uart_avalon_jtag_slave_agent:m0_readdata @@ -123,36 +117,36 @@ module niosII_mm_interconnect_0 ( wire cmd_mux_src_valid; // cmd_mux:src_valid -> jtag_uart_avalon_jtag_slave_agent:cp_valid wire [93:0] cmd_mux_src_data; // cmd_mux:src_data -> jtag_uart_avalon_jtag_slave_agent:cp_data wire cmd_mux_src_ready; // jtag_uart_avalon_jtag_slave_agent:cp_ready -> cmd_mux:src_ready - wire [6:0] cmd_mux_src_channel; // cmd_mux:src_channel -> jtag_uart_avalon_jtag_slave_agent:cp_channel + wire [5:0] cmd_mux_src_channel; // cmd_mux:src_channel -> jtag_uart_avalon_jtag_slave_agent:cp_channel wire cmd_mux_src_startofpacket; // cmd_mux:src_startofpacket -> jtag_uart_avalon_jtag_slave_agent:cp_startofpacket wire cmd_mux_src_endofpacket; // cmd_mux:src_endofpacket -> jtag_uart_avalon_jtag_slave_agent:cp_endofpacket - wire [31:0] sem_ctl_slave_agent_m0_readdata; // sem_ctl_slave_translator:uav_readdata -> sem_ctl_slave_agent:m0_readdata - wire sem_ctl_slave_agent_m0_waitrequest; // sem_ctl_slave_translator:uav_waitrequest -> sem_ctl_slave_agent:m0_waitrequest - wire sem_ctl_slave_agent_m0_debugaccess; // sem_ctl_slave_agent:m0_debugaccess -> sem_ctl_slave_translator:uav_debugaccess - wire [17:0] sem_ctl_slave_agent_m0_address; // sem_ctl_slave_agent:m0_address -> sem_ctl_slave_translator:uav_address - wire [3:0] sem_ctl_slave_agent_m0_byteenable; // sem_ctl_slave_agent:m0_byteenable -> sem_ctl_slave_translator:uav_byteenable - wire sem_ctl_slave_agent_m0_read; // sem_ctl_slave_agent:m0_read -> sem_ctl_slave_translator:uav_read - wire sem_ctl_slave_agent_m0_readdatavalid; // sem_ctl_slave_translator:uav_readdatavalid -> sem_ctl_slave_agent:m0_readdatavalid - wire sem_ctl_slave_agent_m0_lock; // sem_ctl_slave_agent:m0_lock -> sem_ctl_slave_translator:uav_lock - wire [31:0] sem_ctl_slave_agent_m0_writedata; // sem_ctl_slave_agent:m0_writedata -> sem_ctl_slave_translator:uav_writedata - wire sem_ctl_slave_agent_m0_write; // sem_ctl_slave_agent:m0_write -> sem_ctl_slave_translator:uav_write - wire [2:0] sem_ctl_slave_agent_m0_burstcount; // sem_ctl_slave_agent:m0_burstcount -> sem_ctl_slave_translator:uav_burstcount - wire sem_ctl_slave_agent_rf_source_valid; // sem_ctl_slave_agent:rf_source_valid -> sem_ctl_slave_agent_rsp_fifo:in_valid - wire [94:0] sem_ctl_slave_agent_rf_source_data; // sem_ctl_slave_agent:rf_source_data -> sem_ctl_slave_agent_rsp_fifo:in_data - wire sem_ctl_slave_agent_rf_source_ready; // sem_ctl_slave_agent_rsp_fifo:in_ready -> sem_ctl_slave_agent:rf_source_ready - wire sem_ctl_slave_agent_rf_source_startofpacket; // sem_ctl_slave_agent:rf_source_startofpacket -> sem_ctl_slave_agent_rsp_fifo:in_startofpacket - wire sem_ctl_slave_agent_rf_source_endofpacket; // sem_ctl_slave_agent:rf_source_endofpacket -> sem_ctl_slave_agent_rsp_fifo:in_endofpacket - wire sem_ctl_slave_agent_rsp_fifo_out_valid; // sem_ctl_slave_agent_rsp_fifo:out_valid -> sem_ctl_slave_agent:rf_sink_valid - wire [94:0] sem_ctl_slave_agent_rsp_fifo_out_data; // sem_ctl_slave_agent_rsp_fifo:out_data -> sem_ctl_slave_agent:rf_sink_data - wire sem_ctl_slave_agent_rsp_fifo_out_ready; // sem_ctl_slave_agent:rf_sink_ready -> sem_ctl_slave_agent_rsp_fifo:out_ready - wire sem_ctl_slave_agent_rsp_fifo_out_startofpacket; // sem_ctl_slave_agent_rsp_fifo:out_startofpacket -> sem_ctl_slave_agent:rf_sink_startofpacket - wire sem_ctl_slave_agent_rsp_fifo_out_endofpacket; // sem_ctl_slave_agent_rsp_fifo:out_endofpacket -> sem_ctl_slave_agent:rf_sink_endofpacket - wire cmd_mux_001_src_valid; // cmd_mux_001:src_valid -> sem_ctl_slave_agent:cp_valid - wire [93:0] cmd_mux_001_src_data; // cmd_mux_001:src_data -> sem_ctl_slave_agent:cp_data - wire cmd_mux_001_src_ready; // sem_ctl_slave_agent:cp_ready -> cmd_mux_001:src_ready - wire [6:0] cmd_mux_001_src_channel; // cmd_mux_001:src_channel -> sem_ctl_slave_agent:cp_channel - wire cmd_mux_001_src_startofpacket; // cmd_mux_001:src_startofpacket -> sem_ctl_slave_agent:cp_startofpacket - wire cmd_mux_001_src_endofpacket; // cmd_mux_001:src_endofpacket -> sem_ctl_slave_agent:cp_endofpacket + wire [31:0] sigdel_0_avalon_slave_agent_m0_readdata; // sigdel_0_avalon_slave_translator:uav_readdata -> sigdel_0_avalon_slave_agent:m0_readdata + wire sigdel_0_avalon_slave_agent_m0_waitrequest; // sigdel_0_avalon_slave_translator:uav_waitrequest -> sigdel_0_avalon_slave_agent:m0_waitrequest + wire sigdel_0_avalon_slave_agent_m0_debugaccess; // sigdel_0_avalon_slave_agent:m0_debugaccess -> sigdel_0_avalon_slave_translator:uav_debugaccess + wire [17:0] sigdel_0_avalon_slave_agent_m0_address; // sigdel_0_avalon_slave_agent:m0_address -> sigdel_0_avalon_slave_translator:uav_address + wire [3:0] sigdel_0_avalon_slave_agent_m0_byteenable; // sigdel_0_avalon_slave_agent:m0_byteenable -> sigdel_0_avalon_slave_translator:uav_byteenable + wire sigdel_0_avalon_slave_agent_m0_read; // sigdel_0_avalon_slave_agent:m0_read -> sigdel_0_avalon_slave_translator:uav_read + wire sigdel_0_avalon_slave_agent_m0_readdatavalid; // sigdel_0_avalon_slave_translator:uav_readdatavalid -> sigdel_0_avalon_slave_agent:m0_readdatavalid + wire sigdel_0_avalon_slave_agent_m0_lock; // sigdel_0_avalon_slave_agent:m0_lock -> sigdel_0_avalon_slave_translator:uav_lock + wire [31:0] sigdel_0_avalon_slave_agent_m0_writedata; // sigdel_0_avalon_slave_agent:m0_writedata -> sigdel_0_avalon_slave_translator:uav_writedata + wire sigdel_0_avalon_slave_agent_m0_write; // sigdel_0_avalon_slave_agent:m0_write -> sigdel_0_avalon_slave_translator:uav_write + wire [2:0] sigdel_0_avalon_slave_agent_m0_burstcount; // sigdel_0_avalon_slave_agent:m0_burstcount -> sigdel_0_avalon_slave_translator:uav_burstcount + wire sigdel_0_avalon_slave_agent_rf_source_valid; // sigdel_0_avalon_slave_agent:rf_source_valid -> sigdel_0_avalon_slave_agent_rsp_fifo:in_valid + wire [94:0] sigdel_0_avalon_slave_agent_rf_source_data; // sigdel_0_avalon_slave_agent:rf_source_data -> sigdel_0_avalon_slave_agent_rsp_fifo:in_data + wire sigdel_0_avalon_slave_agent_rf_source_ready; // sigdel_0_avalon_slave_agent_rsp_fifo:in_ready -> sigdel_0_avalon_slave_agent:rf_source_ready + wire sigdel_0_avalon_slave_agent_rf_source_startofpacket; // sigdel_0_avalon_slave_agent:rf_source_startofpacket -> sigdel_0_avalon_slave_agent_rsp_fifo:in_startofpacket + wire sigdel_0_avalon_slave_agent_rf_source_endofpacket; // sigdel_0_avalon_slave_agent:rf_source_endofpacket -> sigdel_0_avalon_slave_agent_rsp_fifo:in_endofpacket + wire sigdel_0_avalon_slave_agent_rsp_fifo_out_valid; // sigdel_0_avalon_slave_agent_rsp_fifo:out_valid -> sigdel_0_avalon_slave_agent:rf_sink_valid + wire [94:0] sigdel_0_avalon_slave_agent_rsp_fifo_out_data; // sigdel_0_avalon_slave_agent_rsp_fifo:out_data -> sigdel_0_avalon_slave_agent:rf_sink_data + wire sigdel_0_avalon_slave_agent_rsp_fifo_out_ready; // sigdel_0_avalon_slave_agent:rf_sink_ready -> sigdel_0_avalon_slave_agent_rsp_fifo:out_ready + wire sigdel_0_avalon_slave_agent_rsp_fifo_out_startofpacket; // sigdel_0_avalon_slave_agent_rsp_fifo:out_startofpacket -> sigdel_0_avalon_slave_agent:rf_sink_startofpacket + wire sigdel_0_avalon_slave_agent_rsp_fifo_out_endofpacket; // sigdel_0_avalon_slave_agent_rsp_fifo:out_endofpacket -> sigdel_0_avalon_slave_agent:rf_sink_endofpacket + wire cmd_mux_001_src_valid; // cmd_mux_001:src_valid -> sigdel_0_avalon_slave_agent:cp_valid + wire [93:0] cmd_mux_001_src_data; // cmd_mux_001:src_data -> sigdel_0_avalon_slave_agent:cp_data + wire cmd_mux_001_src_ready; // sigdel_0_avalon_slave_agent:cp_ready -> cmd_mux_001:src_ready + wire [5:0] cmd_mux_001_src_channel; // cmd_mux_001:src_channel -> sigdel_0_avalon_slave_agent:cp_channel + wire cmd_mux_001_src_startofpacket; // cmd_mux_001:src_startofpacket -> sigdel_0_avalon_slave_agent:cp_startofpacket + wire cmd_mux_001_src_endofpacket; // cmd_mux_001:src_endofpacket -> sigdel_0_avalon_slave_agent:cp_endofpacket wire [31:0] cpu_debug_mem_slave_agent_m0_readdata; // cpu_debug_mem_slave_translator:uav_readdata -> cpu_debug_mem_slave_agent:m0_readdata wire cpu_debug_mem_slave_agent_m0_waitrequest; // cpu_debug_mem_slave_translator:uav_waitrequest -> cpu_debug_mem_slave_agent:m0_waitrequest wire cpu_debug_mem_slave_agent_m0_debugaccess; // cpu_debug_mem_slave_agent:m0_debugaccess -> cpu_debug_mem_slave_translator:uav_debugaccess @@ -177,36 +171,9 @@ module niosII_mm_interconnect_0 ( wire cmd_mux_002_src_valid; // cmd_mux_002:src_valid -> cpu_debug_mem_slave_agent:cp_valid wire [93:0] cmd_mux_002_src_data; // cmd_mux_002:src_data -> cpu_debug_mem_slave_agent:cp_data wire cmd_mux_002_src_ready; // cpu_debug_mem_slave_agent:cp_ready -> cmd_mux_002:src_ready - wire [6:0] cmd_mux_002_src_channel; // cmd_mux_002:src_channel -> cpu_debug_mem_slave_agent:cp_channel + wire [5:0] cmd_mux_002_src_channel; // cmd_mux_002:src_channel -> cpu_debug_mem_slave_agent:cp_channel wire cmd_mux_002_src_startofpacket; // cmd_mux_002:src_startofpacket -> cpu_debug_mem_slave_agent:cp_startofpacket wire cmd_mux_002_src_endofpacket; // cmd_mux_002:src_endofpacket -> cpu_debug_mem_slave_agent:cp_endofpacket - wire [31:0] sem_ram_slave_agent_m0_readdata; // sem_ram_slave_translator:uav_readdata -> sem_ram_slave_agent:m0_readdata - wire sem_ram_slave_agent_m0_waitrequest; // sem_ram_slave_translator:uav_waitrequest -> sem_ram_slave_agent:m0_waitrequest - wire sem_ram_slave_agent_m0_debugaccess; // sem_ram_slave_agent:m0_debugaccess -> sem_ram_slave_translator:uav_debugaccess - wire [17:0] sem_ram_slave_agent_m0_address; // sem_ram_slave_agent:m0_address -> sem_ram_slave_translator:uav_address - wire [3:0] sem_ram_slave_agent_m0_byteenable; // sem_ram_slave_agent:m0_byteenable -> sem_ram_slave_translator:uav_byteenable - wire sem_ram_slave_agent_m0_read; // sem_ram_slave_agent:m0_read -> sem_ram_slave_translator:uav_read - wire sem_ram_slave_agent_m0_readdatavalid; // sem_ram_slave_translator:uav_readdatavalid -> sem_ram_slave_agent:m0_readdatavalid - wire sem_ram_slave_agent_m0_lock; // sem_ram_slave_agent:m0_lock -> sem_ram_slave_translator:uav_lock - wire [31:0] sem_ram_slave_agent_m0_writedata; // sem_ram_slave_agent:m0_writedata -> sem_ram_slave_translator:uav_writedata - wire sem_ram_slave_agent_m0_write; // sem_ram_slave_agent:m0_write -> sem_ram_slave_translator:uav_write - wire [2:0] sem_ram_slave_agent_m0_burstcount; // sem_ram_slave_agent:m0_burstcount -> sem_ram_slave_translator:uav_burstcount - wire sem_ram_slave_agent_rf_source_valid; // sem_ram_slave_agent:rf_source_valid -> sem_ram_slave_agent_rsp_fifo:in_valid - wire [94:0] sem_ram_slave_agent_rf_source_data; // sem_ram_slave_agent:rf_source_data -> sem_ram_slave_agent_rsp_fifo:in_data - wire sem_ram_slave_agent_rf_source_ready; // sem_ram_slave_agent_rsp_fifo:in_ready -> sem_ram_slave_agent:rf_source_ready - wire sem_ram_slave_agent_rf_source_startofpacket; // sem_ram_slave_agent:rf_source_startofpacket -> sem_ram_slave_agent_rsp_fifo:in_startofpacket - wire sem_ram_slave_agent_rf_source_endofpacket; // sem_ram_slave_agent:rf_source_endofpacket -> sem_ram_slave_agent_rsp_fifo:in_endofpacket - wire sem_ram_slave_agent_rsp_fifo_out_valid; // sem_ram_slave_agent_rsp_fifo:out_valid -> sem_ram_slave_agent:rf_sink_valid - wire [94:0] sem_ram_slave_agent_rsp_fifo_out_data; // sem_ram_slave_agent_rsp_fifo:out_data -> sem_ram_slave_agent:rf_sink_data - wire sem_ram_slave_agent_rsp_fifo_out_ready; // sem_ram_slave_agent:rf_sink_ready -> sem_ram_slave_agent_rsp_fifo:out_ready - wire sem_ram_slave_agent_rsp_fifo_out_startofpacket; // sem_ram_slave_agent_rsp_fifo:out_startofpacket -> sem_ram_slave_agent:rf_sink_startofpacket - wire sem_ram_slave_agent_rsp_fifo_out_endofpacket; // sem_ram_slave_agent_rsp_fifo:out_endofpacket -> sem_ram_slave_agent:rf_sink_endofpacket - wire cmd_mux_003_src_valid; // cmd_mux_003:src_valid -> sem_ram_slave_agent:cp_valid - wire [93:0] cmd_mux_003_src_data; // cmd_mux_003:src_data -> sem_ram_slave_agent:cp_data - wire cmd_mux_003_src_ready; // sem_ram_slave_agent:cp_ready -> cmd_mux_003:src_ready - wire [6:0] cmd_mux_003_src_channel; // cmd_mux_003:src_channel -> sem_ram_slave_agent:cp_channel - wire cmd_mux_003_src_startofpacket; // cmd_mux_003:src_startofpacket -> sem_ram_slave_agent:cp_startofpacket - wire cmd_mux_003_src_endofpacket; // cmd_mux_003:src_endofpacket -> sem_ram_slave_agent:cp_endofpacket wire [31:0] sys_clk_timer_s1_agent_m0_readdata; // sys_clk_timer_s1_translator:uav_readdata -> sys_clk_timer_s1_agent:m0_readdata wire sys_clk_timer_s1_agent_m0_waitrequest; // sys_clk_timer_s1_translator:uav_waitrequest -> sys_clk_timer_s1_agent:m0_waitrequest wire sys_clk_timer_s1_agent_m0_debugaccess; // sys_clk_timer_s1_agent:m0_debugaccess -> sys_clk_timer_s1_translator:uav_debugaccess @@ -228,12 +195,12 @@ module niosII_mm_interconnect_0 ( wire sys_clk_timer_s1_agent_rsp_fifo_out_ready; // sys_clk_timer_s1_agent:rf_sink_ready -> sys_clk_timer_s1_agent_rsp_fifo:out_ready wire sys_clk_timer_s1_agent_rsp_fifo_out_startofpacket; // sys_clk_timer_s1_agent_rsp_fifo:out_startofpacket -> sys_clk_timer_s1_agent:rf_sink_startofpacket wire sys_clk_timer_s1_agent_rsp_fifo_out_endofpacket; // sys_clk_timer_s1_agent_rsp_fifo:out_endofpacket -> sys_clk_timer_s1_agent:rf_sink_endofpacket - wire cmd_mux_004_src_valid; // cmd_mux_004:src_valid -> sys_clk_timer_s1_agent:cp_valid - wire [93:0] cmd_mux_004_src_data; // cmd_mux_004:src_data -> sys_clk_timer_s1_agent:cp_data - wire cmd_mux_004_src_ready; // sys_clk_timer_s1_agent:cp_ready -> cmd_mux_004:src_ready - wire [6:0] cmd_mux_004_src_channel; // cmd_mux_004:src_channel -> sys_clk_timer_s1_agent:cp_channel - wire cmd_mux_004_src_startofpacket; // cmd_mux_004:src_startofpacket -> sys_clk_timer_s1_agent:cp_startofpacket - wire cmd_mux_004_src_endofpacket; // cmd_mux_004:src_endofpacket -> sys_clk_timer_s1_agent:cp_endofpacket + wire cmd_mux_003_src_valid; // cmd_mux_003:src_valid -> sys_clk_timer_s1_agent:cp_valid + wire [93:0] cmd_mux_003_src_data; // cmd_mux_003:src_data -> sys_clk_timer_s1_agent:cp_data + wire cmd_mux_003_src_ready; // sys_clk_timer_s1_agent:cp_ready -> cmd_mux_003:src_ready + wire [5:0] cmd_mux_003_src_channel; // cmd_mux_003:src_channel -> sys_clk_timer_s1_agent:cp_channel + wire cmd_mux_003_src_startofpacket; // cmd_mux_003:src_startofpacket -> sys_clk_timer_s1_agent:cp_startofpacket + wire cmd_mux_003_src_endofpacket; // cmd_mux_003:src_endofpacket -> sys_clk_timer_s1_agent:cp_endofpacket wire [31:0] mem_s2_agent_m0_readdata; // mem_s2_translator:uav_readdata -> mem_s2_agent:m0_readdata wire mem_s2_agent_m0_waitrequest; // mem_s2_translator:uav_waitrequest -> mem_s2_agent:m0_waitrequest wire mem_s2_agent_m0_debugaccess; // mem_s2_agent:m0_debugaccess -> mem_s2_translator:uav_debugaccess @@ -255,12 +222,12 @@ module niosII_mm_interconnect_0 ( wire mem_s2_agent_rsp_fifo_out_ready; // mem_s2_agent:rf_sink_ready -> mem_s2_agent_rsp_fifo:out_ready wire mem_s2_agent_rsp_fifo_out_startofpacket; // mem_s2_agent_rsp_fifo:out_startofpacket -> mem_s2_agent:rf_sink_startofpacket wire mem_s2_agent_rsp_fifo_out_endofpacket; // mem_s2_agent_rsp_fifo:out_endofpacket -> mem_s2_agent:rf_sink_endofpacket - wire cmd_mux_005_src_valid; // cmd_mux_005:src_valid -> mem_s2_agent:cp_valid - wire [93:0] cmd_mux_005_src_data; // cmd_mux_005:src_data -> mem_s2_agent:cp_data - wire cmd_mux_005_src_ready; // mem_s2_agent:cp_ready -> cmd_mux_005:src_ready - wire [6:0] cmd_mux_005_src_channel; // cmd_mux_005:src_channel -> mem_s2_agent:cp_channel - wire cmd_mux_005_src_startofpacket; // cmd_mux_005:src_startofpacket -> mem_s2_agent:cp_startofpacket - wire cmd_mux_005_src_endofpacket; // cmd_mux_005:src_endofpacket -> mem_s2_agent:cp_endofpacket + wire cmd_mux_004_src_valid; // cmd_mux_004:src_valid -> mem_s2_agent:cp_valid + wire [93:0] cmd_mux_004_src_data; // cmd_mux_004:src_data -> mem_s2_agent:cp_data + wire cmd_mux_004_src_ready; // mem_s2_agent:cp_ready -> cmd_mux_004:src_ready + wire [5:0] cmd_mux_004_src_channel; // cmd_mux_004:src_channel -> mem_s2_agent:cp_channel + wire cmd_mux_004_src_startofpacket; // cmd_mux_004:src_startofpacket -> mem_s2_agent:cp_startofpacket + wire cmd_mux_004_src_endofpacket; // cmd_mux_004:src_endofpacket -> mem_s2_agent:cp_endofpacket wire [31:0] mem_s1_agent_m0_readdata; // mem_s1_translator:uav_readdata -> mem_s1_agent:m0_readdata wire mem_s1_agent_m0_waitrequest; // mem_s1_translator:uav_waitrequest -> mem_s1_agent:m0_waitrequest wire mem_s1_agent_m0_debugaccess; // mem_s1_agent:m0_debugaccess -> mem_s1_translator:uav_debugaccess @@ -282,12 +249,12 @@ module niosII_mm_interconnect_0 ( wire mem_s1_agent_rsp_fifo_out_ready; // mem_s1_agent:rf_sink_ready -> mem_s1_agent_rsp_fifo:out_ready wire mem_s1_agent_rsp_fifo_out_startofpacket; // mem_s1_agent_rsp_fifo:out_startofpacket -> mem_s1_agent:rf_sink_startofpacket wire mem_s1_agent_rsp_fifo_out_endofpacket; // mem_s1_agent_rsp_fifo:out_endofpacket -> mem_s1_agent:rf_sink_endofpacket - wire cmd_mux_006_src_valid; // cmd_mux_006:src_valid -> mem_s1_agent:cp_valid - wire [93:0] cmd_mux_006_src_data; // cmd_mux_006:src_data -> mem_s1_agent:cp_data - wire cmd_mux_006_src_ready; // mem_s1_agent:cp_ready -> cmd_mux_006:src_ready - wire [6:0] cmd_mux_006_src_channel; // cmd_mux_006:src_channel -> mem_s1_agent:cp_channel - wire cmd_mux_006_src_startofpacket; // cmd_mux_006:src_startofpacket -> mem_s1_agent:cp_startofpacket - wire cmd_mux_006_src_endofpacket; // cmd_mux_006:src_endofpacket -> mem_s1_agent:cp_endofpacket + wire cmd_mux_005_src_valid; // cmd_mux_005:src_valid -> mem_s1_agent:cp_valid + wire [93:0] cmd_mux_005_src_data; // cmd_mux_005:src_data -> mem_s1_agent:cp_data + wire cmd_mux_005_src_ready; // mem_s1_agent:cp_ready -> cmd_mux_005:src_ready + wire [5:0] cmd_mux_005_src_channel; // cmd_mux_005:src_channel -> mem_s1_agent:cp_channel + wire cmd_mux_005_src_startofpacket; // cmd_mux_005:src_startofpacket -> mem_s1_agent:cp_startofpacket + wire cmd_mux_005_src_endofpacket; // cmd_mux_005:src_endofpacket -> mem_s1_agent:cp_endofpacket wire cpu_data_master_agent_cp_valid; // cpu_data_master_agent:cp_valid -> router:sink_valid wire [93:0] cpu_data_master_agent_cp_data; // cpu_data_master_agent:cp_data -> router:sink_data wire cpu_data_master_agent_cp_ready; // router:sink_ready -> cpu_data_master_agent:cp_ready @@ -296,7 +263,7 @@ module niosII_mm_interconnect_0 ( wire router_src_valid; // router:src_valid -> cmd_demux:sink_valid wire [93:0] router_src_data; // router:src_data -> cmd_demux:sink_data wire router_src_ready; // cmd_demux:sink_ready -> router:src_ready - wire [6:0] router_src_channel; // router:src_channel -> cmd_demux:sink_channel + wire [5:0] router_src_channel; // router:src_channel -> cmd_demux:sink_channel wire router_src_startofpacket; // router:src_startofpacket -> cmd_demux:sink_startofpacket wire router_src_endofpacket; // router:src_endofpacket -> cmd_demux:sink_endofpacket wire cpu_instruction_master_agent_cp_valid; // cpu_instruction_master_agent:cp_valid -> router_001:sink_valid @@ -307,7 +274,7 @@ module niosII_mm_interconnect_0 ( wire router_001_src_valid; // router_001:src_valid -> cmd_demux_001:sink_valid wire [93:0] router_001_src_data; // router_001:src_data -> cmd_demux_001:sink_data wire router_001_src_ready; // cmd_demux_001:sink_ready -> router_001:src_ready - wire [6:0] router_001_src_channel; // router_001:src_channel -> cmd_demux_001:sink_channel + wire [5:0] router_001_src_channel; // router_001:src_channel -> cmd_demux_001:sink_channel wire router_001_src_startofpacket; // router_001:src_startofpacket -> cmd_demux_001:sink_startofpacket wire router_001_src_endofpacket; // router_001:src_endofpacket -> cmd_demux_001:sink_endofpacket wire jtag_uart_avalon_jtag_slave_agent_rp_valid; // jtag_uart_avalon_jtag_slave_agent:rp_valid -> router_002:sink_valid @@ -318,18 +285,18 @@ module niosII_mm_interconnect_0 ( wire router_002_src_valid; // router_002:src_valid -> rsp_demux:sink_valid wire [93:0] router_002_src_data; // router_002:src_data -> rsp_demux:sink_data wire router_002_src_ready; // rsp_demux:sink_ready -> router_002:src_ready - wire [6:0] router_002_src_channel; // router_002:src_channel -> rsp_demux:sink_channel + wire [5:0] router_002_src_channel; // router_002:src_channel -> rsp_demux:sink_channel wire router_002_src_startofpacket; // router_002:src_startofpacket -> rsp_demux:sink_startofpacket wire router_002_src_endofpacket; // router_002:src_endofpacket -> rsp_demux:sink_endofpacket - wire sem_ctl_slave_agent_rp_valid; // sem_ctl_slave_agent:rp_valid -> router_003:sink_valid - wire [93:0] sem_ctl_slave_agent_rp_data; // sem_ctl_slave_agent:rp_data -> router_003:sink_data - wire sem_ctl_slave_agent_rp_ready; // router_003:sink_ready -> sem_ctl_slave_agent:rp_ready - wire sem_ctl_slave_agent_rp_startofpacket; // sem_ctl_slave_agent:rp_startofpacket -> router_003:sink_startofpacket - wire sem_ctl_slave_agent_rp_endofpacket; // sem_ctl_slave_agent:rp_endofpacket -> router_003:sink_endofpacket + wire sigdel_0_avalon_slave_agent_rp_valid; // sigdel_0_avalon_slave_agent:rp_valid -> router_003:sink_valid + wire [93:0] sigdel_0_avalon_slave_agent_rp_data; // sigdel_0_avalon_slave_agent:rp_data -> router_003:sink_data + wire sigdel_0_avalon_slave_agent_rp_ready; // router_003:sink_ready -> sigdel_0_avalon_slave_agent:rp_ready + wire sigdel_0_avalon_slave_agent_rp_startofpacket; // sigdel_0_avalon_slave_agent:rp_startofpacket -> router_003:sink_startofpacket + wire sigdel_0_avalon_slave_agent_rp_endofpacket; // sigdel_0_avalon_slave_agent:rp_endofpacket -> router_003:sink_endofpacket wire router_003_src_valid; // router_003:src_valid -> rsp_demux_001:sink_valid wire [93:0] router_003_src_data; // router_003:src_data -> rsp_demux_001:sink_data wire router_003_src_ready; // rsp_demux_001:sink_ready -> router_003:src_ready - wire [6:0] router_003_src_channel; // router_003:src_channel -> rsp_demux_001:sink_channel + wire [5:0] router_003_src_channel; // router_003:src_channel -> rsp_demux_001:sink_channel wire router_003_src_startofpacket; // router_003:src_startofpacket -> rsp_demux_001:sink_startofpacket wire router_003_src_endofpacket; // router_003:src_endofpacket -> rsp_demux_001:sink_endofpacket wire cpu_debug_mem_slave_agent_rp_valid; // cpu_debug_mem_slave_agent:rp_valid -> router_004:sink_valid @@ -340,149 +307,126 @@ module niosII_mm_interconnect_0 ( wire router_004_src_valid; // router_004:src_valid -> rsp_demux_002:sink_valid wire [93:0] router_004_src_data; // router_004:src_data -> rsp_demux_002:sink_data wire router_004_src_ready; // rsp_demux_002:sink_ready -> router_004:src_ready - wire [6:0] router_004_src_channel; // router_004:src_channel -> rsp_demux_002:sink_channel + wire [5:0] router_004_src_channel; // router_004:src_channel -> rsp_demux_002:sink_channel wire router_004_src_startofpacket; // router_004:src_startofpacket -> rsp_demux_002:sink_startofpacket wire router_004_src_endofpacket; // router_004:src_endofpacket -> rsp_demux_002:sink_endofpacket - wire sem_ram_slave_agent_rp_valid; // sem_ram_slave_agent:rp_valid -> router_005:sink_valid - wire [93:0] sem_ram_slave_agent_rp_data; // sem_ram_slave_agent:rp_data -> router_005:sink_data - wire sem_ram_slave_agent_rp_ready; // router_005:sink_ready -> sem_ram_slave_agent:rp_ready - wire sem_ram_slave_agent_rp_startofpacket; // sem_ram_slave_agent:rp_startofpacket -> router_005:sink_startofpacket - wire sem_ram_slave_agent_rp_endofpacket; // sem_ram_slave_agent:rp_endofpacket -> router_005:sink_endofpacket + wire sys_clk_timer_s1_agent_rp_valid; // sys_clk_timer_s1_agent:rp_valid -> router_005:sink_valid + wire [93:0] sys_clk_timer_s1_agent_rp_data; // sys_clk_timer_s1_agent:rp_data -> router_005:sink_data + wire sys_clk_timer_s1_agent_rp_ready; // router_005:sink_ready -> sys_clk_timer_s1_agent:rp_ready + wire sys_clk_timer_s1_agent_rp_startofpacket; // sys_clk_timer_s1_agent:rp_startofpacket -> router_005:sink_startofpacket + wire sys_clk_timer_s1_agent_rp_endofpacket; // sys_clk_timer_s1_agent:rp_endofpacket -> router_005:sink_endofpacket wire router_005_src_valid; // router_005:src_valid -> rsp_demux_003:sink_valid wire [93:0] router_005_src_data; // router_005:src_data -> rsp_demux_003:sink_data wire router_005_src_ready; // rsp_demux_003:sink_ready -> router_005:src_ready - wire [6:0] router_005_src_channel; // router_005:src_channel -> rsp_demux_003:sink_channel + wire [5:0] router_005_src_channel; // router_005:src_channel -> rsp_demux_003:sink_channel wire router_005_src_startofpacket; // router_005:src_startofpacket -> rsp_demux_003:sink_startofpacket wire router_005_src_endofpacket; // router_005:src_endofpacket -> rsp_demux_003:sink_endofpacket - wire sys_clk_timer_s1_agent_rp_valid; // sys_clk_timer_s1_agent:rp_valid -> router_006:sink_valid - wire [93:0] sys_clk_timer_s1_agent_rp_data; // sys_clk_timer_s1_agent:rp_data -> router_006:sink_data - wire sys_clk_timer_s1_agent_rp_ready; // router_006:sink_ready -> sys_clk_timer_s1_agent:rp_ready - wire sys_clk_timer_s1_agent_rp_startofpacket; // sys_clk_timer_s1_agent:rp_startofpacket -> router_006:sink_startofpacket - wire sys_clk_timer_s1_agent_rp_endofpacket; // sys_clk_timer_s1_agent:rp_endofpacket -> router_006:sink_endofpacket + wire mem_s2_agent_rp_valid; // mem_s2_agent:rp_valid -> router_006:sink_valid + wire [93:0] mem_s2_agent_rp_data; // mem_s2_agent:rp_data -> router_006:sink_data + wire mem_s2_agent_rp_ready; // router_006:sink_ready -> mem_s2_agent:rp_ready + wire mem_s2_agent_rp_startofpacket; // mem_s2_agent:rp_startofpacket -> router_006:sink_startofpacket + wire mem_s2_agent_rp_endofpacket; // mem_s2_agent:rp_endofpacket -> router_006:sink_endofpacket wire router_006_src_valid; // router_006:src_valid -> rsp_demux_004:sink_valid wire [93:0] router_006_src_data; // router_006:src_data -> rsp_demux_004:sink_data wire router_006_src_ready; // rsp_demux_004:sink_ready -> router_006:src_ready - wire [6:0] router_006_src_channel; // router_006:src_channel -> rsp_demux_004:sink_channel + wire [5:0] router_006_src_channel; // router_006:src_channel -> rsp_demux_004:sink_channel wire router_006_src_startofpacket; // router_006:src_startofpacket -> rsp_demux_004:sink_startofpacket wire router_006_src_endofpacket; // router_006:src_endofpacket -> rsp_demux_004:sink_endofpacket - wire mem_s2_agent_rp_valid; // mem_s2_agent:rp_valid -> router_007:sink_valid - wire [93:0] mem_s2_agent_rp_data; // mem_s2_agent:rp_data -> router_007:sink_data - wire mem_s2_agent_rp_ready; // router_007:sink_ready -> mem_s2_agent:rp_ready - wire mem_s2_agent_rp_startofpacket; // mem_s2_agent:rp_startofpacket -> router_007:sink_startofpacket - wire mem_s2_agent_rp_endofpacket; // mem_s2_agent:rp_endofpacket -> router_007:sink_endofpacket + wire mem_s1_agent_rp_valid; // mem_s1_agent:rp_valid -> router_007:sink_valid + wire [93:0] mem_s1_agent_rp_data; // mem_s1_agent:rp_data -> router_007:sink_data + wire mem_s1_agent_rp_ready; // router_007:sink_ready -> mem_s1_agent:rp_ready + wire mem_s1_agent_rp_startofpacket; // mem_s1_agent:rp_startofpacket -> router_007:sink_startofpacket + wire mem_s1_agent_rp_endofpacket; // mem_s1_agent:rp_endofpacket -> router_007:sink_endofpacket wire router_007_src_valid; // router_007:src_valid -> rsp_demux_005:sink_valid wire [93:0] router_007_src_data; // router_007:src_data -> rsp_demux_005:sink_data wire router_007_src_ready; // rsp_demux_005:sink_ready -> router_007:src_ready - wire [6:0] router_007_src_channel; // router_007:src_channel -> rsp_demux_005:sink_channel + wire [5:0] router_007_src_channel; // router_007:src_channel -> rsp_demux_005:sink_channel wire router_007_src_startofpacket; // router_007:src_startofpacket -> rsp_demux_005:sink_startofpacket wire router_007_src_endofpacket; // router_007:src_endofpacket -> rsp_demux_005:sink_endofpacket - wire mem_s1_agent_rp_valid; // mem_s1_agent:rp_valid -> router_008:sink_valid - wire [93:0] mem_s1_agent_rp_data; // mem_s1_agent:rp_data -> router_008:sink_data - wire mem_s1_agent_rp_ready; // router_008:sink_ready -> mem_s1_agent:rp_ready - wire mem_s1_agent_rp_startofpacket; // mem_s1_agent:rp_startofpacket -> router_008:sink_startofpacket - wire mem_s1_agent_rp_endofpacket; // mem_s1_agent:rp_endofpacket -> router_008:sink_endofpacket - wire router_008_src_valid; // router_008:src_valid -> rsp_demux_006:sink_valid - wire [93:0] router_008_src_data; // router_008:src_data -> rsp_demux_006:sink_data - wire router_008_src_ready; // rsp_demux_006:sink_ready -> router_008:src_ready - wire [6:0] router_008_src_channel; // router_008:src_channel -> rsp_demux_006:sink_channel - wire router_008_src_startofpacket; // router_008:src_startofpacket -> rsp_demux_006:sink_startofpacket - wire router_008_src_endofpacket; // router_008:src_endofpacket -> rsp_demux_006:sink_endofpacket wire cmd_demux_src0_valid; // cmd_demux:src0_valid -> cmd_mux:sink0_valid wire [93:0] cmd_demux_src0_data; // cmd_demux:src0_data -> cmd_mux:sink0_data wire cmd_demux_src0_ready; // cmd_mux:sink0_ready -> cmd_demux:src0_ready - wire [6:0] cmd_demux_src0_channel; // cmd_demux:src0_channel -> cmd_mux:sink0_channel + wire [5:0] cmd_demux_src0_channel; // cmd_demux:src0_channel -> cmd_mux:sink0_channel wire cmd_demux_src0_startofpacket; // cmd_demux:src0_startofpacket -> cmd_mux:sink0_startofpacket wire cmd_demux_src0_endofpacket; // cmd_demux:src0_endofpacket -> cmd_mux:sink0_endofpacket wire cmd_demux_src1_valid; // cmd_demux:src1_valid -> cmd_mux_001:sink0_valid wire [93:0] cmd_demux_src1_data; // cmd_demux:src1_data -> cmd_mux_001:sink0_data wire cmd_demux_src1_ready; // cmd_mux_001:sink0_ready -> cmd_demux:src1_ready - wire [6:0] cmd_demux_src1_channel; // cmd_demux:src1_channel -> cmd_mux_001:sink0_channel + wire [5:0] cmd_demux_src1_channel; // cmd_demux:src1_channel -> cmd_mux_001:sink0_channel wire cmd_demux_src1_startofpacket; // cmd_demux:src1_startofpacket -> cmd_mux_001:sink0_startofpacket wire cmd_demux_src1_endofpacket; // cmd_demux:src1_endofpacket -> cmd_mux_001:sink0_endofpacket wire cmd_demux_src2_valid; // cmd_demux:src2_valid -> cmd_mux_002:sink0_valid wire [93:0] cmd_demux_src2_data; // cmd_demux:src2_data -> cmd_mux_002:sink0_data wire cmd_demux_src2_ready; // cmd_mux_002:sink0_ready -> cmd_demux:src2_ready - wire [6:0] cmd_demux_src2_channel; // cmd_demux:src2_channel -> cmd_mux_002:sink0_channel + wire [5:0] cmd_demux_src2_channel; // cmd_demux:src2_channel -> cmd_mux_002:sink0_channel wire cmd_demux_src2_startofpacket; // cmd_demux:src2_startofpacket -> cmd_mux_002:sink0_startofpacket wire cmd_demux_src2_endofpacket; // cmd_demux:src2_endofpacket -> cmd_mux_002:sink0_endofpacket wire cmd_demux_src3_valid; // cmd_demux:src3_valid -> cmd_mux_003:sink0_valid wire [93:0] cmd_demux_src3_data; // cmd_demux:src3_data -> cmd_mux_003:sink0_data wire cmd_demux_src3_ready; // cmd_mux_003:sink0_ready -> cmd_demux:src3_ready - wire [6:0] cmd_demux_src3_channel; // cmd_demux:src3_channel -> cmd_mux_003:sink0_channel + wire [5:0] cmd_demux_src3_channel; // cmd_demux:src3_channel -> cmd_mux_003:sink0_channel wire cmd_demux_src3_startofpacket; // cmd_demux:src3_startofpacket -> cmd_mux_003:sink0_startofpacket wire cmd_demux_src3_endofpacket; // cmd_demux:src3_endofpacket -> cmd_mux_003:sink0_endofpacket wire cmd_demux_src4_valid; // cmd_demux:src4_valid -> cmd_mux_004:sink0_valid wire [93:0] cmd_demux_src4_data; // cmd_demux:src4_data -> cmd_mux_004:sink0_data wire cmd_demux_src4_ready; // cmd_mux_004:sink0_ready -> cmd_demux:src4_ready - wire [6:0] cmd_demux_src4_channel; // cmd_demux:src4_channel -> cmd_mux_004:sink0_channel + wire [5:0] cmd_demux_src4_channel; // cmd_demux:src4_channel -> cmd_mux_004:sink0_channel wire cmd_demux_src4_startofpacket; // cmd_demux:src4_startofpacket -> cmd_mux_004:sink0_startofpacket wire cmd_demux_src4_endofpacket; // cmd_demux:src4_endofpacket -> cmd_mux_004:sink0_endofpacket - wire cmd_demux_src5_valid; // cmd_demux:src5_valid -> cmd_mux_005:sink0_valid - wire [93:0] cmd_demux_src5_data; // cmd_demux:src5_data -> cmd_mux_005:sink0_data - wire cmd_demux_src5_ready; // cmd_mux_005:sink0_ready -> cmd_demux:src5_ready - wire [6:0] cmd_demux_src5_channel; // cmd_demux:src5_channel -> cmd_mux_005:sink0_channel - wire cmd_demux_src5_startofpacket; // cmd_demux:src5_startofpacket -> cmd_mux_005:sink0_startofpacket - wire cmd_demux_src5_endofpacket; // cmd_demux:src5_endofpacket -> cmd_mux_005:sink0_endofpacket wire cmd_demux_001_src0_valid; // cmd_demux_001:src0_valid -> cmd_mux_002:sink1_valid wire [93:0] cmd_demux_001_src0_data; // cmd_demux_001:src0_data -> cmd_mux_002:sink1_data wire cmd_demux_001_src0_ready; // cmd_mux_002:sink1_ready -> cmd_demux_001:src0_ready - wire [6:0] cmd_demux_001_src0_channel; // cmd_demux_001:src0_channel -> cmd_mux_002:sink1_channel + wire [5:0] cmd_demux_001_src0_channel; // cmd_demux_001:src0_channel -> cmd_mux_002:sink1_channel wire cmd_demux_001_src0_startofpacket; // cmd_demux_001:src0_startofpacket -> cmd_mux_002:sink1_startofpacket wire cmd_demux_001_src0_endofpacket; // cmd_demux_001:src0_endofpacket -> cmd_mux_002:sink1_endofpacket - wire cmd_demux_001_src1_valid; // cmd_demux_001:src1_valid -> cmd_mux_006:sink0_valid - wire [93:0] cmd_demux_001_src1_data; // cmd_demux_001:src1_data -> cmd_mux_006:sink0_data - wire cmd_demux_001_src1_ready; // cmd_mux_006:sink0_ready -> cmd_demux_001:src1_ready - wire [6:0] cmd_demux_001_src1_channel; // cmd_demux_001:src1_channel -> cmd_mux_006:sink0_channel - wire cmd_demux_001_src1_startofpacket; // cmd_demux_001:src1_startofpacket -> cmd_mux_006:sink0_startofpacket - wire cmd_demux_001_src1_endofpacket; // cmd_demux_001:src1_endofpacket -> cmd_mux_006:sink0_endofpacket + wire cmd_demux_001_src1_valid; // cmd_demux_001:src1_valid -> cmd_mux_005:sink0_valid + wire [93:0] cmd_demux_001_src1_data; // cmd_demux_001:src1_data -> cmd_mux_005:sink0_data + wire cmd_demux_001_src1_ready; // cmd_mux_005:sink0_ready -> cmd_demux_001:src1_ready + wire [5:0] cmd_demux_001_src1_channel; // cmd_demux_001:src1_channel -> cmd_mux_005:sink0_channel + wire cmd_demux_001_src1_startofpacket; // cmd_demux_001:src1_startofpacket -> cmd_mux_005:sink0_startofpacket + wire cmd_demux_001_src1_endofpacket; // cmd_demux_001:src1_endofpacket -> cmd_mux_005:sink0_endofpacket wire rsp_demux_src0_valid; // rsp_demux:src0_valid -> rsp_mux:sink0_valid wire [93:0] rsp_demux_src0_data; // rsp_demux:src0_data -> rsp_mux:sink0_data wire rsp_demux_src0_ready; // rsp_mux:sink0_ready -> rsp_demux:src0_ready - wire [6:0] rsp_demux_src0_channel; // rsp_demux:src0_channel -> rsp_mux:sink0_channel + wire [5:0] rsp_demux_src0_channel; // rsp_demux:src0_channel -> rsp_mux:sink0_channel wire rsp_demux_src0_startofpacket; // rsp_demux:src0_startofpacket -> rsp_mux:sink0_startofpacket wire rsp_demux_src0_endofpacket; // rsp_demux:src0_endofpacket -> rsp_mux:sink0_endofpacket wire rsp_demux_001_src0_valid; // rsp_demux_001:src0_valid -> rsp_mux:sink1_valid wire [93:0] rsp_demux_001_src0_data; // rsp_demux_001:src0_data -> rsp_mux:sink1_data wire rsp_demux_001_src0_ready; // rsp_mux:sink1_ready -> rsp_demux_001:src0_ready - wire [6:0] rsp_demux_001_src0_channel; // rsp_demux_001:src0_channel -> rsp_mux:sink1_channel + wire [5:0] rsp_demux_001_src0_channel; // rsp_demux_001:src0_channel -> rsp_mux:sink1_channel wire rsp_demux_001_src0_startofpacket; // rsp_demux_001:src0_startofpacket -> rsp_mux:sink1_startofpacket wire rsp_demux_001_src0_endofpacket; // rsp_demux_001:src0_endofpacket -> rsp_mux:sink1_endofpacket wire rsp_demux_002_src0_valid; // rsp_demux_002:src0_valid -> rsp_mux:sink2_valid wire [93:0] rsp_demux_002_src0_data; // rsp_demux_002:src0_data -> rsp_mux:sink2_data wire rsp_demux_002_src0_ready; // rsp_mux:sink2_ready -> rsp_demux_002:src0_ready - wire [6:0] rsp_demux_002_src0_channel; // rsp_demux_002:src0_channel -> rsp_mux:sink2_channel + wire [5:0] rsp_demux_002_src0_channel; // rsp_demux_002:src0_channel -> rsp_mux:sink2_channel wire rsp_demux_002_src0_startofpacket; // rsp_demux_002:src0_startofpacket -> rsp_mux:sink2_startofpacket wire rsp_demux_002_src0_endofpacket; // rsp_demux_002:src0_endofpacket -> rsp_mux:sink2_endofpacket wire rsp_demux_002_src1_valid; // rsp_demux_002:src1_valid -> rsp_mux_001:sink0_valid wire [93:0] rsp_demux_002_src1_data; // rsp_demux_002:src1_data -> rsp_mux_001:sink0_data wire rsp_demux_002_src1_ready; // rsp_mux_001:sink0_ready -> rsp_demux_002:src1_ready - wire [6:0] rsp_demux_002_src1_channel; // rsp_demux_002:src1_channel -> rsp_mux_001:sink0_channel + wire [5:0] rsp_demux_002_src1_channel; // rsp_demux_002:src1_channel -> rsp_mux_001:sink0_channel wire rsp_demux_002_src1_startofpacket; // rsp_demux_002:src1_startofpacket -> rsp_mux_001:sink0_startofpacket wire rsp_demux_002_src1_endofpacket; // rsp_demux_002:src1_endofpacket -> rsp_mux_001:sink0_endofpacket wire rsp_demux_003_src0_valid; // rsp_demux_003:src0_valid -> rsp_mux:sink3_valid wire [93:0] rsp_demux_003_src0_data; // rsp_demux_003:src0_data -> rsp_mux:sink3_data wire rsp_demux_003_src0_ready; // rsp_mux:sink3_ready -> rsp_demux_003:src0_ready - wire [6:0] rsp_demux_003_src0_channel; // rsp_demux_003:src0_channel -> rsp_mux:sink3_channel + wire [5:0] rsp_demux_003_src0_channel; // rsp_demux_003:src0_channel -> rsp_mux:sink3_channel wire rsp_demux_003_src0_startofpacket; // rsp_demux_003:src0_startofpacket -> rsp_mux:sink3_startofpacket wire rsp_demux_003_src0_endofpacket; // rsp_demux_003:src0_endofpacket -> rsp_mux:sink3_endofpacket wire rsp_demux_004_src0_valid; // rsp_demux_004:src0_valid -> rsp_mux:sink4_valid wire [93:0] rsp_demux_004_src0_data; // rsp_demux_004:src0_data -> rsp_mux:sink4_data wire rsp_demux_004_src0_ready; // rsp_mux:sink4_ready -> rsp_demux_004:src0_ready - wire [6:0] rsp_demux_004_src0_channel; // rsp_demux_004:src0_channel -> rsp_mux:sink4_channel + wire [5:0] rsp_demux_004_src0_channel; // rsp_demux_004:src0_channel -> rsp_mux:sink4_channel wire rsp_demux_004_src0_startofpacket; // rsp_demux_004:src0_startofpacket -> rsp_mux:sink4_startofpacket wire rsp_demux_004_src0_endofpacket; // rsp_demux_004:src0_endofpacket -> rsp_mux:sink4_endofpacket - wire rsp_demux_005_src0_valid; // rsp_demux_005:src0_valid -> rsp_mux:sink5_valid - wire [93:0] rsp_demux_005_src0_data; // rsp_demux_005:src0_data -> rsp_mux:sink5_data - wire rsp_demux_005_src0_ready; // rsp_mux:sink5_ready -> rsp_demux_005:src0_ready - wire [6:0] rsp_demux_005_src0_channel; // rsp_demux_005:src0_channel -> rsp_mux:sink5_channel - wire rsp_demux_005_src0_startofpacket; // rsp_demux_005:src0_startofpacket -> rsp_mux:sink5_startofpacket - wire rsp_demux_005_src0_endofpacket; // rsp_demux_005:src0_endofpacket -> rsp_mux:sink5_endofpacket - wire rsp_demux_006_src0_valid; // rsp_demux_006:src0_valid -> rsp_mux_001:sink1_valid - wire [93:0] rsp_demux_006_src0_data; // rsp_demux_006:src0_data -> rsp_mux_001:sink1_data - wire rsp_demux_006_src0_ready; // rsp_mux_001:sink1_ready -> rsp_demux_006:src0_ready - wire [6:0] rsp_demux_006_src0_channel; // rsp_demux_006:src0_channel -> rsp_mux_001:sink1_channel - wire rsp_demux_006_src0_startofpacket; // rsp_demux_006:src0_startofpacket -> rsp_mux_001:sink1_startofpacket - wire rsp_demux_006_src0_endofpacket; // rsp_demux_006:src0_endofpacket -> rsp_mux_001:sink1_endofpacket + wire rsp_demux_005_src0_valid; // rsp_demux_005:src0_valid -> rsp_mux_001:sink1_valid + wire [93:0] rsp_demux_005_src0_data; // rsp_demux_005:src0_data -> rsp_mux_001:sink1_data + wire rsp_demux_005_src0_ready; // rsp_mux_001:sink1_ready -> rsp_demux_005:src0_ready + wire [5:0] rsp_demux_005_src0_channel; // rsp_demux_005:src0_channel -> rsp_mux_001:sink1_channel + wire rsp_demux_005_src0_startofpacket; // rsp_demux_005:src0_startofpacket -> rsp_mux_001:sink1_startofpacket + wire rsp_demux_005_src0_endofpacket; // rsp_demux_005:src0_endofpacket -> rsp_mux_001:sink1_endofpacket wire jtag_uart_avalon_jtag_slave_agent_rdata_fifo_src_valid; // jtag_uart_avalon_jtag_slave_agent:rdata_fifo_src_valid -> avalon_st_adapter:in_0_valid wire [33:0] jtag_uart_avalon_jtag_slave_agent_rdata_fifo_src_data; // jtag_uart_avalon_jtag_slave_agent:rdata_fifo_src_data -> avalon_st_adapter:in_0_data wire jtag_uart_avalon_jtag_slave_agent_rdata_fifo_src_ready; // avalon_st_adapter:in_0_ready -> jtag_uart_avalon_jtag_slave_agent:rdata_fifo_src_ready @@ -490,13 +434,13 @@ module niosII_mm_interconnect_0 ( wire [33:0] avalon_st_adapter_out_0_data; // avalon_st_adapter:out_0_data -> jtag_uart_avalon_jtag_slave_agent:rdata_fifo_sink_data wire avalon_st_adapter_out_0_ready; // jtag_uart_avalon_jtag_slave_agent:rdata_fifo_sink_ready -> avalon_st_adapter:out_0_ready wire [0:0] avalon_st_adapter_out_0_error; // avalon_st_adapter:out_0_error -> jtag_uart_avalon_jtag_slave_agent:rdata_fifo_sink_error - wire sem_ctl_slave_agent_rdata_fifo_src_valid; // sem_ctl_slave_agent:rdata_fifo_src_valid -> avalon_st_adapter_001:in_0_valid - wire [33:0] sem_ctl_slave_agent_rdata_fifo_src_data; // sem_ctl_slave_agent:rdata_fifo_src_data -> avalon_st_adapter_001:in_0_data - wire sem_ctl_slave_agent_rdata_fifo_src_ready; // avalon_st_adapter_001:in_0_ready -> sem_ctl_slave_agent:rdata_fifo_src_ready - wire avalon_st_adapter_001_out_0_valid; // avalon_st_adapter_001:out_0_valid -> sem_ctl_slave_agent:rdata_fifo_sink_valid - wire [33:0] avalon_st_adapter_001_out_0_data; // avalon_st_adapter_001:out_0_data -> sem_ctl_slave_agent:rdata_fifo_sink_data - wire avalon_st_adapter_001_out_0_ready; // sem_ctl_slave_agent:rdata_fifo_sink_ready -> avalon_st_adapter_001:out_0_ready - wire [0:0] avalon_st_adapter_001_out_0_error; // avalon_st_adapter_001:out_0_error -> sem_ctl_slave_agent:rdata_fifo_sink_error + wire sigdel_0_avalon_slave_agent_rdata_fifo_src_valid; // sigdel_0_avalon_slave_agent:rdata_fifo_src_valid -> avalon_st_adapter_001:in_0_valid + wire [33:0] sigdel_0_avalon_slave_agent_rdata_fifo_src_data; // sigdel_0_avalon_slave_agent:rdata_fifo_src_data -> avalon_st_adapter_001:in_0_data + wire sigdel_0_avalon_slave_agent_rdata_fifo_src_ready; // avalon_st_adapter_001:in_0_ready -> sigdel_0_avalon_slave_agent:rdata_fifo_src_ready + wire avalon_st_adapter_001_out_0_valid; // avalon_st_adapter_001:out_0_valid -> sigdel_0_avalon_slave_agent:rdata_fifo_sink_valid + wire [33:0] avalon_st_adapter_001_out_0_data; // avalon_st_adapter_001:out_0_data -> sigdel_0_avalon_slave_agent:rdata_fifo_sink_data + wire avalon_st_adapter_001_out_0_ready; // sigdel_0_avalon_slave_agent:rdata_fifo_sink_ready -> avalon_st_adapter_001:out_0_ready + wire [0:0] avalon_st_adapter_001_out_0_error; // avalon_st_adapter_001:out_0_error -> sigdel_0_avalon_slave_agent:rdata_fifo_sink_error wire cpu_debug_mem_slave_agent_rdata_fifo_src_valid; // cpu_debug_mem_slave_agent:rdata_fifo_src_valid -> avalon_st_adapter_002:in_0_valid wire [33:0] cpu_debug_mem_slave_agent_rdata_fifo_src_data; // cpu_debug_mem_slave_agent:rdata_fifo_src_data -> avalon_st_adapter_002:in_0_data wire cpu_debug_mem_slave_agent_rdata_fifo_src_ready; // avalon_st_adapter_002:in_0_ready -> cpu_debug_mem_slave_agent:rdata_fifo_src_ready @@ -504,34 +448,27 @@ module niosII_mm_interconnect_0 ( wire [33:0] avalon_st_adapter_002_out_0_data; // avalon_st_adapter_002:out_0_data -> cpu_debug_mem_slave_agent:rdata_fifo_sink_data wire avalon_st_adapter_002_out_0_ready; // cpu_debug_mem_slave_agent:rdata_fifo_sink_ready -> avalon_st_adapter_002:out_0_ready wire [0:0] avalon_st_adapter_002_out_0_error; // avalon_st_adapter_002:out_0_error -> cpu_debug_mem_slave_agent:rdata_fifo_sink_error - wire sem_ram_slave_agent_rdata_fifo_src_valid; // sem_ram_slave_agent:rdata_fifo_src_valid -> avalon_st_adapter_003:in_0_valid - wire [33:0] sem_ram_slave_agent_rdata_fifo_src_data; // sem_ram_slave_agent:rdata_fifo_src_data -> avalon_st_adapter_003:in_0_data - wire sem_ram_slave_agent_rdata_fifo_src_ready; // avalon_st_adapter_003:in_0_ready -> sem_ram_slave_agent:rdata_fifo_src_ready - wire avalon_st_adapter_003_out_0_valid; // avalon_st_adapter_003:out_0_valid -> sem_ram_slave_agent:rdata_fifo_sink_valid - wire [33:0] avalon_st_adapter_003_out_0_data; // avalon_st_adapter_003:out_0_data -> sem_ram_slave_agent:rdata_fifo_sink_data - wire avalon_st_adapter_003_out_0_ready; // sem_ram_slave_agent:rdata_fifo_sink_ready -> avalon_st_adapter_003:out_0_ready - wire [0:0] avalon_st_adapter_003_out_0_error; // avalon_st_adapter_003:out_0_error -> sem_ram_slave_agent:rdata_fifo_sink_error - wire sys_clk_timer_s1_agent_rdata_fifo_src_valid; // sys_clk_timer_s1_agent:rdata_fifo_src_valid -> avalon_st_adapter_004:in_0_valid - wire [33:0] sys_clk_timer_s1_agent_rdata_fifo_src_data; // sys_clk_timer_s1_agent:rdata_fifo_src_data -> avalon_st_adapter_004:in_0_data - wire sys_clk_timer_s1_agent_rdata_fifo_src_ready; // avalon_st_adapter_004:in_0_ready -> sys_clk_timer_s1_agent:rdata_fifo_src_ready - wire avalon_st_adapter_004_out_0_valid; // avalon_st_adapter_004:out_0_valid -> sys_clk_timer_s1_agent:rdata_fifo_sink_valid - wire [33:0] avalon_st_adapter_004_out_0_data; // avalon_st_adapter_004:out_0_data -> sys_clk_timer_s1_agent:rdata_fifo_sink_data - wire avalon_st_adapter_004_out_0_ready; // sys_clk_timer_s1_agent:rdata_fifo_sink_ready -> avalon_st_adapter_004:out_0_ready - wire [0:0] avalon_st_adapter_004_out_0_error; // avalon_st_adapter_004:out_0_error -> sys_clk_timer_s1_agent:rdata_fifo_sink_error - wire mem_s2_agent_rdata_fifo_src_valid; // mem_s2_agent:rdata_fifo_src_valid -> avalon_st_adapter_005:in_0_valid - wire [33:0] mem_s2_agent_rdata_fifo_src_data; // mem_s2_agent:rdata_fifo_src_data -> avalon_st_adapter_005:in_0_data - wire mem_s2_agent_rdata_fifo_src_ready; // avalon_st_adapter_005:in_0_ready -> mem_s2_agent:rdata_fifo_src_ready - wire avalon_st_adapter_005_out_0_valid; // avalon_st_adapter_005:out_0_valid -> mem_s2_agent:rdata_fifo_sink_valid - wire [33:0] avalon_st_adapter_005_out_0_data; // avalon_st_adapter_005:out_0_data -> mem_s2_agent:rdata_fifo_sink_data - wire avalon_st_adapter_005_out_0_ready; // mem_s2_agent:rdata_fifo_sink_ready -> avalon_st_adapter_005:out_0_ready - wire [0:0] avalon_st_adapter_005_out_0_error; // avalon_st_adapter_005:out_0_error -> mem_s2_agent:rdata_fifo_sink_error - wire mem_s1_agent_rdata_fifo_src_valid; // mem_s1_agent:rdata_fifo_src_valid -> avalon_st_adapter_006:in_0_valid - wire [33:0] mem_s1_agent_rdata_fifo_src_data; // mem_s1_agent:rdata_fifo_src_data -> avalon_st_adapter_006:in_0_data - wire mem_s1_agent_rdata_fifo_src_ready; // avalon_st_adapter_006:in_0_ready -> mem_s1_agent:rdata_fifo_src_ready - wire avalon_st_adapter_006_out_0_valid; // avalon_st_adapter_006:out_0_valid -> mem_s1_agent:rdata_fifo_sink_valid - wire [33:0] avalon_st_adapter_006_out_0_data; // avalon_st_adapter_006:out_0_data -> mem_s1_agent:rdata_fifo_sink_data - wire avalon_st_adapter_006_out_0_ready; // mem_s1_agent:rdata_fifo_sink_ready -> avalon_st_adapter_006:out_0_ready - wire [0:0] avalon_st_adapter_006_out_0_error; // avalon_st_adapter_006:out_0_error -> mem_s1_agent:rdata_fifo_sink_error + wire sys_clk_timer_s1_agent_rdata_fifo_src_valid; // sys_clk_timer_s1_agent:rdata_fifo_src_valid -> avalon_st_adapter_003:in_0_valid + wire [33:0] sys_clk_timer_s1_agent_rdata_fifo_src_data; // sys_clk_timer_s1_agent:rdata_fifo_src_data -> avalon_st_adapter_003:in_0_data + wire sys_clk_timer_s1_agent_rdata_fifo_src_ready; // avalon_st_adapter_003:in_0_ready -> sys_clk_timer_s1_agent:rdata_fifo_src_ready + wire avalon_st_adapter_003_out_0_valid; // avalon_st_adapter_003:out_0_valid -> sys_clk_timer_s1_agent:rdata_fifo_sink_valid + wire [33:0] avalon_st_adapter_003_out_0_data; // avalon_st_adapter_003:out_0_data -> sys_clk_timer_s1_agent:rdata_fifo_sink_data + wire avalon_st_adapter_003_out_0_ready; // sys_clk_timer_s1_agent:rdata_fifo_sink_ready -> avalon_st_adapter_003:out_0_ready + wire [0:0] avalon_st_adapter_003_out_0_error; // avalon_st_adapter_003:out_0_error -> sys_clk_timer_s1_agent:rdata_fifo_sink_error + wire mem_s2_agent_rdata_fifo_src_valid; // mem_s2_agent:rdata_fifo_src_valid -> avalon_st_adapter_004:in_0_valid + wire [33:0] mem_s2_agent_rdata_fifo_src_data; // mem_s2_agent:rdata_fifo_src_data -> avalon_st_adapter_004:in_0_data + wire mem_s2_agent_rdata_fifo_src_ready; // avalon_st_adapter_004:in_0_ready -> mem_s2_agent:rdata_fifo_src_ready + wire avalon_st_adapter_004_out_0_valid; // avalon_st_adapter_004:out_0_valid -> mem_s2_agent:rdata_fifo_sink_valid + wire [33:0] avalon_st_adapter_004_out_0_data; // avalon_st_adapter_004:out_0_data -> mem_s2_agent:rdata_fifo_sink_data + wire avalon_st_adapter_004_out_0_ready; // mem_s2_agent:rdata_fifo_sink_ready -> avalon_st_adapter_004:out_0_ready + wire [0:0] avalon_st_adapter_004_out_0_error; // avalon_st_adapter_004:out_0_error -> mem_s2_agent:rdata_fifo_sink_error + wire mem_s1_agent_rdata_fifo_src_valid; // mem_s1_agent:rdata_fifo_src_valid -> avalon_st_adapter_005:in_0_valid + wire [33:0] mem_s1_agent_rdata_fifo_src_data; // mem_s1_agent:rdata_fifo_src_data -> avalon_st_adapter_005:in_0_data + wire mem_s1_agent_rdata_fifo_src_ready; // avalon_st_adapter_005:in_0_ready -> mem_s1_agent:rdata_fifo_src_ready + wire avalon_st_adapter_005_out_0_valid; // avalon_st_adapter_005:out_0_valid -> mem_s1_agent:rdata_fifo_sink_valid + wire [33:0] avalon_st_adapter_005_out_0_data; // avalon_st_adapter_005:out_0_data -> mem_s1_agent:rdata_fifo_sink_data + wire avalon_st_adapter_005_out_0_ready; // mem_s1_agent:rdata_fifo_sink_ready -> avalon_st_adapter_005:out_0_ready + wire [0:0] avalon_st_adapter_005_out_0_error; // avalon_st_adapter_005:out_0_error -> mem_s1_agent:rdata_fifo_sink_error altera_merlin_master_translator #( .AV_ADDRESS_W (18), @@ -739,46 +676,46 @@ module niosII_mm_interconnect_0 ( .UAV_CONSTANT_BURST_BEHAVIOR (0), .AV_REQUIRE_UNALIGNED_ADDRESSES (0), .CHIPSELECT_THROUGH_READLATENCY (0), - .AV_READ_WAIT_CYCLES (0), + .AV_READ_WAIT_CYCLES (1), .AV_WRITE_WAIT_CYCLES (0), .AV_SETUP_WAIT_CYCLES (0), .AV_DATA_HOLD_CYCLES (0) - ) sem_ctl_slave_translator ( - .clk (clk_clk_clk), // clk.clk - .reset (cpu_reset_reset_bridge_in_reset_reset), // reset.reset - .uav_address (sem_ctl_slave_agent_m0_address), // avalon_universal_slave_0.address - .uav_burstcount (sem_ctl_slave_agent_m0_burstcount), // .burstcount - .uav_read (sem_ctl_slave_agent_m0_read), // .read - .uav_write (sem_ctl_slave_agent_m0_write), // .write - .uav_waitrequest (sem_ctl_slave_agent_m0_waitrequest), // .waitrequest - .uav_readdatavalid (sem_ctl_slave_agent_m0_readdatavalid), // .readdatavalid - .uav_byteenable (sem_ctl_slave_agent_m0_byteenable), // .byteenable - .uav_readdata (sem_ctl_slave_agent_m0_readdata), // .readdata - .uav_writedata (sem_ctl_slave_agent_m0_writedata), // .writedata - .uav_lock (sem_ctl_slave_agent_m0_lock), // .lock - .uav_debugaccess (sem_ctl_slave_agent_m0_debugaccess), // .debugaccess - .av_address (sem_ctl_slave_address), // avalon_anti_slave_0.address - .av_write (sem_ctl_slave_write), // .write - .av_read (sem_ctl_slave_read), // .read - .av_readdata (sem_ctl_slave_readdata), // .readdata - .av_writedata (sem_ctl_slave_writedata), // .writedata - .av_begintransfer (), // (terminated) - .av_beginbursttransfer (), // (terminated) - .av_burstcount (), // (terminated) - .av_byteenable (), // (terminated) - .av_readdatavalid (1'b0), // (terminated) - .av_waitrequest (1'b0), // (terminated) - .av_writebyteenable (), // (terminated) - .av_lock (), // (terminated) - .av_chipselect (), // (terminated) - .av_clken (), // (terminated) - .uav_clken (1'b0), // (terminated) - .av_debugaccess (), // (terminated) - .av_outputenable (), // (terminated) - .uav_response (), // (terminated) - .av_response (2'b00), // (terminated) - .uav_writeresponsevalid (), // (terminated) - .av_writeresponsevalid (1'b0) // (terminated) + ) sigdel_0_avalon_slave_translator ( + .clk (clk_clk_clk), // clk.clk + .reset (cpu_reset_reset_bridge_in_reset_reset), // reset.reset + .uav_address (sigdel_0_avalon_slave_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (sigdel_0_avalon_slave_agent_m0_burstcount), // .burstcount + .uav_read (sigdel_0_avalon_slave_agent_m0_read), // .read + .uav_write (sigdel_0_avalon_slave_agent_m0_write), // .write + .uav_waitrequest (sigdel_0_avalon_slave_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (sigdel_0_avalon_slave_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (sigdel_0_avalon_slave_agent_m0_byteenable), // .byteenable + .uav_readdata (sigdel_0_avalon_slave_agent_m0_readdata), // .readdata + .uav_writedata (sigdel_0_avalon_slave_agent_m0_writedata), // .writedata + .uav_lock (sigdel_0_avalon_slave_agent_m0_lock), // .lock + .uav_debugaccess (sigdel_0_avalon_slave_agent_m0_debugaccess), // .debugaccess + .av_write (sigdel_0_avalon_slave_write), // avalon_anti_slave_0.write + .av_writedata (sigdel_0_avalon_slave_writedata), // .writedata + .av_address (), // (terminated) + .av_read (), // (terminated) + .av_readdata (32'b11011110101011011101111010101101), // (terminated) + .av_begintransfer (), // (terminated) + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_byteenable (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_waitrequest (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .av_chipselect (), // (terminated) + .av_clken (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_debugaccess (), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) ); altera_merlin_slave_translator #( @@ -845,70 +782,6 @@ module niosII_mm_interconnect_0 ( .av_writeresponsevalid (1'b0) // (terminated) ); - altera_merlin_slave_translator #( - .AV_ADDRESS_W (4), - .AV_DATA_W (32), - .UAV_DATA_W (32), - .AV_BURSTCOUNT_W (1), - .AV_BYTEENABLE_W (4), - .UAV_BYTEENABLE_W (4), - .UAV_ADDRESS_W (18), - .UAV_BURSTCOUNT_W (3), - .AV_READLATENCY (0), - .USE_READDATAVALID (0), - .USE_WAITREQUEST (0), - .USE_UAV_CLKEN (0), - .USE_READRESPONSE (0), - .USE_WRITERESPONSE (0), - .AV_SYMBOLS_PER_WORD (4), - .AV_ADDRESS_SYMBOLS (0), - .AV_BURSTCOUNT_SYMBOLS (0), - .AV_CONSTANT_BURST_BEHAVIOR (0), - .UAV_CONSTANT_BURST_BEHAVIOR (0), - .AV_REQUIRE_UNALIGNED_ADDRESSES (0), - .CHIPSELECT_THROUGH_READLATENCY (0), - .AV_READ_WAIT_CYCLES (1), - .AV_WRITE_WAIT_CYCLES (0), - .AV_SETUP_WAIT_CYCLES (0), - .AV_DATA_HOLD_CYCLES (0) - ) sem_ram_slave_translator ( - .clk (clk_clk_clk), // clk.clk - .reset (cpu_reset_reset_bridge_in_reset_reset), // reset.reset - .uav_address (sem_ram_slave_agent_m0_address), // avalon_universal_slave_0.address - .uav_burstcount (sem_ram_slave_agent_m0_burstcount), // .burstcount - .uav_read (sem_ram_slave_agent_m0_read), // .read - .uav_write (sem_ram_slave_agent_m0_write), // .write - .uav_waitrequest (sem_ram_slave_agent_m0_waitrequest), // .waitrequest - .uav_readdatavalid (sem_ram_slave_agent_m0_readdatavalid), // .readdatavalid - .uav_byteenable (sem_ram_slave_agent_m0_byteenable), // .byteenable - .uav_readdata (sem_ram_slave_agent_m0_readdata), // .readdata - .uav_writedata (sem_ram_slave_agent_m0_writedata), // .writedata - .uav_lock (sem_ram_slave_agent_m0_lock), // .lock - .uav_debugaccess (sem_ram_slave_agent_m0_debugaccess), // .debugaccess - .av_address (sem_ram_slave_address), // avalon_anti_slave_0.address - .av_write (sem_ram_slave_write), // .write - .av_writedata (sem_ram_slave_writedata), // .writedata - .av_read (), // (terminated) - .av_readdata (32'b11011110101011011101111010101101), // (terminated) - .av_begintransfer (), // (terminated) - .av_beginbursttransfer (), // (terminated) - .av_burstcount (), // (terminated) - .av_byteenable (), // (terminated) - .av_readdatavalid (1'b0), // (terminated) - .av_waitrequest (1'b0), // (terminated) - .av_writebyteenable (), // (terminated) - .av_lock (), // (terminated) - .av_chipselect (), // (terminated) - .av_clken (), // (terminated) - .uav_clken (1'b0), // (terminated) - .av_debugaccess (), // (terminated) - .av_outputenable (), // (terminated) - .uav_response (), // (terminated) - .av_response (2'b00), // (terminated) - .uav_writeresponsevalid (), // (terminated) - .av_writeresponsevalid (1'b0) // (terminated) - ); - altera_merlin_slave_translator #( .AV_ADDRESS_W (3), .AV_DATA_W (16), @@ -1144,7 +1017,7 @@ module niosII_mm_interconnect_0 ( .PKT_DEST_ID_H (80), .PKT_DEST_ID_L (78), .ST_DATA_W (94), - .ST_CHANNEL_W (7), + .ST_CHANNEL_W (6), .AV_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_RSP (0), .ID (0), @@ -1225,7 +1098,7 @@ module niosII_mm_interconnect_0 ( .PKT_DEST_ID_H (80), .PKT_DEST_ID_L (78), .ST_DATA_W (94), - .ST_CHANNEL_W (7), + .ST_CHANNEL_W (6), .AV_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_RSP (0), .ID (1), @@ -1293,7 +1166,7 @@ module niosII_mm_interconnect_0 ( .PKT_DEST_ID_H (80), .PKT_DEST_ID_L (78), .PKT_SYMBOL_W (8), - .ST_CHANNEL_W (7), + .ST_CHANNEL_W (6), .ST_DATA_W (94), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), @@ -1418,7 +1291,7 @@ module niosII_mm_interconnect_0 ( .PKT_DEST_ID_H (80), .PKT_DEST_ID_L (78), .PKT_SYMBOL_W (8), - .ST_CHANNEL_W (7), + .ST_CHANNEL_W (6), .ST_DATA_W (94), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), @@ -1426,50 +1299,50 @@ module niosII_mm_interconnect_0 ( .USE_READRESPONSE (0), .USE_WRITERESPONSE (0), .ECC_ENABLE (0) - ) sem_ctl_slave_agent ( - .clk (clk_clk_clk), // clk.clk - .reset (cpu_reset_reset_bridge_in_reset_reset), // clk_reset.reset - .m0_address (sem_ctl_slave_agent_m0_address), // m0.address - .m0_burstcount (sem_ctl_slave_agent_m0_burstcount), // .burstcount - .m0_byteenable (sem_ctl_slave_agent_m0_byteenable), // .byteenable - .m0_debugaccess (sem_ctl_slave_agent_m0_debugaccess), // .debugaccess - .m0_lock (sem_ctl_slave_agent_m0_lock), // .lock - .m0_readdata (sem_ctl_slave_agent_m0_readdata), // .readdata - .m0_readdatavalid (sem_ctl_slave_agent_m0_readdatavalid), // .readdatavalid - .m0_read (sem_ctl_slave_agent_m0_read), // .read - .m0_waitrequest (sem_ctl_slave_agent_m0_waitrequest), // .waitrequest - .m0_writedata (sem_ctl_slave_agent_m0_writedata), // .writedata - .m0_write (sem_ctl_slave_agent_m0_write), // .write - .rp_endofpacket (sem_ctl_slave_agent_rp_endofpacket), // rp.endofpacket - .rp_ready (sem_ctl_slave_agent_rp_ready), // .ready - .rp_valid (sem_ctl_slave_agent_rp_valid), // .valid - .rp_data (sem_ctl_slave_agent_rp_data), // .data - .rp_startofpacket (sem_ctl_slave_agent_rp_startofpacket), // .startofpacket - .cp_ready (cmd_mux_001_src_ready), // cp.ready - .cp_valid (cmd_mux_001_src_valid), // .valid - .cp_data (cmd_mux_001_src_data), // .data - .cp_startofpacket (cmd_mux_001_src_startofpacket), // .startofpacket - .cp_endofpacket (cmd_mux_001_src_endofpacket), // .endofpacket - .cp_channel (cmd_mux_001_src_channel), // .channel - .rf_sink_ready (sem_ctl_slave_agent_rsp_fifo_out_ready), // rf_sink.ready - .rf_sink_valid (sem_ctl_slave_agent_rsp_fifo_out_valid), // .valid - .rf_sink_startofpacket (sem_ctl_slave_agent_rsp_fifo_out_startofpacket), // .startofpacket - .rf_sink_endofpacket (sem_ctl_slave_agent_rsp_fifo_out_endofpacket), // .endofpacket - .rf_sink_data (sem_ctl_slave_agent_rsp_fifo_out_data), // .data - .rf_source_ready (sem_ctl_slave_agent_rf_source_ready), // rf_source.ready - .rf_source_valid (sem_ctl_slave_agent_rf_source_valid), // .valid - .rf_source_startofpacket (sem_ctl_slave_agent_rf_source_startofpacket), // .startofpacket - .rf_source_endofpacket (sem_ctl_slave_agent_rf_source_endofpacket), // .endofpacket - .rf_source_data (sem_ctl_slave_agent_rf_source_data), // .data - .rdata_fifo_sink_ready (avalon_st_adapter_001_out_0_ready), // rdata_fifo_sink.ready - .rdata_fifo_sink_valid (avalon_st_adapter_001_out_0_valid), // .valid - .rdata_fifo_sink_data (avalon_st_adapter_001_out_0_data), // .data - .rdata_fifo_sink_error (avalon_st_adapter_001_out_0_error), // .error - .rdata_fifo_src_ready (sem_ctl_slave_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready - .rdata_fifo_src_valid (sem_ctl_slave_agent_rdata_fifo_src_valid), // .valid - .rdata_fifo_src_data (sem_ctl_slave_agent_rdata_fifo_src_data), // .data - .m0_response (2'b00), // (terminated) - .m0_writeresponsevalid (1'b0) // (terminated) + ) sigdel_0_avalon_slave_agent ( + .clk (clk_clk_clk), // clk.clk + .reset (cpu_reset_reset_bridge_in_reset_reset), // clk_reset.reset + .m0_address (sigdel_0_avalon_slave_agent_m0_address), // m0.address + .m0_burstcount (sigdel_0_avalon_slave_agent_m0_burstcount), // .burstcount + .m0_byteenable (sigdel_0_avalon_slave_agent_m0_byteenable), // .byteenable + .m0_debugaccess (sigdel_0_avalon_slave_agent_m0_debugaccess), // .debugaccess + .m0_lock (sigdel_0_avalon_slave_agent_m0_lock), // .lock + .m0_readdata (sigdel_0_avalon_slave_agent_m0_readdata), // .readdata + .m0_readdatavalid (sigdel_0_avalon_slave_agent_m0_readdatavalid), // .readdatavalid + .m0_read (sigdel_0_avalon_slave_agent_m0_read), // .read + .m0_waitrequest (sigdel_0_avalon_slave_agent_m0_waitrequest), // .waitrequest + .m0_writedata (sigdel_0_avalon_slave_agent_m0_writedata), // .writedata + .m0_write (sigdel_0_avalon_slave_agent_m0_write), // .write + .rp_endofpacket (sigdel_0_avalon_slave_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (sigdel_0_avalon_slave_agent_rp_ready), // .ready + .rp_valid (sigdel_0_avalon_slave_agent_rp_valid), // .valid + .rp_data (sigdel_0_avalon_slave_agent_rp_data), // .data + .rp_startofpacket (sigdel_0_avalon_slave_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_mux_001_src_ready), // cp.ready + .cp_valid (cmd_mux_001_src_valid), // .valid + .cp_data (cmd_mux_001_src_data), // .data + .cp_startofpacket (cmd_mux_001_src_startofpacket), // .startofpacket + .cp_endofpacket (cmd_mux_001_src_endofpacket), // .endofpacket + .cp_channel (cmd_mux_001_src_channel), // .channel + .rf_sink_ready (sigdel_0_avalon_slave_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (sigdel_0_avalon_slave_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (sigdel_0_avalon_slave_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (sigdel_0_avalon_slave_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (sigdel_0_avalon_slave_agent_rsp_fifo_out_data), // .data + .rf_source_ready (sigdel_0_avalon_slave_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (sigdel_0_avalon_slave_agent_rf_source_valid), // .valid + .rf_source_startofpacket (sigdel_0_avalon_slave_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (sigdel_0_avalon_slave_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (sigdel_0_avalon_slave_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (avalon_st_adapter_001_out_0_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (avalon_st_adapter_001_out_0_valid), // .valid + .rdata_fifo_sink_data (avalon_st_adapter_001_out_0_data), // .data + .rdata_fifo_sink_error (avalon_st_adapter_001_out_0_error), // .error + .rdata_fifo_src_ready (sigdel_0_avalon_slave_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (sigdel_0_avalon_slave_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (sigdel_0_avalon_slave_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) ); altera_avalon_sc_fifo #( @@ -1485,32 +1358,32 @@ module niosII_mm_interconnect_0 ( .USE_STORE_FORWARD (0), .USE_ALMOST_FULL_IF (0), .USE_ALMOST_EMPTY_IF (0) - ) sem_ctl_slave_agent_rsp_fifo ( - .clk (clk_clk_clk), // clk.clk - .reset (cpu_reset_reset_bridge_in_reset_reset), // clk_reset.reset - .in_data (sem_ctl_slave_agent_rf_source_data), // in.data - .in_valid (sem_ctl_slave_agent_rf_source_valid), // .valid - .in_ready (sem_ctl_slave_agent_rf_source_ready), // .ready - .in_startofpacket (sem_ctl_slave_agent_rf_source_startofpacket), // .startofpacket - .in_endofpacket (sem_ctl_slave_agent_rf_source_endofpacket), // .endofpacket - .out_data (sem_ctl_slave_agent_rsp_fifo_out_data), // out.data - .out_valid (sem_ctl_slave_agent_rsp_fifo_out_valid), // .valid - .out_ready (sem_ctl_slave_agent_rsp_fifo_out_ready), // .ready - .out_startofpacket (sem_ctl_slave_agent_rsp_fifo_out_startofpacket), // .startofpacket - .out_endofpacket (sem_ctl_slave_agent_rsp_fifo_out_endofpacket), // .endofpacket - .csr_address (2'b00), // (terminated) - .csr_read (1'b0), // (terminated) - .csr_write (1'b0), // (terminated) - .csr_readdata (), // (terminated) - .csr_writedata (32'b00000000000000000000000000000000), // (terminated) - .almost_full_data (), // (terminated) - .almost_empty_data (), // (terminated) - .in_empty (1'b0), // (terminated) - .out_empty (), // (terminated) - .in_error (1'b0), // (terminated) - .out_error (), // (terminated) - .in_channel (1'b0), // (terminated) - .out_channel () // (terminated) + ) sigdel_0_avalon_slave_agent_rsp_fifo ( + .clk (clk_clk_clk), // clk.clk + .reset (cpu_reset_reset_bridge_in_reset_reset), // clk_reset.reset + .in_data (sigdel_0_avalon_slave_agent_rf_source_data), // in.data + .in_valid (sigdel_0_avalon_slave_agent_rf_source_valid), // .valid + .in_ready (sigdel_0_avalon_slave_agent_rf_source_ready), // .ready + .in_startofpacket (sigdel_0_avalon_slave_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (sigdel_0_avalon_slave_agent_rf_source_endofpacket), // .endofpacket + .out_data (sigdel_0_avalon_slave_agent_rsp_fifo_out_data), // out.data + .out_valid (sigdel_0_avalon_slave_agent_rsp_fifo_out_valid), // .valid + .out_ready (sigdel_0_avalon_slave_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (sigdel_0_avalon_slave_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (sigdel_0_avalon_slave_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) ); altera_merlin_slave_agent #( @@ -1543,7 +1416,7 @@ module niosII_mm_interconnect_0 ( .PKT_DEST_ID_H (80), .PKT_DEST_ID_L (78), .PKT_SYMBOL_W (8), - .ST_CHANNEL_W (7), + .ST_CHANNEL_W (6), .ST_DATA_W (94), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), @@ -1668,132 +1541,7 @@ module niosII_mm_interconnect_0 ( .PKT_DEST_ID_H (80), .PKT_DEST_ID_L (78), .PKT_SYMBOL_W (8), - .ST_CHANNEL_W (7), - .ST_DATA_W (94), - .AVS_BURSTCOUNT_W (3), - .SUPPRESS_0_BYTEEN_CMD (0), - .PREVENT_FIFO_OVERFLOW (1), - .USE_READRESPONSE (0), - .USE_WRITERESPONSE (0), - .ECC_ENABLE (0) - ) sem_ram_slave_agent ( - .clk (clk_clk_clk), // clk.clk - .reset (cpu_reset_reset_bridge_in_reset_reset), // clk_reset.reset - .m0_address (sem_ram_slave_agent_m0_address), // m0.address - .m0_burstcount (sem_ram_slave_agent_m0_burstcount), // .burstcount - .m0_byteenable (sem_ram_slave_agent_m0_byteenable), // .byteenable - .m0_debugaccess (sem_ram_slave_agent_m0_debugaccess), // .debugaccess - .m0_lock (sem_ram_slave_agent_m0_lock), // .lock - .m0_readdata (sem_ram_slave_agent_m0_readdata), // .readdata - .m0_readdatavalid (sem_ram_slave_agent_m0_readdatavalid), // .readdatavalid - .m0_read (sem_ram_slave_agent_m0_read), // .read - .m0_waitrequest (sem_ram_slave_agent_m0_waitrequest), // .waitrequest - .m0_writedata (sem_ram_slave_agent_m0_writedata), // .writedata - .m0_write (sem_ram_slave_agent_m0_write), // .write - .rp_endofpacket (sem_ram_slave_agent_rp_endofpacket), // rp.endofpacket - .rp_ready (sem_ram_slave_agent_rp_ready), // .ready - .rp_valid (sem_ram_slave_agent_rp_valid), // .valid - .rp_data (sem_ram_slave_agent_rp_data), // .data - .rp_startofpacket (sem_ram_slave_agent_rp_startofpacket), // .startofpacket - .cp_ready (cmd_mux_003_src_ready), // cp.ready - .cp_valid (cmd_mux_003_src_valid), // .valid - .cp_data (cmd_mux_003_src_data), // .data - .cp_startofpacket (cmd_mux_003_src_startofpacket), // .startofpacket - .cp_endofpacket (cmd_mux_003_src_endofpacket), // .endofpacket - .cp_channel (cmd_mux_003_src_channel), // .channel - .rf_sink_ready (sem_ram_slave_agent_rsp_fifo_out_ready), // rf_sink.ready - .rf_sink_valid (sem_ram_slave_agent_rsp_fifo_out_valid), // .valid - .rf_sink_startofpacket (sem_ram_slave_agent_rsp_fifo_out_startofpacket), // .startofpacket - .rf_sink_endofpacket (sem_ram_slave_agent_rsp_fifo_out_endofpacket), // .endofpacket - .rf_sink_data (sem_ram_slave_agent_rsp_fifo_out_data), // .data - .rf_source_ready (sem_ram_slave_agent_rf_source_ready), // rf_source.ready - .rf_source_valid (sem_ram_slave_agent_rf_source_valid), // .valid - .rf_source_startofpacket (sem_ram_slave_agent_rf_source_startofpacket), // .startofpacket - .rf_source_endofpacket (sem_ram_slave_agent_rf_source_endofpacket), // .endofpacket - .rf_source_data (sem_ram_slave_agent_rf_source_data), // .data - .rdata_fifo_sink_ready (avalon_st_adapter_003_out_0_ready), // rdata_fifo_sink.ready - .rdata_fifo_sink_valid (avalon_st_adapter_003_out_0_valid), // .valid - .rdata_fifo_sink_data (avalon_st_adapter_003_out_0_data), // .data - .rdata_fifo_sink_error (avalon_st_adapter_003_out_0_error), // .error - .rdata_fifo_src_ready (sem_ram_slave_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready - .rdata_fifo_src_valid (sem_ram_slave_agent_rdata_fifo_src_valid), // .valid - .rdata_fifo_src_data (sem_ram_slave_agent_rdata_fifo_src_data), // .data - .m0_response (2'b00), // (terminated) - .m0_writeresponsevalid (1'b0) // (terminated) - ); - - altera_avalon_sc_fifo #( - .SYMBOLS_PER_BEAT (1), - .BITS_PER_SYMBOL (95), - .FIFO_DEPTH (2), - .CHANNEL_WIDTH (0), - .ERROR_WIDTH (0), - .USE_PACKETS (1), - .USE_FILL_LEVEL (0), - .EMPTY_LATENCY (1), - .USE_MEMORY_BLOCKS (0), - .USE_STORE_FORWARD (0), - .USE_ALMOST_FULL_IF (0), - .USE_ALMOST_EMPTY_IF (0) - ) sem_ram_slave_agent_rsp_fifo ( - .clk (clk_clk_clk), // clk.clk - .reset (cpu_reset_reset_bridge_in_reset_reset), // clk_reset.reset - .in_data (sem_ram_slave_agent_rf_source_data), // in.data - .in_valid (sem_ram_slave_agent_rf_source_valid), // .valid - .in_ready (sem_ram_slave_agent_rf_source_ready), // .ready - .in_startofpacket (sem_ram_slave_agent_rf_source_startofpacket), // .startofpacket - .in_endofpacket (sem_ram_slave_agent_rf_source_endofpacket), // .endofpacket - .out_data (sem_ram_slave_agent_rsp_fifo_out_data), // out.data - .out_valid (sem_ram_slave_agent_rsp_fifo_out_valid), // .valid - .out_ready (sem_ram_slave_agent_rsp_fifo_out_ready), // .ready - .out_startofpacket (sem_ram_slave_agent_rsp_fifo_out_startofpacket), // .startofpacket - .out_endofpacket (sem_ram_slave_agent_rsp_fifo_out_endofpacket), // .endofpacket - .csr_address (2'b00), // (terminated) - .csr_read (1'b0), // (terminated) - .csr_write (1'b0), // (terminated) - .csr_readdata (), // (terminated) - .csr_writedata (32'b00000000000000000000000000000000), // (terminated) - .almost_full_data (), // (terminated) - .almost_empty_data (), // (terminated) - .in_empty (1'b0), // (terminated) - .out_empty (), // (terminated) - .in_error (1'b0), // (terminated) - .out_error (), // (terminated) - .in_channel (1'b0), // (terminated) - .out_channel () // (terminated) - ); - - altera_merlin_slave_agent #( - .PKT_ORI_BURST_SIZE_H (93), - .PKT_ORI_BURST_SIZE_L (91), - .PKT_RESPONSE_STATUS_H (90), - .PKT_RESPONSE_STATUS_L (89), - .PKT_BURST_SIZE_H (68), - .PKT_BURST_SIZE_L (66), - .PKT_TRANS_LOCK (58), - .PKT_BEGIN_BURST (73), - .PKT_PROTECTION_H (84), - .PKT_PROTECTION_L (82), - .PKT_BURSTWRAP_H (65), - .PKT_BURSTWRAP_L (63), - .PKT_BYTE_CNT_H (62), - .PKT_BYTE_CNT_L (60), - .PKT_ADDR_H (53), - .PKT_ADDR_L (36), - .PKT_TRANS_COMPRESSED_READ (54), - .PKT_TRANS_POSTED (55), - .PKT_TRANS_WRITE (56), - .PKT_TRANS_READ (57), - .PKT_DATA_H (31), - .PKT_DATA_L (0), - .PKT_BYTEEN_H (35), - .PKT_BYTEEN_L (32), - .PKT_SRC_ID_H (77), - .PKT_SRC_ID_L (75), - .PKT_DEST_ID_H (80), - .PKT_DEST_ID_L (78), - .PKT_SYMBOL_W (8), - .ST_CHANNEL_W (7), + .ST_CHANNEL_W (6), .ST_DATA_W (94), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), @@ -1820,12 +1568,12 @@ module niosII_mm_interconnect_0 ( .rp_valid (sys_clk_timer_s1_agent_rp_valid), // .valid .rp_data (sys_clk_timer_s1_agent_rp_data), // .data .rp_startofpacket (sys_clk_timer_s1_agent_rp_startofpacket), // .startofpacket - .cp_ready (cmd_mux_004_src_ready), // cp.ready - .cp_valid (cmd_mux_004_src_valid), // .valid - .cp_data (cmd_mux_004_src_data), // .data - .cp_startofpacket (cmd_mux_004_src_startofpacket), // .startofpacket - .cp_endofpacket (cmd_mux_004_src_endofpacket), // .endofpacket - .cp_channel (cmd_mux_004_src_channel), // .channel + .cp_ready (cmd_mux_003_src_ready), // cp.ready + .cp_valid (cmd_mux_003_src_valid), // .valid + .cp_data (cmd_mux_003_src_data), // .data + .cp_startofpacket (cmd_mux_003_src_startofpacket), // .startofpacket + .cp_endofpacket (cmd_mux_003_src_endofpacket), // .endofpacket + .cp_channel (cmd_mux_003_src_channel), // .channel .rf_sink_ready (sys_clk_timer_s1_agent_rsp_fifo_out_ready), // rf_sink.ready .rf_sink_valid (sys_clk_timer_s1_agent_rsp_fifo_out_valid), // .valid .rf_sink_startofpacket (sys_clk_timer_s1_agent_rsp_fifo_out_startofpacket), // .startofpacket @@ -1836,10 +1584,10 @@ module niosII_mm_interconnect_0 ( .rf_source_startofpacket (sys_clk_timer_s1_agent_rf_source_startofpacket), // .startofpacket .rf_source_endofpacket (sys_clk_timer_s1_agent_rf_source_endofpacket), // .endofpacket .rf_source_data (sys_clk_timer_s1_agent_rf_source_data), // .data - .rdata_fifo_sink_ready (avalon_st_adapter_004_out_0_ready), // rdata_fifo_sink.ready - .rdata_fifo_sink_valid (avalon_st_adapter_004_out_0_valid), // .valid - .rdata_fifo_sink_data (avalon_st_adapter_004_out_0_data), // .data - .rdata_fifo_sink_error (avalon_st_adapter_004_out_0_error), // .error + .rdata_fifo_sink_ready (avalon_st_adapter_003_out_0_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (avalon_st_adapter_003_out_0_valid), // .valid + .rdata_fifo_sink_data (avalon_st_adapter_003_out_0_data), // .data + .rdata_fifo_sink_error (avalon_st_adapter_003_out_0_error), // .error .rdata_fifo_src_ready (sys_clk_timer_s1_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready .rdata_fifo_src_valid (sys_clk_timer_s1_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_src_data (sys_clk_timer_s1_agent_rdata_fifo_src_data), // .data @@ -1918,7 +1666,7 @@ module niosII_mm_interconnect_0 ( .PKT_DEST_ID_H (80), .PKT_DEST_ID_L (78), .PKT_SYMBOL_W (8), - .ST_CHANNEL_W (7), + .ST_CHANNEL_W (6), .ST_DATA_W (94), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), @@ -1945,12 +1693,12 @@ module niosII_mm_interconnect_0 ( .rp_valid (mem_s2_agent_rp_valid), // .valid .rp_data (mem_s2_agent_rp_data), // .data .rp_startofpacket (mem_s2_agent_rp_startofpacket), // .startofpacket - .cp_ready (cmd_mux_005_src_ready), // cp.ready - .cp_valid (cmd_mux_005_src_valid), // .valid - .cp_data (cmd_mux_005_src_data), // .data - .cp_startofpacket (cmd_mux_005_src_startofpacket), // .startofpacket - .cp_endofpacket (cmd_mux_005_src_endofpacket), // .endofpacket - .cp_channel (cmd_mux_005_src_channel), // .channel + .cp_ready (cmd_mux_004_src_ready), // cp.ready + .cp_valid (cmd_mux_004_src_valid), // .valid + .cp_data (cmd_mux_004_src_data), // .data + .cp_startofpacket (cmd_mux_004_src_startofpacket), // .startofpacket + .cp_endofpacket (cmd_mux_004_src_endofpacket), // .endofpacket + .cp_channel (cmd_mux_004_src_channel), // .channel .rf_sink_ready (mem_s2_agent_rsp_fifo_out_ready), // rf_sink.ready .rf_sink_valid (mem_s2_agent_rsp_fifo_out_valid), // .valid .rf_sink_startofpacket (mem_s2_agent_rsp_fifo_out_startofpacket), // .startofpacket @@ -1961,10 +1709,10 @@ module niosII_mm_interconnect_0 ( .rf_source_startofpacket (mem_s2_agent_rf_source_startofpacket), // .startofpacket .rf_source_endofpacket (mem_s2_agent_rf_source_endofpacket), // .endofpacket .rf_source_data (mem_s2_agent_rf_source_data), // .data - .rdata_fifo_sink_ready (avalon_st_adapter_005_out_0_ready), // rdata_fifo_sink.ready - .rdata_fifo_sink_valid (avalon_st_adapter_005_out_0_valid), // .valid - .rdata_fifo_sink_data (avalon_st_adapter_005_out_0_data), // .data - .rdata_fifo_sink_error (avalon_st_adapter_005_out_0_error), // .error + .rdata_fifo_sink_ready (avalon_st_adapter_004_out_0_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (avalon_st_adapter_004_out_0_valid), // .valid + .rdata_fifo_sink_data (avalon_st_adapter_004_out_0_data), // .data + .rdata_fifo_sink_error (avalon_st_adapter_004_out_0_error), // .error .rdata_fifo_src_ready (mem_s2_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready .rdata_fifo_src_valid (mem_s2_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_src_data (mem_s2_agent_rdata_fifo_src_data), // .data @@ -2043,7 +1791,7 @@ module niosII_mm_interconnect_0 ( .PKT_DEST_ID_H (80), .PKT_DEST_ID_L (78), .PKT_SYMBOL_W (8), - .ST_CHANNEL_W (7), + .ST_CHANNEL_W (6), .ST_DATA_W (94), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), @@ -2070,12 +1818,12 @@ module niosII_mm_interconnect_0 ( .rp_valid (mem_s1_agent_rp_valid), // .valid .rp_data (mem_s1_agent_rp_data), // .data .rp_startofpacket (mem_s1_agent_rp_startofpacket), // .startofpacket - .cp_ready (cmd_mux_006_src_ready), // cp.ready - .cp_valid (cmd_mux_006_src_valid), // .valid - .cp_data (cmd_mux_006_src_data), // .data - .cp_startofpacket (cmd_mux_006_src_startofpacket), // .startofpacket - .cp_endofpacket (cmd_mux_006_src_endofpacket), // .endofpacket - .cp_channel (cmd_mux_006_src_channel), // .channel + .cp_ready (cmd_mux_005_src_ready), // cp.ready + .cp_valid (cmd_mux_005_src_valid), // .valid + .cp_data (cmd_mux_005_src_data), // .data + .cp_startofpacket (cmd_mux_005_src_startofpacket), // .startofpacket + .cp_endofpacket (cmd_mux_005_src_endofpacket), // .endofpacket + .cp_channel (cmd_mux_005_src_channel), // .channel .rf_sink_ready (mem_s1_agent_rsp_fifo_out_ready), // rf_sink.ready .rf_sink_valid (mem_s1_agent_rsp_fifo_out_valid), // .valid .rf_sink_startofpacket (mem_s1_agent_rsp_fifo_out_startofpacket), // .startofpacket @@ -2086,10 +1834,10 @@ module niosII_mm_interconnect_0 ( .rf_source_startofpacket (mem_s1_agent_rf_source_startofpacket), // .startofpacket .rf_source_endofpacket (mem_s1_agent_rf_source_endofpacket), // .endofpacket .rf_source_data (mem_s1_agent_rf_source_data), // .data - .rdata_fifo_sink_ready (avalon_st_adapter_006_out_0_ready), // rdata_fifo_sink.ready - .rdata_fifo_sink_valid (avalon_st_adapter_006_out_0_valid), // .valid - .rdata_fifo_sink_data (avalon_st_adapter_006_out_0_data), // .data - .rdata_fifo_sink_error (avalon_st_adapter_006_out_0_error), // .error + .rdata_fifo_sink_ready (avalon_st_adapter_005_out_0_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (avalon_st_adapter_005_out_0_valid), // .valid + .rdata_fifo_sink_data (avalon_st_adapter_005_out_0_data), // .data + .rdata_fifo_sink_error (avalon_st_adapter_005_out_0_error), // .error .rdata_fifo_src_ready (mem_s1_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready .rdata_fifo_src_valid (mem_s1_agent_rdata_fifo_src_valid), // .valid .rdata_fifo_src_data (mem_s1_agent_rdata_fifo_src_data), // .data @@ -2187,19 +1935,19 @@ module niosII_mm_interconnect_0 ( ); niosII_mm_interconnect_0_router_002 router_003 ( - .sink_ready (sem_ctl_slave_agent_rp_ready), // sink.ready - .sink_valid (sem_ctl_slave_agent_rp_valid), // .valid - .sink_data (sem_ctl_slave_agent_rp_data), // .data - .sink_startofpacket (sem_ctl_slave_agent_rp_startofpacket), // .startofpacket - .sink_endofpacket (sem_ctl_slave_agent_rp_endofpacket), // .endofpacket - .clk (clk_clk_clk), // clk.clk - .reset (cpu_reset_reset_bridge_in_reset_reset), // clk_reset.reset - .src_ready (router_003_src_ready), // src.ready - .src_valid (router_003_src_valid), // .valid - .src_data (router_003_src_data), // .data - .src_channel (router_003_src_channel), // .channel - .src_startofpacket (router_003_src_startofpacket), // .startofpacket - .src_endofpacket (router_003_src_endofpacket) // .endofpacket + .sink_ready (sigdel_0_avalon_slave_agent_rp_ready), // sink.ready + .sink_valid (sigdel_0_avalon_slave_agent_rp_valid), // .valid + .sink_data (sigdel_0_avalon_slave_agent_rp_data), // .data + .sink_startofpacket (sigdel_0_avalon_slave_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (sigdel_0_avalon_slave_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk_clk), // clk.clk + .reset (cpu_reset_reset_bridge_in_reset_reset), // clk_reset.reset + .src_ready (router_003_src_ready), // src.ready + .src_valid (router_003_src_valid), // .valid + .src_data (router_003_src_data), // .data + .src_channel (router_003_src_channel), // .channel + .src_startofpacket (router_003_src_startofpacket), // .startofpacket + .src_endofpacket (router_003_src_endofpacket) // .endofpacket ); niosII_mm_interconnect_0_router_004 router_004 ( @@ -2219,22 +1967,6 @@ module niosII_mm_interconnect_0 ( ); niosII_mm_interconnect_0_router_002 router_005 ( - .sink_ready (sem_ram_slave_agent_rp_ready), // sink.ready - .sink_valid (sem_ram_slave_agent_rp_valid), // .valid - .sink_data (sem_ram_slave_agent_rp_data), // .data - .sink_startofpacket (sem_ram_slave_agent_rp_startofpacket), // .startofpacket - .sink_endofpacket (sem_ram_slave_agent_rp_endofpacket), // .endofpacket - .clk (clk_clk_clk), // clk.clk - .reset (cpu_reset_reset_bridge_in_reset_reset), // clk_reset.reset - .src_ready (router_005_src_ready), // src.ready - .src_valid (router_005_src_valid), // .valid - .src_data (router_005_src_data), // .data - .src_channel (router_005_src_channel), // .channel - .src_startofpacket (router_005_src_startofpacket), // .startofpacket - .src_endofpacket (router_005_src_endofpacket) // .endofpacket - ); - - niosII_mm_interconnect_0_router_002 router_006 ( .sink_ready (sys_clk_timer_s1_agent_rp_ready), // sink.ready .sink_valid (sys_clk_timer_s1_agent_rp_valid), // .valid .sink_data (sys_clk_timer_s1_agent_rp_data), // .data @@ -2242,15 +1974,15 @@ module niosII_mm_interconnect_0 ( .sink_endofpacket (sys_clk_timer_s1_agent_rp_endofpacket), // .endofpacket .clk (clk_clk_clk), // clk.clk .reset (cpu_reset_reset_bridge_in_reset_reset), // clk_reset.reset - .src_ready (router_006_src_ready), // src.ready - .src_valid (router_006_src_valid), // .valid - .src_data (router_006_src_data), // .data - .src_channel (router_006_src_channel), // .channel - .src_startofpacket (router_006_src_startofpacket), // .startofpacket - .src_endofpacket (router_006_src_endofpacket) // .endofpacket + .src_ready (router_005_src_ready), // src.ready + .src_valid (router_005_src_valid), // .valid + .src_data (router_005_src_data), // .data + .src_channel (router_005_src_channel), // .channel + .src_startofpacket (router_005_src_startofpacket), // .startofpacket + .src_endofpacket (router_005_src_endofpacket) // .endofpacket ); - niosII_mm_interconnect_0_router_002 router_007 ( + niosII_mm_interconnect_0_router_002 router_006 ( .sink_ready (mem_s2_agent_rp_ready), // sink.ready .sink_valid (mem_s2_agent_rp_valid), // .valid .sink_data (mem_s2_agent_rp_data), // .data @@ -2258,6 +1990,22 @@ module niosII_mm_interconnect_0 ( .sink_endofpacket (mem_s2_agent_rp_endofpacket), // .endofpacket .clk (clk_clk_clk), // clk.clk .reset (cpu_reset_reset_bridge_in_reset_reset), // clk_reset.reset + .src_ready (router_006_src_ready), // src.ready + .src_valid (router_006_src_valid), // .valid + .src_data (router_006_src_data), // .data + .src_channel (router_006_src_channel), // .channel + .src_startofpacket (router_006_src_startofpacket), // .startofpacket + .src_endofpacket (router_006_src_endofpacket) // .endofpacket + ); + + niosII_mm_interconnect_0_router_007 router_007 ( + .sink_ready (mem_s1_agent_rp_ready), // sink.ready + .sink_valid (mem_s1_agent_rp_valid), // .valid + .sink_data (mem_s1_agent_rp_data), // .data + .sink_startofpacket (mem_s1_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (mem_s1_agent_rp_endofpacket), // .endofpacket + .clk (clk_clk_clk), // clk.clk + .reset (cpu_reset_reset_bridge_in_reset_reset), // clk_reset.reset .src_ready (router_007_src_ready), // src.ready .src_valid (router_007_src_valid), // .valid .src_data (router_007_src_data), // .data @@ -2266,22 +2014,6 @@ module niosII_mm_interconnect_0 ( .src_endofpacket (router_007_src_endofpacket) // .endofpacket ); - niosII_mm_interconnect_0_router_008 router_008 ( - .sink_ready (mem_s1_agent_rp_ready), // sink.ready - .sink_valid (mem_s1_agent_rp_valid), // .valid - .sink_data (mem_s1_agent_rp_data), // .data - .sink_startofpacket (mem_s1_agent_rp_startofpacket), // .startofpacket - .sink_endofpacket (mem_s1_agent_rp_endofpacket), // .endofpacket - .clk (clk_clk_clk), // clk.clk - .reset (cpu_reset_reset_bridge_in_reset_reset), // clk_reset.reset - .src_ready (router_008_src_ready), // src.ready - .src_valid (router_008_src_valid), // .valid - .src_data (router_008_src_data), // .data - .src_channel (router_008_src_channel), // .channel - .src_startofpacket (router_008_src_startofpacket), // .startofpacket - .src_endofpacket (router_008_src_endofpacket) // .endofpacket - ); - niosII_mm_interconnect_0_cmd_demux cmd_demux ( .clk (clk_clk_clk), // clk.clk .reset (cpu_reset_reset_bridge_in_reset_reset), // clk_reset.reset @@ -2320,13 +2052,7 @@ module niosII_mm_interconnect_0 ( .src4_data (cmd_demux_src4_data), // .data .src4_channel (cmd_demux_src4_channel), // .channel .src4_startofpacket (cmd_demux_src4_startofpacket), // .startofpacket - .src4_endofpacket (cmd_demux_src4_endofpacket), // .endofpacket - .src5_ready (cmd_demux_src5_ready), // src5.ready - .src5_valid (cmd_demux_src5_valid), // .valid - .src5_data (cmd_demux_src5_data), // .data - .src5_channel (cmd_demux_src5_channel), // .channel - .src5_startofpacket (cmd_demux_src5_startofpacket), // .startofpacket - .src5_endofpacket (cmd_demux_src5_endofpacket) // .endofpacket + .src4_endofpacket (cmd_demux_src4_endofpacket) // .endofpacket ); niosII_mm_interconnect_0_cmd_demux_001 cmd_demux_001 ( @@ -2452,23 +2178,6 @@ module niosII_mm_interconnect_0 ( .src_channel (cmd_mux_005_src_channel), // .channel .src_startofpacket (cmd_mux_005_src_startofpacket), // .startofpacket .src_endofpacket (cmd_mux_005_src_endofpacket), // .endofpacket - .sink0_ready (cmd_demux_src5_ready), // sink0.ready - .sink0_valid (cmd_demux_src5_valid), // .valid - .sink0_channel (cmd_demux_src5_channel), // .channel - .sink0_data (cmd_demux_src5_data), // .data - .sink0_startofpacket (cmd_demux_src5_startofpacket), // .startofpacket - .sink0_endofpacket (cmd_demux_src5_endofpacket) // .endofpacket - ); - - niosII_mm_interconnect_0_cmd_mux cmd_mux_006 ( - .clk (clk_clk_clk), // clk.clk - .reset (cpu_reset_reset_bridge_in_reset_reset), // clk_reset.reset - .src_ready (cmd_mux_006_src_ready), // src.ready - .src_valid (cmd_mux_006_src_valid), // .valid - .src_data (cmd_mux_006_src_data), // .data - .src_channel (cmd_mux_006_src_channel), // .channel - .src_startofpacket (cmd_mux_006_src_startofpacket), // .startofpacket - .src_endofpacket (cmd_mux_006_src_endofpacket), // .endofpacket .sink0_ready (cmd_demux_001_src1_ready), // sink0.ready .sink0_valid (cmd_demux_001_src1_valid), // .valid .sink0_channel (cmd_demux_001_src1_channel), // .channel @@ -2585,23 +2294,6 @@ module niosII_mm_interconnect_0 ( .src0_endofpacket (rsp_demux_005_src0_endofpacket) // .endofpacket ); - niosII_mm_interconnect_0_rsp_demux rsp_demux_006 ( - .clk (clk_clk_clk), // clk.clk - .reset (cpu_reset_reset_bridge_in_reset_reset), // clk_reset.reset - .sink_ready (router_008_src_ready), // sink.ready - .sink_channel (router_008_src_channel), // .channel - .sink_data (router_008_src_data), // .data - .sink_startofpacket (router_008_src_startofpacket), // .startofpacket - .sink_endofpacket (router_008_src_endofpacket), // .endofpacket - .sink_valid (router_008_src_valid), // .valid - .src0_ready (rsp_demux_006_src0_ready), // src0.ready - .src0_valid (rsp_demux_006_src0_valid), // .valid - .src0_data (rsp_demux_006_src0_data), // .data - .src0_channel (rsp_demux_006_src0_channel), // .channel - .src0_startofpacket (rsp_demux_006_src0_startofpacket), // .startofpacket - .src0_endofpacket (rsp_demux_006_src0_endofpacket) // .endofpacket - ); - niosII_mm_interconnect_0_rsp_mux rsp_mux ( .clk (clk_clk_clk), // clk.clk .reset (cpu_reset_reset_bridge_in_reset_reset), // clk_reset.reset @@ -2640,13 +2332,7 @@ module niosII_mm_interconnect_0 ( .sink4_channel (rsp_demux_004_src0_channel), // .channel .sink4_data (rsp_demux_004_src0_data), // .data .sink4_startofpacket (rsp_demux_004_src0_startofpacket), // .startofpacket - .sink4_endofpacket (rsp_demux_004_src0_endofpacket), // .endofpacket - .sink5_ready (rsp_demux_005_src0_ready), // sink5.ready - .sink5_valid (rsp_demux_005_src0_valid), // .valid - .sink5_channel (rsp_demux_005_src0_channel), // .channel - .sink5_data (rsp_demux_005_src0_data), // .data - .sink5_startofpacket (rsp_demux_005_src0_startofpacket), // .startofpacket - .sink5_endofpacket (rsp_demux_005_src0_endofpacket) // .endofpacket + .sink4_endofpacket (rsp_demux_004_src0_endofpacket) // .endofpacket ); niosII_mm_interconnect_0_rsp_mux_001 rsp_mux_001 ( @@ -2664,12 +2350,12 @@ module niosII_mm_interconnect_0 ( .sink0_data (rsp_demux_002_src1_data), // .data .sink0_startofpacket (rsp_demux_002_src1_startofpacket), // .startofpacket .sink0_endofpacket (rsp_demux_002_src1_endofpacket), // .endofpacket - .sink1_ready (rsp_demux_006_src0_ready), // sink1.ready - .sink1_valid (rsp_demux_006_src0_valid), // .valid - .sink1_channel (rsp_demux_006_src0_channel), // .channel - .sink1_data (rsp_demux_006_src0_data), // .data - .sink1_startofpacket (rsp_demux_006_src0_startofpacket), // .startofpacket - .sink1_endofpacket (rsp_demux_006_src0_endofpacket) // .endofpacket + .sink1_ready (rsp_demux_005_src0_ready), // sink1.ready + .sink1_valid (rsp_demux_005_src0_valid), // .valid + .sink1_channel (rsp_demux_005_src0_channel), // .channel + .sink1_data (rsp_demux_005_src0_data), // .data + .sink1_startofpacket (rsp_demux_005_src0_startofpacket), // .startofpacket + .sink1_endofpacket (rsp_demux_005_src0_endofpacket) // .endofpacket ); niosII_mm_interconnect_0_avalon_st_adapter #( @@ -2719,15 +2405,15 @@ module niosII_mm_interconnect_0 ( .outUseReady (1), .outReadyLatency (0) ) avalon_st_adapter_001 ( - .in_clk_0_clk (clk_clk_clk), // in_clk_0.clk - .in_rst_0_reset (cpu_reset_reset_bridge_in_reset_reset), // in_rst_0.reset - .in_0_data (sem_ctl_slave_agent_rdata_fifo_src_data), // in_0.data - .in_0_valid (sem_ctl_slave_agent_rdata_fifo_src_valid), // .valid - .in_0_ready (sem_ctl_slave_agent_rdata_fifo_src_ready), // .ready - .out_0_data (avalon_st_adapter_001_out_0_data), // out_0.data - .out_0_valid (avalon_st_adapter_001_out_0_valid), // .valid - .out_0_ready (avalon_st_adapter_001_out_0_ready), // .ready - .out_0_error (avalon_st_adapter_001_out_0_error) // .error + .in_clk_0_clk (clk_clk_clk), // in_clk_0.clk + .in_rst_0_reset (cpu_reset_reset_bridge_in_reset_reset), // in_rst_0.reset + .in_0_data (sigdel_0_avalon_slave_agent_rdata_fifo_src_data), // in_0.data + .in_0_valid (sigdel_0_avalon_slave_agent_rdata_fifo_src_valid), // .valid + .in_0_ready (sigdel_0_avalon_slave_agent_rdata_fifo_src_ready), // .ready + .out_0_data (avalon_st_adapter_001_out_0_data), // out_0.data + .out_0_valid (avalon_st_adapter_001_out_0_valid), // .valid + .out_0_ready (avalon_st_adapter_001_out_0_ready), // .ready + .out_0_error (avalon_st_adapter_001_out_0_error) // .error ); niosII_mm_interconnect_0_avalon_st_adapter #( @@ -2777,15 +2463,15 @@ module niosII_mm_interconnect_0 ( .outUseReady (1), .outReadyLatency (0) ) avalon_st_adapter_003 ( - .in_clk_0_clk (clk_clk_clk), // in_clk_0.clk - .in_rst_0_reset (cpu_reset_reset_bridge_in_reset_reset), // in_rst_0.reset - .in_0_data (sem_ram_slave_agent_rdata_fifo_src_data), // in_0.data - .in_0_valid (sem_ram_slave_agent_rdata_fifo_src_valid), // .valid - .in_0_ready (sem_ram_slave_agent_rdata_fifo_src_ready), // .ready - .out_0_data (avalon_st_adapter_003_out_0_data), // out_0.data - .out_0_valid (avalon_st_adapter_003_out_0_valid), // .valid - .out_0_ready (avalon_st_adapter_003_out_0_ready), // .ready - .out_0_error (avalon_st_adapter_003_out_0_error) // .error + .in_clk_0_clk (clk_clk_clk), // in_clk_0.clk + .in_rst_0_reset (cpu_reset_reset_bridge_in_reset_reset), // in_rst_0.reset + .in_0_data (sys_clk_timer_s1_agent_rdata_fifo_src_data), // in_0.data + .in_0_valid (sys_clk_timer_s1_agent_rdata_fifo_src_valid), // .valid + .in_0_ready (sys_clk_timer_s1_agent_rdata_fifo_src_ready), // .ready + .out_0_data (avalon_st_adapter_003_out_0_data), // out_0.data + .out_0_valid (avalon_st_adapter_003_out_0_valid), // .valid + .out_0_ready (avalon_st_adapter_003_out_0_ready), // .ready + .out_0_error (avalon_st_adapter_003_out_0_error) // .error ); niosII_mm_interconnect_0_avalon_st_adapter #( @@ -2806,15 +2492,15 @@ module niosII_mm_interconnect_0 ( .outUseReady (1), .outReadyLatency (0) ) avalon_st_adapter_004 ( - .in_clk_0_clk (clk_clk_clk), // in_clk_0.clk - .in_rst_0_reset (cpu_reset_reset_bridge_in_reset_reset), // in_rst_0.reset - .in_0_data (sys_clk_timer_s1_agent_rdata_fifo_src_data), // in_0.data - .in_0_valid (sys_clk_timer_s1_agent_rdata_fifo_src_valid), // .valid - .in_0_ready (sys_clk_timer_s1_agent_rdata_fifo_src_ready), // .ready - .out_0_data (avalon_st_adapter_004_out_0_data), // out_0.data - .out_0_valid (avalon_st_adapter_004_out_0_valid), // .valid - .out_0_ready (avalon_st_adapter_004_out_0_ready), // .ready - .out_0_error (avalon_st_adapter_004_out_0_error) // .error + .in_clk_0_clk (clk_clk_clk), // in_clk_0.clk + .in_rst_0_reset (cpu_reset_reset_bridge_in_reset_reset), // in_rst_0.reset + .in_0_data (mem_s2_agent_rdata_fifo_src_data), // in_0.data + .in_0_valid (mem_s2_agent_rdata_fifo_src_valid), // .valid + .in_0_ready (mem_s2_agent_rdata_fifo_src_ready), // .ready + .out_0_data (avalon_st_adapter_004_out_0_data), // out_0.data + .out_0_valid (avalon_st_adapter_004_out_0_valid), // .valid + .out_0_ready (avalon_st_adapter_004_out_0_ready), // .ready + .out_0_error (avalon_st_adapter_004_out_0_error) // .error ); niosII_mm_interconnect_0_avalon_st_adapter #( @@ -2837,42 +2523,13 @@ module niosII_mm_interconnect_0 ( ) avalon_st_adapter_005 ( .in_clk_0_clk (clk_clk_clk), // in_clk_0.clk .in_rst_0_reset (cpu_reset_reset_bridge_in_reset_reset), // in_rst_0.reset - .in_0_data (mem_s2_agent_rdata_fifo_src_data), // in_0.data - .in_0_valid (mem_s2_agent_rdata_fifo_src_valid), // .valid - .in_0_ready (mem_s2_agent_rdata_fifo_src_ready), // .ready + .in_0_data (mem_s1_agent_rdata_fifo_src_data), // in_0.data + .in_0_valid (mem_s1_agent_rdata_fifo_src_valid), // .valid + .in_0_ready (mem_s1_agent_rdata_fifo_src_ready), // .ready .out_0_data (avalon_st_adapter_005_out_0_data), // out_0.data .out_0_valid (avalon_st_adapter_005_out_0_valid), // .valid .out_0_ready (avalon_st_adapter_005_out_0_ready), // .ready .out_0_error (avalon_st_adapter_005_out_0_error) // .error ); - niosII_mm_interconnect_0_avalon_st_adapter #( - .inBitsPerSymbol (34), - .inUsePackets (0), - .inDataWidth (34), - .inChannelWidth (0), - .inErrorWidth (0), - .inUseEmptyPort (0), - .inUseValid (1), - .inUseReady (1), - .inReadyLatency (0), - .outDataWidth (34), - .outChannelWidth (0), - .outErrorWidth (1), - .outUseEmptyPort (0), - .outUseValid (1), - .outUseReady (1), - .outReadyLatency (0) - ) avalon_st_adapter_006 ( - .in_clk_0_clk (clk_clk_clk), // in_clk_0.clk - .in_rst_0_reset (cpu_reset_reset_bridge_in_reset_reset), // in_rst_0.reset - .in_0_data (mem_s1_agent_rdata_fifo_src_data), // in_0.data - .in_0_valid (mem_s1_agent_rdata_fifo_src_valid), // .valid - .in_0_ready (mem_s1_agent_rdata_fifo_src_ready), // .ready - .out_0_data (avalon_st_adapter_006_out_0_data), // out_0.data - .out_0_valid (avalon_st_adapter_006_out_0_valid), // .valid - .out_0_ready (avalon_st_adapter_006_out_0_ready), // .ready - .out_0_error (avalon_st_adapter_006_out_0_error) // .error - ); - endmodule diff --git a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_avalon_st_adapter.v b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_avalon_st_adapter.v index 2c53838..e548fe7 100644 --- a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_avalon_st_adapter.v +++ b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_avalon_st_adapter.v @@ -1,12 +1,12 @@ -// niosII_mm_interconnect_0_avalon_st_adapter.v - +// niosII_mm_interconnect_0_avalon_st_adapter.v + // This file was auto-generated from altera_avalon_st_adapter_hw.tcl. If you edit it your changes // will probably be lost. // -// Generated using ACDS version 18.1 625 - +// Generated using ACDS version 18.1 625 + `timescale 1 ps / 1 ps -module niosII_mm_interconnect_0_avalon_st_adapter #( +module niosII_mm_interconnect_0_avalon_st_adapter #( parameter inBitsPerSymbol = 34, parameter inUsePackets = 0, parameter inDataWidth = 34, @@ -23,7 +23,7 @@ module niosII_mm_interconnect_0_avalon_st_adapter #( parameter outUseValid = 1, parameter outUseReady = 1, parameter outReadyLatency = 0 - ) ( + ) ( input wire in_clk_0_clk, // in_clk_0.clk input wire in_rst_0_reset, // in_rst_0.reset input wire [33:0] in_0_data, // in_0.data @@ -33,14 +33,14 @@ module niosII_mm_interconnect_0_avalon_st_adapter #( output wire out_0_valid, // .valid input wire out_0_ready, // .ready output wire [0:0] out_0_error // .error - ); - - generate - // If any of the display statements (or deliberately broken - // instantiations) within this generate block triggers then this module - // has been instantiated this module with a set of parameters different - // from those it was generated for. This will usually result in a - // non-functioning system. + ); + + generate + // If any of the display statements (or deliberately broken + // instantiations) within this generate block triggers then this module + // has been instantiated this module with a set of parameters different + // from those it was generated for. This will usually result in a + // non-functioning system. if (inBitsPerSymbol != 34) begin initial begin @@ -185,8 +185,8 @@ module niosII_mm_interconnect_0_avalon_st_adapter #( instantiated_with_wrong_parameters_error_see_comment_above outreadylatency_check ( .error(1'b1) ); end - endgenerate - + endgenerate + niosII_mm_interconnect_0_avalon_st_adapter_error_adapter_0 error_adapter_0 ( .clk (in_clk_0_clk), // clk.clk .reset_n (~in_rst_0_reset), // reset.reset_n @@ -197,6 +197,6 @@ module niosII_mm_interconnect_0_avalon_st_adapter #( .out_valid (out_0_valid), // .valid .out_ready (out_0_ready), // .ready .out_error (out_0_error) // .error - ); - -endmodule + ); + +endmodule diff --git a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_cmd_demux.sv b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_cmd_demux.sv index 14c3fcd..799ef44 100644 --- a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_cmd_demux.sv +++ b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_cmd_demux.sv @@ -29,8 +29,8 @@ // Generation parameters: // output_name: niosII_mm_interconnect_0_cmd_demux // ST_DATA_W: 94 -// ST_CHANNEL_W: 7 -// NUM_OUTPUTS: 6 +// ST_CHANNEL_W: 6 +// NUM_OUTPUTS: 5 // VALID_WIDTH: 1 // ------------------------------------------ @@ -47,7 +47,7 @@ module niosII_mm_interconnect_0_cmd_demux // ------------------- input [1-1 : 0] sink_valid, input [94-1 : 0] sink_data, // ST_DATA_W=94 - input [7-1 : 0] sink_channel, // ST_CHANNEL_W=7 + input [6-1 : 0] sink_channel, // ST_CHANNEL_W=6 input sink_startofpacket, input sink_endofpacket, output sink_ready, @@ -57,46 +57,39 @@ module niosII_mm_interconnect_0_cmd_demux // ------------------- output reg src0_valid, output reg [94-1 : 0] src0_data, // ST_DATA_W=94 - output reg [7-1 : 0] src0_channel, // ST_CHANNEL_W=7 + output reg [6-1 : 0] src0_channel, // ST_CHANNEL_W=6 output reg src0_startofpacket, output reg src0_endofpacket, input src0_ready, output reg src1_valid, output reg [94-1 : 0] src1_data, // ST_DATA_W=94 - output reg [7-1 : 0] src1_channel, // ST_CHANNEL_W=7 + output reg [6-1 : 0] src1_channel, // ST_CHANNEL_W=6 output reg src1_startofpacket, output reg src1_endofpacket, input src1_ready, output reg src2_valid, output reg [94-1 : 0] src2_data, // ST_DATA_W=94 - output reg [7-1 : 0] src2_channel, // ST_CHANNEL_W=7 + output reg [6-1 : 0] src2_channel, // ST_CHANNEL_W=6 output reg src2_startofpacket, output reg src2_endofpacket, input src2_ready, output reg src3_valid, output reg [94-1 : 0] src3_data, // ST_DATA_W=94 - output reg [7-1 : 0] src3_channel, // ST_CHANNEL_W=7 + output reg [6-1 : 0] src3_channel, // ST_CHANNEL_W=6 output reg src3_startofpacket, output reg src3_endofpacket, input src3_ready, output reg src4_valid, output reg [94-1 : 0] src4_data, // ST_DATA_W=94 - output reg [7-1 : 0] src4_channel, // ST_CHANNEL_W=7 + output reg [6-1 : 0] src4_channel, // ST_CHANNEL_W=6 output reg src4_startofpacket, output reg src4_endofpacket, input src4_ready, - output reg src5_valid, - output reg [94-1 : 0] src5_data, // ST_DATA_W=94 - output reg [7-1 : 0] src5_channel, // ST_CHANNEL_W=7 - output reg src5_startofpacket, - output reg src5_endofpacket, - input src5_ready, - // ------------------- // Clock & Reset @@ -108,7 +101,7 @@ module niosII_mm_interconnect_0_cmd_demux ); - localparam NUM_OUTPUTS = 6; + localparam NUM_OUTPUTS = 5; wire [NUM_OUTPUTS - 1 : 0] ready_vector; // ------------------- @@ -150,13 +143,6 @@ module niosII_mm_interconnect_0_cmd_demux src4_valid = sink_channel[4] && sink_valid; - src5_data = sink_data; - src5_startofpacket = sink_startofpacket; - src5_endofpacket = sink_endofpacket; - src5_channel = sink_channel >> NUM_OUTPUTS; - - src5_valid = sink_channel[5] && sink_valid; - end // ------------------- @@ -167,7 +153,6 @@ module niosII_mm_interconnect_0_cmd_demux assign ready_vector[2] = src2_ready; assign ready_vector[3] = src3_ready; assign ready_vector[4] = src4_ready; - assign ready_vector[5] = src5_ready; assign sink_ready = |(sink_channel & {{1{1'b0}},{ready_vector[NUM_OUTPUTS - 1 : 0]}}); diff --git a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_cmd_demux_001.sv b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_cmd_demux_001.sv index 34b17f2..7f91317 100644 --- a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_cmd_demux_001.sv +++ b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_cmd_demux_001.sv @@ -29,7 +29,7 @@ // Generation parameters: // output_name: niosII_mm_interconnect_0_cmd_demux_001 // ST_DATA_W: 94 -// ST_CHANNEL_W: 7 +// ST_CHANNEL_W: 6 // NUM_OUTPUTS: 2 // VALID_WIDTH: 1 // ------------------------------------------ @@ -47,7 +47,7 @@ module niosII_mm_interconnect_0_cmd_demux_001 // ------------------- input [1-1 : 0] sink_valid, input [94-1 : 0] sink_data, // ST_DATA_W=94 - input [7-1 : 0] sink_channel, // ST_CHANNEL_W=7 + input [6-1 : 0] sink_channel, // ST_CHANNEL_W=6 input sink_startofpacket, input sink_endofpacket, output sink_ready, @@ -57,14 +57,14 @@ module niosII_mm_interconnect_0_cmd_demux_001 // ------------------- output reg src0_valid, output reg [94-1 : 0] src0_data, // ST_DATA_W=94 - output reg [7-1 : 0] src0_channel, // ST_CHANNEL_W=7 + output reg [6-1 : 0] src0_channel, // ST_CHANNEL_W=6 output reg src0_startofpacket, output reg src0_endofpacket, input src0_ready, output reg src1_valid, output reg [94-1 : 0] src1_data, // ST_DATA_W=94 - output reg [7-1 : 0] src1_channel, // ST_CHANNEL_W=7 + output reg [6-1 : 0] src1_channel, // ST_CHANNEL_W=6 output reg src1_startofpacket, output reg src1_endofpacket, input src1_ready, @@ -109,7 +109,7 @@ module niosII_mm_interconnect_0_cmd_demux_001 assign ready_vector[0] = src0_ready; assign ready_vector[1] = src1_ready; - assign sink_ready = |(sink_channel & {{5{1'b0}},{ready_vector[NUM_OUTPUTS - 1 : 0]}}); + assign sink_ready = |(sink_channel & {{4{1'b0}},{ready_vector[NUM_OUTPUTS - 1 : 0]}}); endmodule diff --git a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_cmd_mux.sv b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_cmd_mux.sv index b2f14c8..bcf7695 100644 --- a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_cmd_mux.sv +++ b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_cmd_mux.sv @@ -45,7 +45,7 @@ // PIPELINE_ARB: 1 // PKT_TRANS_LOCK: 58 (arbitration locking enabled) // ST_DATA_W: 94 -// ST_CHANNEL_W: 7 +// ST_CHANNEL_W: 6 // ------------------------------------------ module niosII_mm_interconnect_0_cmd_mux @@ -55,7 +55,7 @@ module niosII_mm_interconnect_0_cmd_mux // ---------------------- input sink0_valid, input [94-1 : 0] sink0_data, - input [7-1: 0] sink0_channel, + input [6-1: 0] sink0_channel, input sink0_startofpacket, input sink0_endofpacket, output sink0_ready, @@ -66,7 +66,7 @@ module niosII_mm_interconnect_0_cmd_mux // ---------------------- output src_valid, output [94-1 : 0] src_data, - output [7-1 : 0] src_channel, + output [6-1 : 0] src_channel, output src_startofpacket, output src_endofpacket, input src_ready, @@ -77,12 +77,12 @@ module niosII_mm_interconnect_0_cmd_mux input clk, input reset ); - localparam PAYLOAD_W = 94 + 7 + 2; + localparam PAYLOAD_W = 94 + 6 + 2; localparam NUM_INPUTS = 1; localparam SHARE_COUNTER_W = 1; localparam PIPELINE_ARB = 1; localparam ST_DATA_W = 94; - localparam ST_CHANNEL_W = 7; + localparam ST_CHANNEL_W = 6; localparam PKT_TRANS_LOCK = 58; assign src_valid = sink0_valid; diff --git a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_cmd_mux_002.sv b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_cmd_mux_002.sv index 36266b4..97666d8 100644 --- a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_cmd_mux_002.sv +++ b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_cmd_mux_002.sv @@ -45,7 +45,7 @@ // PIPELINE_ARB: 1 // PKT_TRANS_LOCK: 58 (arbitration locking enabled) // ST_DATA_W: 94 -// ST_CHANNEL_W: 7 +// ST_CHANNEL_W: 6 // ------------------------------------------ module niosII_mm_interconnect_0_cmd_mux_002 @@ -55,14 +55,14 @@ module niosII_mm_interconnect_0_cmd_mux_002 // ---------------------- input sink0_valid, input [94-1 : 0] sink0_data, - input [7-1: 0] sink0_channel, + input [6-1: 0] sink0_channel, input sink0_startofpacket, input sink0_endofpacket, output sink0_ready, input sink1_valid, input [94-1 : 0] sink1_data, - input [7-1: 0] sink1_channel, + input [6-1: 0] sink1_channel, input sink1_startofpacket, input sink1_endofpacket, output sink1_ready, @@ -73,7 +73,7 @@ module niosII_mm_interconnect_0_cmd_mux_002 // ---------------------- output src_valid, output [94-1 : 0] src_data, - output [7-1 : 0] src_channel, + output [6-1 : 0] src_channel, output src_startofpacket, output src_endofpacket, input src_ready, @@ -84,12 +84,12 @@ module niosII_mm_interconnect_0_cmd_mux_002 input clk, input reset ); - localparam PAYLOAD_W = 94 + 7 + 2; + localparam PAYLOAD_W = 94 + 6 + 2; localparam NUM_INPUTS = 2; localparam SHARE_COUNTER_W = 1; localparam PIPELINE_ARB = 1; localparam ST_DATA_W = 94; - localparam ST_CHANNEL_W = 7; + localparam ST_CHANNEL_W = 6; localparam PKT_TRANS_LOCK = 58; // ------------------------------------------ diff --git a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_router.sv b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_router.sv index 1ae7b5c..d220ee5 100644 --- a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_router.sv +++ b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_router.sv @@ -44,15 +44,15 @@ module niosII_mm_interconnect_0_router_default_decode #( - parameter DEFAULT_CHANNEL = 5, + parameter DEFAULT_CHANNEL = 4, DEFAULT_WR_CHANNEL = -1, DEFAULT_RD_CHANNEL = -1, DEFAULT_DESTID = 3 ) (output [80 - 78 : 0] default_destination_id, - output [7-1 : 0] default_wr_channel, - output [7-1 : 0] default_rd_channel, - output [7-1 : 0] default_src_channel + output [6-1 : 0] default_wr_channel, + output [6-1 : 0] default_rd_channel, + output [6-1 : 0] default_src_channel ); assign default_destination_id = @@ -63,7 +63,7 @@ module niosII_mm_interconnect_0_router_default_decode assign default_src_channel = '0; end else begin : default_channel_assignment - assign default_src_channel = 7'b1 << DEFAULT_CHANNEL; + assign default_src_channel = 6'b1 << DEFAULT_CHANNEL; end endgenerate @@ -73,8 +73,8 @@ module niosII_mm_interconnect_0_router_default_decode assign default_rd_channel = '0; end else begin : default_rw_channel_assignment - assign default_wr_channel = 7'b1 << DEFAULT_WR_CHANNEL; - assign default_rd_channel = 7'b1 << DEFAULT_RD_CHANNEL; + assign default_wr_channel = 6'b1 << DEFAULT_WR_CHANNEL; + assign default_rd_channel = 6'b1 << DEFAULT_RD_CHANNEL; end endgenerate @@ -103,7 +103,7 @@ module niosII_mm_interconnect_0_router // ------------------- output src_valid, output reg [94-1 : 0] src_data, - output reg [7-1 : 0] src_channel, + output reg [6-1 : 0] src_channel, output src_startofpacket, output src_endofpacket, input src_ready @@ -119,7 +119,7 @@ module niosII_mm_interconnect_0_router localparam PKT_PROTECTION_H = 84; localparam PKT_PROTECTION_L = 82; localparam ST_DATA_W = 94; - localparam ST_CHANNEL_W = 7; + localparam ST_CHANNEL_W = 6; localparam DECODER_TYPE = 0; localparam PKT_TRANS_WRITE = 56; @@ -136,16 +136,15 @@ module niosII_mm_interconnect_0_router // ------------------------------------------------------- localparam PAD0 = log2ceil(64'h20000 - 64'h0); localparam PAD1 = log2ceil(64'h21000 - 64'h20800); - localparam PAD2 = log2ceil(64'h21040 - 64'h21000); - localparam PAD3 = log2ceil(64'h21060 - 64'h21040); - localparam PAD4 = log2ceil(64'h21068 - 64'h21060); - localparam PAD5 = log2ceil(64'h21070 - 64'h21068); + localparam PAD2 = log2ceil(64'h21020 - 64'h21000); + localparam PAD3 = log2ceil(64'h21028 - 64'h21020); + localparam PAD4 = log2ceil(64'h2102c - 64'h21028); // ------------------------------------------------------- // Work out which address bits are significant based on the // address range of the slaves. If the required width is too // large or too small, we use the address field width instead. // ------------------------------------------------------- - localparam ADDR_RANGE = 64'h21070; + localparam ADDR_RANGE = 64'h2102c; localparam RANGE_ADDR_WIDTH = log2ceil(ADDR_RANGE); localparam OPTIMIZED_ADDR_H = (RANGE_ADDR_WIDTH > PKT_ADDR_W) || (RANGE_ADDR_WIDTH == 0) ? @@ -169,7 +168,7 @@ module niosII_mm_interconnect_0_router assign src_startofpacket = sink_startofpacket; assign src_endofpacket = sink_endofpacket; wire [PKT_DEST_ID_W-1:0] default_destid; - wire [7-1 : 0] default_src_channel; + wire [6-1 : 0] default_src_channel; @@ -200,40 +199,34 @@ module niosII_mm_interconnect_0_router // ( 0x0 .. 0x20000 ) if ( {address[RG:PAD0],{PAD0{1'b0}}} == 18'h0 ) begin - src_channel = 7'b100000; + src_channel = 6'b10000; src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 3; end // ( 0x20800 .. 0x21000 ) if ( {address[RG:PAD1],{PAD1{1'b0}}} == 18'h20800 ) begin - src_channel = 7'b000100; + src_channel = 6'b00100; src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 0; end - // ( 0x21000 .. 0x21040 ) - if ( {address[RG:PAD2],{PAD2{1'b0}}} == 18'h21000 && write_transaction ) begin - src_channel = 7'b001000; + // ( 0x21000 .. 0x21020 ) + if ( {address[RG:PAD2],{PAD2{1'b0}}} == 18'h21000 ) begin + src_channel = 6'b01000; src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 5; end - // ( 0x21040 .. 0x21060 ) - if ( {address[RG:PAD3],{PAD3{1'b0}}} == 18'h21040 ) begin - src_channel = 7'b010000; - src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 6; - end - - // ( 0x21060 .. 0x21068 ) - if ( {address[RG:PAD4],{PAD4{1'b0}}} == 18'h21060 ) begin - src_channel = 7'b000010; - src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 4; - end - - // ( 0x21068 .. 0x21070 ) - if ( {address[RG:PAD5],{PAD5{1'b0}}} == 18'h21068 ) begin - src_channel = 7'b000001; + // ( 0x21020 .. 0x21028 ) + if ( {address[RG:PAD3],{PAD3{1'b0}}} == 18'h21020 ) begin + src_channel = 6'b00001; src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 1; end + // ( 0x21028 .. 0x2102c ) + if ( {address[RG:PAD4],{PAD4{1'b0}}} == 18'h21028 && write_transaction ) begin + src_channel = 6'b00010; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 4; + end + end diff --git a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_router_001.sv b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_router_001.sv index 91db8fd..b7a44c4 100644 --- a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_router_001.sv +++ b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_router_001.sv @@ -50,9 +50,9 @@ module niosII_mm_interconnect_0_router_001_default_decode DEFAULT_DESTID = 2 ) (output [80 - 78 : 0] default_destination_id, - output [7-1 : 0] default_wr_channel, - output [7-1 : 0] default_rd_channel, - output [7-1 : 0] default_src_channel + output [6-1 : 0] default_wr_channel, + output [6-1 : 0] default_rd_channel, + output [6-1 : 0] default_src_channel ); assign default_destination_id = @@ -63,7 +63,7 @@ module niosII_mm_interconnect_0_router_001_default_decode assign default_src_channel = '0; end else begin : default_channel_assignment - assign default_src_channel = 7'b1 << DEFAULT_CHANNEL; + assign default_src_channel = 6'b1 << DEFAULT_CHANNEL; end endgenerate @@ -73,8 +73,8 @@ module niosII_mm_interconnect_0_router_001_default_decode assign default_rd_channel = '0; end else begin : default_rw_channel_assignment - assign default_wr_channel = 7'b1 << DEFAULT_WR_CHANNEL; - assign default_rd_channel = 7'b1 << DEFAULT_RD_CHANNEL; + assign default_wr_channel = 6'b1 << DEFAULT_WR_CHANNEL; + assign default_rd_channel = 6'b1 << DEFAULT_RD_CHANNEL; end endgenerate @@ -103,7 +103,7 @@ module niosII_mm_interconnect_0_router_001 // ------------------- output src_valid, output reg [94-1 : 0] src_data, - output reg [7-1 : 0] src_channel, + output reg [6-1 : 0] src_channel, output src_startofpacket, output src_endofpacket, input src_ready @@ -119,7 +119,7 @@ module niosII_mm_interconnect_0_router_001 localparam PKT_PROTECTION_H = 84; localparam PKT_PROTECTION_L = 82; localparam ST_DATA_W = 94; - localparam ST_CHANNEL_W = 7; + localparam ST_CHANNEL_W = 6; localparam DECODER_TYPE = 0; localparam PKT_TRANS_WRITE = 56; @@ -165,7 +165,7 @@ module niosII_mm_interconnect_0_router_001 assign src_startofpacket = sink_startofpacket; assign src_endofpacket = sink_endofpacket; wire [PKT_DEST_ID_W-1:0] default_destid; - wire [7-1 : 0] default_src_channel; + wire [6-1 : 0] default_src_channel; @@ -191,13 +191,13 @@ module niosII_mm_interconnect_0_router_001 // ( 0x0 .. 0x20000 ) if ( {address[RG:PAD0],{PAD0{1'b0}}} == 18'h0 ) begin - src_channel = 7'b10; + src_channel = 6'b10; src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 2; end // ( 0x20800 .. 0x21000 ) if ( {address[RG:PAD1],{PAD1{1'b0}}} == 18'h20800 ) begin - src_channel = 7'b01; + src_channel = 6'b01; src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 0; end diff --git a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_router_002.sv b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_router_002.sv index dc8ad68..5516f0f 100644 --- a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_router_002.sv +++ b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_router_002.sv @@ -50,9 +50,9 @@ module niosII_mm_interconnect_0_router_002_default_decode DEFAULT_DESTID = 0 ) (output [80 - 78 : 0] default_destination_id, - output [7-1 : 0] default_wr_channel, - output [7-1 : 0] default_rd_channel, - output [7-1 : 0] default_src_channel + output [6-1 : 0] default_wr_channel, + output [6-1 : 0] default_rd_channel, + output [6-1 : 0] default_src_channel ); assign default_destination_id = @@ -63,7 +63,7 @@ module niosII_mm_interconnect_0_router_002_default_decode assign default_src_channel = '0; end else begin : default_channel_assignment - assign default_src_channel = 7'b1 << DEFAULT_CHANNEL; + assign default_src_channel = 6'b1 << DEFAULT_CHANNEL; end endgenerate @@ -73,8 +73,8 @@ module niosII_mm_interconnect_0_router_002_default_decode assign default_rd_channel = '0; end else begin : default_rw_channel_assignment - assign default_wr_channel = 7'b1 << DEFAULT_WR_CHANNEL; - assign default_rd_channel = 7'b1 << DEFAULT_RD_CHANNEL; + assign default_wr_channel = 6'b1 << DEFAULT_WR_CHANNEL; + assign default_rd_channel = 6'b1 << DEFAULT_RD_CHANNEL; end endgenerate @@ -103,7 +103,7 @@ module niosII_mm_interconnect_0_router_002 // ------------------- output src_valid, output reg [94-1 : 0] src_data, - output reg [7-1 : 0] src_channel, + output reg [6-1 : 0] src_channel, output src_startofpacket, output src_endofpacket, input src_ready @@ -119,7 +119,7 @@ module niosII_mm_interconnect_0_router_002 localparam PKT_PROTECTION_H = 84; localparam PKT_PROTECTION_L = 82; localparam ST_DATA_W = 94; - localparam ST_CHANNEL_W = 7; + localparam ST_CHANNEL_W = 6; localparam DECODER_TYPE = 1; localparam PKT_TRANS_WRITE = 56; @@ -158,7 +158,7 @@ module niosII_mm_interconnect_0_router_002 assign src_valid = sink_valid; assign src_startofpacket = sink_startofpacket; assign src_endofpacket = sink_endofpacket; - wire [7-1 : 0] default_src_channel; + wire [6-1 : 0] default_src_channel; @@ -185,7 +185,7 @@ module niosII_mm_interconnect_0_router_002 if (destid == 0 ) begin - src_channel = 7'b1; + src_channel = 6'b1; end diff --git a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_router_004.sv b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_router_004.sv index 5a45b23..456d3e1 100644 --- a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_router_004.sv +++ b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_router_004.sv @@ -1,224 +1,224 @@ -// (C) 2001-2018 Intel Corporation. All rights reserved. -// Your use of Intel Corporation's design tools, logic functions and other -// software and tools, and its AMPP partner logic functions, and any output -// files from any of the foregoing (including device programming or simulation -// files), and any associated documentation or information are expressly subject -// to the terms and conditions of the Intel Program License Subscription -// Agreement, Intel FPGA IP License Agreement, or other applicable -// license agreement, including, without limitation, that your use is for the -// sole purpose of programming logic devices manufactured by Intel and sold by -// Intel or its authorized distributors. Please refer to the applicable -// agreement for further details. - - - -// Your use of Altera Corporation's design tools, logic functions and other -// software and tools, and its AMPP partner logic functions, and any output -// files any of the foregoing (including device programming or simulation -// files), and any associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License Subscription -// Agreement, Altera MegaCore Function License Agreement, or other applicable -// license agreement, including, without limitation, that your use is for the -// sole purpose of programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the applicable -// agreement for further details. - - -// $Id: //acds/rel/18.1std/ip/merlin/altera_merlin_router/altera_merlin_router.sv.terp#1 $ -// $Revision: #1 $ -// $Date: 2018/07/18 $ -// $Author: psgswbuild $ - -// ------------------------------------------------------- -// Merlin Router -// -// Asserts the appropriate one-hot encoded channel based on -// either (a) the address or (b) the dest id. The DECODER_TYPE -// parameter controls this behaviour. 0 means address decoder, -// 1 means dest id decoder. -// -// In the case of (a), it also sets the destination id. -// ------------------------------------------------------- - -`timescale 1 ns / 1 ns - -module niosII_mm_interconnect_0_router_004_default_decode - #( - parameter DEFAULT_CHANNEL = 0, - DEFAULT_WR_CHANNEL = -1, - DEFAULT_RD_CHANNEL = -1, - DEFAULT_DESTID = 0 - ) - (output [80 - 78 : 0] default_destination_id, - output [7-1 : 0] default_wr_channel, - output [7-1 : 0] default_rd_channel, - output [7-1 : 0] default_src_channel - ); - - assign default_destination_id = - DEFAULT_DESTID[80 - 78 : 0]; - - generate - if (DEFAULT_CHANNEL == -1) begin : no_default_channel_assignment - assign default_src_channel = '0; - end - else begin : default_channel_assignment - assign default_src_channel = 7'b1 << DEFAULT_CHANNEL; - end - endgenerate - - generate - if (DEFAULT_RD_CHANNEL == -1) begin : no_default_rw_channel_assignment - assign default_wr_channel = '0; - assign default_rd_channel = '0; - end - else begin : default_rw_channel_assignment - assign default_wr_channel = 7'b1 << DEFAULT_WR_CHANNEL; - assign default_rd_channel = 7'b1 << DEFAULT_RD_CHANNEL; - end - endgenerate - -endmodule - - -module niosII_mm_interconnect_0_router_004 -( - // ------------------- - // Clock & Reset - // ------------------- - input clk, - input reset, - - // ------------------- - // Command Sink (Input) - // ------------------- - input sink_valid, - input [94-1 : 0] sink_data, - input sink_startofpacket, - input sink_endofpacket, - output sink_ready, - - // ------------------- - // Command Source (Output) - // ------------------- - output src_valid, - output reg [94-1 : 0] src_data, - output reg [7-1 : 0] src_channel, - output src_startofpacket, - output src_endofpacket, - input src_ready -); - - // ------------------------------------------------------- - // Local parameters and variables - // ------------------------------------------------------- - localparam PKT_ADDR_H = 53; - localparam PKT_ADDR_L = 36; - localparam PKT_DEST_ID_H = 80; - localparam PKT_DEST_ID_L = 78; - localparam PKT_PROTECTION_H = 84; - localparam PKT_PROTECTION_L = 82; - localparam ST_DATA_W = 94; - localparam ST_CHANNEL_W = 7; - localparam DECODER_TYPE = 1; - - localparam PKT_TRANS_WRITE = 56; - localparam PKT_TRANS_READ = 57; - - localparam PKT_ADDR_W = PKT_ADDR_H-PKT_ADDR_L + 1; - localparam PKT_DEST_ID_W = PKT_DEST_ID_H-PKT_DEST_ID_L + 1; - - - - // ------------------------------------------------------- - // Figure out the number of bits to mask off for each slave span - // during address decoding - // ------------------------------------------------------- - // ------------------------------------------------------- - // Work out which address bits are significant based on the - // address range of the slaves. If the required width is too - // large or too small, we use the address field width instead. - // ------------------------------------------------------- - localparam ADDR_RANGE = 64'h0; - localparam RANGE_ADDR_WIDTH = log2ceil(ADDR_RANGE); - localparam OPTIMIZED_ADDR_H = (RANGE_ADDR_WIDTH > PKT_ADDR_W) || - (RANGE_ADDR_WIDTH == 0) ? - PKT_ADDR_H : - PKT_ADDR_L + RANGE_ADDR_WIDTH - 1; - - localparam RG = RANGE_ADDR_WIDTH; - localparam REAL_ADDRESS_RANGE = OPTIMIZED_ADDR_H - PKT_ADDR_L; - - reg [PKT_DEST_ID_W-1 : 0] destid; - - // ------------------------------------------------------- - // Pass almost everything through, untouched - // ------------------------------------------------------- - assign sink_ready = src_ready; - assign src_valid = sink_valid; - assign src_startofpacket = sink_startofpacket; - assign src_endofpacket = sink_endofpacket; - wire [7-1 : 0] default_src_channel; - - - - - // ------------------------------------------------------- - // Write and read transaction signals - // ------------------------------------------------------- - wire read_transaction; - assign read_transaction = sink_data[PKT_TRANS_READ]; - - - niosII_mm_interconnect_0_router_004_default_decode the_default_decode( - .default_destination_id (), - .default_wr_channel (), - .default_rd_channel (), - .default_src_channel (default_src_channel) - ); - - always @* begin - src_data = sink_data; - src_channel = default_src_channel; - - // -------------------------------------------------- - // DestinationID Decoder - // Sets the channel based on the destination ID. - // -------------------------------------------------- - destid = sink_data[PKT_DEST_ID_H : PKT_DEST_ID_L]; - - - - if (destid == 0 ) begin - src_channel = 7'b01; - end - - if (destid == 1 && read_transaction) begin - src_channel = 7'b10; - end - - -end - - - // -------------------------------------------------- - // Ceil(log2()) function - // -------------------------------------------------- - function integer log2ceil; - input reg[65:0] val; - reg [65:0] i; - - begin - i = 1; - log2ceil = 0; - - while (i < val) begin - log2ceil = log2ceil + 1; - i = i << 1; - end - end - endfunction - -endmodule - - +// (C) 2001-2018 Intel Corporation. All rights reserved. +// Your use of Intel Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files from any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Intel Program License Subscription +// Agreement, Intel FPGA IP License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Intel and sold by +// Intel or its authorized distributors. Please refer to the applicable +// agreement for further details. + + + +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// $Id: //acds/rel/18.1std/ip/merlin/altera_merlin_router/altera_merlin_router.sv.terp#1 $ +// $Revision: #1 $ +// $Date: 2018/07/18 $ +// $Author: psgswbuild $ + +// ------------------------------------------------------- +// Merlin Router +// +// Asserts the appropriate one-hot encoded channel based on +// either (a) the address or (b) the dest id. The DECODER_TYPE +// parameter controls this behaviour. 0 means address decoder, +// 1 means dest id decoder. +// +// In the case of (a), it also sets the destination id. +// ------------------------------------------------------- + +`timescale 1 ns / 1 ns + +module niosII_mm_interconnect_0_router_004_default_decode + #( + parameter DEFAULT_CHANNEL = 0, + DEFAULT_WR_CHANNEL = -1, + DEFAULT_RD_CHANNEL = -1, + DEFAULT_DESTID = 0 + ) + (output [80 - 78 : 0] default_destination_id, + output [6-1 : 0] default_wr_channel, + output [6-1 : 0] default_rd_channel, + output [6-1 : 0] default_src_channel + ); + + assign default_destination_id = + DEFAULT_DESTID[80 - 78 : 0]; + + generate + if (DEFAULT_CHANNEL == -1) begin : no_default_channel_assignment + assign default_src_channel = '0; + end + else begin : default_channel_assignment + assign default_src_channel = 6'b1 << DEFAULT_CHANNEL; + end + endgenerate + + generate + if (DEFAULT_RD_CHANNEL == -1) begin : no_default_rw_channel_assignment + assign default_wr_channel = '0; + assign default_rd_channel = '0; + end + else begin : default_rw_channel_assignment + assign default_wr_channel = 6'b1 << DEFAULT_WR_CHANNEL; + assign default_rd_channel = 6'b1 << DEFAULT_RD_CHANNEL; + end + endgenerate + +endmodule + + +module niosII_mm_interconnect_0_router_004 +( + // ------------------- + // Clock & Reset + // ------------------- + input clk, + input reset, + + // ------------------- + // Command Sink (Input) + // ------------------- + input sink_valid, + input [94-1 : 0] sink_data, + input sink_startofpacket, + input sink_endofpacket, + output sink_ready, + + // ------------------- + // Command Source (Output) + // ------------------- + output src_valid, + output reg [94-1 : 0] src_data, + output reg [6-1 : 0] src_channel, + output src_startofpacket, + output src_endofpacket, + input src_ready +); + + // ------------------------------------------------------- + // Local parameters and variables + // ------------------------------------------------------- + localparam PKT_ADDR_H = 53; + localparam PKT_ADDR_L = 36; + localparam PKT_DEST_ID_H = 80; + localparam PKT_DEST_ID_L = 78; + localparam PKT_PROTECTION_H = 84; + localparam PKT_PROTECTION_L = 82; + localparam ST_DATA_W = 94; + localparam ST_CHANNEL_W = 6; + localparam DECODER_TYPE = 1; + + localparam PKT_TRANS_WRITE = 56; + localparam PKT_TRANS_READ = 57; + + localparam PKT_ADDR_W = PKT_ADDR_H-PKT_ADDR_L + 1; + localparam PKT_DEST_ID_W = PKT_DEST_ID_H-PKT_DEST_ID_L + 1; + + + + // ------------------------------------------------------- + // Figure out the number of bits to mask off for each slave span + // during address decoding + // ------------------------------------------------------- + // ------------------------------------------------------- + // Work out which address bits are significant based on the + // address range of the slaves. If the required width is too + // large or too small, we use the address field width instead. + // ------------------------------------------------------- + localparam ADDR_RANGE = 64'h0; + localparam RANGE_ADDR_WIDTH = log2ceil(ADDR_RANGE); + localparam OPTIMIZED_ADDR_H = (RANGE_ADDR_WIDTH > PKT_ADDR_W) || + (RANGE_ADDR_WIDTH == 0) ? + PKT_ADDR_H : + PKT_ADDR_L + RANGE_ADDR_WIDTH - 1; + + localparam RG = RANGE_ADDR_WIDTH; + localparam REAL_ADDRESS_RANGE = OPTIMIZED_ADDR_H - PKT_ADDR_L; + + reg [PKT_DEST_ID_W-1 : 0] destid; + + // ------------------------------------------------------- + // Pass almost everything through, untouched + // ------------------------------------------------------- + assign sink_ready = src_ready; + assign src_valid = sink_valid; + assign src_startofpacket = sink_startofpacket; + assign src_endofpacket = sink_endofpacket; + wire [6-1 : 0] default_src_channel; + + + + + // ------------------------------------------------------- + // Write and read transaction signals + // ------------------------------------------------------- + wire read_transaction; + assign read_transaction = sink_data[PKT_TRANS_READ]; + + + niosII_mm_interconnect_0_router_004_default_decode the_default_decode( + .default_destination_id (), + .default_wr_channel (), + .default_rd_channel (), + .default_src_channel (default_src_channel) + ); + + always @* begin + src_data = sink_data; + src_channel = default_src_channel; + + // -------------------------------------------------- + // DestinationID Decoder + // Sets the channel based on the destination ID. + // -------------------------------------------------- + destid = sink_data[PKT_DEST_ID_H : PKT_DEST_ID_L]; + + + + if (destid == 0 ) begin + src_channel = 6'b01; + end + + if (destid == 1 && read_transaction) begin + src_channel = 6'b10; + end + + +end + + + // -------------------------------------------------- + // Ceil(log2()) function + // -------------------------------------------------- + function integer log2ceil; + input reg[65:0] val; + reg [65:0] i; + + begin + i = 1; + log2ceil = 0; + + while (i < val) begin + log2ceil = log2ceil + 1; + i = i << 1; + end + end + endfunction + +endmodule + + diff --git a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_rsp_demux.sv b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_rsp_demux.sv index f46f1ba..3fa6c4a 100644 --- a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_rsp_demux.sv +++ b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_rsp_demux.sv @@ -29,7 +29,7 @@ // Generation parameters: // output_name: niosII_mm_interconnect_0_rsp_demux // ST_DATA_W: 94 -// ST_CHANNEL_W: 7 +// ST_CHANNEL_W: 6 // NUM_OUTPUTS: 1 // VALID_WIDTH: 1 // ------------------------------------------ @@ -47,7 +47,7 @@ module niosII_mm_interconnect_0_rsp_demux // ------------------- input [1-1 : 0] sink_valid, input [94-1 : 0] sink_data, // ST_DATA_W=94 - input [7-1 : 0] sink_channel, // ST_CHANNEL_W=7 + input [6-1 : 0] sink_channel, // ST_CHANNEL_W=6 input sink_startofpacket, input sink_endofpacket, output sink_ready, @@ -57,7 +57,7 @@ module niosII_mm_interconnect_0_rsp_demux // ------------------- output reg src0_valid, output reg [94-1 : 0] src0_data, // ST_DATA_W=94 - output reg [7-1 : 0] src0_channel, // ST_CHANNEL_W=7 + output reg [6-1 : 0] src0_channel, // ST_CHANNEL_W=6 output reg src0_startofpacket, output reg src0_endofpacket, input src0_ready, @@ -94,7 +94,7 @@ module niosII_mm_interconnect_0_rsp_demux // ------------------- assign ready_vector[0] = src0_ready; - assign sink_ready = |(sink_channel & {{6{1'b0}},{ready_vector[NUM_OUTPUTS - 1 : 0]}}); + assign sink_ready = |(sink_channel & {{5{1'b0}},{ready_vector[NUM_OUTPUTS - 1 : 0]}}); endmodule diff --git a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_rsp_mux.sv b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_rsp_mux.sv index a370648..b808733 100644 --- a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_rsp_mux.sv +++ b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_rsp_mux.sv @@ -39,13 +39,13 @@ // ------------------------------------------ // Generation parameters: // output_name: niosII_mm_interconnect_0_rsp_mux -// NUM_INPUTS: 6 -// ARBITRATION_SHARES: 1 1 1 1 1 1 +// NUM_INPUTS: 5 +// ARBITRATION_SHARES: 1 1 1 1 1 // ARBITRATION_SCHEME "no-arb" // PIPELINE_ARB: 0 // PKT_TRANS_LOCK: 58 (arbitration locking enabled) // ST_DATA_W: 94 -// ST_CHANNEL_W: 7 +// ST_CHANNEL_W: 6 // ------------------------------------------ module niosII_mm_interconnect_0_rsp_mux @@ -55,53 +55,46 @@ module niosII_mm_interconnect_0_rsp_mux // ---------------------- input sink0_valid, input [94-1 : 0] sink0_data, - input [7-1: 0] sink0_channel, + input [6-1: 0] sink0_channel, input sink0_startofpacket, input sink0_endofpacket, output sink0_ready, input sink1_valid, input [94-1 : 0] sink1_data, - input [7-1: 0] sink1_channel, + input [6-1: 0] sink1_channel, input sink1_startofpacket, input sink1_endofpacket, output sink1_ready, input sink2_valid, input [94-1 : 0] sink2_data, - input [7-1: 0] sink2_channel, + input [6-1: 0] sink2_channel, input sink2_startofpacket, input sink2_endofpacket, output sink2_ready, input sink3_valid, input [94-1 : 0] sink3_data, - input [7-1: 0] sink3_channel, + input [6-1: 0] sink3_channel, input sink3_startofpacket, input sink3_endofpacket, output sink3_ready, input sink4_valid, input [94-1 : 0] sink4_data, - input [7-1: 0] sink4_channel, + input [6-1: 0] sink4_channel, input sink4_startofpacket, input sink4_endofpacket, output sink4_ready, - input sink5_valid, - input [94-1 : 0] sink5_data, - input [7-1: 0] sink5_channel, - input sink5_startofpacket, - input sink5_endofpacket, - output sink5_ready, - // ---------------------- // Source // ---------------------- output src_valid, output [94-1 : 0] src_data, - output [7-1 : 0] src_channel, + output [6-1 : 0] src_channel, output src_startofpacket, output src_endofpacket, input src_ready, @@ -112,12 +105,12 @@ module niosII_mm_interconnect_0_rsp_mux input clk, input reset ); - localparam PAYLOAD_W = 94 + 7 + 2; - localparam NUM_INPUTS = 6; + localparam PAYLOAD_W = 94 + 6 + 2; + localparam NUM_INPUTS = 5; localparam SHARE_COUNTER_W = 1; localparam PIPELINE_ARB = 0; localparam ST_DATA_W = 94; - localparam ST_CHANNEL_W = 7; + localparam ST_CHANNEL_W = 6; localparam PKT_TRANS_LOCK = 58; // ------------------------------------------ @@ -138,14 +131,12 @@ module niosII_mm_interconnect_0_rsp_mux wire [PAYLOAD_W - 1 : 0] sink2_payload; wire [PAYLOAD_W - 1 : 0] sink3_payload; wire [PAYLOAD_W - 1 : 0] sink4_payload; - wire [PAYLOAD_W - 1 : 0] sink5_payload; assign valid[0] = sink0_valid; assign valid[1] = sink1_valid; assign valid[2] = sink2_valid; assign valid[3] = sink3_valid; assign valid[4] = sink4_valid; - assign valid[5] = sink5_valid; // ------------------------------------------ @@ -160,7 +151,6 @@ module niosII_mm_interconnect_0_rsp_mux lock[2] = sink2_data[58]; lock[3] = sink3_data[58]; lock[4] = sink4_data[58]; - lock[5] = sink5_data[58]; end assign last_cycle = src_valid & src_ready & src_endofpacket & ~(|(lock & grant)); @@ -196,13 +186,11 @@ module niosII_mm_interconnect_0_rsp_mux // 2 | 1 | 0 // 3 | 1 | 0 // 4 | 1 | 0 - // 5 | 1 | 0 wire [SHARE_COUNTER_W - 1 : 0] share_0 = 1'd0; wire [SHARE_COUNTER_W - 1 : 0] share_1 = 1'd0; wire [SHARE_COUNTER_W - 1 : 0] share_2 = 1'd0; wire [SHARE_COUNTER_W - 1 : 0] share_3 = 1'd0; wire [SHARE_COUNTER_W - 1 : 0] share_4 = 1'd0; - wire [SHARE_COUNTER_W - 1 : 0] share_5 = 1'd0; // ------------------------------------------ // Choose the share value corresponding to the grant. @@ -214,8 +202,7 @@ module niosII_mm_interconnect_0_rsp_mux share_1 & { SHARE_COUNTER_W {next_grant[1]} } | share_2 & { SHARE_COUNTER_W {next_grant[2]} } | share_3 & { SHARE_COUNTER_W {next_grant[3]} } | - share_4 & { SHARE_COUNTER_W {next_grant[4]} } | - share_5 & { SHARE_COUNTER_W {next_grant[5]} }; + share_4 & { SHARE_COUNTER_W {next_grant[4]} }; end // ------------------------------------------ @@ -287,14 +274,11 @@ module niosII_mm_interconnect_0_rsp_mux wire final_packet_4 = 1'b1; - wire final_packet_5 = 1'b1; - // ------------------------------------------ // Concatenate all final_packet signals (wire or reg) into a handy vector. // ------------------------------------------ wire [NUM_INPUTS - 1 : 0] final_packet = { - final_packet_5, final_packet_4, final_packet_3, final_packet_2, @@ -388,7 +372,6 @@ module niosII_mm_interconnect_0_rsp_mux assign sink2_ready = src_ready && grant[2]; assign sink3_ready = src_ready && grant[3]; assign sink4_ready = src_ready && grant[4]; - assign sink5_ready = src_ready && grant[5]; assign src_valid = |(grant & valid); @@ -398,8 +381,7 @@ module niosII_mm_interconnect_0_rsp_mux sink1_payload & {PAYLOAD_W {grant[1]} } | sink2_payload & {PAYLOAD_W {grant[2]} } | sink3_payload & {PAYLOAD_W {grant[3]} } | - sink4_payload & {PAYLOAD_W {grant[4]} } | - sink5_payload & {PAYLOAD_W {grant[5]} }; + sink4_payload & {PAYLOAD_W {grant[4]} }; end // ------------------------------------------ @@ -416,8 +398,6 @@ module niosII_mm_interconnect_0_rsp_mux sink3_startofpacket,sink3_endofpacket}; assign sink4_payload = {sink4_channel,sink4_data, sink4_startofpacket,sink4_endofpacket}; - assign sink5_payload = {sink5_channel,sink5_data, - sink5_startofpacket,sink5_endofpacket}; assign {src_channel,src_data,src_startofpacket,src_endofpacket} = src_payload; endmodule diff --git a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_rsp_mux_001.sv b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_rsp_mux_001.sv index bde1d55..065d10d 100644 --- a/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_rsp_mux_001.sv +++ b/Top/niosII/synthesis/submodules/niosII_mm_interconnect_0_rsp_mux_001.sv @@ -45,7 +45,7 @@ // PIPELINE_ARB: 0 // PKT_TRANS_LOCK: 58 (arbitration locking enabled) // ST_DATA_W: 94 -// ST_CHANNEL_W: 7 +// ST_CHANNEL_W: 6 // ------------------------------------------ module niosII_mm_interconnect_0_rsp_mux_001 @@ -55,14 +55,14 @@ module niosII_mm_interconnect_0_rsp_mux_001 // ---------------------- input sink0_valid, input [94-1 : 0] sink0_data, - input [7-1: 0] sink0_channel, + input [6-1: 0] sink0_channel, input sink0_startofpacket, input sink0_endofpacket, output sink0_ready, input sink1_valid, input [94-1 : 0] sink1_data, - input [7-1: 0] sink1_channel, + input [6-1: 0] sink1_channel, input sink1_startofpacket, input sink1_endofpacket, output sink1_ready, @@ -73,7 +73,7 @@ module niosII_mm_interconnect_0_rsp_mux_001 // ---------------------- output src_valid, output [94-1 : 0] src_data, - output [7-1 : 0] src_channel, + output [6-1 : 0] src_channel, output src_startofpacket, output src_endofpacket, input src_ready, @@ -84,12 +84,12 @@ module niosII_mm_interconnect_0_rsp_mux_001 input clk, input reset ); - localparam PAYLOAD_W = 94 + 7 + 2; + localparam PAYLOAD_W = 94 + 6 + 2; localparam NUM_INPUTS = 2; localparam SHARE_COUNTER_W = 1; localparam PIPELINE_ARB = 0; localparam ST_DATA_W = 94; - localparam ST_CHANNEL_W = 7; + localparam ST_CHANNEL_W = 6; localparam PKT_TRANS_LOCK = 58; // ------------------------------------------ diff --git a/Top/niosII/testbench/niosII.html b/Top/niosII/testbench/niosII.html index efb9ecc..b23a418 100644 --- a/Top/niosII/testbench/niosII.html +++ b/Top/niosII/testbench/niosII.html @@ -67,7 +67,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord
m32PHACC_WIDTH14
deviceFamily
- +
2023.01.17.19:01:292023.01.27.19:00:16 Datasheet
@@ -100,8 +100,8 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord mem altera_avalon_onchip_memory2 18.1
   - sem - sem 1.1 + sigdel_0 + sigdel 1.0
   sys_clk_timer altera_avalon_timer 18.1 @@ -144,7 +144,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord avalon_jtag_slave  - 0x00021068 + 0x00021020 @@ -167,20 +167,15 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord   - sem + sigdel_0 - ctl_slave  - 0x00021060 - - - - ram_slave  - 0x00021000 + avalon_slave  + 0x00021028 @@ -193,7 +188,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord s1  - 0x00021040 + 0x00021000 @@ -256,7 +251,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord clk clk   - cpu + cpu   clk @@ -307,24 +302,14 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord data_master   - - sem + + sigdel_0 -   ctl_slave - - - - - data_master   - - - - -   ram_slave +   avalon_slave @@ -334,7 +319,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord -   reset_n +   reset_sink @@ -1107,7 +1092,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord dataSlaveMapParam - <address-map><slave name='mem.s2' start='0x0' end='0x20000' type='altera_avalon_onchip_memory2.s2' /><slave name='cpu.debug_mem_slave' start='0x20800' end='0x21000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='sem.ram_slave' start='0x21000' end='0x21040' type='sem.ram_slave' /><slave name='sys_clk_timer.s1' start='0x21040' end='0x21060' type='altera_avalon_timer.s1' /><slave name='sem.ctl_slave' start='0x21060' end='0x21068' type='sem.ctl_slave' /><slave name='jtag_uart.avalon_jtag_slave' start='0x21068' end='0x21070' type='altera_avalon_jtag_uart.avalon_jtag_slave' /></address-map> + <address-map><slave name='mem.s2' start='0x0' end='0x20000' type='altera_avalon_onchip_memory2.s2' /><slave name='cpu.debug_mem_slave' start='0x20800' end='0x21000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='sys_clk_timer.s1' start='0x21000' end='0x21020' type='altera_avalon_timer.s1' /><slave name='jtag_uart.avalon_jtag_slave' start='0x21020' end='0x21028' type='altera_avalon_jtag_uart.avalon_jtag_slave' /><slave name='sigdel_0.avalon_slave' start='0x21028' end='0x2102C' type='sigdel.avalon_slave' /></address-map> tightlyCoupledDataMaster0MapParam @@ -1763,34 +1748,28 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord
- +

-

sem

sem v1.1 +

sigdel_0

sigdel v1.0
- - + - - - - - - - + - + @@ -1808,7 +1787,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - +
+ cpu data_master  semsigdel_0
  ctl_slave
data_master  
  ram_slave  avalon_slave
debug_reset_request  
  reset_n  reset_sink
clk_reset  
  reset_n  reset_sink
@@ -1820,8 +1799,8 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord

Parameters

- - + + @@ -2039,7 +2018,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord
m32PHACC_WIDTH14
deviceFamily
- +
generation took 0.00 secondsrendering took 0.01 secondsrendering took 0.03 seconds
diff --git a/Top/niosII/testbench/niosII_tb.html b/Top/niosII/testbench/niosII_tb.html index 434b02b..6be4e80 100644 --- a/Top/niosII/testbench/niosII_tb.html +++ b/Top/niosII/testbench/niosII_tb.html @@ -67,7 +67,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - +
2023.01.17.19:01:312023.01.27.19:00:18 Datasheet
@@ -100,8 +100,8 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord niosII_inst_mem altera_avalon_onchip_memory2 18.1
   - niosII_inst_sem - sem 1.1 + niosII_inst_sigdel_0 + sigdel 1.0
   niosII_inst_sys_clk_timer altera_avalon_timer 18.1 @@ -144,7 +144,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord avalon_jtag_slave  - 0x00021068 + 0x00021020 @@ -167,20 +167,15 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord   - niosII_inst_sem + niosII_inst_sigdel_0 - ctl_slave  - 0x00021060 - - - - ram_slave  - 0x00021000 + avalon_slave  + 0x00021028 @@ -193,7 +188,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord s1  - 0x00021040 + 0x00021000 @@ -211,7 +206,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - + @@ -398,7 +393,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord @@ -414,7 +409,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - +
AUTO_GENERATION_ID16739676911674831618
AUTO_UNIQUE_ID clk   - niosII_inst_sem + niosII_inst_sigdel_0
  reset_n  reset_sink
@@ -472,7 +467,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord niosII_inst_clk clk   - niosII_inst_cpu + niosII_inst_cpu   clk @@ -523,24 +518,14 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord data_master   - - niosII_inst_sem + + niosII_inst_sigdel_0 -   ctl_slave - - - - - data_master   - - - - -   ram_slave +   avalon_slave @@ -550,7 +535,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord -   reset_n +   reset_sink @@ -1323,7 +1308,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord dataSlaveMapParam - <address-map><slave name='mem.s2' start='0x0' end='0x20000' type='altera_avalon_onchip_memory2.s2' /><slave name='cpu.debug_mem_slave' start='0x20800' end='0x21000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='sem.ram_slave' start='0x21000' end='0x21040' type='sem.ram_slave' /><slave name='sys_clk_timer.s1' start='0x21040' end='0x21060' type='altera_avalon_timer.s1' /><slave name='sem.ctl_slave' start='0x21060' end='0x21068' type='sem.ctl_slave' /><slave name='jtag_uart.avalon_jtag_slave' start='0x21068' end='0x21070' type='altera_avalon_jtag_uart.avalon_jtag_slave' /></address-map> + <address-map><slave name='mem.s2' start='0x0' end='0x20000' type='altera_avalon_onchip_memory2.s2' /><slave name='cpu.debug_mem_slave' start='0x20800' end='0x21000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='sys_clk_timer.s1' start='0x21000' end='0x21020' type='altera_avalon_timer.s1' /><slave name='jtag_uart.avalon_jtag_slave' start='0x21020' end='0x21028' type='altera_avalon_jtag_uart.avalon_jtag_slave' /><slave name='sigdel_0.avalon_slave' start='0x21028' end='0x2102C' type='sigdel.avalon_slave' /></address-map> tightlyCoupledDataMaster0MapParam @@ -1979,34 +1964,28 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - +

-

niosII_inst_sem

sem v1.1 +

niosII_inst_sigdel_0

sigdel v1.0
- - + - - - - - - - + - + @@ -2024,7 +2003,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - +
+ niosII_inst_cpu data_master  niosII_inst_semniosII_inst_sigdel_0
  ctl_slave
data_master  
  ram_slave  avalon_slave
debug_reset_request  
  reset_n  reset_sink
clk_reset  
  reset_n  reset_sink
@@ -2036,8 +2015,8 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord

Parameters

- - + + @@ -2360,7 +2339,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord
m32PHACC_WIDTH14
deviceFamily
- +
generation took 0.00 secondsrendering took 0.02 secondsrendering took 0.03 seconds
diff --git a/Top/niosII/testbench/niosII_tb.qsys b/Top/niosII/testbench/niosII_tb.qsys index 0813eaf..be0fc93 100644 --- a/Top/niosII/testbench/niosII_tb.qsys +++ b/Top/niosII/testbench/niosII_tb.qsys @@ -7,33 +7,33 @@ description="" tags="" categories="" /> - diff --git a/Top/niosII/testbench/niosII_tb/simulation/niosII_tb.v b/Top/niosII/testbench/niosII_tb/simulation/niosII_tb.v index df606da..9be0eb5 100644 --- a/Top/niosII/testbench/niosII_tb/simulation/niosII_tb.v +++ b/Top/niosII/testbench/niosII_tb/simulation/niosII_tb.v @@ -4,52 +4,30 @@ `timescale 1 ps / 1 ps module niosII_tb ( - ); + ); - wire niosii_inst_clk_bfm_clk_clk; // niosII_inst_clk_bfm:clk -> [niosII_inst:clk_clk, niosII_inst_reset_bfm:clk] - wire niosii_inst_reset_bfm_reset_reset; // niosII_inst_reset_bfm:reset -> niosII_inst:reset_reset_n - reg train; - wire red, yellow, green; + wire niosii_inst_clk_bfm_clk_clk; // niosII_inst_clk_bfm:clk -> [niosII_inst:clk_clk, niosII_inst_reset_bfm:clk] + wire niosii_inst_reset_bfm_reset_reset; // niosII_inst_reset_bfm:reset -> niosII_inst:reset_reset_n - niosII niosii_inst ( - .clk_clk (niosii_inst_clk_bfm_clk_clk), // clk.clk - .reset_reset_n (niosii_inst_reset_bfm_reset_reset), // reset.reset_n - .sem_export_train (train), // sem_export.train - .sem_export_red (red), // .red - .sem_export_yellow (yellow), // .yellow - .sem_export_green (green) // .green - ); - - altera_avalon_clock_source #( - .CLOCK_RATE (50000000), - .CLOCK_UNIT (1) - ) niosii_inst_clk_bfm ( - .clk (niosii_inst_clk_bfm_clk_clk) // clk.clk - ); - - altera_avalon_reset_source #( - .ASSERT_HIGH_RESET (0), - .INITIAL_RESET_CYCLES (50) - ) niosii_inst_reset_bfm ( - .reset (niosii_inst_reset_bfm_reset_reset), // reset.reset_n - .clk (niosii_inst_clk_bfm_clk_clk) // clk.clk - ); - initial begin - train = 0; - wait (niosii_inst_reset_bfm_reset_reset); - forever begin - wait ({red,yellow,green}==3'b001); - repeat (29000) @(posedge niosii_inst_clk_bfm_clk_clk); - repeat(8) begin - train = 1; - repeat (10) @(posedge niosii_inst_clk_bfm_clk_clk); - train = 0; - wait ({red,yellow,green}==3'b001); - repeat (200) @(posedge niosii_inst_clk_bfm_clk_clk); - end - end - end + niosII niosii_inst ( + .clk_clk (niosii_inst_clk_bfm_clk_clk), // clk.clk + .conduit_end_writeresponsevalid_n (), // conduit_end.writeresponsevalid_n + .reset_reset_n (niosii_inst_reset_bfm_reset_reset) // reset.reset_n + ); + altera_avalon_clock_source #( + .CLOCK_RATE (50000000), + .CLOCK_UNIT (1) + ) niosii_inst_clk_bfm ( + .clk (niosii_inst_clk_bfm_clk_clk) // clk.clk + ); + altera_avalon_reset_source #( + .ASSERT_HIGH_RESET (0), + .INITIAL_RESET_CYCLES (50) + ) niosii_inst_reset_bfm ( + .reset (niosii_inst_reset_bfm_reset_reset), // reset.reset_n + .clk (niosii_inst_clk_bfm_clk_clk) // clk.clk + ); endmodule diff --git a/Top/niosII_tb.csv b/Top/niosII_tb.csv index b35f762..59e75f0 100644 --- a/Top/niosII_tb.csv +++ b/Top/niosII_tb.csv @@ -1,12 +1,12 @@ -# system info niosII_tb on 2023.01.17.19:01:35 +# system info niosII_tb on 2023.01.27.19:00:20 system_info: name,value DEVICE,EP4CE115F29C7 DEVICE_FAMILY,Cyclone IV E -GENERATION_ID,1673967691 +GENERATION_ID,1674831618 # # -# Files generated for niosII_tb on 2023.01.17.19:01:35 +# Files generated for niosII_tb on 2023.01.27.19:00:20 files: filepath,kind,attributes,module,is_top niosII/testbench/niosII_tb/simulation/niosII_tb.v,VERILOG,,niosII_tb,true @@ -19,54 +19,6 @@ niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu.v,VERILOG,,niosII_cp niosII/testbench/niosII_tb/simulation/submodules/niosII_jtag_uart.v,VERILOG,,niosII_jtag_uart,false niosII/testbench/niosII_tb/simulation/submodules/niosII_mem.hex,HEX,,niosII_mem,false niosII/testbench/niosII_tb/simulation/submodules/niosII_mem.v,VERILOG,,niosII_mem,false -niosII/testbench/niosII_tb/simulation/submodules/dec.sv,SYSTEM_VERILOG,,dec,false -niosII/testbench/niosII_tb/simulation/submodules/periodram.v,VERILOG,,dec,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_sys_clk_timer.v,VERILOG,,niosII_sys_clk_timer,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0.v,VERILOG,,niosII_mm_interconnect_0,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_irq_mapper.sv,SYSTEM_VERILOG,,niosII_irq_mapper,false -niosII/testbench/niosII_tb/simulation/submodules/altera_reset_controller.v,VERILOG,,altera_reset_controller,false -niosII/testbench/niosII_tb/simulation/submodules/altera_reset_synchronizer.v,VERILOG,,altera_reset_controller,false -niosII/testbench/niosII_tb/simulation/submodules/altera_reset_controller.sdc,SDC,,altera_reset_controller,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_debug_slave_sysclk.v,VERILOG,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_ociram_default_contents.dat,DAT,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_a.dat,DAT,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_ociram_default_contents.mif,MIF,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_b.dat,DAT,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_nios2_waves.do,OTHER,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_b.hex,HEX,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_debug_slave_tck.v,VERILOG,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu.v,VERILOG,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_b.mif,MIF,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_debug_slave_wrapper.v,VERILOG,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu.sdc,SDC,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_test_bench.v,VERILOG,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_ociram_default_contents.hex,HEX,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_a.mif,MIF,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_a.hex,HEX,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/altera_merlin_master_translator.sv,SYSTEM_VERILOG,,altera_merlin_master_translator,false -niosII/testbench/niosII_tb/simulation/submodules/altera_merlin_slave_translator.sv,SYSTEM_VERILOG,,altera_merlin_slave_translator,false -niosII/testbench/niosII_tb/simulation/submodules/altera_merlin_master_agent.sv,SYSTEM_VERILOG,,altera_merlin_master_agent,false -niosII/testbench/niosII_tb/simulation/submodules/altera_merlin_slave_agent.sv,SYSTEM_VERILOG,,altera_merlin_slave_agent,false -niosII/testbench/niosII_tb/simulation/submodules/altera_merlin_burst_uncompressor.sv,SYSTEM_VERILOG,,altera_merlin_slave_agent,false -niosII/testbench/niosII_tb/simulation/submodules/altera_avalon_sc_fifo.v,VERILOG,,altera_avalon_sc_fifo,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_router.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_router,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_router_001.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_router_001,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_router_002.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_router_002,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_router_004.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_router_004,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_router_008.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_router_008,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_cmd_demux.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_cmd_demux,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_cmd_demux_001.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_cmd_demux_001,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_cmd_mux.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_cmd_mux,false -niosII/testbench/niosII_tb/simulation/submodules/altera_merlin_arbitrator.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_cmd_mux,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_cmd_mux_002.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_cmd_mux_002,false -niosII/testbench/niosII_tb/simulation/submodules/altera_merlin_arbitrator.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_cmd_mux_002,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_rsp_demux.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_rsp_demux,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_rsp_mux.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_rsp_mux,false -niosII/testbench/niosII_tb/simulation/submodules/altera_merlin_arbitrator.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_rsp_mux,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_rsp_mux_001.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_rsp_mux_001,false -niosII/testbench/niosII_tb/simulation/submodules/altera_merlin_arbitrator.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_rsp_mux_001,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_avalon_st_adapter.v,VERILOG,,niosII_mm_interconnect_0_avalon_st_adapter,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0_avalon_st_adapter_error_adapter_0.sv,SYSTEM_VERILOG,,niosII_mm_interconnect_0_avalon_st_adapter_error_adapter_0,false # # Map from instance-path to kind of module instances: @@ -76,75 +28,9 @@ niosII_tb.niosII_inst.cpu,niosII_cpu niosII_tb.niosII_inst.cpu.cpu,niosII_cpu_cpu niosII_tb.niosII_inst.jtag_uart,niosII_jtag_uart niosII_tb.niosII_inst.mem,niosII_mem -niosII_tb.niosII_inst.sem,dec +niosII_tb.niosII_inst.sigdel_0,niosII_sigdel_0 niosII_tb.niosII_inst.sys_clk_timer,niosII_sys_clk_timer niosII_tb.niosII_inst.mm_interconnect_0,niosII_mm_interconnect_0 -niosII_tb.niosII_inst.mm_interconnect_0.cpu_data_master_translator,altera_merlin_master_translator -niosII_tb.niosII_inst.mm_interconnect_0.cpu_instruction_master_translator,altera_merlin_master_translator -niosII_tb.niosII_inst.mm_interconnect_0.jtag_uart_avalon_jtag_slave_translator,altera_merlin_slave_translator -niosII_tb.niosII_inst.mm_interconnect_0.sem_ctl_slave_translator,altera_merlin_slave_translator -niosII_tb.niosII_inst.mm_interconnect_0.cpu_debug_mem_slave_translator,altera_merlin_slave_translator -niosII_tb.niosII_inst.mm_interconnect_0.sem_ram_slave_translator,altera_merlin_slave_translator -niosII_tb.niosII_inst.mm_interconnect_0.sys_clk_timer_s1_translator,altera_merlin_slave_translator -niosII_tb.niosII_inst.mm_interconnect_0.mem_s2_translator,altera_merlin_slave_translator -niosII_tb.niosII_inst.mm_interconnect_0.mem_s1_translator,altera_merlin_slave_translator -niosII_tb.niosII_inst.mm_interconnect_0.cpu_data_master_agent,altera_merlin_master_agent -niosII_tb.niosII_inst.mm_interconnect_0.cpu_instruction_master_agent,altera_merlin_master_agent -niosII_tb.niosII_inst.mm_interconnect_0.jtag_uart_avalon_jtag_slave_agent,altera_merlin_slave_agent -niosII_tb.niosII_inst.mm_interconnect_0.sem_ctl_slave_agent,altera_merlin_slave_agent -niosII_tb.niosII_inst.mm_interconnect_0.cpu_debug_mem_slave_agent,altera_merlin_slave_agent -niosII_tb.niosII_inst.mm_interconnect_0.sem_ram_slave_agent,altera_merlin_slave_agent -niosII_tb.niosII_inst.mm_interconnect_0.sys_clk_timer_s1_agent,altera_merlin_slave_agent -niosII_tb.niosII_inst.mm_interconnect_0.mem_s2_agent,altera_merlin_slave_agent -niosII_tb.niosII_inst.mm_interconnect_0.mem_s1_agent,altera_merlin_slave_agent -niosII_tb.niosII_inst.mm_interconnect_0.jtag_uart_avalon_jtag_slave_agent_rsp_fifo,altera_avalon_sc_fifo -niosII_tb.niosII_inst.mm_interconnect_0.sem_ctl_slave_agent_rsp_fifo,altera_avalon_sc_fifo -niosII_tb.niosII_inst.mm_interconnect_0.cpu_debug_mem_slave_agent_rsp_fifo,altera_avalon_sc_fifo -niosII_tb.niosII_inst.mm_interconnect_0.sem_ram_slave_agent_rsp_fifo,altera_avalon_sc_fifo -niosII_tb.niosII_inst.mm_interconnect_0.sys_clk_timer_s1_agent_rsp_fifo,altera_avalon_sc_fifo -niosII_tb.niosII_inst.mm_interconnect_0.mem_s2_agent_rsp_fifo,altera_avalon_sc_fifo -niosII_tb.niosII_inst.mm_interconnect_0.mem_s1_agent_rsp_fifo,altera_avalon_sc_fifo -niosII_tb.niosII_inst.mm_interconnect_0.router,niosII_mm_interconnect_0_router -niosII_tb.niosII_inst.mm_interconnect_0.router_001,niosII_mm_interconnect_0_router_001 -niosII_tb.niosII_inst.mm_interconnect_0.router_002,niosII_mm_interconnect_0_router_002 -niosII_tb.niosII_inst.mm_interconnect_0.router_003,niosII_mm_interconnect_0_router_002 -niosII_tb.niosII_inst.mm_interconnect_0.router_005,niosII_mm_interconnect_0_router_002 -niosII_tb.niosII_inst.mm_interconnect_0.router_006,niosII_mm_interconnect_0_router_002 -niosII_tb.niosII_inst.mm_interconnect_0.router_007,niosII_mm_interconnect_0_router_002 -niosII_tb.niosII_inst.mm_interconnect_0.router_004,niosII_mm_interconnect_0_router_004 -niosII_tb.niosII_inst.mm_interconnect_0.router_008,niosII_mm_interconnect_0_router_008 -niosII_tb.niosII_inst.mm_interconnect_0.cmd_demux,niosII_mm_interconnect_0_cmd_demux -niosII_tb.niosII_inst.mm_interconnect_0.cmd_demux_001,niosII_mm_interconnect_0_cmd_demux_001 -niosII_tb.niosII_inst.mm_interconnect_0.rsp_demux_002,niosII_mm_interconnect_0_cmd_demux_001 -niosII_tb.niosII_inst.mm_interconnect_0.cmd_mux,niosII_mm_interconnect_0_cmd_mux -niosII_tb.niosII_inst.mm_interconnect_0.cmd_mux_001,niosII_mm_interconnect_0_cmd_mux -niosII_tb.niosII_inst.mm_interconnect_0.cmd_mux_003,niosII_mm_interconnect_0_cmd_mux -niosII_tb.niosII_inst.mm_interconnect_0.cmd_mux_004,niosII_mm_interconnect_0_cmd_mux -niosII_tb.niosII_inst.mm_interconnect_0.cmd_mux_005,niosII_mm_interconnect_0_cmd_mux -niosII_tb.niosII_inst.mm_interconnect_0.cmd_mux_006,niosII_mm_interconnect_0_cmd_mux -niosII_tb.niosII_inst.mm_interconnect_0.cmd_mux_002,niosII_mm_interconnect_0_cmd_mux_002 -niosII_tb.niosII_inst.mm_interconnect_0.rsp_demux,niosII_mm_interconnect_0_rsp_demux -niosII_tb.niosII_inst.mm_interconnect_0.rsp_demux_001,niosII_mm_interconnect_0_rsp_demux -niosII_tb.niosII_inst.mm_interconnect_0.rsp_demux_003,niosII_mm_interconnect_0_rsp_demux -niosII_tb.niosII_inst.mm_interconnect_0.rsp_demux_004,niosII_mm_interconnect_0_rsp_demux -niosII_tb.niosII_inst.mm_interconnect_0.rsp_demux_005,niosII_mm_interconnect_0_rsp_demux -niosII_tb.niosII_inst.mm_interconnect_0.rsp_demux_006,niosII_mm_interconnect_0_rsp_demux -niosII_tb.niosII_inst.mm_interconnect_0.rsp_mux,niosII_mm_interconnect_0_rsp_mux -niosII_tb.niosII_inst.mm_interconnect_0.rsp_mux_001,niosII_mm_interconnect_0_rsp_mux_001 -niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter,niosII_mm_interconnect_0_avalon_st_adapter -niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter.error_adapter_0,niosII_mm_interconnect_0_avalon_st_adapter_error_adapter_0 -niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter_001,niosII_mm_interconnect_0_avalon_st_adapter -niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter_001.error_adapter_0,niosII_mm_interconnect_0_avalon_st_adapter_error_adapter_0 -niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter_002,niosII_mm_interconnect_0_avalon_st_adapter -niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter_002.error_adapter_0,niosII_mm_interconnect_0_avalon_st_adapter_error_adapter_0 -niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter_003,niosII_mm_interconnect_0_avalon_st_adapter -niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter_003.error_adapter_0,niosII_mm_interconnect_0_avalon_st_adapter_error_adapter_0 -niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter_004,niosII_mm_interconnect_0_avalon_st_adapter -niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter_004.error_adapter_0,niosII_mm_interconnect_0_avalon_st_adapter_error_adapter_0 -niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter_005,niosII_mm_interconnect_0_avalon_st_adapter -niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter_005.error_adapter_0,niosII_mm_interconnect_0_avalon_st_adapter_error_adapter_0 -niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter_006,niosII_mm_interconnect_0_avalon_st_adapter -niosII_tb.niosII_inst.mm_interconnect_0.avalon_st_adapter_006.error_adapter_0,niosII_mm_interconnect_0_avalon_st_adapter_error_adapter_0 niosII_tb.niosII_inst.irq_mapper,niosII_irq_mapper niosII_tb.niosII_inst.rst_controller,altera_reset_controller niosII_tb.niosII_inst_clk_bfm,altera_avalon_clock_source diff --git a/Top/niosII_tb.spd b/Top/niosII_tb.spd index 3bdc125..72a25b7 100644 --- a/Top/niosII_tb.spd +++ b/Top/niosII_tb.spd @@ -5,198 +5,6 @@ type="SYSTEM_VERILOG" library="altera_common_sv_packages" systemVerilogPackageName="avalon_vip_verbosity_pkg" /> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -