diff --git a/HDL/sdmod.sv b/HDL/sdmod.sv index 60fdb70..4349509 100644 --- a/HDL/sdmod.sv +++ b/HDL/sdmod.sv @@ -7,17 +7,17 @@ module sdmod ( logic out; logic signed [7:0] eps; -logic signed [7:0] un; +logic signed [8:0] un; always_ff @(posedge clk, negedge reset) begin if (~reset) begin - un <= 8'd0; + un <= 9'd0; end else begin un <= val - eps; end end -assign out = (un >= $signed(8'd0)) ? 1'd1 : 1'd0; -assign eps = (un >= $signed(8'd0)) ? $signed(8'd126) - un : $signed(-8'd126) - un; +assign out = (un >= $signed(9'd0)) ? 1'd1 : 1'd0; +assign eps = (un >= $signed(9'd0)) ? $signed(9'd126) - un : $signed(-9'd126) - un; assign daco = out; endmodule diff --git a/HDL/sigdel.sv b/HDL/sigdel.sv index 5acf7e3..0571985 100644 --- a/HDL/sigdel.sv +++ b/HDL/sigdel.sv @@ -1,7 +1,7 @@ //top-level module module sigdel #( - PHACC_WIDTH = 14 + PHACC_WIDTH = 27 ) ( //clock and reset input logic clk, clr_n, @@ -24,7 +24,7 @@ module sigdel end end - phacc phacc_inst (.phinc(phinc_val), .clk(clk), .reset(clr_n), .phase(phase)); + phacc phacc_inst (.phinc(1'b1), .clk(clk), .reset(clr_n), .phase(phase)); defparam phacc_inst.WIDTH = PHACC_WIDTH; sinelut sinelut_inst ( diff --git a/Testbench/sigdel/sigdel.qsf b/Testbench/sigdel/sigdel.qsf index 7a6d160..e322d06 100644 --- a/Testbench/sigdel/sigdel.qsf +++ b/Testbench/sigdel/sigdel.qsf @@ -37,16 +37,15 @@ set_global_assignment -name FAMILY "Cyclone IV E" -set_global_assignment -name DEVICE EP4CE6E22A7 +set_global_assignment -name DEVICE EP4CE15F23C8 set_global_assignment -name TOP_LEVEL_ENTITY sigdel set_global_assignment -name ORIGINAL_QUARTUS_VERSION 18.1.0 set_global_assignment -name PROJECT_CREATION_TIME_DATE "10:16:23 JANUARY 27, 2023" set_global_assignment -name LAST_QUARTUS_VERSION "18.1.0 Lite Edition" set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files -set_global_assignment -name MIN_CORE_JUNCTION_TEMP "-40" -set_global_assignment -name MAX_CORE_JUNCTION_TEMP 125 +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 -set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (SystemVerilog)" set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "SYSTEMVERILOG HDL" -section_id eda_simulation @@ -74,4 +73,7 @@ set_global_assignment -name EDA_TEST_BENCH_NAME sigdel_tb -section_id eda_simula set_global_assignment -name EDA_DESIGN_INSTANCE_NAME NA -section_id sigdel_tb set_global_assignment -name EDA_TEST_BENCH_MODULE_NAME sigdel_tb -section_id sigdel_tb set_global_assignment -name EDA_TEST_BENCH_FILE sigdel_tb.sv -section_id sigdel_tb +set_location_assignment PIN_T2 -to clk +set_location_assignment PIN_E4 -to clr_n +set_location_assignment PIN_E3 -to fout set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/Testbench/sigdel/sigdel.qws b/Testbench/sigdel/sigdel.qws index 51e4133..2bf3548 100644 Binary files a/Testbench/sigdel/sigdel.qws and b/Testbench/sigdel/sigdel.qws differ diff --git a/Top/niosII.qsys b/Top/niosII.qsys index e6b84d0..57f7a41 100644 --- a/Top/niosII.qsys +++ b/Top/niosII.qsys @@ -105,6 +105,14 @@ type = "String"; } } + element niosII + { + datum _originalDeviceFamily + { + value = "Cyclone IV E"; + type = "String"; + } + } element sigdel_0 { datum _sortIndex @@ -401,7 +409,7 @@ - + - + java.lang.Integer - 1675761526 + 1675774980 false true false @@ -5649,7 +5649,7 @@ parameters are a RESULT of the module parameters. --> the requested settings for a module instance. --> int - 14 + 26 false true true diff --git a/Top/niosII/niosII.html b/Top/niosII/niosII.html index ab6189e..5d25fbe 100644 --- a/Top/niosII/niosII.html +++ b/Top/niosII/niosII.html @@ -67,7 +67,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - +
2023.02.07.13:18:472023.02.07.17:03:00 Datasheet
@@ -1800,7 +1800,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - + @@ -2018,7 +2018,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord
PHACC_WIDTH1426
deviceFamily
- +
generation took 0.00 secondsrendering took 0.02 secondsrendering took 0.03 seconds
diff --git a/Top/niosII/niosII.xml b/Top/niosII/niosII.xml index 43d38a6..b9dbb0f 100644 --- a/Top/niosII/niosII.xml +++ b/Top/niosII/niosII.xml @@ -1,6 +1,6 @@ - + @@ -598,33 +598,33 @@ niosII" instantiated altera_nios2_gen2 "cpu"]]> queue size: 52 starting:altera_nios2_gen2_unit "submodules/niosII_cpu_cpu" Starting RTL generation for module 'niosII_cpu_cpu' - Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//eperlcmd -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=/tmp/alt9395_1147342592986019742.dir/0008_cpu_gen/ --quartus_bindir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/ --verilog --config=/tmp/alt9395_1147342592986019742.dir/0008_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] - # 2023.02.07 12:18:49 (*) Starting Nios II generation - # 2023.02.07 12:18:49 (*) Checking for plaintext license. - # 2023.02.07 12:18:50 (*) Plaintext license not found. - # 2023.02.07 12:18:50 (*) No license required to generate encrypted Nios II/e. - # 2023.02.07 12:18:50 (*) Elaborating CPU configuration settings - # 2023.02.07 12:18:50 (*) Creating all objects for CPU - # 2023.02.07 12:18:50 (*) Generating RTL from CPU objects - # 2023.02.07 12:18:50 (*) Creating plain-text RTL - # 2023.02.07 12:18:51 (*) Done Nios II generation + Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//eperlcmd -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=/tmp/alt9395_3377339592384121778.dir/0008_cpu_gen/ --quartus_bindir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/ --verilog --config=/tmp/alt9395_3377339592384121778.dir/0008_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] + # 2023.02.07 16:03:03 (*) Starting Nios II generation + # 2023.02.07 16:03:03 (*) Checking for plaintext license. + # 2023.02.07 16:03:03 (*) Plaintext license not found. + # 2023.02.07 16:03:03 (*) No license required to generate encrypted Nios II/e. + # 2023.02.07 16:03:03 (*) Elaborating CPU configuration settings + # 2023.02.07 16:03:03 (*) Creating all objects for CPU + # 2023.02.07 16:03:04 (*) Generating RTL from CPU objects + # 2023.02.07 16:03:04 (*) Creating plain-text RTL + # 2023.02.07 16:03:04 (*) Done Nios II generation Done RTL generation for module 'niosII_cpu_cpu' cpu" instantiated altera_nios2_gen2_unit "cpu"]]> queue size: 7 starting:altera_avalon_jtag_uart "submodules/niosII_jtag_uart" Starting RTL generation for module 'niosII_jtag_uart' - Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=niosII_jtag_uart --dir=/tmp/alt9395_1147342592986019742.dir/0002_jtag_uart_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9395_1147342592986019742.dir/0002_jtag_uart_gen//niosII_jtag_uart_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=niosII_jtag_uart --dir=/tmp/alt9395_3377339592384121778.dir/0002_jtag_uart_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9395_3377339592384121778.dir/0002_jtag_uart_gen//niosII_jtag_uart_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_jtag_uart' niosII" instantiated altera_avalon_jtag_uart "jtag_uart"]]> queue size: 6 starting:altera_avalon_onchip_memory2 "submodules/niosII_mem" Starting RTL generation for module 'niosII_mem' - Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=niosII_mem --dir=/tmp/alt9395_1147342592986019742.dir/0003_mem_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9395_1147342592986019742.dir/0003_mem_gen//niosII_mem_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=niosII_mem --dir=/tmp/alt9395_3377339592384121778.dir/0003_mem_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9395_3377339592384121778.dir/0003_mem_gen//niosII_mem_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_mem' niosII" instantiated altera_avalon_onchip_memory2 "mem"]]> queue size: 5 starting:sigdel "submodules/sigdel" niosII" instantiated sigdel "sigdel_0"]]> queue size: 4 starting:altera_avalon_timer "submodules/niosII_sys_clk_timer" Starting RTL generation for module 'niosII_sys_clk_timer' - Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=niosII_sys_clk_timer --dir=/tmp/alt9395_1147342592986019742.dir/0005_sys_clk_timer_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9395_1147342592986019742.dir/0005_sys_clk_timer_gen//niosII_sys_clk_timer_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=niosII_sys_clk_timer --dir=/tmp/alt9395_3377339592384121778.dir/0005_sys_clk_timer_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9395_3377339592384121778.dir/0005_sys_clk_timer_gen//niosII_sys_clk_timer_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_sys_clk_timer' niosII" instantiated altera_avalon_timer "sys_clk_timer"]]> queue size: 3 starting:altera_mm_interconnect "submodules/niosII_mm_interconnect_0" @@ -844,17 +844,17 @@ Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.003s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.002s Timing: COM:3/0.015s/0.020s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s + Timing: ELA:1/0.000s Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.003s - Timing: COM:3/0.013s/0.025s + Timing: ELA:1/0.002s + Timing: COM:3/0.007s/0.008s @@ -862,20 +862,20 @@ Timing: ELA:1/0.000s Timing: ELA:2/0.000s/0.001s Timing: ELA:1/0.002s - Timing: COM:3/0.008s/0.009s + Timing: COM:3/0.006s/0.007s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.000s + Timing: ELA:2/0.000s/0.001s Timing: ELA:1/0.003s Timing: COM:3/0.007s/0.008s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s + Timing: ELA:1/0.000s Timing: ELA:2/0.000s/0.000s Timing: ELA:1/0.002s Timing: COM:3/0.006s/0.007s @@ -884,9 +884,9 @@ Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.004s - Timing: COM:3/0.007s/0.009s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.002s + Timing: COM:3/0.006s/0.007s 54 modules, 175 connections]]> @@ -1282,16 +1282,16 @@ niosII" instantiated altera_nios2_gen2 "cpu"]]> queue size: 52 starting:altera_nios2_gen2_unit "submodules/niosII_cpu_cpu" Starting RTL generation for module 'niosII_cpu_cpu' - Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//eperlcmd -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=/tmp/alt9395_1147342592986019742.dir/0008_cpu_gen/ --quartus_bindir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/ --verilog --config=/tmp/alt9395_1147342592986019742.dir/0008_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] - # 2023.02.07 12:18:49 (*) Starting Nios II generation - # 2023.02.07 12:18:49 (*) Checking for plaintext license. - # 2023.02.07 12:18:50 (*) Plaintext license not found. - # 2023.02.07 12:18:50 (*) No license required to generate encrypted Nios II/e. - # 2023.02.07 12:18:50 (*) Elaborating CPU configuration settings - # 2023.02.07 12:18:50 (*) Creating all objects for CPU - # 2023.02.07 12:18:50 (*) Generating RTL from CPU objects - # 2023.02.07 12:18:50 (*) Creating plain-text RTL - # 2023.02.07 12:18:51 (*) Done Nios II generation + Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//eperlcmd -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=/tmp/alt9395_3377339592384121778.dir/0008_cpu_gen/ --quartus_bindir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/ --verilog --config=/tmp/alt9395_3377339592384121778.dir/0008_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] + # 2023.02.07 16:03:03 (*) Starting Nios II generation + # 2023.02.07 16:03:03 (*) Checking for plaintext license. + # 2023.02.07 16:03:03 (*) Plaintext license not found. + # 2023.02.07 16:03:03 (*) No license required to generate encrypted Nios II/e. + # 2023.02.07 16:03:03 (*) Elaborating CPU configuration settings + # 2023.02.07 16:03:03 (*) Creating all objects for CPU + # 2023.02.07 16:03:04 (*) Generating RTL from CPU objects + # 2023.02.07 16:03:04 (*) Creating plain-text RTL + # 2023.02.07 16:03:04 (*) Done Nios II generation Done RTL generation for module 'niosII_cpu_cpu' cpu" instantiated altera_nios2_gen2_unit "cpu"]]> @@ -1335,7 +1335,7 @@ queue size: 7 starting:altera_avalon_jtag_uart "submodules/niosII_jtag_uart" Starting RTL generation for module 'niosII_jtag_uart' - Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=niosII_jtag_uart --dir=/tmp/alt9395_1147342592986019742.dir/0002_jtag_uart_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9395_1147342592986019742.dir/0002_jtag_uart_gen//niosII_jtag_uart_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=niosII_jtag_uart --dir=/tmp/alt9395_3377339592384121778.dir/0002_jtag_uart_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9395_3377339592384121778.dir/0002_jtag_uart_gen//niosII_jtag_uart_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_jtag_uart' niosII" instantiated altera_avalon_jtag_uart "jtag_uart"]]> @@ -1404,19 +1404,19 @@ queue size: 6 starting:altera_avalon_onchip_memory2 "submodules/niosII_mem" Starting RTL generation for module 'niosII_mem' - Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=niosII_mem --dir=/tmp/alt9395_1147342592986019742.dir/0003_mem_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9395_1147342592986019742.dir/0003_mem_gen//niosII_mem_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=niosII_mem --dir=/tmp/alt9395_3377339592384121778.dir/0003_mem_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9395_3377339592384121778.dir/0003_mem_gen//niosII_mem_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_mem' niosII" instantiated altera_avalon_onchip_memory2 "mem"]]> - + queue size: 4 starting:altera_avalon_timer "submodules/niosII_sys_clk_timer" Starting RTL generation for module 'niosII_sys_clk_timer' - Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=niosII_sys_clk_timer --dir=/tmp/alt9395_1147342592986019742.dir/0005_sys_clk_timer_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9395_1147342592986019742.dir/0005_sys_clk_timer_gen//niosII_sys_clk_timer_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=niosII_sys_clk_timer --dir=/tmp/alt9395_3377339592384121778.dir/0005_sys_clk_timer_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9395_3377339592384121778.dir/0005_sys_clk_timer_gen//niosII_sys_clk_timer_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_sys_clk_timer' niosII" instantiated altera_avalon_timer "sys_clk_timer"]]> @@ -2040,17 +2040,17 @@ Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.003s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.002s Timing: COM:3/0.015s/0.020s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s + Timing: ELA:1/0.000s Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.003s - Timing: COM:3/0.013s/0.025s + Timing: ELA:1/0.002s + Timing: COM:3/0.007s/0.008s @@ -2058,20 +2058,20 @@ Timing: ELA:1/0.000s Timing: ELA:2/0.000s/0.001s Timing: ELA:1/0.002s - Timing: COM:3/0.008s/0.009s + Timing: COM:3/0.006s/0.007s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.000s + Timing: ELA:2/0.000s/0.001s Timing: ELA:1/0.003s Timing: COM:3/0.007s/0.008s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s + Timing: ELA:1/0.000s Timing: ELA:2/0.000s/0.000s Timing: ELA:1/0.002s Timing: COM:3/0.006s/0.007s @@ -2080,9 +2080,9 @@ Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.004s - Timing: COM:3/0.007s/0.009s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.002s + Timing: COM:3/0.006s/0.007s 54 modules, 175 connections]]> @@ -2501,16 +2501,16 @@ queue size: 52 starting:altera_nios2_gen2_unit "submodules/niosII_cpu_cpu" Starting RTL generation for module 'niosII_cpu_cpu' - Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//eperlcmd -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=/tmp/alt9395_1147342592986019742.dir/0008_cpu_gen/ --quartus_bindir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/ --verilog --config=/tmp/alt9395_1147342592986019742.dir/0008_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] - # 2023.02.07 12:18:49 (*) Starting Nios II generation - # 2023.02.07 12:18:49 (*) Checking for plaintext license. - # 2023.02.07 12:18:50 (*) Plaintext license not found. - # 2023.02.07 12:18:50 (*) No license required to generate encrypted Nios II/e. - # 2023.02.07 12:18:50 (*) Elaborating CPU configuration settings - # 2023.02.07 12:18:50 (*) Creating all objects for CPU - # 2023.02.07 12:18:50 (*) Generating RTL from CPU objects - # 2023.02.07 12:18:50 (*) Creating plain-text RTL - # 2023.02.07 12:18:51 (*) Done Nios II generation + Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//eperlcmd -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=/tmp/alt9395_3377339592384121778.dir/0008_cpu_gen/ --quartus_bindir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/ --verilog --config=/tmp/alt9395_3377339592384121778.dir/0008_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] + # 2023.02.07 16:03:03 (*) Starting Nios II generation + # 2023.02.07 16:03:03 (*) Checking for plaintext license. + # 2023.02.07 16:03:03 (*) Plaintext license not found. + # 2023.02.07 16:03:03 (*) No license required to generate encrypted Nios II/e. + # 2023.02.07 16:03:03 (*) Elaborating CPU configuration settings + # 2023.02.07 16:03:03 (*) Creating all objects for CPU + # 2023.02.07 16:03:04 (*) Generating RTL from CPU objects + # 2023.02.07 16:03:04 (*) Creating plain-text RTL + # 2023.02.07 16:03:04 (*) Done Nios II generation Done RTL generation for module 'niosII_cpu_cpu' cpu" instantiated altera_nios2_gen2_unit "cpu"]]> diff --git a/Top/niosII/synthesis/niosII.debuginfo b/Top/niosII/synthesis/niosII.debuginfo index 466a071..0ca1f59 100644 --- a/Top/niosII/synthesis/niosII.debuginfo +++ b/Top/niosII/synthesis/niosII.debuginfo @@ -1,7 +1,7 @@ - + com.altera.sopcmodel.ensemble.EClockAdapter @@ -53,7 +53,7 @@ int - 1675761526 + 1675774980 false true true @@ -5678,7 +5678,7 @@ parameters are a RESULT of the module parameters. --> the requested settings for a module instance. --> int - 14 + 26 false true true @@ -12167,5 +12167,5 @@ parameters are a RESULT of the module parameters. --> 18.1 18.1 625 - CE053227F4B7000001862B2BACF3 + CE053227F4B7000001862BF8F68D diff --git a/Top/niosII/synthesis/niosII.qip b/Top/niosII/synthesis/niosII.qip index 4766ae6..cccf95a 100644 --- a/Top/niosII/synthesis/niosII.qip +++ b/Top/niosII/synthesis/niosII.qip @@ -2,7 +2,7 @@ set_global_assignment -entity "niosII" -library "niosII" -name IP_TOOL_NAME "Qsy set_global_assignment -entity "niosII" -library "niosII" -name IP_TOOL_VERSION "18.1" set_global_assignment -entity "niosII" -library "niosII" -name IP_TOOL_ENV "Qsys" set_global_assignment -library "niosII" -name SOPCINFO_FILE [file join $::quartus(qip_path) "../../niosII.sopcinfo"] -set_global_assignment -entity "niosII" -library "niosII" -name SLD_INFO "QSYS_NAME niosII HAS_SOPCINFO 1 GENERATION_ID 1675761526" +set_global_assignment -entity "niosII" -library "niosII" -name SLD_INFO "QSYS_NAME niosII HAS_SOPCINFO 1 GENERATION_ID 1675774980" set_global_assignment -library "niosII" -name MISC_FILE [file join $::quartus(qip_path) "../niosII.cmp"] set_global_assignment -library "niosII" -name SLD_FILE [file join $::quartus(qip_path) "niosII.regmap"] set_global_assignment -library "niosII" -name SLD_FILE [file join $::quartus(qip_path) "niosII.debuginfo"] @@ -16,7 +16,7 @@ set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_DISP set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_REPORT_HIERARCHY "On" set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_INTERNAL "Off" set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_VERSION "MS4w" -set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19HRU5FUkFUSU9OX0lE::MTY3NTc2MTUyNg==::QXV0byBHRU5FUkFUSU9OX0lE" +set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19HRU5FUkFUSU9OX0lE::MTY3NTc3NDk4MA==::QXV0byBHRU5FUkFUSU9OX0lE" set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBJViBF::QXV0byBERVZJQ0VfRkFNSUxZ" set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::RVA0Q0UxNUYyM0M4::QXV0byBERVZJQ0U=" set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::OA==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ==" @@ -667,7 +667,7 @@ set_global_assignment -entity "sigdel" -library "niosII" -name IP_COMPONENT_DISP set_global_assignment -entity "sigdel" -library "niosII" -name IP_COMPONENT_REPORT_HIERARCHY "Off" set_global_assignment -entity "sigdel" -library "niosII" -name IP_COMPONENT_INTERNAL "Off" set_global_assignment -entity "sigdel" -library "niosII" -name IP_COMPONENT_VERSION "MS4w" -set_global_assignment -entity "sigdel" -library "niosII" -name IP_COMPONENT_PARAMETER "UEhBQ0NfV0lEVEg=::MTQ=::UEhBQ0NfV0lEVEg=" +set_global_assignment -entity "sigdel" -library "niosII" -name IP_COMPONENT_PARAMETER "UEhBQ0NfV0lEVEg=::MjY=::UEhBQ0NfV0lEVEg=" set_global_assignment -entity "niosII_mem" -library "niosII" -name IP_COMPONENT_NAME "bmlvc0lJX21lbQ==" set_global_assignment -entity "niosII_mem" -library "niosII" -name IP_COMPONENT_DISPLAY_NAME "T24tQ2hpcCBNZW1vcnkgKFJBTSBvciBST00pIEludGVsIEZQR0EgSVA=" set_global_assignment -entity "niosII_mem" -library "niosII" -name IP_COMPONENT_REPORT_HIERARCHY "Off" diff --git a/Top/niosII/synthesis/niosII.v b/Top/niosII/synthesis/niosII.v index 14af4e4..e69e854 100644 --- a/Top/niosII/synthesis/niosII.v +++ b/Top/niosII/synthesis/niosII.v @@ -128,7 +128,7 @@ module niosII ( ); sigdel #( - .PHACC_WIDTH (14) + .PHACC_WIDTH (26) ) sigdel_0 ( .clk (clk_clk), // clock.clk .clr_n (~rst_controller_reset_out_reset), // reset_sink.reset_n diff --git a/Top/semafor.qsf b/Top/semafor.qsf index 0b605b0..6c59e59 100644 --- a/Top/semafor.qsf +++ b/Top/semafor.qsf @@ -61,4 +61,6 @@ set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to clk set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS TEST_BENCH_MODE -section_id eda_simulation set_location_assignment PIN_T2 -to CLOCK_50 set_location_assignment PIN_E3 -to LEDG[0] +set_location_assignment PIN_C21 -to FOUTA +set_location_assignment PIN_E4 -to nreset set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/Top/semafor.qws b/Top/semafor.qws deleted file mode 100644 index 9b2fc2e..0000000 Binary files a/Top/semafor.qws and /dev/null differ diff --git a/Top/sigdel_hw.tcl b/Top/sigdel_hw.tcl index b1595aa..1b95d05 100644 --- a/Top/sigdel_hw.tcl +++ b/Top/sigdel_hw.tcl @@ -1,11 +1,11 @@ # TCL File Generated by Component Editor 18.1 -# Fri Jan 27 18:48:38 MSK 2023 +# Tue Feb 07 16:48:35 MSK 2023 # DO NOT MODIFY # # sigdel "Sigma-Delta Modulator" v1.0 -# 2023.01.27.18:48:38 +# 2023.02.07.16:48:35 # # diff --git a/Top/sigdel_hw.tcl~ b/Top/sigdel_hw.tcl~ new file mode 100644 index 0000000..b1595aa --- /dev/null +++ b/Top/sigdel_hw.tcl~ @@ -0,0 +1,143 @@ +# TCL File Generated by Component Editor 18.1 +# Fri Jan 27 18:48:38 MSK 2023 +# DO NOT MODIFY + + +# +# sigdel "Sigma-Delta Modulator" v1.0 +# 2023.01.27.18:48:38 +# +# + +# +# request TCL package from ACDS 16.1 +# +package require -exact qsys 16.1 + + +# +# module sigdel +# +set_module_property DESCRIPTION "" +set_module_property NAME sigdel +set_module_property VERSION 1.0 +set_module_property INTERNAL false +set_module_property OPAQUE_ADDRESS_MAP true +set_module_property GROUP "User Logic" +set_module_property AUTHOR "" +set_module_property DISPLAY_NAME "Sigma-Delta Modulator" +set_module_property INSTANTIATE_IN_SYSTEM_MODULE true +set_module_property EDITABLE true +set_module_property REPORT_TO_TALKBACK false +set_module_property ALLOW_GREYBOX_GENERATION false +set_module_property REPORT_HIERARCHY false + + +# +# file sets +# +add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" "" +set_fileset_property QUARTUS_SYNTH TOP_LEVEL sigdel +set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false +set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE false +add_fileset_file phacc.sv SYSTEM_VERILOG PATH ../HDL/phacc.sv +add_fileset_file sdmod.sv SYSTEM_VERILOG PATH ../HDL/sdmod.sv +add_fileset_file sigdel.sv SYSTEM_VERILOG PATH ../HDL/sigdel.sv TOP_LEVEL_FILE +add_fileset_file sinelut.v VERILOG PATH ../HDL/IP/sinelut.v +add_fileset_file sine256.mif MIF PATH ../HDL/IP/sine256.mif + + +# +# parameters +# +add_parameter PHACC_WIDTH INTEGER 14 +set_parameter_property PHACC_WIDTH DEFAULT_VALUE 14 +set_parameter_property PHACC_WIDTH DISPLAY_NAME PHACC_WIDTH +set_parameter_property PHACC_WIDTH TYPE INTEGER +set_parameter_property PHACC_WIDTH UNITS None +set_parameter_property PHACC_WIDTH ALLOWED_RANGES -2147483648:2147483647 +set_parameter_property PHACC_WIDTH HDL_PARAMETER true + + +# +# display items +# + + +# +# connection point clock +# +add_interface clock clock end +set_interface_property clock clockRate 0 +set_interface_property clock ENABLED true +set_interface_property clock EXPORT_OF "" +set_interface_property clock PORT_NAME_MAP "" +set_interface_property clock CMSIS_SVD_VARIABLES "" +set_interface_property clock SVD_ADDRESS_GROUP "" + +add_interface_port clock clk clk Input 1 + + +# +# connection point reset_sink +# +add_interface reset_sink reset end +set_interface_property reset_sink associatedClock clock +set_interface_property reset_sink synchronousEdges DEASSERT +set_interface_property reset_sink ENABLED true +set_interface_property reset_sink EXPORT_OF "" +set_interface_property reset_sink PORT_NAME_MAP "" +set_interface_property reset_sink CMSIS_SVD_VARIABLES "" +set_interface_property reset_sink SVD_ADDRESS_GROUP "" + +add_interface_port reset_sink clr_n reset_n Input 1 + + +# +# connection point conduit_end +# +add_interface conduit_end conduit end +set_interface_property conduit_end associatedClock "" +set_interface_property conduit_end associatedReset "" +set_interface_property conduit_end ENABLED true +set_interface_property conduit_end EXPORT_OF "" +set_interface_property conduit_end PORT_NAME_MAP "" +set_interface_property conduit_end CMSIS_SVD_VARIABLES "" +set_interface_property conduit_end SVD_ADDRESS_GROUP "" + +add_interface_port conduit_end fout writeresponsevalid_n Output 1 + + +# +# connection point avalon_slave +# +add_interface avalon_slave avalon end +set_interface_property avalon_slave addressUnits WORDS +set_interface_property avalon_slave associatedClock clock +set_interface_property avalon_slave associatedReset reset_sink +set_interface_property avalon_slave bitsPerSymbol 8 +set_interface_property avalon_slave burstOnBurstBoundariesOnly false +set_interface_property avalon_slave burstcountUnits WORDS +set_interface_property avalon_slave explicitAddressSpan 0 +set_interface_property avalon_slave holdTime 0 +set_interface_property avalon_slave linewrapBursts false +set_interface_property avalon_slave maximumPendingReadTransactions 0 +set_interface_property avalon_slave maximumPendingWriteTransactions 0 +set_interface_property avalon_slave readLatency 0 +set_interface_property avalon_slave readWaitTime 1 +set_interface_property avalon_slave setupTime 0 +set_interface_property avalon_slave timingUnits Cycles +set_interface_property avalon_slave writeWaitTime 0 +set_interface_property avalon_slave ENABLED true +set_interface_property avalon_slave EXPORT_OF "" +set_interface_property avalon_slave PORT_NAME_MAP "" +set_interface_property avalon_slave CMSIS_SVD_VARIABLES "" +set_interface_property avalon_slave SVD_ADDRESS_GROUP "" + +add_interface_port avalon_slave wr_n write_n Input 1 +add_interface_port avalon_slave wr_data writedata Input 32 +set_interface_assignment avalon_slave embeddedsw.configuration.isFlash 0 +set_interface_assignment avalon_slave embeddedsw.configuration.isMemoryDevice 0 +set_interface_assignment avalon_slave embeddedsw.configuration.isNonVolatileStorage 0 +set_interface_assignment avalon_slave embeddedsw.configuration.isPrintableDevice 0 + diff --git a/Top/software/deltasigma/deltasigma.elf b/Top/software/deltasigma/deltasigma.elf index 0581bb8..ad7a1f2 100644 Binary files a/Top/software/deltasigma/deltasigma.elf and b/Top/software/deltasigma/deltasigma.elf differ diff --git a/Top/software/deltasigma/deltasigma.objdump b/Top/software/deltasigma/deltasigma.objdump index bf7124b..bc3466b 100644 --- a/Top/software/deltasigma/deltasigma.objdump +++ b/Top/software/deltasigma/deltasigma.objdump @@ -489,7 +489,7 @@ Disassembly of section .text: 26c: dfc00115 stw ra,4(sp) 270: df000015 stw fp,0(sp) 274: d839883a mov fp,sp - 278: 00c00974 movhi r3,37 + 278: 00c80004 movi r3,8192 27c: 00a40a14 movui r2,36904 280: 10c00035 stwio r3,0(r2) 284: 01000034 movhi r4,0 diff --git a/Top/software/deltasigma/main.c b/Top/software/deltasigma/main.c index 5f18f9d..9200927 100644 --- a/Top/software/deltasigma/main.c +++ b/Top/software/deltasigma/main.c @@ -15,7 +15,7 @@ int main() { - IOWR_DELSIG_CTL(SIGDEL_0_BASE, 0x250000); + IOWR_DELSIG_CTL(SIGDEL_0_BASE, 0x2000); printf("Ready\n"); while (1) {} return 0; diff --git a/Top/software/semafor_bsp/settings.bsp b/Top/software/semafor_bsp/settings.bsp index 15c98c3..8c2b187 100644 --- a/Top/software/semafor_bsp/settings.bsp +++ b/Top/software/semafor_bsp/settings.bsp @@ -2,8 +2,8 @@ hal default - Feb 7, 2023 2:27:07 PM - 1675765627575 + Feb 7, 2023 4:54:12 PM + 1675774452122 /home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/Top/software/semafor_bsp settings.bsp ../../niosII.sopcinfo diff --git a/Top/software/semafor_bsp/summary.html b/Top/software/semafor_bsp/summary.html index da57c57..d61fe4f 100644 --- a/Top/software/semafor_bsp/summary.html +++ b/Top/software/semafor_bsp/summary.html @@ -22,10 +22,10 @@ BSP Version:default -BSP Generated On:Feb 7, 2023 2:27:07 PM +BSP Generated On:Feb 7, 2023 4:54:12 PM -BSP Generated Timestamp:1675765627575 +BSP Generated Timestamp:1675774452122 BSP Generated Location:/home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/Top/software/semafor_bsp diff --git a/Top/top.sv b/Top/top.sv index 264aeb9..4267723 100644 --- a/Top/top.sv +++ b/Top/top.sv @@ -18,6 +18,9 @@ module top ( +// reset +nreset, + //////////// CLOCK ////////// CLOCK_50, CLOCK2_50, @@ -34,6 +37,7 @@ output FOUTA; input CLOCK_50; input CLOCK2_50; input CLOCK3_50; +input nreset; //////////// LED ////////// output [8:0] LEDG; @@ -42,7 +46,7 @@ output [17:0] LEDR; niosII u0 ( .clk_clk (CLOCK_50), // clk.clk .conduit_end_writeresponsevalid_n (LEDG[0]), // conduit_end.writeresponsevalid_n - .reset_reset_n (1'b1) // reset.reset_n + .reset_reset_n (nreset) // reset.reset_n );