From f6d43e003a7f726eb7e62c72f07769613a81abdc Mon Sep 17 00:00:00 2001 From: "Ivan I. Ovchinnikov" Date: Mon, 24 Oct 2022 22:35:24 +0300 Subject: [PATCH] pt3.12 modelled --- Top/Semafor_hw.tcl | 11 +- Top/Semafor_hw.tcl~ | 18 +- Top/niosII.qsys | 14 +- Top/niosII.sopcinfo | 10 +- Top/niosII/niosII.bsf | 2 +- Top/niosII/niosII.html | 10 +- Top/niosII/niosII.xml | 190 +- Top/niosII/synthesis/niosII.debuginfo | 12 +- Top/niosII/synthesis/niosII.qip | 8 +- Top/niosII/testbench/mentor/msim_setup.tcl | 2 +- Top/niosII/testbench/niosII.html | 12 +- Top/niosII/testbench/niosII_tb.html | 12 +- .../testbench/synopsys/vcs/vcs_setup.sh | 4 +- .../testbench/synopsys/vcsmx/vcsmx_setup.sh | 4 +- Top/niosII_tb.csv | 12 +- Top/semafor.qsf | 4 +- .../semafor/.settings/language.settings.xml | 2 +- .../RUN_ON_HDL_SIMULATOR_ONLY_semafor.elf | Bin 0 -> 490481 bytes .../RUN_ON_HDL_SIMULATOR_ONLY_semafor.map | 1645 ++++ .../RUN_ON_HDL_SIMULATOR_ONLY_semafor.objdump | 8377 +++++++++++++++++ .../semafor/mem_init/hdl_sim/niosII_mem.dat | 7332 +++++++++++++++ .../semafor/mem_init/hdl_sim/niosII_mem.sym | 169 + Top/software/semafor/mem_init/meminit.qip | 1 + Top/software/semafor/mem_init/meminit.spd | 5 + Top/software/semafor/mem_init/niosII_mem.hex | 4098 ++++++++ Top/software/semafor/transcript | 1 + .../.settings/language.settings.xml | 2 +- Top/software/semafor_bsp/libhal_bsp.a | Bin 0 -> 354074 bytes Top/software/semafor_bsp/linker.h | 18 +- Top/software/semafor_bsp/linker.x | 47 +- Top/software/semafor_bsp/mem_init.mk | 2 +- Top/software/semafor_bsp/public.mk | 3 +- Top/software/semafor_bsp/settings.bsp | 12 +- Top/software/semafor_bsp/summary.html | 12 +- Top/software/semafor_bsp/system.h | 12 +- 35 files changed, 21830 insertions(+), 233 deletions(-) create mode 100644 Top/software/semafor/RUN_ON_HDL_SIMULATOR_ONLY_semafor.elf create mode 100644 Top/software/semafor/RUN_ON_HDL_SIMULATOR_ONLY_semafor.map create mode 100644 Top/software/semafor/RUN_ON_HDL_SIMULATOR_ONLY_semafor.objdump create mode 100644 Top/software/semafor/mem_init/hdl_sim/niosII_mem.dat create mode 100644 Top/software/semafor/mem_init/hdl_sim/niosII_mem.sym create mode 100644 Top/software/semafor/mem_init/meminit.qip create mode 100644 Top/software/semafor/mem_init/meminit.spd create mode 100644 Top/software/semafor/mem_init/niosII_mem.hex create mode 100644 Top/software/semafor/transcript create mode 100644 Top/software/semafor_bsp/libhal_bsp.a diff --git a/Top/Semafor_hw.tcl b/Top/Semafor_hw.tcl index 7151172..8fa8006 100644 --- a/Top/Semafor_hw.tcl +++ b/Top/Semafor_hw.tcl @@ -1,11 +1,11 @@ # TCL File Generated by Component Editor 18.1 -# Wed Oct 19 14:56:56 MSK 2022 +# Mon Oct 24 17:47:36 MSK 2022 # DO NOT MODIFY # -# Semafor "Semafor" v1.0 -# 2022.10.19.14:56:56 +# sem "Semafor" v1.0 +# 2022.10.24.17:47:36 # # @@ -16,10 +16,10 @@ package require -exact qsys 16.1 # -# module Semafor +# module sem # set_module_property DESCRIPTION "" -set_module_property NAME Semafor +set_module_property NAME sem set_module_property VERSION 1.0 set_module_property INTERNAL false set_module_property OPAQUE_ADDRESS_MAP true @@ -44,6 +44,7 @@ add_fileset_file dec.sv SYSTEM_VERILOG PATH ../HDL/dec.sv TOP_LEVEL_FILE add_fileset_file periodram.v VERILOG PATH ../HDL/IP/periodram.v add_fileset SIM_VERILOG SIM_VERILOG "" "" +set_fileset_property SIM_VERILOG TOP_LEVEL dec set_fileset_property SIM_VERILOG ENABLE_RELATIVE_INCLUDE_PATHS false set_fileset_property SIM_VERILOG ENABLE_FILE_OVERWRITE_MODE true add_fileset_file dec.sv SYSTEM_VERILOG PATH ../HDL/dec.sv diff --git a/Top/Semafor_hw.tcl~ b/Top/Semafor_hw.tcl~ index 12e61bf..1dec36b 100644 --- a/Top/Semafor_hw.tcl~ +++ b/Top/Semafor_hw.tcl~ @@ -1,11 +1,11 @@ # TCL File Generated by Component Editor 18.1 -# Wed Oct 19 14:12:17 MSK 2022 +# Mon Oct 24 14:36:52 MSK 2022 # DO NOT MODIFY # -# Semafor "Semafor" v1.0 -# 2022.10.19.14:12:17 +# sem "Semafor" v1.0 +# 2022.10.24.14:36:52 # # @@ -16,10 +16,10 @@ package require -exact qsys 16.1 # -# module Semafor +# module sem # set_module_property DESCRIPTION "" -set_module_property NAME Semafor +set_module_property NAME sem set_module_property VERSION 1.0 set_module_property INTERNAL false set_module_property OPAQUE_ADDRESS_MAP true @@ -43,6 +43,13 @@ set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE false add_fileset_file dec.sv SYSTEM_VERILOG PATH ../HDL/dec.sv TOP_LEVEL_FILE add_fileset_file periodram.v VERILOG PATH ../HDL/IP/periodram.v +add_fileset SIM_VERILOG SIM_VERILOG "" "" +set_fileset_property SIM_VERILOG TOP_LEVEL dec +set_fileset_property SIM_VERILOG ENABLE_RELATIVE_INCLUDE_PATHS false +set_fileset_property SIM_VERILOG ENABLE_FILE_OVERWRITE_MODE true +add_fileset_file dec.sv SYSTEM_VERILOG PATH ../HDL/dec.sv +add_fileset_file periodram.v VERILOG PATH ../HDL/IP/periodram.v + # # parameters @@ -52,6 +59,7 @@ set_parameter_property m DEFAULT_VALUE 8 set_parameter_property m DISPLAY_NAME m set_parameter_property m TYPE INTEGER set_parameter_property m UNITS None +set_parameter_property m ALLOWED_RANGES -2147483648:2147483647 set_parameter_property m HDL_PARAMETER true diff --git a/Top/niosII.qsys b/Top/niosII.qsys index 5971194..94898cb 100644 --- a/Top/niosII.qsys +++ b/Top/niosII.qsys @@ -73,6 +73,14 @@ type = "String"; } } + element niosII + { + datum _originalDeviceFamily + { + value = "Cyclone IV E"; + type = "String"; + } + } element sem { datum _sortIndex @@ -163,7 +171,7 @@ - ]]> + ]]> @@ -346,7 +354,7 @@ version="18.1" enabled="1"> - + @@ -372,7 +380,7 @@ - + - + java.lang.Integer - 1666177115 + 1666621523 false true false @@ -2034,7 +2034,7 @@ the requested settings for a module instance. --> java.lang.String - ]]> + ]]> false true false @@ -5652,7 +5652,7 @@ parameters are a RESULT of the module parameters. --> - + @@ -8346,7 +8346,7 @@ parameters are a RESULT of the module parameters. --> 1 - Semafor + sem com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Semafor diff --git a/Top/niosII/niosII.bsf b/Top/niosII/niosII.bsf index 3f01ac6..c61f1b7 100644 --- a/Top/niosII/niosII.bsf +++ b/Top/niosII/niosII.bsf @@ -75,7 +75,7 @@ refer to the applicable agreement for further details. (text "red" (rect 117 163 252 336)(font "Arial" (color 0 0 0))) (text "yellow" (rect 117 179 270 368)(font "Arial" (color 0 0 0))) (text "green" (rect 117 195 264 400)(font "Arial" (color 0 0 0))) - (text " system " (rect 253 216 554 442)(font "Arial" )) + (text " niosII " (rect 262 216 572 442)(font "Arial" )) (line (pt 112 32)(pt 176 32)(line_width 1)) (line (pt 176 32)(pt 176 216)(line_width 1)) (line (pt 112 216)(pt 176 216)(line_width 1)) diff --git a/Top/niosII/niosII.html b/Top/niosII/niosII.html index 4bc3b02..2a603dd 100644 --- a/Top/niosII/niosII.html +++ b/Top/niosII/niosII.html @@ -67,7 +67,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - +
2022.10.19.14:20:532022.10.24.17:48:01 Datasheet
@@ -101,7 +101,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord altera_avalon_onchip_memory2 18.1
   sem - Semafor 1.0 + sem 1.0
   sys_clk_timer altera_avalon_timer 18.1 @@ -1107,7 +1107,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord dataSlaveMapParam - <address-map><slave name='mem.s2' start='0x0' end='0x20000' type='altera_avalon_onchip_memory2.s2' /><slave name='cpu.debug_mem_slave' start='0x20800' end='0x21000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='sys_clk_timer.s1' start='0x21000' end='0x21020' type='altera_avalon_timer.s1' /><slave name='sem.ram_slave' start='0x21020' end='0x21030' type='Semafor.ram_slave' /><slave name='sem.ctl_slave' start='0x21030' end='0x21038' type='Semafor.ctl_slave' /><slave name='jtag_uart.avalon_jtag_slave' start='0x21038' end='0x21040' type='altera_avalon_jtag_uart.avalon_jtag_slave' /></address-map> + <address-map><slave name='mem.s2' start='0x0' end='0x20000' type='altera_avalon_onchip_memory2.s2' /><slave name='cpu.debug_mem_slave' start='0x20800' end='0x21000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='sys_clk_timer.s1' start='0x21000' end='0x21020' type='altera_avalon_timer.s1' /><slave name='sem.ram_slave' start='0x21020' end='0x21030' type='sem.ram_slave' /><slave name='sem.ctl_slave' start='0x21030' end='0x21038' type='sem.ctl_slave' /><slave name='jtag_uart.avalon_jtag_slave' start='0x21038' end='0x21040' type='altera_avalon_jtag_uart.avalon_jtag_slave' /></address-map> tightlyCoupledDataMaster0MapParam @@ -1766,7 +1766,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord

-

sem

Semafor v1.0 +

sem

sem v1.0
@@ -2039,7 +2039,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord
- +
generation took 0,01 secondsrendering took 0,11 secondsrendering took 0,04 seconds
diff --git a/Top/niosII/niosII.xml b/Top/niosII/niosII.xml index ce1ee7a..c4f8dad 100644 --- a/Top/niosII/niosII.xml +++ b/Top/niosII/niosII.xml @@ -1,6 +1,6 @@ - + @@ -585,7 +585,7 @@ niosII" reuses altera_nios2_gen2 "submodules/niosII_cpu"]]> niosII" reuses altera_avalon_jtag_uart "submodules/niosII_jtag_uart"]]> niosII" reuses altera_avalon_onchip_memory2 "submodules/niosII_mem"]]> - niosII" reuses Semafor "submodules/dec"]]> + niosII" reuses sem "submodules/dec"]]> niosII" reuses altera_avalon_timer "submodules/niosII_sys_clk_timer"]]> niosII" reuses altera_mm_interconnect "submodules/niosII_mm_interconnect_0"]]> niosII" reuses altera_irq_mapper "submodules/niosII_irq_mapper"]]> @@ -607,36 +607,36 @@ niosII" instantiated altera_nios2_gen2 "cpu"]]> queue size: 59 starting:altera_nios2_gen2_unit "submodules/niosII_cpu_cpu" Starting RTL generation for module 'niosII_cpu_cpu' - Generation command is [exec C:/Software/intelFPGA_lite/18.1/quartus/bin64//eperlcmd.exe -I C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9284_1154445688588331824.dir/0009_cpu_gen/ --quartus_bindir=C:/Software/intelFPGA_lite/18.1/quartus/bin64/ --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9284_1154445688588331824.dir/0009_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] - # 2022.10.19 13:21:19 (*) Starting Nios II generation - # 2022.10.19 13:21:19 (*) Checking for plaintext license. - # 2022.10.19 13:21:20 (*) Couldn't query license setup in Quartus directory C:/Software/intelFPGA_lite/18.1/quartus/bin64/ - # 2022.10.19 13:21:20 (*) Defaulting to contents of LM_LICENSE_FILE environment variable - # 2022.10.19 13:21:20 (*) LM_LICENSE_FILE environment variable is empty - # 2022.10.19 13:21:20 (*) Plaintext license not found. - # 2022.10.19 13:21:20 (*) No license required to generate encrypted Nios II/e. - # 2022.10.19 13:21:20 (*) Elaborating CPU configuration settings - # 2022.10.19 13:21:20 (*) Creating all objects for CPU - # 2022.10.19 13:21:22 (*) Generating RTL from CPU objects - # 2022.10.19 13:21:22 (*) Creating plain-text RTL - # 2022.10.19 13:21:23 (*) Done Nios II generation + Generation command is [exec C:/Software/intelFPGA_lite/18.1/quartus/bin64//eperlcmd.exe -I C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9289_5316253009415989539.dir/0009_cpu_gen/ --quartus_bindir=C:/Software/intelFPGA_lite/18.1/quartus/bin64/ --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9289_5316253009415989539.dir/0009_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] + # 2022.10.24 16:48:27 (*) Starting Nios II generation + # 2022.10.24 16:48:27 (*) Checking for plaintext license. + # 2022.10.24 16:48:28 (*) Couldn't query license setup in Quartus directory C:/Software/intelFPGA_lite/18.1/quartus/bin64/ + # 2022.10.24 16:48:28 (*) Defaulting to contents of LM_LICENSE_FILE environment variable + # 2022.10.24 16:48:28 (*) LM_LICENSE_FILE environment variable is empty + # 2022.10.24 16:48:28 (*) Plaintext license not found. + # 2022.10.24 16:48:28 (*) No license required to generate encrypted Nios II/e. + # 2022.10.24 16:48:28 (*) Elaborating CPU configuration settings + # 2022.10.24 16:48:28 (*) Creating all objects for CPU + # 2022.10.24 16:48:30 (*) Generating RTL from CPU objects + # 2022.10.24 16:48:30 (*) Creating plain-text RTL + # 2022.10.24 16:48:31 (*) Done Nios II generation Done RTL generation for module 'niosII_cpu_cpu' cpu" instantiated altera_nios2_gen2_unit "cpu"]]> queue size: 7 starting:altera_avalon_jtag_uart "submodules/niosII_jtag_uart" Starting RTL generation for module 'niosII_jtag_uart' - Generation command is [exec C:/software/intelfpga_lite/18.1/quartus/bin64/perl/bin/perl.exe -I C:/software/intelfpga_lite/18.1/quartus/bin64/perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=niosII_jtag_uart --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9284_1154445688588331824.dir/0003_jtag_uart_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9284_1154445688588331824.dir/0003_jtag_uart_gen//niosII_jtag_uart_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/software/intelfpga_lite/18.1/quartus/bin64/perl/bin/perl.exe -I C:/software/intelfpga_lite/18.1/quartus/bin64/perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=niosII_jtag_uart --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9289_5316253009415989539.dir/0003_jtag_uart_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9289_5316253009415989539.dir/0003_jtag_uart_gen//niosII_jtag_uart_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_jtag_uart' niosII" instantiated altera_avalon_jtag_uart "jtag_uart"]]> queue size: 6 starting:altera_avalon_onchip_memory2 "submodules/niosII_mem" Starting RTL generation for module 'niosII_mem' - Generation command is [exec C:/software/intelfpga_lite/18.1/quartus/bin64/perl/bin/perl.exe -I C:/software/intelfpga_lite/18.1/quartus/bin64/perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=niosII_mem --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9284_1154445688588331824.dir/0004_mem_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9284_1154445688588331824.dir/0004_mem_gen//niosII_mem_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/software/intelfpga_lite/18.1/quartus/bin64/perl/bin/perl.exe -I C:/software/intelfpga_lite/18.1/quartus/bin64/perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=niosII_mem --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9289_5316253009415989539.dir/0004_mem_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9289_5316253009415989539.dir/0004_mem_gen//niosII_mem_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_mem' niosII" instantiated altera_avalon_onchip_memory2 "mem"]]> - queue size: 5 starting:Semafor "submodules/dec" - niosII" instantiated Semafor "sem"]]> + queue size: 5 starting:sem "submodules/dec" + niosII" instantiated sem "sem"]]> queue size: 4 starting:altera_avalon_timer "submodules/niosII_sys_clk_timer" Starting RTL generation for module 'niosII_sys_clk_timer' - Generation command is [exec C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/bin/perl.exe -I C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=niosII_sys_clk_timer --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9284_1154445688588331824.dir/0006_sys_clk_timer_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9284_1154445688588331824.dir/0006_sys_clk_timer_gen//niosII_sys_clk_timer_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/bin/perl.exe -I C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=niosII_sys_clk_timer --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9289_5316253009415989539.dir/0006_sys_clk_timer_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9289_5316253009415989539.dir/0006_sys_clk_timer_gen//niosII_sys_clk_timer_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_sys_clk_timer' niosII" instantiated altera_avalon_timer "sys_clk_timer"]]> queue size: 3 starting:altera_mm_interconnect "submodules/niosII_mm_interconnect_0" @@ -882,16 +882,16 @@ Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.001s Timing: ELA:2/0.001s/0.002s - Timing: ELA:1/0.013s - Timing: COM:3/0.080s/0.119s + Timing: ELA:1/0.011s + Timing: COM:3/0.073s/0.105s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.002s - Timing: ELA:2/0.001s/0.002s - Timing: ELA:1/0.012s - Timing: COM:3/0.028s/0.032s + Timing: ELA:1/0.001s + Timing: ELA:2/0.001s/0.001s + Timing: ELA:1/0.013s + Timing: COM:3/0.030s/0.037s @@ -899,39 +899,39 @@ Timing: ELA:1/0.000s Timing: ELA:2/0.001s/0.001s Timing: ELA:1/0.010s - Timing: COM:3/0.023s/0.028s + Timing: COM:3/0.028s/0.035s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.002s + Timing: ELA:2/0.001s/0.001s Timing: ELA:1/0.010s - Timing: COM:3/0.025s/0.033s + Timing: COM:3/0.030s/0.035s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.002s - Timing: ELA:1/0.013s - Timing: COM:3/0.022s/0.028s + Timing: ELA:2/0.002s/0.002s + Timing: ELA:1/0.009s + Timing: COM:3/0.024s/0.025s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.002s - Timing: ELA:1/0.013s - Timing: COM:3/0.021s/0.025s + Timing: ELA:2/0.001s/0.001s + Timing: ELA:1/0.011s + Timing: COM:3/0.030s/0.040s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.014s - Timing: COM:3/0.032s/0.050s + Timing: ELA:2/0.001s/0.002s + Timing: ELA:1/0.010s + Timing: COM:3/0.024s/0.028s 61 modules, 199 connections]]> @@ -1058,7 +1058,7 @@ + value="<address-map><slave name='mem.s2' start='0x0' end='0x20000' type='altera_avalon_onchip_memory2.s2' /><slave name='cpu.debug_mem_slave' start='0x20800' end='0x21000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='sys_clk_timer.s1' start='0x21000' end='0x21020' type='altera_avalon_timer.s1' /><slave name='sem.ram_slave' start='0x21020' end='0x21030' type='sem.ram_slave' /><slave name='sem.ctl_slave' start='0x21030' end='0x21038' type='sem.ctl_slave' /><slave name='jtag_uart.avalon_jtag_slave' start='0x21038' end='0x21040' type='altera_avalon_jtag_uart.avalon_jtag_slave' /></address-map>" /> @@ -1334,19 +1334,19 @@ niosII" instantiated altera_nios2_gen2 "cpu"]]> queue size: 59 starting:altera_nios2_gen2_unit "submodules/niosII_cpu_cpu" Starting RTL generation for module 'niosII_cpu_cpu' - Generation command is [exec C:/Software/intelFPGA_lite/18.1/quartus/bin64//eperlcmd.exe -I C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9284_1154445688588331824.dir/0009_cpu_gen/ --quartus_bindir=C:/Software/intelFPGA_lite/18.1/quartus/bin64/ --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9284_1154445688588331824.dir/0009_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] - # 2022.10.19 13:21:19 (*) Starting Nios II generation - # 2022.10.19 13:21:19 (*) Checking for plaintext license. - # 2022.10.19 13:21:20 (*) Couldn't query license setup in Quartus directory C:/Software/intelFPGA_lite/18.1/quartus/bin64/ - # 2022.10.19 13:21:20 (*) Defaulting to contents of LM_LICENSE_FILE environment variable - # 2022.10.19 13:21:20 (*) LM_LICENSE_FILE environment variable is empty - # 2022.10.19 13:21:20 (*) Plaintext license not found. - # 2022.10.19 13:21:20 (*) No license required to generate encrypted Nios II/e. - # 2022.10.19 13:21:20 (*) Elaborating CPU configuration settings - # 2022.10.19 13:21:20 (*) Creating all objects for CPU - # 2022.10.19 13:21:22 (*) Generating RTL from CPU objects - # 2022.10.19 13:21:22 (*) Creating plain-text RTL - # 2022.10.19 13:21:23 (*) Done Nios II generation + Generation command is [exec C:/Software/intelFPGA_lite/18.1/quartus/bin64//eperlcmd.exe -I C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9289_5316253009415989539.dir/0009_cpu_gen/ --quartus_bindir=C:/Software/intelFPGA_lite/18.1/quartus/bin64/ --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9289_5316253009415989539.dir/0009_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] + # 2022.10.24 16:48:27 (*) Starting Nios II generation + # 2022.10.24 16:48:27 (*) Checking for plaintext license. + # 2022.10.24 16:48:28 (*) Couldn't query license setup in Quartus directory C:/Software/intelFPGA_lite/18.1/quartus/bin64/ + # 2022.10.24 16:48:28 (*) Defaulting to contents of LM_LICENSE_FILE environment variable + # 2022.10.24 16:48:28 (*) LM_LICENSE_FILE environment variable is empty + # 2022.10.24 16:48:28 (*) Plaintext license not found. + # 2022.10.24 16:48:28 (*) No license required to generate encrypted Nios II/e. + # 2022.10.24 16:48:28 (*) Elaborating CPU configuration settings + # 2022.10.24 16:48:28 (*) Creating all objects for CPU + # 2022.10.24 16:48:30 (*) Generating RTL from CPU objects + # 2022.10.24 16:48:30 (*) Creating plain-text RTL + # 2022.10.24 16:48:31 (*) Done Nios II generation Done RTL generation for module 'niosII_cpu_cpu' cpu" instantiated altera_nios2_gen2_unit "cpu"]]> @@ -1390,7 +1390,7 @@ queue size: 7 starting:altera_avalon_jtag_uart "submodules/niosII_jtag_uart" Starting RTL generation for module 'niosII_jtag_uart' - Generation command is [exec C:/software/intelfpga_lite/18.1/quartus/bin64/perl/bin/perl.exe -I C:/software/intelfpga_lite/18.1/quartus/bin64/perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=niosII_jtag_uart --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9284_1154445688588331824.dir/0003_jtag_uart_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9284_1154445688588331824.dir/0003_jtag_uart_gen//niosII_jtag_uart_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/software/intelfpga_lite/18.1/quartus/bin64/perl/bin/perl.exe -I C:/software/intelfpga_lite/18.1/quartus/bin64/perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=niosII_jtag_uart --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9289_5316253009415989539.dir/0003_jtag_uart_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9289_5316253009415989539.dir/0003_jtag_uart_gen//niosII_jtag_uart_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_jtag_uart' niosII" instantiated altera_avalon_jtag_uart "jtag_uart"]]> @@ -1459,16 +1459,16 @@ queue size: 6 starting:altera_avalon_onchip_memory2 "submodules/niosII_mem" Starting RTL generation for module 'niosII_mem' - Generation command is [exec C:/software/intelfpga_lite/18.1/quartus/bin64/perl/bin/perl.exe -I C:/software/intelfpga_lite/18.1/quartus/bin64/perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=niosII_mem --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9284_1154445688588331824.dir/0004_mem_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9284_1154445688588331824.dir/0004_mem_gen//niosII_mem_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/software/intelfpga_lite/18.1/quartus/bin64/perl/bin/perl.exe -I C:/software/intelfpga_lite/18.1/quartus/bin64/perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=niosII_mem --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9289_5316253009415989539.dir/0004_mem_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9289_5316253009415989539.dir/0004_mem_gen//niosII_mem_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_mem' niosII" instantiated altera_avalon_onchip_memory2 "mem"]]> @@ -1489,8 +1489,8 @@ - queue size: 5 starting:Semafor "submodules/dec" - niosII" instantiated Semafor "sem"]]> + queue size: 5 starting:sem "submodules/dec" + niosII" instantiated sem "sem"]]> queue size: 4 starting:altera_avalon_timer "submodules/niosII_sys_clk_timer" Starting RTL generation for module 'niosII_sys_clk_timer' - Generation command is [exec C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/bin/perl.exe -I C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=niosII_sys_clk_timer --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9284_1154445688588331824.dir/0006_sys_clk_timer_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9284_1154445688588331824.dir/0006_sys_clk_timer_gen//niosII_sys_clk_timer_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/bin/perl.exe -I C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=niosII_sys_clk_timer --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9289_5316253009415989539.dir/0006_sys_clk_timer_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9289_5316253009415989539.dir/0006_sys_clk_timer_gen//niosII_sys_clk_timer_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_sys_clk_timer' niosII" instantiated altera_avalon_timer "sys_clk_timer"]]> @@ -2130,16 +2130,16 @@ Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.001s Timing: ELA:2/0.001s/0.002s - Timing: ELA:1/0.013s - Timing: COM:3/0.080s/0.119s + Timing: ELA:1/0.011s + Timing: COM:3/0.073s/0.105s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.002s - Timing: ELA:2/0.001s/0.002s - Timing: ELA:1/0.012s - Timing: COM:3/0.028s/0.032s + Timing: ELA:1/0.001s + Timing: ELA:2/0.001s/0.001s + Timing: ELA:1/0.013s + Timing: COM:3/0.030s/0.037s @@ -2147,39 +2147,39 @@ Timing: ELA:1/0.000s Timing: ELA:2/0.001s/0.001s Timing: ELA:1/0.010s - Timing: COM:3/0.023s/0.028s + Timing: COM:3/0.028s/0.035s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.002s + Timing: ELA:2/0.001s/0.001s Timing: ELA:1/0.010s - Timing: COM:3/0.025s/0.033s + Timing: COM:3/0.030s/0.035s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.002s - Timing: ELA:1/0.013s - Timing: COM:3/0.022s/0.028s + Timing: ELA:2/0.002s/0.002s + Timing: ELA:1/0.009s + Timing: COM:3/0.024s/0.025s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.002s - Timing: ELA:1/0.013s - Timing: COM:3/0.021s/0.025s + Timing: ELA:2/0.001s/0.001s + Timing: ELA:1/0.011s + Timing: COM:3/0.030s/0.040s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.014s - Timing: COM:3/0.032s/0.050s + Timing: ELA:2/0.001s/0.002s + Timing: ELA:1/0.010s + Timing: COM:3/0.024s/0.028s 61 modules, 199 connections]]> @@ -2364,7 +2364,7 @@ + value="<address-map><slave name='mem.s2' start='0x0' end='0x20000' type='altera_avalon_onchip_memory2.s2' /><slave name='cpu.debug_mem_slave' start='0x20800' end='0x21000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='sys_clk_timer.s1' start='0x21000' end='0x21020' type='altera_avalon_timer.s1' /><slave name='sem.ram_slave' start='0x21020' end='0x21030' type='sem.ram_slave' /><slave name='sem.ctl_slave' start='0x21030' end='0x21038' type='sem.ctl_slave' /><slave name='jtag_uart.avalon_jtag_slave' start='0x21038' end='0x21040' type='altera_avalon_jtag_uart.avalon_jtag_slave' /></address-map>" /> @@ -2605,19 +2605,19 @@ queue size: 59 starting:altera_nios2_gen2_unit "submodules/niosII_cpu_cpu" Starting RTL generation for module 'niosII_cpu_cpu' - Generation command is [exec C:/Software/intelFPGA_lite/18.1/quartus/bin64//eperlcmd.exe -I C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9284_1154445688588331824.dir/0009_cpu_gen/ --quartus_bindir=C:/Software/intelFPGA_lite/18.1/quartus/bin64/ --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9284_1154445688588331824.dir/0009_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] - # 2022.10.19 13:21:19 (*) Starting Nios II generation - # 2022.10.19 13:21:19 (*) Checking for plaintext license. - # 2022.10.19 13:21:20 (*) Couldn't query license setup in Quartus directory C:/Software/intelFPGA_lite/18.1/quartus/bin64/ - # 2022.10.19 13:21:20 (*) Defaulting to contents of LM_LICENSE_FILE environment variable - # 2022.10.19 13:21:20 (*) LM_LICENSE_FILE environment variable is empty - # 2022.10.19 13:21:20 (*) Plaintext license not found. - # 2022.10.19 13:21:20 (*) No license required to generate encrypted Nios II/e. - # 2022.10.19 13:21:20 (*) Elaborating CPU configuration settings - # 2022.10.19 13:21:20 (*) Creating all objects for CPU - # 2022.10.19 13:21:22 (*) Generating RTL from CPU objects - # 2022.10.19 13:21:22 (*) Creating plain-text RTL - # 2022.10.19 13:21:23 (*) Done Nios II generation + Generation command is [exec C:/Software/intelFPGA_lite/18.1/quartus/bin64//eperlcmd.exe -I C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9289_5316253009415989539.dir/0009_cpu_gen/ --quartus_bindir=C:/Software/intelFPGA_lite/18.1/quartus/bin64/ --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9289_5316253009415989539.dir/0009_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] + # 2022.10.24 16:48:27 (*) Starting Nios II generation + # 2022.10.24 16:48:27 (*) Checking for plaintext license. + # 2022.10.24 16:48:28 (*) Couldn't query license setup in Quartus directory C:/Software/intelFPGA_lite/18.1/quartus/bin64/ + # 2022.10.24 16:48:28 (*) Defaulting to contents of LM_LICENSE_FILE environment variable + # 2022.10.24 16:48:28 (*) LM_LICENSE_FILE environment variable is empty + # 2022.10.24 16:48:28 (*) Plaintext license not found. + # 2022.10.24 16:48:28 (*) No license required to generate encrypted Nios II/e. + # 2022.10.24 16:48:28 (*) Elaborating CPU configuration settings + # 2022.10.24 16:48:28 (*) Creating all objects for CPU + # 2022.10.24 16:48:30 (*) Generating RTL from CPU objects + # 2022.10.24 16:48:30 (*) Creating plain-text RTL + # 2022.10.24 16:48:31 (*) Done Nios II generation Done RTL generation for module 'niosII_cpu_cpu' cpu" instantiated altera_nios2_gen2_unit "cpu"]]> diff --git a/Top/niosII/synthesis/niosII.debuginfo b/Top/niosII/synthesis/niosII.debuginfo index 7d0beb1..eb4baf7 100644 --- a/Top/niosII/synthesis/niosII.debuginfo +++ b/Top/niosII/synthesis/niosII.debuginfo @@ -1,7 +1,7 @@ - + com.altera.sopcmodel.ensemble.EClockAdapter @@ -53,7 +53,7 @@ int - 1666174853 + 1666619281 false true true @@ -2110,7 +2110,7 @@ the requested settings for a module instance. --> java.lang.String - ]]> + ]]> false true false @@ -5673,7 +5673,7 @@ parameters are a RESULT of the module parameters. --> - + @@ -12830,7 +12830,7 @@ parameters are a RESULT of the module parameters. --> 1 - Semafor + sem com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Semafor @@ -12925,5 +12925,5 @@ parameters are a RESULT of the module parameters. --> 18.1 18.1 625 - 7831C1D0809000000183EFC2B97A + 7A31C1D08890000001840A4024CB diff --git a/Top/niosII/synthesis/niosII.qip b/Top/niosII/synthesis/niosII.qip index 8dabd6b..3e7c915 100644 --- a/Top/niosII/synthesis/niosII.qip +++ b/Top/niosII/synthesis/niosII.qip @@ -2,7 +2,7 @@ set_global_assignment -entity "niosII" -library "niosII" -name IP_TOOL_NAME "Qsy set_global_assignment -entity "niosII" -library "niosII" -name IP_TOOL_VERSION "18.1" set_global_assignment -entity "niosII" -library "niosII" -name IP_TOOL_ENV "Qsys" set_global_assignment -library "niosII" -name SOPCINFO_FILE [file join $::quartus(qip_path) "../../niosII.sopcinfo"] -set_global_assignment -entity "niosII" -library "niosII" -name SLD_INFO "QSYS_NAME niosII HAS_SOPCINFO 1 GENERATION_ID 1666174853" +set_global_assignment -entity "niosII" -library "niosII" -name SLD_INFO "QSYS_NAME niosII HAS_SOPCINFO 1 GENERATION_ID 1666619281" set_global_assignment -library "niosII" -name MISC_FILE [file join $::quartus(qip_path) "../niosII.cmp"] set_global_assignment -library "niosII" -name SLD_FILE [file join $::quartus(qip_path) "niosII.regmap"] set_global_assignment -library "niosII" -name SLD_FILE [file join $::quartus(qip_path) "niosII.debuginfo"] @@ -16,7 +16,7 @@ set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_DISP set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_REPORT_HIERARCHY "On" set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_INTERNAL "Off" set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_VERSION "MS4w" -set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19HRU5FUkFUSU9OX0lE::MTY2NjE3NDg1Mw==::QXV0byBHRU5FUkFUSU9OX0lE" +set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19HRU5FUkFUSU9OX0lE::MTY2NjYxOTI4MQ==::QXV0byBHRU5FUkFUSU9OX0lE" set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBJViBF::QXV0byBERVZJQ0VfRkFNSUxZ" set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::RVA0Q0UxMTVGMjlDNw==::QXV0byBERVZJQ0U=" set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::Nw==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ==" @@ -859,7 +859,7 @@ set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_ set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGF0YU1hc3RlckhpZ2hQZXJmb3JtYW5jZUFkZHJXaWR0aA==::MQ==::ZGF0YU1hc3RlckhpZ2hQZXJmb3JtYW5jZUFkZHJXaWR0aA==" set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "aW5zdHJ1Y3Rpb25NYXN0ZXJIaWdoUGVyZm9ybWFuY2VBZGRyV2lkdGg=::MQ==::aW5zdHJ1Y3Rpb25NYXN0ZXJIaWdoUGVyZm9ybWFuY2VBZGRyV2lkdGg=" set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "aW5zdFNsYXZlTWFwUGFyYW0=::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdtZW0uczEnIHN0YXJ0PScweDAnIGVuZD0nMHgyMDAwMCcgdHlwZT0nYWx0ZXJhX2F2YWxvbl9vbmNoaXBfbWVtb3J5Mi5zMScgLz48c2xhdmUgbmFtZT0nY3B1LmRlYnVnX21lbV9zbGF2ZScgc3RhcnQ9JzB4MjA4MDAnIGVuZD0nMHgyMTAwMCcgdHlwZT0nYWx0ZXJhX25pb3MyX2dlbjIuZGVidWdfbWVtX3NsYXZlJyAvPjwvYWRkcmVzcy1tYXA+::aW5zdFNsYXZlTWFwUGFyYW0=" -set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGF0YVNsYXZlTWFwUGFyYW0=::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdtZW0uczInIHN0YXJ0PScweDAnIGVuZD0nMHgyMDAwMCcgdHlwZT0nYWx0ZXJhX2F2YWxvbl9vbmNoaXBfbWVtb3J5Mi5zMicgLz48c2xhdmUgbmFtZT0nY3B1LmRlYnVnX21lbV9zbGF2ZScgc3RhcnQ9JzB4MjA4MDAnIGVuZD0nMHgyMTAwMCcgdHlwZT0nYWx0ZXJhX25pb3MyX2dlbjIuZGVidWdfbWVtX3NsYXZlJyAvPjxzbGF2ZSBuYW1lPSdzeXNfY2xrX3RpbWVyLnMxJyBzdGFydD0nMHgyMTAwMCcgZW5kPScweDIxMDIwJyB0eXBlPSdhbHRlcmFfYXZhbG9uX3RpbWVyLnMxJyAvPjxzbGF2ZSBuYW1lPSdzZW0ucmFtX3NsYXZlJyBzdGFydD0nMHgyMTAyMCcgZW5kPScweDIxMDMwJyB0eXBlPSdTZW1hZm9yLnJhbV9zbGF2ZScgLz48c2xhdmUgbmFtZT0nc2VtLmN0bF9zbGF2ZScgc3RhcnQ9JzB4MjEwMzAnIGVuZD0nMHgyMTAzOCcgdHlwZT0nU2VtYWZvci5jdGxfc2xhdmUnIC8+PHNsYXZlIG5hbWU9J2p0YWdfdWFydC5hdmFsb25fanRhZ19zbGF2ZScgc3RhcnQ9JzB4MjEwMzgnIGVuZD0nMHgyMTA0MCcgdHlwZT0nYWx0ZXJhX2F2YWxvbl9qdGFnX3VhcnQuYXZhbG9uX2p0YWdfc2xhdmUnIC8+PC9hZGRyZXNzLW1hcD4=::ZGF0YVNsYXZlTWFwUGFyYW0=" +set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGF0YVNsYXZlTWFwUGFyYW0=::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdtZW0uczInIHN0YXJ0PScweDAnIGVuZD0nMHgyMDAwMCcgdHlwZT0nYWx0ZXJhX2F2YWxvbl9vbmNoaXBfbWVtb3J5Mi5zMicgLz48c2xhdmUgbmFtZT0nY3B1LmRlYnVnX21lbV9zbGF2ZScgc3RhcnQ9JzB4MjA4MDAnIGVuZD0nMHgyMTAwMCcgdHlwZT0nYWx0ZXJhX25pb3MyX2dlbjIuZGVidWdfbWVtX3NsYXZlJyAvPjxzbGF2ZSBuYW1lPSdzeXNfY2xrX3RpbWVyLnMxJyBzdGFydD0nMHgyMTAwMCcgZW5kPScweDIxMDIwJyB0eXBlPSdhbHRlcmFfYXZhbG9uX3RpbWVyLnMxJyAvPjxzbGF2ZSBuYW1lPSdzZW0ucmFtX3NsYXZlJyBzdGFydD0nMHgyMTAyMCcgZW5kPScweDIxMDMwJyB0eXBlPSdzZW0ucmFtX3NsYXZlJyAvPjxzbGF2ZSBuYW1lPSdzZW0uY3RsX3NsYXZlJyBzdGFydD0nMHgyMTAzMCcgZW5kPScweDIxMDM4JyB0eXBlPSdzZW0uY3RsX3NsYXZlJyAvPjxzbGF2ZSBuYW1lPSdqdGFnX3VhcnQuYXZhbG9uX2p0YWdfc2xhdmUnIHN0YXJ0PScweDIxMDM4JyBlbmQ9JzB4MjEwNDAnIHR5cGU9J2FsdGVyYV9hdmFsb25fanRhZ191YXJ0LmF2YWxvbl9qdGFnX3NsYXZlJyAvPjwvYWRkcmVzcy1tYXA+::ZGF0YVNsYXZlTWFwUGFyYW0=" set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "Y2xvY2tGcmVxdWVuY3k=::NTAwMDAwMDA=::Y2xvY2tGcmVxdWVuY3k=" set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGV2aWNlRmFtaWx5TmFtZQ==::Q3ljbG9uZSBJViBF::ZGV2aWNlRmFtaWx5TmFtZQ==" set_global_assignment -entity "niosII_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "aW50ZXJuYWxJcnFNYXNrU3lzdGVtSW5mbw==::Mw==::aW50ZXJuYWxJcnFNYXNrU3lzdGVtSW5mbw==" @@ -1042,7 +1042,7 @@ set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPON set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGF0YU1hc3RlckhpZ2hQZXJmb3JtYW5jZUFkZHJXaWR0aA==::MQ==::ZGF0YU1hc3RlckhpZ2hQZXJmb3JtYW5jZUFkZHJXaWR0aA==" set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "aW5zdHJ1Y3Rpb25NYXN0ZXJIaWdoUGVyZm9ybWFuY2VBZGRyV2lkdGg=::MQ==::aW5zdHJ1Y3Rpb25NYXN0ZXJIaWdoUGVyZm9ybWFuY2VBZGRyV2lkdGg=" set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "aW5zdFNsYXZlTWFwUGFyYW0=::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdtZW0uczEnIHN0YXJ0PScweDAnIGVuZD0nMHgyMDAwMCcgdHlwZT0nYWx0ZXJhX2F2YWxvbl9vbmNoaXBfbWVtb3J5Mi5zMScgLz48c2xhdmUgbmFtZT0nY3B1LmRlYnVnX21lbV9zbGF2ZScgc3RhcnQ9JzB4MjA4MDAnIGVuZD0nMHgyMTAwMCcgdHlwZT0nYWx0ZXJhX25pb3MyX2dlbjIuZGVidWdfbWVtX3NsYXZlJyAvPjwvYWRkcmVzcy1tYXA+::aW5zdFNsYXZlTWFwUGFyYW0=" -set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGF0YVNsYXZlTWFwUGFyYW0=::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdtZW0uczInIHN0YXJ0PScweDAnIGVuZD0nMHgyMDAwMCcgdHlwZT0nYWx0ZXJhX2F2YWxvbl9vbmNoaXBfbWVtb3J5Mi5zMicgLz48c2xhdmUgbmFtZT0nY3B1LmRlYnVnX21lbV9zbGF2ZScgc3RhcnQ9JzB4MjA4MDAnIGVuZD0nMHgyMTAwMCcgdHlwZT0nYWx0ZXJhX25pb3MyX2dlbjIuZGVidWdfbWVtX3NsYXZlJyAvPjxzbGF2ZSBuYW1lPSdzeXNfY2xrX3RpbWVyLnMxJyBzdGFydD0nMHgyMTAwMCcgZW5kPScweDIxMDIwJyB0eXBlPSdhbHRlcmFfYXZhbG9uX3RpbWVyLnMxJyAvPjxzbGF2ZSBuYW1lPSdzZW0ucmFtX3NsYXZlJyBzdGFydD0nMHgyMTAyMCcgZW5kPScweDIxMDMwJyB0eXBlPSdTZW1hZm9yLnJhbV9zbGF2ZScgLz48c2xhdmUgbmFtZT0nc2VtLmN0bF9zbGF2ZScgc3RhcnQ9JzB4MjEwMzAnIGVuZD0nMHgyMTAzOCcgdHlwZT0nU2VtYWZvci5jdGxfc2xhdmUnIC8+PHNsYXZlIG5hbWU9J2p0YWdfdWFydC5hdmFsb25fanRhZ19zbGF2ZScgc3RhcnQ9JzB4MjEwMzgnIGVuZD0nMHgyMTA0MCcgdHlwZT0nYWx0ZXJhX2F2YWxvbl9qdGFnX3VhcnQuYXZhbG9uX2p0YWdfc2xhdmUnIC8+PC9hZGRyZXNzLW1hcD4=::ZGF0YVNsYXZlTWFwUGFyYW0=" +set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGF0YVNsYXZlTWFwUGFyYW0=::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdtZW0uczInIHN0YXJ0PScweDAnIGVuZD0nMHgyMDAwMCcgdHlwZT0nYWx0ZXJhX2F2YWxvbl9vbmNoaXBfbWVtb3J5Mi5zMicgLz48c2xhdmUgbmFtZT0nY3B1LmRlYnVnX21lbV9zbGF2ZScgc3RhcnQ9JzB4MjA4MDAnIGVuZD0nMHgyMTAwMCcgdHlwZT0nYWx0ZXJhX25pb3MyX2dlbjIuZGVidWdfbWVtX3NsYXZlJyAvPjxzbGF2ZSBuYW1lPSdzeXNfY2xrX3RpbWVyLnMxJyBzdGFydD0nMHgyMTAwMCcgZW5kPScweDIxMDIwJyB0eXBlPSdhbHRlcmFfYXZhbG9uX3RpbWVyLnMxJyAvPjxzbGF2ZSBuYW1lPSdzZW0ucmFtX3NsYXZlJyBzdGFydD0nMHgyMTAyMCcgZW5kPScweDIxMDMwJyB0eXBlPSdzZW0ucmFtX3NsYXZlJyAvPjxzbGF2ZSBuYW1lPSdzZW0uY3RsX3NsYXZlJyBzdGFydD0nMHgyMTAzMCcgZW5kPScweDIxMDM4JyB0eXBlPSdzZW0uY3RsX3NsYXZlJyAvPjxzbGF2ZSBuYW1lPSdqdGFnX3VhcnQuYXZhbG9uX2p0YWdfc2xhdmUnIHN0YXJ0PScweDIxMDM4JyBlbmQ9JzB4MjEwNDAnIHR5cGU9J2FsdGVyYV9hdmFsb25fanRhZ191YXJ0LmF2YWxvbl9qdGFnX3NsYXZlJyAvPjwvYWRkcmVzcy1tYXA+::ZGF0YVNsYXZlTWFwUGFyYW0=" set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "Y2xvY2tGcmVxdWVuY3k=::NTAwMDAwMDA=::Y2xvY2tGcmVxdWVuY3k=" set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "ZGV2aWNlRmFtaWx5TmFtZQ==::Q3ljbG9uZSBJViBF::ZGV2aWNlRmFtaWx5TmFtZQ==" set_global_assignment -entity "niosII_cpu_cpu" -library "niosII" -name IP_COMPONENT_PARAMETER "aW50ZXJuYWxJcnFNYXNrU3lzdGVtSW5mbw==::Mw==::aW50ZXJuYWxJcnFNYXNrU3lzdGVtSW5mbw==" diff --git a/Top/niosII/testbench/mentor/msim_setup.tcl b/Top/niosII/testbench/mentor/msim_setup.tcl index dfb0e0e..7595bbc 100644 --- a/Top/niosII/testbench/mentor/msim_setup.tcl +++ b/Top/niosII/testbench/mentor/msim_setup.tcl @@ -94,7 +94,7 @@ # within the Quartus project, and generate a unified # script which supports all the Altera IP within the design. # ---------------------------------------- -# ACDS 18.1 625 win32 2022.10.19.14:59:25 +# ACDS 18.1 625 win32 2022.10.24.18:26:02 # ---------------------------------------- # Initialize variables diff --git a/Top/niosII/testbench/niosII.html b/Top/niosII/testbench/niosII.html index 768a9f8..eecb47d 100644 --- a/Top/niosII/testbench/niosII.html +++ b/Top/niosII/testbench/niosII.html @@ -67,7 +67,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - +
2022.10.19.14:58:352022.10.24.18:25:23 Datasheet
@@ -101,7 +101,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord altera_avalon_onchip_memory2 18.1
   sem - Semafor 1.0 + sem 1.0
   sys_clk_timer altera_avalon_timer 18.1 @@ -1107,7 +1107,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord dataSlaveMapParam - <address-map><slave name='mem.s2' start='0x0' end='0x20000' type='altera_avalon_onchip_memory2.s2' /><slave name='cpu.debug_mem_slave' start='0x20800' end='0x21000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='sys_clk_timer.s1' start='0x21000' end='0x21020' type='altera_avalon_timer.s1' /><slave name='sem.ram_slave' start='0x21020' end='0x21030' type='Semafor.ram_slave' /><slave name='sem.ctl_slave' start='0x21030' end='0x21038' type='Semafor.ctl_slave' /><slave name='jtag_uart.avalon_jtag_slave' start='0x21038' end='0x21040' type='altera_avalon_jtag_uart.avalon_jtag_slave' /></address-map> + <address-map><slave name='mem.s2' start='0x0' end='0x20000' type='altera_avalon_onchip_memory2.s2' /><slave name='cpu.debug_mem_slave' start='0x20800' end='0x21000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='sys_clk_timer.s1' start='0x21000' end='0x21020' type='altera_avalon_timer.s1' /><slave name='sem.ram_slave' start='0x21020' end='0x21030' type='sem.ram_slave' /><slave name='sem.ctl_slave' start='0x21030' end='0x21038' type='sem.ctl_slave' /><slave name='jtag_uart.avalon_jtag_slave' start='0x21038' end='0x21040' type='altera_avalon_jtag_uart.avalon_jtag_slave' /></address-map> tightlyCoupledDataMaster0MapParam @@ -1766,7 +1766,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord

-

sem

Semafor v1.0 +

sem

sem v1.0
@@ -2038,8 +2038,8 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord
- - + +
generation took 0,02 secondsrendering took 0,09 secondsgeneration took 0,00 secondsrendering took 0,04 seconds
diff --git a/Top/niosII/testbench/niosII_tb.html b/Top/niosII/testbench/niosII_tb.html index 644007f..70de2fa 100644 --- a/Top/niosII/testbench/niosII_tb.html +++ b/Top/niosII/testbench/niosII_tb.html @@ -67,7 +67,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - +
2022.10.19.14:58:472022.10.24.18:25:32 Datasheet
@@ -101,7 +101,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord altera_avalon_onchip_memory2 18.1
   niosII_inst_sem - Semafor 1.0 + sem 1.0
   niosII_inst_sys_clk_timer altera_avalon_timer 18.1 @@ -211,7 +211,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - + @@ -1323,7 +1323,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - + @@ -1982,7 +1982,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord

-

niosII_inst_sem

Semafor v1.0 +

niosII_inst_sem

sem v1.0
AUTO_GENERATION_ID16661771261666621532
AUTO_UNIQUE_ID
dataSlaveMapParam<address-map><slave name='mem.s2' start='0x0' end='0x20000' type='altera_avalon_onchip_memory2.s2' /><slave name='cpu.debug_mem_slave' start='0x20800' end='0x21000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='sys_clk_timer.s1' start='0x21000' end='0x21020' type='altera_avalon_timer.s1' /><slave name='sem.ram_slave' start='0x21020' end='0x21030' type='Semafor.ram_slave' /><slave name='sem.ctl_slave' start='0x21030' end='0x21038' type='Semafor.ctl_slave' /><slave name='jtag_uart.avalon_jtag_slave' start='0x21038' end='0x21040' type='altera_avalon_jtag_uart.avalon_jtag_slave' /></address-map><address-map><slave name='mem.s2' start='0x0' end='0x20000' type='altera_avalon_onchip_memory2.s2' /><slave name='cpu.debug_mem_slave' start='0x20800' end='0x21000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='sys_clk_timer.s1' start='0x21000' end='0x21020' type='altera_avalon_timer.s1' /><slave name='sem.ram_slave' start='0x21020' end='0x21030' type='sem.ram_slave' /><slave name='sem.ctl_slave' start='0x21030' end='0x21038' type='sem.ctl_slave' /><slave name='jtag_uart.avalon_jtag_slave' start='0x21038' end='0x21040' type='altera_avalon_jtag_uart.avalon_jtag_slave' /></address-map>
tightlyCoupledDataMaster0MapParam
@@ -2360,7 +2360,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord
- +
generation took 0,00 secondsrendering took 0,08 secondsrendering took 0,05 seconds
diff --git a/Top/niosII/testbench/synopsys/vcs/vcs_setup.sh b/Top/niosII/testbench/synopsys/vcs/vcs_setup.sh index 287ff16..d1d4184 100644 --- a/Top/niosII/testbench/synopsys/vcs/vcs_setup.sh +++ b/Top/niosII/testbench/synopsys/vcs/vcs_setup.sh @@ -12,7 +12,7 @@ # or its authorized distributors. Please refer to the applicable # agreement for further details. -# ACDS 18.1 625 win32 2022.10.19.14:59:25 +# ACDS 18.1 625 win32 2022.10.24.18:26:02 # ---------------------------------------- # vcs - auto-generated simulation script @@ -94,7 +94,7 @@ # within the Quartus project, and generate a unified # script which supports all the Altera IP within the design. # ---------------------------------------- -# ACDS 18.1 625 win32 2022.10.19.14:59:25 +# ACDS 18.1 625 win32 2022.10.24.18:26:02 # ---------------------------------------- # initialize variables TOP_LEVEL_NAME="niosII_tb" diff --git a/Top/niosII/testbench/synopsys/vcsmx/vcsmx_setup.sh b/Top/niosII/testbench/synopsys/vcsmx/vcsmx_setup.sh index d91e3a4..bcd9ede 100644 --- a/Top/niosII/testbench/synopsys/vcsmx/vcsmx_setup.sh +++ b/Top/niosII/testbench/synopsys/vcsmx/vcsmx_setup.sh @@ -12,7 +12,7 @@ # or its authorized distributors. Please refer to the applicable # agreement for further details. -# ACDS 18.1 625 win32 2022.10.19.14:59:25 +# ACDS 18.1 625 win32 2022.10.24.18:26:03 # ---------------------------------------- # vcsmx - auto-generated simulation script @@ -107,7 +107,7 @@ # within the Quartus project, and generate a unified # script which supports all the Altera IP within the design. # ---------------------------------------- -# ACDS 18.1 625 win32 2022.10.19.14:59:25 +# ACDS 18.1 625 win32 2022.10.24.18:26:03 # ---------------------------------------- # initialize variables TOP_LEVEL_NAME="niosII_tb" diff --git a/Top/niosII_tb.csv b/Top/niosII_tb.csv index 9f41a86..101c794 100644 --- a/Top/niosII_tb.csv +++ b/Top/niosII_tb.csv @@ -1,12 +1,12 @@ -# system info niosII_tb on 2022.10.19.14:59:23 +# system info niosII_tb on 2022.10.24.18:26:01 system_info: name,value DEVICE,EP4CE115F29C7 DEVICE_FAMILY,Cyclone IV E -GENERATION_ID,1666177126 +GENERATION_ID,1666621532 # # -# Files generated for niosII_tb on 2022.10.19.14:59:23 +# Files generated for niosII_tb on 2022.10.24.18:26:01 files: filepath,kind,attributes,module,is_top niosII/testbench/niosII_tb/simulation/niosII_tb.v,VERILOG,,niosII_tb,true @@ -19,8 +19,8 @@ niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu.v,VERILOG,,niosII_cp niosII/testbench/niosII_tb/simulation/submodules/niosII_jtag_uart.v,VERILOG,,niosII_jtag_uart,false niosII/testbench/niosII_tb/simulation/submodules/niosII_mem.hex,HEX,,niosII_mem,false niosII/testbench/niosII_tb/simulation/submodules/niosII_mem.v,VERILOG,,niosII_mem,false -niosII/testbench/niosII_tb/simulation/submodules/dec.sv,SYSTEM_VERILOG,,niosII_sem,false -niosII/testbench/niosII_tb/simulation/submodules/periodram.v,VERILOG,,niosII_sem,false +niosII/testbench/niosII_tb/simulation/submodules/dec.sv,SYSTEM_VERILOG,,dec,false +niosII/testbench/niosII_tb/simulation/submodules/periodram.v,VERILOG,,dec,false niosII/testbench/niosII_tb/simulation/submodules/niosII_sys_clk_timer.v,VERILOG,,niosII_sys_clk_timer,false niosII/testbench/niosII_tb/simulation/submodules/niosII_mm_interconnect_0.v,VERILOG,,niosII_mm_interconnect_0,false niosII/testbench/niosII_tb/simulation/submodules/niosII_irq_mapper.sv,SYSTEM_VERILOG,,niosII_irq_mapper,false @@ -76,7 +76,7 @@ niosII_tb.niosII_inst.cpu,niosII_cpu niosII_tb.niosII_inst.cpu.cpu,niosII_cpu_cpu niosII_tb.niosII_inst.jtag_uart,niosII_jtag_uart niosII_tb.niosII_inst.mem,niosII_mem -niosII_tb.niosII_inst.sem,niosII_sem +niosII_tb.niosII_inst.sem,dec niosII_tb.niosII_inst.sys_clk_timer,niosII_sys_clk_timer niosII_tb.niosII_inst.mm_interconnect_0,niosII_mm_interconnect_0 niosII_tb.niosII_inst.mm_interconnect_0.cpu_data_master_translator,altera_merlin_master_translator diff --git a/Top/semafor.qsf b/Top/semafor.qsf index f0105f3..26a840b 100644 --- a/Top/semafor.qsf +++ b/Top/semafor.qsf @@ -53,7 +53,7 @@ set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "SYSTEMVERILOG HDL" -section_ set_global_assignment -name QSYS_FILE niosII.qsys set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" -set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top -set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top \ No newline at end of file +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/Top/software/semafor/.settings/language.settings.xml b/Top/software/semafor/.settings/language.settings.xml index dc6ee09..c1cbc39 100644 --- a/Top/software/semafor/.settings/language.settings.xml +++ b/Top/software/semafor/.settings/language.settings.xml @@ -6,7 +6,7 @@ - + diff --git a/Top/software/semafor/RUN_ON_HDL_SIMULATOR_ONLY_semafor.elf b/Top/software/semafor/RUN_ON_HDL_SIMULATOR_ONLY_semafor.elf new file mode 100644 index 0000000000000000000000000000000000000000..ff5e47d77029994fafbdb3e33c3a2b6aaeb702cf GIT binary patch literal 490481 zcmeFa3w%_?**`w#?CfU4hGYY~*$u+7fn<|#2?+v*71>2m@zP2W@P@C*rPX?)iq+aG zCvDKR#WuFsrfqD~R$J^f?W=F8+GcBGOGM>1qLZFNP$5L3{qf_0)rG7 zq`)8r1}QK|fk6rkQsD4YV2VhK(3-SAd6DoJDowtUdpaz#Tf$~~Q`lo|3d`)Kuva`D z_N5;W7g>*o{n^K_YYd2Rv3MdpB>hABnU* z_fmLR_Q_;Zu{d2=bqS9MCQK2}mI>j5av(e`y(Nc_PRkVLWiT zZiP`70X`An69GOE;1dBp5#SR6J`vy&0WJ~X5&JG>7?I!O}>k| z`A|2n*dk$)8ABwSydu22yDtJ0eh+3>s&BTiM0h8{-plnxU?*3!MiU_soPu!qtBzn~ zSFUeIn=hKoJQxIidEu$8JKFs5WX4-A4GVErFqtV1Cb!K*TDzuzg!og1AIrVo#<319_D>rY~Y-K@;F_qE2;UyL=CIlBkmhVKCubYHLrfTM$lo zcd9QE?cA6s5<%L;8}-~8$*tN7yrRG>=!4!T*?An`dtvs5f49i`LL&P#>h24D_5OcY z=XiuKmlARZ%JrgLiE?4?CT;w!LDDk3Gu7v-Li^@YeV~KF#WxJ~MZJ?>_Je-ieLcBV z<%*tf-xiGQ%=INR4}nHB52C&&mU}>p4JpvCt2G`b%~YOlMIX8=UhWobvq&!22YwCG zO`5B=M4KsEvJGK^2#;+}1x1Yqbu1DJ*P*S!smM25WVOFU`=J9fRlxhjlpqiI-cCWMhj#f|2WT3IgKx@>qlMTYtau_W zfVNZ55=)E~?fW`uJsQ+y=Vu_p59?e6JM`uNQG?X1(OcWTpmfIdr{@h!)TVZ6vI)0fV*y&8={yux47pGT;hlthT zK(-aU)5`V&+ze=dvQ@b^+vR3ZZU*IMP-f;9q(PY(l$k-98I~FGh_$T}d{mTP1K76! z_AP*Y3t+DyuC0KXkH5wpb;!e=WwJchmoSM3@!AX5QmYN)>6QrS908pppmPLtj)2Y) z&^ZD+gNHWDVu#;!yUCsCBZvoG>r^|8NP|bJ;yt<4t!SeyY@alGH2G7GN|&SW1hX$< z+{si0IW}S3Dn~x{qY!9OE=O33KExHgVWIpjdz6p9o3IU3n}DAbU*)xhG%e7kzb#n5 za0${4g(-&_hVcQo??rR3U1x)|EpAUQ7if2@E%tN@^dk>g?d(Us*X=(4Rm@Z9@A-X+ zv`84h8-2|HEmWUlzq5@qR=D}TGOK>p!`v6pV~87GtHaSIjfszmV8DYh>P?Q5=$Ah9 zOH*tHe{KPvZoydj6!;8%7JXHsuX@s(OH2#nd{tdCGd`Zo)Hfz-F&0Y52Q?zNMpzhg z(sv}ralY;JqCLR_HA0H+d%(x2WAVDgNun*8DQjymt-Gg)K0}J!^hmK~MT*iboYP9r z#rSAuJCm6ov?qJ-Y)kg8j)E6DW3O>8^@<2^ivTx_p-)AC8}fnQ!RNpccx;XUNASgF zS>o_{z+$=Cai)m9s_JVIN8%d$M=E$a?c>Q0(-p3X5u&ZcLOslEYodzbliH_!HM_PX z-V%*{2XzGx+I_MweGB@ynFTzCd4cN-Ba@lG)`XEaEV3=S9>40-_4onmk-a1FmsC4w zZpEHYwISb^B8Ig_n&~x^8zLgR#`XVq|JX|S5ouY|&K2dT=jv%^XKw?2IZpt;;`Z3feJ(sw*x+SQm1E{*nNyE7e17s z>ss5U`8Hs;HTYDZp1lu(-VcogUlP8RIDvfPZTEdn;nwBgrujqXVcFp2a5D3gQ97Ts z+SkoCC-1PFNo~H1RsObCQ;idS*2fKzm?(Ecr#II68-*Sc( zue0vqoG>XEdo^X3RlF|!Wz#MvhjLn@(v!t_m|f>PCxy8#yH?eCu`Lrpu(QX@b>v;& zT=*elgegx9lraX%7wbh$Vyx)IxP`H666aBGPcDafBz;H3wC=#1vZi9F$Y4HO+v>5_ z2EaQE!`#u*Ag!)4&KqVngub&b+4a-)a)fBbT(>s%R&EX2@XpvPDZuuwKe#z~lJNce zgVv!o-d~SyyBYWP6V(_`Et%^!dOYY;D_YBwy(fn%in4X3Uxv)?5v_?Y>NHMV>AN}b zM{zUeO(P;jhaC2`CTWVvi7Ccsv3?^g@aY#Zew;X?2fSJ_x+RMGO$HB6E?otAW0fVQ z?aG$#*yw8p{~9R2akfZTCNrni-@CC0{qD4SZN5;SZ2x^T`fxq!KQ7sIV-)l2XW5U! zMz#_CYC_l}X572c8%KU4+5Y*uX;%Qwik`aI5U#a>2Vt$q#2F?HQ5BOMhZv^)iJg!y za@{8CZi3%In_HUl%-2Dqqm!9P9CCFNczBB3m1~O0Y>V$bAxb@lrqA|l3uy@Y3?uD8 zpE(iqJ6npgoqhhP~;IuuON%$GjRgAQOAU=Mne$Wagh4^y>_0 z+h*aMg2dSAJBq?zT28CcZQg2Fq7`d6-KML9x=mN3POIC|rXjgI7fd{!wb%}5yB6IR zt(dDhZmni`5arc_&#LB&EXvO!Z-03a@Fw&PSbITs0B=UXn-TD41iXnlL2iKT0REH# zTVB($SsUdJ%4RZ-^<_|)J!M(LccB{7(!Q%P9s?KO)ATeIf_&)odu4we zZBd6h8R+}<9Ags8tvcY0@d9bF_HHn<&6t<4j_ZE09M#|UpjQp(6%oTwZ#72yzB*H2+-{y-nl8te?_%z zZvycKPF_WaW*GyIjLcH9{(KW(6F;X9rDW3~G_Q)0(PKlLMV z@JP_tHdE=Su->RkOyT;wyAf-uMvutGp%bi+c|o_R5Q(q|G7Ry-L@0|nQdB{26^}vZ z)B`zpTDg_xdS2&C*CztmI!$FHJ-tEI$oODG13be(qoO2p7a>3sV89V0p2Z(V_cpEoJPYe1?=e< zE6&7tcLmIO=*Oo?nT^6smr@)L9=ingQuxo6(wYbVsaPwI1Z{3k-dftc!6TY_MApO@ zjK27%`i3fTPBJqbeZwisIv#kPDs#E%(AA!bx*k7mNA4Vnes}Lie;oa;iEV>EWt$?% zGJ*PpPc%Z7s6_kJqb&51bp3<5TqVY<$_VI!@m=eiJkC12C{9@yI^4MLe`ybmQ~#o9 z7Qon_`BHsDaTaCVRF&-gLSlB^Sq;u}* zD(MXWIq-i()7qK`|0%K8x{m^FK9#%`bn;k}HJyt<=Rkc!%sMxZ&T~~+E}c`S zm6lF)`EyVg|o zcn}xOR>_^IW0Ma@7o$!iq?sK7dMpOLN3j0jaq>+i`1TZ-Sup3okdEYC&{p$p?48ss z&~j<=*6895p6qm%3mlJXC?!uQer;wL;ea<4&raK!8Uy!qO}{MHzAVc&MHm-Yr@vOB zK9#IjY*(&X(MQp!2s9{b^gV>W%zXmtqM?@$`>}Q@q^q|3Io2$ViC+rPkn70$IM*uJ z8(9ZDWRIC`YxP1#+@1Szaz#AUa5Qw;dTj%}P*JAhY^-n2veuL~W-TR?4U4>hzOofK zcR=nOlUSOqYR#>}ymsm=%w1I&U+bZtYD%O;BkW+}a{}{5pbhCe^}4+#n1~s{NG^33 zW#d-NpO_O-uhs34={R<^$YM)OTW^*3NR0PiMW3ObDpRx${D?Mz-o}7!DE$rfQ-r^c z@HuDDru@o0+yg>e!96zW`wRK4W*uZJ$a8?3fWFMIz7Dt@#5dU$p8}YulNGh+E{r$* zu=M^1JtLqRSlx7<4;!a1VVcmUy11!5-DXG)`NK5HC)-1L| z>|2zSNtk*LAI)5WOluSt8NSMuZ=74??POc zgdWrhn|72{)r0+lhN)J0L|E&59Er8kkR(Q0eT;_ed3x_ z7jdY#I>)lRSkAz*S!Tm?-RLJ;hNqqi^Ur$dh`M~AXWIrE52lTNi~Ru1Rh04DK+kny zBiq*F17Bb+L|JVZ6V~~b60Wz6>725V9Q~KlQ8q(O}vMHoa5(pf|!DdfWBe3*Ths zWUk@v2d;e%ucOV-26?y|>AT&2l%~w5>e?3Z!1iR@66IDS%4tLYTIZ`od@k3fc#C}u zGHx>S9m`kEeAc=!+8=dV7luA3F+?;;ANYZC=3R)F;x72xqz`Z<_D8(puCQ0!>XVGi zu9Lp>Po&@K3j4r&x!k&NQMQXRtm@ww2lM&C_lE-I73Gz8AJe;iTKIvK3=V95CuYyDf;b#Al)R;abuQz9=9=pbaeUNrG=OzIOm~w}#36h%b4# z-p+0j&zYj42)fJ=*K{{U!?3|)+;`s$K2&>8)W2`Yy%!w{dte;L4bBnV+m+m(AD~AJ zV}GY4&2f@@AjH3>5&fnab4`>uv|_D*`BkKUr}df$YuS*y59!M=4h?NXeKXXzqdf}l z6aBW@ELbnXQ?&7Cxo2aAL(tueo`QAQU;T)qkwzJ457f0TzfIWh``cw`GjPRvShr2K z?cmyG3YwE;aQ~X^Oad?4SNmE`+?TPe67+wTnJ9y9PNJ>DA_~1g zd2FPJui>~$9W`{{7#A(A!^VD40X`{_*eh!g!4j;QVlm_atdMDOPu_~Xl!}&gigIbC zjl{N990S28#hLUUbbB$a?-}s2Dn~%qzZwfG)i*qVxmf>}lX9?S#2 zr&1!z{rf+w{v>?=0F07!3*_WF83exICsmHpcVmyUM34upp0~R(XZy=BA6ejQ%lCJz z;jrf%#(EulGYV$~|G@+6LsX0%Odo>WSEu%XZTSZLuJ&FvZ|Aj9tq5|DHHN-L8er@y zxUcKb(^^3Jp(Yp!pzacF!2LbY8G7enMTOnoakMGcfr?IEn@-RTJ9JVsDhCZcl(*1E zpdI&FvzEYKEf}l?`=FFfHruqvx(u|EzHJ$A!daxo1{adRkUsFY@!)S4e}mJ4!?k*WvA0yF?=)>*f;3 z7HEIw$wW$^f9d^mBX~ZDvrTZ{(g>PBt|&ttirYb#v}(86s()uNmP5BHG8=N&R}S?+ z&nm>tfFF%b0K5|A@4%Qt-pW9qPx`bg`at)u+Gii*3uzFM)`Y~{YHw}A2J|cN+Jt29 zcUoh^ftwfSR!l2X0Tr$971Hg_w$hhU68m`>8(+&F$A~j@e&4Ywz{BVp8S<&!Co;Y* zc0YI-=b=JY#`g%?q%2!k@!vVY^|OXGRLoZ6rLI5VD&1uwWHn+u$dIBTgJ2X~_j6J<-zThIyHV^-W9v!I(mf2fOj?f#&~AT-jT}}QOfT!&9H1>Qn1$!Q7BkZMsS2>=dtvQ}*eR+*u zlVHyeYjCAgORo4X_^oP9&wHNMN;2w<1uXuPUb+cI^f3_Lt0W9d}p~vbSy9PS(HNYbTysu!O3)hvfaFb{m85%d}dT(PFiVNCx0oWxmT9At_* ztQ)4|oK$mUcj~j!gs!G{=w#4|I;UZY<>@4b+{s}qlTgMitT(x)n9e+jq-X}NnE$ek zkVP7aW6vtc#g)*zZInUsKFX|ujWPA1D0Gd=rW_Z*Sznq7`ECZmj| zFy=MDstkLm3oFiOKI_-Fv~bOaetz8}L-fAcsp-mlA085%U7E!>@laHja=pVcXo@RQ z25AX;;H=EB$EGJ{W8Ip|5jX5N{jt8mOmlx<>DN$Klq;HmMSl^k{=RJt} zW-%6}D;*lLz2(=*Q_$C->}lDY-UGmX3HSo#6la8mwcEWMd;WlS5LJ*Ls$$b2X8=Fo z0~}XlO^P=0i0P<9m2R6`*fx#6AEUohZy!!q%7~^_Per=kcQ^IG0qP&A^K4GGpNe%f z^aow7b!dxbA7tUM7qpNT_niP6ZKT?X?QX-q4X~*P_JXE=8xrg}O2cnG3h+A+r}VMu z${mQ4F}v(hh+{tLn33<^N706(?KT{ZxGz#4n-#62(}wR0YA?g)HM`7fk@sNbyweU& zexRJZ26c|jf)4IwjFn~Tyrq`MgXn|9Ax{j;ZVs38jM>ctFWkQuK=0yljwiG}|}(x+xN;Yx$uk2sv0}t@)V4DBE!y z!B~iMf7tWI_MopwsIvtnao{%^_#w=myD^9HoQu+>WT;C?Odw39moa3$QH6Tk9ExlC z4!nUgc`#n9H8uk0RZyo0>J&kpBB)~ob(SH$w&)3B{>0u6(t}@s59U+$MaVr@fcLc;Xd_B7t$# zE3nVllo)qL*KHh9hyAK(!hjwU>j?5eviCG&5ym|=-}M$7oCAFS*5>2qP`-8xznjH&QK;wMX&-g<{ow4k*deJ%Kg??)f4oft;%?le22Y}VJM?q zm7(jyGD<{aUKzShECX_Lp*ktp4qWH}Z2HGBm&C8beg*0b+)l(?a9TZRA9vC#T>Fzmakk!r@dq~LSRdL~mcw1(9KF&5a7~bRPM_!DD{#J4LPml9b*nxjTcqcs zSUdP*wK_XZ{CJ+9=iU>QD35ORRmk968|>ka>`HBQa8dcx8Tr)K?5Ch(hPxDNuk3K@ zV~f<8d9^mBOu_Xh#yaNlVWY%JGdrZ#kt)7mz1W9L-bWByaNl4nbZT4Oy9&IgVA$s% zct+T%J8%r|UWK&0i-0=;q*s1g>SPWToo$?0cbC=(J0MyI^Jwb_twB4N_VDu_g-h=t zkjYUO&NaXtZKvs&9;a#jzI6Og>dZSk!@yhRpf?QffE*NWy#{`QVPB=KcnkI8nQ0|g z4+USp&UOlLpI|G;*~tHP_r-Bffp;{>FBW#$$Wu-|S^t9k@}Jam!2KQE)p7YK{9a1+ z54VjGx8F9l?}jAU#&okk6`_rBzarlcI&O`CSFx|B`W5fxu)eKN|bJz-M`?^?_W&MGzICR`VrdDV4vamQY^$Bgaf6{4?I|FScWvd+N9Pn#=?5F_An}{ z(!CPMNWB)R8&;K`4ZX@R-1QmWw=oimKg>A@?M4|rXjKcryEL=0ZlR8cZE2n8)w)8v zT=GuA{;S$e~ja)t~QMmW6+Lto4m_n1sB7_C@V6IZS6%{^A1j) zKa$I#ZH7iwd%tJ5x6LPN++1$AE5h>I)mMVPk1!v`fUKQnwnvYfJ~WRT_I_ij8Y4kJ zj-@<9W{;^HyEYR4a-NCDwnQx2$}r9wY*)?at1XGTg7*7O!+5qY)@IK~w7x;>wQZe) zRPBIv_5la-LZfp(F4xzJIYR9vtiXOD%2)h6q;q4B!2XvV7luDF1iYul^_!x13k$NM z6>RWj6|KWNK!2DdV5m7K0NNpsn%~@fIImAQXd87mj`U`k;DbXtR~%}d!&(1)p2J%pW?EUy{z?j4KmcGRgM_^|^B~kX?_W@;rJk~HT zf{BlX5!FY`Zd&#Jx+!zoa$fiK&n?Sr76( z#-UA-UAe7lUQ2xmGP|CmM4J?B8=U`Ea5$z#DlncnX&>lMn_enKsbsobpL3T5x)jWH z+>0(ilkJd`iZHgpkk?UXJ%-tHfXg4i`xx>I@rEhMqVHa&@hM5eJyir(g5SgMBA$7N zSd^238*(lB{wc!(Sv5u`!l*O)uY$+)FeRchn7~->tkC+aNn3Rzbv(a5HZS~ zcn$lvDm~7|2f4SzI&!^1n=oJI8MrbVCfY!c)i(kr>c}<$4sLr8Kc_wHaN?)xYN39= z!Px}VJNc*#a=iA1^!!HrB15x)Ng3gxxGa4jinCi$oR5o+gq}n8<)TwD7bv`kIyABI zhCY|$KE`|nlWwdz?X-5D63lVWbw^d)?Z83dnGMcXb4y-b7!G{juRgvtnocf1(oNbOIeoO9!@UGvG;lN<>RA5dgk<@rt+U2Q5R0SNsh>d6%+)N?S}lb|>cB?%*`) zC78aLw9)P`;v*IInlT^00_!Tuo#fdP(Z+l9!P&d8X5;+HK1KS{1`QK9cd6Wj%`ouu zwEyi^>uuF9^2<@<1p0&*eIieu?s?aiX_0@i^+Yh{5z$WT8%l=q)uT-I4XksVewV01 znH-zYE>1scgB$mn)%|WaovHf+7*AYf;>b59e}N&!PR^0?(feJkU4T zx5MwiraJ)lcG&Oa`XaD-_SJDi9*lC?$xRBL)8;`P|q0aL_cXwo4oT5`ea_3M3Kh7;+KFAo>Vl)A?4n`&mx}VpW+oe-r=8~+;7#`ap3&(fEriGBjle5_?hbh#Xq}%3(8dd!@jfI_5&aF zRswOg<6ZtKvH9nre*QVoHe7o!ZOFdFeqygDN`sc$UNNl8UEbu_272w6H!-GiAC==> z#YW&gkRM40v|EBaV!J;Oj|`NnAV+{d72ay@1HW-z;@qKVMw+8MjB}8WaSqE~$8d}k zCf4e(RXYIh{mRd3zQVYLb(!SaY~Z+sa#bJ0TtdB#n$O@SeB#q%WCih4a@S5Rb=e6o+OP-8y0QE;Xb7rlMpYw5;76SewOc*x_nvEw=ui} zEh2PdzT#Yty+2JK+RAUipBGOz<8cIwt-F$eAT)`_Y3NP_L^S?taBm< zqaz;3TY0)mrDx`NZ|XT!e3I=|gfj?Q&!BJ`4jM2G>Ae$1@gDqiv+kgesyo6}{gfYd z2ff{Rx>5Ii>hA`u{~*5$`4-+i0slGUEyr!@pd$#Al=om0CzTfOy)?W{S!5r)cDUgW zT=Ng$XV4e*w>AM^=>7Cq8bKQIqkXK2^7SQ7d!fFZ*Y-PRg7)NH@*no-K@aHT!;k~J za32Xa-8(o|Af3~W4iCDt%a`L06&{VPimwzdyc_jFaLL#8+ceoh8325z>J0k0bw-#P z7qe2o_rrNPzg}wW!MKMzmy&ma2ecRYUiDG(H2N$1tRJ#OtXAmpM)m&z;|;>tK8hdt zp40Zc_nda;6#o~B<2b7N7RLtkGqrv}c}iX*kK85&0*-~%@KsA5c>?hpQf`oa8h4>}dCM=X#|)suB3 zos^8fpR^c{T(}&Ycj&z)^5{Nwj04}S%3pV^%3@#7G9r*+l-{Q*S|#qqtvZB5H;eJj z4v+PRtMoZLyjq95eFXbRil3bKvi_6tIS+5>XmL&pZ3F%$FJRojT4c(ey%ey&LFJealIbgXB+W8i+z_x@;*y`8$p&KFVB7tHu#5YFG;;2(qu#E=XO6- z@)*NL=`i4-*8zx6j2Gy4s6zwd04oAh%Dq(Bgz2jRgR-9Q7OE#?SI%ANt5ZZ9_WZGz zj{BZ!tRxSDZ&lgoli9!J^$+g#5H}Cj$o_se`t%-S{T}VM>1Ce1bM(yOCFvd}QGK66bV= zaa`J!xQ5^9|)g)>+dq*C$b@*k2tPWFK-!4*UZ3@1I%F3x@v*K6VwuX+QKe9XOg+AbXM2J0Jp zJx`A_aBLgUc46>VMC$hQH92&HY{oq!;N-MjgtP;FR6oKR(j# z_UU$1eFtF-4^lqq(>m6Dj=ux;TiN!lySsyR;ANa2i{9Jj!+ZnTd;40nC)WLFGrZ{; zjL*k6IA9v{4E=SsKR-S{oayQ37_HaNSnDX=k-d+f$M=wJ;`+?_Q1pvp@!Pq24;kSY z|8SNVe2KHf@$?ceXbc?fbI3TeuHPTynQy!Wm%h#`dT@5Bp%Q0MyJlD6&5?5Iu)%+E z=#}eYVWr1z>cKfu%2iG{__Qfj3cWDSWzNP~-q^c2>>uc}LKp+%phaEm)o$KhY?3&? zDUEdfw0FCw$6ib|s{5_Icw>_1>BuMGk13Re@O~Wf;=CKq8+su#%;P@7*m&X?@F~XG z9-JGmD9y%E=7`uBk!i(PtIxhZgmGBlPVhrmTjN|N@Q5%!;9!d#@|!;YqUeA&N+d9U zFXJ6lyr*j5JykRNxWEr*PanrP{y6&L<9u5VG7H{tfsPmV*dz0Jx4Q_mO%Dst!@1oh zA{bsOf{CY78Jtn&cv%Hg9v)+X9*~`uq=zNjH#8=@zI;j;?(p%r6N){w*dwW%BTGd& z@7;!%TIIZhDbIx76?OIf-hLlxBf=}=e#=|tT+(T-ufkF*|j!g=v3+})~bmGLy}rFX!62Xv%;ku>k+SQv|P zzm_;(F7bX+?A=wrcIn5t7qDWDq>rSjw;%X0Isny9hb7Ca|yq3NhZS^>02Hs!ojCFV8y%pRO z_-iW6_bC|edp9M}C+_sU#5)AyW)+6>Y{VaDDPih^zW+g5o*8;ipQ(g=sn1j?{Sx%R z=>N{Rn=nOi#p~l#|LmfjONnL6CJ$NGyKKl;ck~X~v17-OdCMv znnv3+!=_2wW)5tcxwbhQHqBz&Tm+kDg>9~dO=H<61DmGJHtn!!I&HHKHcgjp*2AXB z+NKva%|_d7f=$zBn{BXZUboG5*fiq5wDH5H3ECzKn;VbcU{6NOC^w@n>vnnv3+!=_2wW)5tcxwbhQHqBz&Tm+kDg>9~d zO=H<61DmGJHtn!!I&HHKHcgjp*2AXB+NKva%|_d7f=$zBn{BXZUboG5*fe6XHh$PN zI3TG^6gExVHg&LR8g0`In}XRp%k4B}``KH-F9yl&Q|E043!#YTf~xcy+<RF z|9>s~8(>G_e-`H%i0@9=LD*4bB7~8!89v3f;Z#43$1{~qIj19@SH7KJH5{h+22V?= zpb*Z-^uV>rww-jWn<-A6KW-ya9f zok-X-U`%lXG@hD1!73fU3^uEIDeTX{-UfRS?Ac>z#N}y(fO~0>4*QJMr@o@H)a~qeb)iae_)0Y;)3`jrft^?Ul6} z?~SnSuA&OpaKHBrC%vdc{{&vuxVwb|7Vb~;#W%k1rn6%--qk;F!lwX%#cnRA-jlkV z__c_?f3JEM-RH#5#hJ0a^Vjt_@m+{tv={u(9_xGr~>8-54! zz3D{4*72*iIr-X;-gErY@xfP|cx#FtR~!&JehFAes-X6%n!opq|H}V5@u6wxuTCUv zo&S^_PW&Ror}v`EySttE4vZYFd*#2&!0BHV8gIr}xL5oFj}yNU@iX>> zk8m2OUyPBn&jAiw!#}geiBF^P z7Vj1R4>!KEVEj1=C%-ra?Xy?;chx)bT^M=6OzuyY-#*@n?>r6V=jCS~FvW3=PW(#v zgD~U~QZ!@Ru+&e(`?;HbCqf^!<7kCoOwl~qf#*c&eA)i+521{`+TqjFoP4ckU~bOC zQ|Av&cjD_lf_8L(!$!A4x!-r=HXB+etSYND^P? zr{kYJ(TP7B@#u=~PsjIu*onu-sMI{^B+&8CoZ-ZmEGRS%Rh;9*S7U~@_NwQnmpJiv zoTX{*!2cK=x;dqrYtF3GCtY>r(n(9NT-n%grZ^$F=*%lVy7HO}uUx+9q`4>0T6FQ% zM^8Mu>9R$qU$|uQqWM>>Sag*Webw^IF8t^fS6;B>sukko4=;$%Ix{}GVe-_5sZGML z(AU74!%(0!P)kwr8vgEwab(AH;5H2(dJ-%@I;=2F?_0_$x{H?ddX-f)mX@C$SVb{d z9_hXK@|8$ZRHp*v?X+YOgk-`5R|*M{OPKZSIg+ekPGm{m2P$x6uk3s{9XyNVIY1a=na3|gu_Wq47#Fbc7etXI zSHj`Z|6*tu9>ZUSaIa?*+NBh;0a^z~$#`3pWWuR#QG!>A#9z@y4%?`*b#*4Z}TFQT&+q?WaG zdV7J1x!hopJ}Kw^0d~;?2$S=^Md#&op2<+*N0$*ibXm+lyiu%MV~AgV2-B7bloRL~ zEJ_6M-47w>{}4_oJh%decQpIp@f<^N=UfkGiS(RlxWx?*mR@kJ@HSnKoFP#rt6}>q@rTb6Xq-{d#mHIh zoyw~Tflh>bkEua9A~14 z?>7iwcF4pa=MAVlr-ybiPl=HMfVrVz#qg9FlTiQpp}&$o!wtw-;_T3G5abOT>=}zf z=aXA16o6$S%(9uILjAFR6(2*P6$}Sqry?ryToGy~z4w`n3EfCwzcy8Z(5pn?0rSH? zv~cKZAZUC1p>)5eB(#NjdQ_fZ2=k)wK4>;#91Ddm2T1QjDyA{?B9rx+?FgF^x{Y}L zQN=We-et@N^EBj{5&G^Jc>Zd#SEfT3u$+x5+3e5`LVH-n%n5BJfJe-aAkXQc&okNI zRkFFEw}`<%RCVTuRuS~OW+{@L9VI7wcbVkgIY#vB%(UBF2moQ7^(@ zK`1#XFFAvpcDajbY7jsU>raE+e@1{B9mzYYGnv|71Wc75m{P;xU1V%V#YS`>U5R&z zF$eJ>HQp^#ZV|#W`@*|iaf%Fmi&;Kuu%-PdhV?F!ggN3E)Vt*hF+6I6_X+bG;Ioj$WSdRS0U|V$o~Kkp$2bIP+a^drVRZ~hS2d?xf=f_}lg7oOJ8g^byz%IOGIFy=*-r!(|90{EBmtP6P= z^OEv(h2}BlW#w5Px{#h%lqVZ%B|5JvXuZPEwr7!wAeGwBQkB0*`~T?pBjTvny>FPW z!XH{qHh){WMd-W4@EvnEBCZvFjxuyA7^8%rL?xfw{*kYHH>qwoiap%>n#l$k^&mlP zH(8c0HSOexiWobfVmCBC!^NKfz@v1J zWo#W00&`vGPh`Ear&EU)5FcurHE4W4<>A^WAa+y&Puj zQek|QP+MTCufF&SVSMZ!0EgUGeeo5a6vjmaXx@7E*pEV3yLbaUKSs)$IR0*hXG|^d zGOl7#-3UrN3!Ex2G}jvQO$z~aa}iL5fIE?xfhZ@DMc4#4QLV8MrJ-J|6bn$)G*C;D zw%%ebUnahS*s4#>Lmn?lR)5QB(F6Vc;29dJpPw#^6NdsY{IEPlE%Mb z!hK*Fn&PSn*TbTL3LwBboGa}mMcF^GZ)O)>e9%Z;{dXAh@dc`M5E zwc@XchaJJEe?CMIPB5lut$r-9xV=gv^b0f1R4VC2qg}C zv^Xp&4x3t_?1a-3q;M~5k;`Kfz;*!*mW5 zhsh0&IP60UYjIem+^;xHam5jb>2}27@1u-D;xH@jh{G3yZyj-%!;T{k-w(GV4*vsg zM;shVm;efk!(7t2;&3(U?~22m`dx9DJ)@8~%p4W!kM*lq zghHLUZ5*Qd#bL%26o*g15aWu&^tj?MJ%z>L8jQ|`#o=2}Okr`DGq5WTvsV@thZ*CF z!zUq6VR4w%am8T|lDXnAxwl^&J`KKpahMZ*zc}ni{rbh>rHE7FFfNe_43JtJCPymS zl@-e)wDQ)VQP2mzf~ZR7Dw9{>Kb%46{cz+ZXOPn_l%5qxa#(*F}-?*K*+haX18Tygj~)Wi{o=`Jh|tHwn!taq6tOjjJffdFiAm~K}brpFbB z7a{`UFs&~l+{RFe!(YM-2bwjdR%e%+bG8shkpi7VR4vwTydBlR~)9t6^H3@#bJ5|ioF;*@wmAGx_#JVWZdV+B10IOO9A)TKFdz<-sN{3ouf$Mlf=?m~o)%*;^D<$w`0jOtV9s5Xo-6c~+1jgtuu zvgV_zsOo13Z5Yzs&U8^IH!h}QsuIJEYmhjhP@k%*A!^S-h_ml*bbJ}Ux{u=zB5Lh3 zD4spD1Xvgy40a(3;Fj?EB*>yJawDrA{R z+U`V-#RT`pRK{&Y(2o#T{~#QX(Qz*vFVXQcIC5|_%s^`lw+pd0qpSr+;{=H0Hv!Bf z!d!_27GVnG^A6Bq;4}sFXgUb=WI71+JUAM<@_@Ds0NO~PcO!w4XT65PlB!PykOG4O z_&o>UF9}eB?EAt}GzHlNOE`)-M;VNc`2h&M;HM>Qw?6{LCHXr}Tu(fw09GQgi*~fvL zYVENA*({KNEF-40!9~(+*T~~8yxWLIvuN0WXfg`x^*I$Y1)mz<0T{*~oP!=l$A^G# zk_^e9^(^mC$C4Rm(5<-fIGzR}H{z%G&^aAqbXNe-(Fw zrc%s-$6gmM1rA&_%|X}U?B+7ErR5PG>)X zdERe7VFJ?R?I+l~I|KF;Sk#{T32FhQ_Y>3t%Gpn_7f^GM-dR9VQL^`bg2LY3PoUe` zPoUe`PoVpQ?I$p&v!6h>v!6h>v!6h>v!6h>v!6h>v!6h>v!6h>v!6hB{D|)-u++n| zpP(RP+#)wP3n*%D_u5ZT3H5#goz8y3(QrEpD7u{mRQ`Sf(;wdb1eS2H`w7hRAMGa) z0QM7TsjUR{N9~K=PhdC*yYKx3mEa)v6PTy)egb0(?;U!?nCwXHC)iuf zWs)4J2+ehNzw*CAd5IASeW3dZc5=0!KzHH&1onq_P?)XARg&`u-cMjLhi*S1320bA z(c0gB0_OmC0mXeRcLBv+2X_Ir$9@74b@vk%!s9NW4()yd%Q|c=3Xw7<1`zh_=%S_iB2P zG|6szD(WysZ73Yey^SXDA?z1c@`sf%;lE~<2W+$TKLBP0)NI#rtGG7 zf$JRt`LcTXl~9ETp!deEL>-3XiduS%ojGe(O8A4b~FV`zZ8@<1VH*q$E z%kzN$Zg{vwv6{OGkd?8LL(Inz69r7h+=Tyy=8cHyLClqiakep5V_mliVK*R5!$6`m z0Dc|;XW7{`U<)xs<2J;6Ld7gF*C@a!vi@|0+?1DoA>u@R4?=Dq7@8Of2!!%;6+x8F3zFG2uKab(=7uxR{VV_YX}8oxBg*TJSK zs#Z$|t__N#e*$cp;;{HJ=qtqv{_Ar<4i!fs>p6V8-!aIh7b*&%17WyDZ*Ac`+^jx`orS1JU zz0erfQ)4^|V$4{)IFD;n&|0swcQ^>5f`1UTxHD2FS@>vbJq>kS*133Rbliqi8mpPa z>R7<5dVpBXybCdSD_3K6JfU^d2dqvYR$d5r8Y|^(q!(DtCRXRcGj=Xcj1#NR;U5C1 zgB!u>-4`1{Vjyluky6Y;0k*vMeS}6~zKOaWdO6K#9ECz9m8xcN0i8URV%E~Bl&YTP zMm5|%Y0mv0*y>b@IqwT}a;L&PlcB<&#^3K@iusp!D#iTJs|Zl1Qp_`0lvb*m^S=hC zeJaIV@Mn5>D#bkK<8a!iQe?#X5daPPbO7$|T)d zsVa}9TPs!N47#;aRUSvTR;tRGbZe!mOwsKsRplIRA*oX-@)SDtsT6rC-TG9DJe@e( zr&8n@^zc*)j5q;_R7KoVDe}A)!sDqFxrk1EDn*{pQngZ5UT_n_^{EuOm=))#6oT@9 z4SzH?%rbd9Joc#+dDZXWQKwSmN;>ta6nV9R3%xSO370xa+^v> zrK)_9POVgx|2i3NtyGmS(XExL^5t)#41Fp^zQXicsVd)O#kHI!-{y%;eJVw6Z-ZN( zN|Enze@&lCkvsnaw?363bA+i+rAT2AHGL{Y8U{wS1N0CLJN|9dUS$OoR6kPov z0DUS&22>ucRFx&h)c~MRrN}a4BCRCb`!>l_GbWC%|W)N|C1L z48XHbrN|$pa_dtmI3&nov{F@m)L=_fZN++*Ny5~pQsfQBCkcS3QsgS-)~8aWr9Apnifl1H z3}{fQ(z+2DYz&XuAfGUALKCP{DRQ$}jd-n8l~1XL(n?kNv`VFws&b3T;Z&bWk$tLh zwNh1XHJ2j4R;tQp%vmT&pGuMcG}#UGsTBFF^5|13@;T+vr&8qe=GTCYK9wS0Fn<7# zK9wT3sdBVZRlcb5=u;{3U&^CTrO20*N1sZOFDs8el_FnJ9(^iBzN(->smiuzk%}NV zl|nyDReqdGp`RP8%Fk0N@(uGz_|>Tt`L=TFQz`Nt^Cd*!R0>BKI#nK=N+D6n=eB?3 z>vEIohU!#`e9dHos8cC&yUDV2sT0A}DhE!bumdV~L*p~N49o(FihaUiO)3e?msIbP2=?Z-irt*TdH!;w(g7@8Q_v^(?{x(Kz>u430DZv@w%n8Ax>Aj06qGIS}*EDK=|UMw5DST=aE3}gJ@ z#WKuagBQ!xMYI3QE|!hB9-5Il;|Y_hqR@vSdKgctdvzkzMbBpCk)b9eGoDf&e`pud z8c!=vN$9H(Rg5jl6AYctWcoT`DAY*LRuvNsy+UZuD9^~y&*=H5^29@ZO!lnuRErVZ z^$Qv=$qy5sXIRiH>P(Rcy~j+iDvuogQJjE$O(pUVUvh~sURUlCbX8Ww`v<~&Lxp*% z@-jyI2yiraI*s%E2EOMj;&(F9d4BFbS6#k@&Iu3!#w@uK@D}^IOc}eL=`U2_V|Oz7 z67Bva-AnzHo5tSEG|P1Q#~8j`r)i`6WB&OlckJKjzDS2JW%`SC`nT!6OouOLn#=v_ zPE|FxFpY|0o&s{-q{5vvkh(@;T~SP|KSlo>f~Y7a>JP)8@X%jX%wnq{S|)C#e~gO1 z3;x=Rmt70ZU@=kuJS5B7B^N{SR$aUp=~t8?{f$JUM&*B%er|soiQ_7!y?KVmN$k zhX#f0C*ZBS6j=2E>I%@oC?E1~z^-47uyLQl-&062o-q^J;HwS6(E-P2=vW8GU3AX{z?Xc7G!cGT8nC^nSu$6WgkJ37Y%>hA}?xy~*Nq5*`b{gR)N8E)3 zO+4I*>pzp**|I4gxzOvG16|_e6B+VDAKTvRS#~8tUPdP4M|Ma%LtbQ>U-;Oayq?7j z`8zr09y`rih6I^ry&clVkV_eIpO2gRUeEmqIr?V^q49lVinDod93`hvhfQOgYE0po z2O0y{6C1!6Qd|ZOwwG0R0PT~o09=m<{@xjO_?A`ny-P3jUFznJkB0|5;Z_v84m|NJ zY@>rW`HG$gR>mAU*MiIZxHCJ4Ju?eW(d{a30=zH7Ta33~gz=#`l1{`S=VJ7#51)y+ z|AA-7Rwn((c*H$J??UJ_jCpL9p_NFACsWQm2A()PA|OX2SxG=9U=1aNfWF6>hF^*( zc+RGn0Eduby@=qi6&!N77NRc2d~ywna_A<%4o?w7{5-_riI9ZOBYQ?i6)-D44rm(F z=$y*RzDH6_n+Wfj^nO^yPC&L>;2pB;D(rU5xe?wi^eUR3@)dZ8{ef>1#>Yg;*gb4nEX#W3m zH0041Pn6Yg9wM}9cq?ls|sTjR-BKxedz>k@9BFXeygb%^Fv&ke<3Kx-v zpbJiA7yKzafyYSgY3!cAqxbLN591gD-U@FCI(9RY`mPrK+=y?3WK1bO2Hupyi&1NU zo`^T}`I`WSK3oJE)Dxj~1|J{->kmFa#Iv7;Z(P3s8OObG%|qYrjqAY&hz1`Za;~+i z3j>@m3SStYM3#SHfc_7BVSvRPx(fpr0@~mMM3Bz^|MviqtC#r=M9BBm%P^6nm!X?_ z8MTzN^)d`|^fGjh=CN$!ks(xfI8R&~9RWx@T1oTB5UM*)iNhbo+SyKd2mMO@@yHNL zUB9cc6**5S?=Qzdne!q=tt~@(0Kal9{G*w@PsRU){#yE)Ur^~!u7&?;`d?J>chg_S{Qpw%#dYv2?aIq4{s;6c z?aC`E{vr6Qly>Ab75^OlN_+CU^3SiwI%Fr|e>czu!Ml1ps739|n0FZX%>Zez6^W|r zFhddW7?Y)cGY|kFljGq3r%p)!AK=He1_+!D@FkQ+BcU}KqMEOQt#%<_tstL09vP}H zLd+I7rbWeEf|zQ)IoQhxRc4DS^9DrdGPPelPF1rA!f}Bz`v71%*7v$L%M|3hQKf1> z`1?$mT=`vi5%keo=F2yb$EtcC2BNsN{zLgcXJ4UK<1fPeK^)VCXPb{*Y{b4ojs29`Og`zV}Kz04RgFgnr^^d~`1p69UcK8d0|W$}86JU|I1W zzIAHqEos6v_1)x)=&bbuaxI~5f^^w|yUBDrca!OM?k3ai+)bw2xtmP4b2pi8=Wa6H&fR3X<462% zGD|%?cas%l^csp%`@Ng266(9jbUJsF&xPB$n@o41yU9#{c<&~&goC}C%sl_m-DCp5 zF*;hDg7dD-QlVSHa1eIicav3ugS?x}JcaKjGp6v}WX2r8-DD;^fV;^|cBJkm@A=j# zOD=Rbnc*Pxf!ma#j5~%f9z#yO^sasu;NFC{M!kBp00Pxb6z8Kvsg^l(F3|wlONum9^|t); zGsN+ZC!ZYUZwRD0N7Z^{UbK`FJ6Rs}%DIw%@*cPR0}v|WTkoF!x86PWTkmraN9j;q zGtaw5;OT$seb2`&e~85Hppg zkk65S=q@DxFzex!e^|o7%0JBG%0Jh`<34W5QN(@RvII=*K5mJXRKdqB3&}r(55n$S z{!s}IQvP9{!txJe3d=u?IRN>G$qqpNVX`A7|G3u()Y~j9xsd$Ba1eT+{F6cGL*6~) zQU)EMs+IjAU)4(g|4US@3xSILxaI!dW}zP7VBcmTDP8&J5_k@i{KIk%o%};+M@ar5 zQ4gQ|!-{~D_bvZOFQ$6mpSYo}o@psk&%Td-gAz>*b2}gLGdjjVC!?jJ8jen@8z2?^ z8N-)RL&@j+@Wz*1{ZV)+6}?0+cBmB$eo#)`3LQ@v6X6@HE`m;=n%0WP9jdrkRBnB)IJG#iQn+}+DXL8n4eG>okWa`1+@yF zla!RUuvR_kqVfai=%J{$F_+LT1+>p7XuQm-YdDwCt^}gGhI0w;E_ihf=W@{aJH4o( zf>8pgPStQBYj^^Db$Ax$EY`2S3-Ed7i0`sM7&Ke(ztCtz^^D14+&07>wePx*Ze^`k zWPl%2E>d{@)WvfJ@w@`XX*^dD&o+8@!=bbNo!(9bg|&SfUWMr=iD{(CX^U$(VWBO= z7>%tKTlZ><(YzpHtR^Jq^NmIa+fLJMHSt*lpc8#dav3FkNq+{odaKn&sQGuLYIEnP^H}O%kcYB9^Q{K3cU=^ ziaT$mtiqt?ybS+SxSf~bAA#F>8J@3mJ1@f%ru#BHQ7e2-;or#Oo>MS!`p7+}Kmdhb zhUakS3eQQ@-xZ!Ypt!;_dq$y`;hCdC{jq)(R{{e^NJ}HC|7Cc_6nq)}9N_7`3{Q{y zGCVznUxq&xgMHzb;lGDs3cn1$5P95};n^z-zYNb9_hopVO)UH}Jgeir3}1<4?#uAx z-u{>2FNClEW%$dGu>WQF80y#Oekkcvh*NiPuLWpVc}R{7*PxA9u{=U6FT>FXs12-S zt}@B1x#~knkHC?aoI;1wE_X9c4Fbqv{b`VU69UwSlE^!%GuadhE{b8j%OqjCFT<}T0Q+Tly4{!I>2Y6%zYr1jIfXkAZeyrk zhQAYniSsf%^<1v-%!YCwHe@RIVM7k5gLwR@sN^)O@XE$(PhNs7U8J-^ZW%zqg zj{7qFgYXo78J>CEm*MGgUxug0eHoq}_hoo`2A)%3+p|bT5Zu9~pQS24?%>k@cgJtP z4F4|t&dcz0yD!5RgAZ{Bm!k}w3WoimB=WiKS1-e}8#*t;vq7Af;aQe0l^;h^IdBJ; z9Z<0w8lT~1l6@`4KH;#YetKjHLi}V8%AzVa?%+~3@qPPS08EKyF>HL)2ZD$ehMG78 zbwl2X^8!gz4Wi$sPf4XsOgCmSyi4&h9;1otfDgl4r6l8H2-BE1#sb)pNH|t25yz^} z0xcY?1Y{){2N79aqcn>l7Lb?Ij=blMV;!v4UYdOpZ+-bNl*A|e3$sb}Ws|K5uJRt}><*nNL1MY|iHN<@m57+kRVOmWm55G49#~R*w7Bv-(yJOD^=S9EO9?2l}lXJGuH+4&CIi{~tj zDHMcw#NY1|gW}EJN+Gt*L7Xu=NTrZ!`G8gm>5{Zkh;BzIM7N_9qT5jl(d{UO=ysGs zbUR8Ry5mP&Da29-#hZOAg-D-4@n%rG8T^1UMlj2ZHQZ)%P`m*h{_p*O^61APZTP-s zaOgAcqlB{!0mf-uX{ir#oyNd1_!QO|Oft5b54ik4?7az`RmGJ*e($@>yRTpG{Tdns zWoe{EcG+#ALAKu5QB)FWppn)F+NMD?D$w1y$7rI7ndu}Zl9?o9)XA8P0xsD%lQ=VG z{yM)TnnWi?vluXHw#ondJymtzeUGNu(U~+K`o2?Dr}lGBojP@HU3(dmjX7r+ovM~W z+j*U3blnfj%-Q^?SQAvDv;PjjmjMjl1EAtXSZv}*Kj%S&`b~i2(IAxi3Sz8t-$t6C zuSdKT&xxL6i^>>x zLpp9mF5-CS?g*aN^#L$uipEmqqiNRIpK_4Ck9cb~9x43dBgrUa98G1naD@I7tsB1r zi5v{H3665haBWq@(lsG%>6-9sl*hmL{)rJw*F=5;nt$;t?1}sgH2>mP+SUg|MRW&; zD&q7)b#A!YW%KZXt%}}r!$Va>S~`u2NZ7oFK-j#7Kv=(qaEvM2%Fas2pbiV zuu%~S8x@hTQ4t9n6_Kz}5ec7u6_K@`8WmAW#;&XP+%WA)MKRiYZrFQn7`1?rKaSf1 zCQO$4ILOLdZ}*-Xz7peroIDE{OFK;#uyv^F)LFpTksd8z`V+Av#IvZW*qfMz3eEr= zVB1|cLHkk0uuT+Vv55#$8QR2z7K8>P&`Ot!pD+No{4FftcMQP0s*QpElb~5%S&HJe zLPNBsAUL!Fg>6C+Lnc7Qv2P{XwM5&+;2k$3ct3*Wvxh?~Ltr#f@5gDT{b{1UjWlc6 zi)iJANZ^GT9e7@BkF|!E!;01dJ?i2x0qQ8?3=&=dAST?wD(;R($J$v!Y$pmkJ>ICT!PDdUp)dXg&sYcX zvVd#x3|Lz&&37wyqGSB`%X8CK%hT@if6^}r0Yz!AHh0HThnExY*8fcXo^?$E1^FFJ-^ZU2nn z3ccD$+Tr;u1#IZ&&sT>+?UZhKp;ue)OR_NzTX;Up7urIvHoiOtvS2>tvS2>tv&hb1%g^XC^Ny7%*Ep3tj}fzYdsfzYdsfzYdsfzYdM z7^d|!y(9}w<(+&<_HXRF6`!Z_`x-5k&`v?7m~^}ATIh1>e#F;uDXFi5<~O*d0SD5r z<#G@C8H9((7b#xP-GK134ByO>ekb9wsR)0F;RCspUo#n&uUQoU1PZz-)H!ad{^OI`7*J1&&I8Z((HgZonH+jcWyGhidabf*u)7Nn>b-(6DMqJ z;)IP&oUpNp6E-$+!p0^}`1ISvS?j5>iA%}Y)R8rMy+Xm!UV&Ib!d(aTn{e0hCPpcI zmxe>v>lK>bb)5WnX?ndvr+A>qo%Rhr%x3`1f27vshGGt~H?o zPuoKqa`zyfuN8krt3nfD)jk#l43v%xN)I#IoKm4&Wy)PA>7v-V>cZytAV%IWb_-_` z{sq3olhsL$;Ejxs*jnA~_N<-YQYlsH3}AklAtb3i3UmTk{I? z*8rPWkY538UP0!?80HmZmKnZ+%%+8xvaoTXu{J=K&{$iBKxnLG0im&$dAc{&E<*o9 zwP_R@9I8#^j8JW20s0kW=IA32_OH*)=s+JPV_+{rQuNnvo}b1({sg{VT{!312~OMV{_o zL1uSCW9=BAg~nP^Z`xRUH9~1)Z3ht3#@Y$!-;3d;EcA90W9=O%TJKm3TvB8y`@xPy z23mrQ$3Q=cq<+j*;Ql?HrH>$%loUZ{l$n?B5QkYwVQC!X{tZ&(m1EM5FcU6iA%9eu zFxYSpT7*kk{(_E$uOK%e-MoTKxcgU-WpYss`(5C&%B{eK9A##+`Bx)Lx?Xsl%*G}bZ@8fzH{jkOGf##)vJwTW}j zDrG?M3Npj2Rl>NGh2hssSYOIg0CF>zvJeh0WjPB0T*^WzLr}`Vr7Rp&(zy=HE68L+ zb14fa#9Ye4x>T(VCI>EMAp;7zVe%PY;F8zQBu^O9eo!*W_=$`!PhQRVNsO;ZT8y7ecw}-7ny$>ZI8GcoW0)$)WvTpEA?{(MR_nCL3#s>U_uQ-gXP1?qpw6cw=>v`nrsPv8^Iw! z9W#X`&49I+U&lNzhT5FF9^O&@3@Z5|N}<<;GC1Oz#Mo`RTE2z$b4D7vD(6gzZgc@w`wSuwU zYXyfCT(*9t-w<6rz9 z>k{Z%u5}LdG_4?gN-Id;(hAbY;cjabq*HkYN^75!T8MEGYoAlbZJ1d5oHGQZwa*#O zJx})4IWHhiU!B99uD&{lu~sl2kKf`i!AHjTOL*(7So<=+U-A_2!ta;7$-rc+%sqU+ zgkC?|+DGvCTr)p}oV51QP8RSU=KJv{ZBASJ=r#S$=t?VDv$FOPG}b=C#@fd=ht@s@ zXzim7sToMpk%+bLb1d&^5SX`;pt1H*hM7ZBeht!C``B?>`&d-)6dwH3Wfr*KK%hA9 zBiy-oTVO&l);@y9+9xHW1X6>s_6G8JAMG~A+V^9?#@a{MN@ML~nW43hO$)7k!%#zL?fVO|gx0>isIJ5ULTexMgx0?6 z5eQu~=b-~&182%YhO9gLTewXH*M`}MksCVqa7n{?K=nkOI!Ook%p-)a63?Rct}c$ zlsk&pvB*G6kS#(6DnI?0tH8Yz&(hB#_QwDs=!`P+LgSzmNeWBjAotG^A<~hwBg_PA z-+W{pXu@DmZS6aNj)m5~_afa``v`~DzF~mFt8-*>Q4RZD;Ihon+V=?-psjs`Lu(%c zp|y`PUmub_gm~RTvG(yb17q!@eKNH6aiT(NAF)DfAH`|+x)QA#p{{fVY6`7=WP{M! z$3ST9V<5ElJ%xHgYu_^ngw{R|NNDY2p3vIIKxpk_Ahh-|5L){f2(5iA4c0!+J*$)f zfwhle)+%9G`xt)FgtfKL1-Th(AK}p2mqGy6K1vyaQU-J-4l3zfhsD}QHZ;~gPKdGg zu`X3BHz<+=);=hfaW-5;)@TYc}YgL3ONrK2ve5Oy|7CNXa}_ z<_yvTs!o;r6Zh<&pcMYa@7C8Yo{6klxqJ=rw7xxu2Jqj1!7! zP!7GfN~=B-iFOY{+LFc~u3emfjNM$jSjOaGFQI(?#jm#b$y}I<#!|gGJB13rUSe5u z@V6QTJOX|9ZoDx(Bw?~NomsnS@n42wg6~BQLkWh?fH2hMsPLa^KjB@ z?If@hz{dgP6Qkgh2<=Cx-~j-?0Dymqe_-d9Fz^yeYQwMH^)p*FN}`KrsYXfQas*VP zma$P!GANB&&Jyekb)()uQI>~@)zYXG;-yg&2<$}KJOKH`kVZ8kB#rue0Qi?UpCfez zi(G+eUWZ@1>talMAx27~@eU5gNx*%`BO|dAsAa6QSt|Vn;-%8~Y+dOv0Qtm_N-sf3 zD(wV-e?j6c^Z9v4#aqo|%#oI+Fu5zm05>Y7|CK9|u)l-|X z>F|&*d|!wGt6O(fp!^kSuB+cd^4wiSRqqse?i~pDjEU#t;n0w7#iyVp?)1+B4Eh2L z+^X*bPJGWr^h|bB%PnVsray~c&;1ad z@u5tZ$3`ca`UHSnd9cB`jFJb???IC1-e)k`aKS|6cT6OEpt8R&lJfq;CdBS)E5g=0HjASZyQ{f)1d}dQNi9|h%T3K<6&L;n zpyx7wO5R^vK%~^|Nb_$aO_XvdOTi9(tknO2BK)m62v}SmTJXdpxKM~pO(WYvAVjOb*kceMrb z5!cc4CA1x1pCp@Dbo|zBF8~#@?c33I^4D+J_LKTr-hCLeSRsd2yv}3kbEsJQ94aQ~ zPt6=16YJ=d;u|(P>nBq1fUYC@kN!GDnDDK42wO;}`uJuo0^9SvEqs6sqyr1eH*Y&xr$8LNz{j7#o46 z*P&t9(c$S*sK)29(Roar1nhiyu)+C^k_XW9kmS2%cnT&PE|`d%Wg^)FmHi(hIWIQJ z>{M3i$i2-Zv!5zCTJJq3`CDN!A!MZe+IJr@=^Tzo_D=%`$MsMn2W5){?dc@{=p?9{mY{B0g1Tu5>ZT>Ao0gz%T7tT13F<~9 zsF#+YUPOX=5ee!=B&ZjWpk73RdJzfg4S5kAR}$2VNKh{#LA{6s^&%3~i%3u}B0;@~ z1oa{k)Qd<^uO|uWD+x-m8J|ufS7I|>#Sh_#*o@C4sKjP`7Ga7_lD~+}U>XTuiOrz? z>wraU1`CLx#AXl?o57-SU^pcT$0MAnsQ8K@ zNeNHEWWxm$k&^*SBzvH;N5y8HNoJ?AN=NQ1O)~qblB4zBYLXuhlL;ZC5}Thj=^Tzo z_D=%`NZ&?mfl@>N0+5`o)KK4LErp`u-%apEBvBu zh^LZ6YqcD@7XtJZApGMD)O9kYX0IFqt%xSqD~GTr?v+EQTMm)4Gvp9$rLiRx63-%u z20y!NKIDRuLy`c|Q%upbjH1W6>yaHL-0H-ZQw}6zy8OCxxPDyB0+|p(T}}qT-pT@)&NI@la^@7Nf{llC|*&J7IN&jql#hT>3&9 zZVBB-uSAUR?!hz8M7{fw&7a!xa93rvJTC#(TONXD%R`uJHy1a(<@r58v*p=@{^>0b zG0c{S+by%@`7fZDEzfTOn=Ma1#J}0{i~?-7JX8(Lmgg$KX3KLYV6%3|XMF-Ci5)3E zo1oe9d>XLX@=#^umgiOc`Yvr|ddtH`i>>Ba0J-vDgT;g-51{`Ol6?0|29pgJOhmqD zBH06#{YH}=$-g(r>{M3iNKPSEDrY}cG8<~@J5olj^w*b3=+pAQpWW_ z1m@L<)pn$R0!TVEjKiu5Xs=7``VheVNcs_e?XCh)Orb2`Vt>OjUBo?6Y%bBToENQY zVu<+-Q_bhd#qQcr+`86^RV%!8Rtc-rEBaX|)OT5QwuvdYjpS0wQKnj?IV#wCJ_7hc z0cmazJUH~?{!z2IW4*r)2sg|UQWv|99n58$dc3pg@EnzCS90AQEK6Hp$s@7pcOk|X zTWhT9OMv6FwZ>)=wBoe2#%2-5X^l%N2yRDP-Ps%`C-DSed&#}J)ppmf5St?x0x#DC zX(hS2bZ!#@dVuI+#`B!}S~0Uh*@fT)i`%)ZAp9hEKP1xiy~DC->9s zQZk%2NUz3|n!X3hllr^p9>7-1dqog?y+ULr-enDrG#W35TB440Pv7-RlkWH|7n)`#9|pR4~dx9(LD< z!E=KkpY0KJgrVJhFz@EH$4?1SBze3^wjKZ;+ymePptY7)wbrr{IjXRbUNjp8ot%vm zU%+>sTZfj4x^k5FKxJ>T(LhKVnw)BW7hkVpjGeW@SHO zR`w%iWq-(vC|;R!{D@iEANK{stAjazJo{ND2Xp>;j8_M9zPdR=4(9xcj8_M9{v_g8 z$ibX1EpMC3Fsv5;GTwKYvdPU8}h)3`+BG%gW2jY~vM;}Vh6xJ1TjTq1oMmx!Fk zB_gMB3GL!wPUAQbvNcVp(>U%8(x-83a6Y5t0m6%%#xeSk(>O+^PvahsQTpT;pgeHtg(x${P{oW?1$asbXJlSg-@h4d~- z-oqUCA_*_~L8xp5qBiF+fesC_D_z1eX=LU}U2F*r9mdMMOdaX1ONr-$-e+yFN?B(g z<@sxc#{G6ELbC-G1B!%wu_U`*9bFxyGa0kGtp}fs*Ud z)x=%QTU-h~PK>+cr+`x)-G1DqJU%Y-Xhv|CodtM+$1?$U`E0<0Ji7h37c~Mdw_I`i zac4Cmcfb>BcQ!%GquY-=2cHkLT#s%)?%b=0f^N`j%$M8K5_-qVgKBpk+nz(DPvS2r z54JnWD0v{)cEG;dfu~@y{ep?e&zs2qXCjjVF*}kuV7~hmgTx+Y)q&(+m}GWZB}Z%b z5i9jh4U-8W;|%=d()5TU6oa%jM3OQXwDRElQ3D{qPhXJ#NJCh5*Byu~;EDA}B7^d+ zLei^u8>g{|-QEL?Vylq!>TUff3;PUWUF%FRnWonk6iTbWAQ%cb%5S9U_1KA2&5icb z(F>)vrnnnWk@G>agy-Ihr&%UvBR*D5PWG1(Y`qJh^C%wiI)>XAwt~+QzSDUIa6;nm zl=z(U!BqBanm|ks>@Kn8jg$l>A-3l-i#?ZFV4TXG#Lzsp1*4YNh2OF0{s8>i)l)G- z`6ei?i;yCi1-7UYSOKvvSq`kiuK|qRwazMH+9M`yw~lYd^q$X(Dy7rT&Q?su-H33< zF`|TnKzFK?Rop?eskb6fJdEHpg4VydoU5@Hgmd*c(l}SopsGq>ygm>0_v%8ux)3;9 z%=Epw5T)t=4P8i>98v&|%i`%-$YnP9bqcgxIQm0D&d`NcDoLkxp_No*LS1NOR2O=0 zhAy;9W!r#Z+kz~meW()kRVq;@K;PwgR^PNr)Hh2d`X?lpS$&mCbRPoN5AkrVwbMhf z^-!4-Te;ZPJq?5(SEFqw)9|caeBc)9?n3$6i7DG#+7%C#I9*KLQR{#wj?>=sM*yk= zFR$u(z`{x1OoHmb%bP`*j?>gIgCx3B=2upr969Ck#r4`s4B=8fL)4~31H2yms>wjf zP4or6KZi#lg|c$_^R5A$vT}$@lqAC_oh8Nx_rv4-7Z8#A1Ts!33@)Wgl6WhLs#lIA zW?~i3npYEc6T^TXG+Y3bAo1itJl8OOP~wxw9IPc=o)|=UBa5j>{2k#@zP+vX#xC^s>k zUe7Wxi5a5hiyL{O>SiDZ@GV4niJ5-}u6Ur^(|EY0P7dvQYSWU{UXadeM?BE&MRYh+ zCx`apQGnISp}mCOG3w;dUP@OOb#iDgqu+}Zp* zvk9t`LwnB80jrZkTYLRrmJk>5K)2_y(eh=KVicK_2m6|&Nm?F2|D|Ml!(g)Ef{COv z0ZVrFKxKblB@FNG`o_nW3;+RCrxCV&8 z{32p={|xe2U6?cRj|j*>IaFBjb1}I&Q@N>2U55SNEL!gUyd(Y2^e>0?K^*V7K~??vfQ zmxuBvKrQ@{Z^}4o+jj$E%(m}-z;m$F}DX=>hyD<-v9*86^+o;)c(6@4!g z4#4cDluL&ztB+UtJ*3F&d14c=Jgz?8jHSpJcwBwFsviL**W>Er&7s^b^tcJ~=CO3F zK13x-Qffng0XtlM{PQ~j=Bw2we(6pJnaF+dmD>=HtI_)dUQO8bxcbB!eg-)3xcbD` zFn*B7)hE7|aJk3TC%%!zRCru{;+q&h(&OqA-%NO{$JHm^LYxU6SD*M*y8%xr;OY}^ z6*#a8iCe_k`7jcT`M~O6610`Pxt6(DKnNV6VnUrLC6js5Mk0H9kawx2V zsh>fht+Vv$myS(OGD29*Z_NlCMb%!Bu&{DQ?+ve+r-n__pFVktt9=1#Y&#A*y*O&l9eoQ9t*q|1ul|ye#sO#PZg-! zQmr!K^`4J0(?Vu?1(}vfrZ|L*bZ((;MXI&&DvgC!q#9cB{^deaM%aqQrWGQq-76?G zM4Wm{NlGG4y~`?4a3RB17e>Ifie}=eq~-fDV3d}XFg|dJcuyTlKP76QQ2=Rgb42U& zsP#Fb^?4Mj?)3YSrd&AOs&4@n7Y=tOLFK~X&LZsMb-H`;>ubKFX*4eH$W3Ro6<2)s zeth-pmdh#k?&&Xe;*M^#drRtd^0UhzVnsV z7boBOO6!Z0@BD_5KnddHJ6~yiaq^w7w7xj`&fmylD&pijUuk`D@|~}=zBu{LZz0Zv zIQh=M>IZAB2t1;0Xd9@aW6pYI&{~y9-4)x;?EaQ z7x}ZW3YH}xyi$)gopSz+rTH_K=1(WWpH7-Tod|zA-SDSd8SDmEIQqrG$*=AHA^jAvyi!1t@38HkZr!EZX zZ!Q{1`m<(2i$soo3r`jOWta*4^eW+}R|#L4rP(!KEf|jgjO+KSp9dUJF1X4}5b)^5 z%`g+h^@71oeV`T$F7ddZUlg?u5e(FVK@pl8s0HI@#1{riQRCRBtOQYsq81EgCh(P_ z_5zahf)N)pL7)^hiW4hPiW*@zP>PzE2?C|4F@8{>6g9%-fl}1OOb~Fvh^qx7;DQlX z3r3(6H8B$eN>SsKs|6!&%miw|*o8v5FEk5=uQW8831q=Ys0E`)TlNQG%8S|Y*qt9o zPOC(n1`u{Ck(QFQHc2ZzhXmco*)h~&chNE+vbB*A=(MjWZ0osjfjpJCUayF?rLV|L z9cn9+SN%39%({LeuCHKCvAb56;iii#IY6Wt+F+-U}UC zVV%LAw9Nt$Ba{8)UlAH$f&;1Y^T@zDGXV57umWOz6;231A;QRxm7;_ECx4sCP8Izb7v8z!RNe^H#4tdiVtqgA7jC+Y91~;#& z5-7^x=FOqVR|YqudgTz6D8}H%62;)=pZ^k)w81TYDM?xkZt;l0Egmtr#T#Y;MH$@U z5rbPiVsMLZWHHL%ra4IrZkm(C;1+KojxxB#uX-71;4lF8BCEx;kIrCuIC=*W7p|*Zxj1`J8R`wId(4o41NV&u?lCapNYyw!Uzr3Ko zQWd}K47Ps?))(QQoBxQ=023TI5h2Atd4TQ&mhjJQXZ4eW733cdmF6GLxaOZR=t{nX z75@kg4M8rr8{r&H^Uqf_|5Smc-azI*E=GN)TnSR9Y1yPG)3j_-yoeIyrIjErq6B#v zN|2XkwS=aH-=PmVGT(Q8A0RHGq=aoH?Brpbj-TH`$$TnB!OfKS#vYcWfm9g1bUGP7W2jc(HKg&lHLm} znZ-q^mk|U_fkuD9!Y+9fN!Lkze+}!qgzF+|$d|ptC2Stb>LYuHOI4^P-$&DJ9cn9K zPhUh)g)Hj5Df&_g5ovQEGih^RDF~uW!Vy*FTS6t5L$GNosX(Wws!YIPIX7W%EkS{& zoJ!Os1wtiWT2(&It*oC`mHmjS>_=2(KcXu85mnh7U>s>HE9B@@55wptBfG-nLd;EU z69?JJB{@2+RBs#_0P{$yP8-8kK1tZQ)1e8kK;rLI@v|`c?xoH@Gv1@(b?LW&Uht1Y z0bWKB76_my#neoZ-Sr8~ViENqyNV!aricV?S819lqBdsFN1%Y zrPxWjUQ{vDnj4X+@23TKLth%LNUdcYpa~FF!Ygb0woCj9gk92LKWYrVsNMajG5DA5 zLn-~)xqhu^i@)k#fEG)2t#4Q3sWgTUBaO7Y8YRC7gx8m%y5n^!Q0D3lD8`tpS-&<{ z6Ex;(!ZcUE2fy)LR}SHnHF^f4v^BblN;eI`U&gPtX9s%$J3K~mXHp%~4ndh_Qf(B> zA*nc*I)&(TlBD8@NJx@YoXey=xpj!P7YzdjN1zfV^2GJu@SC>_xnmUsyO6X;l6CIBdPsCk})#A`wf7_tgu9#vwj4i@7}}+ zbuTaE$;v+Rr} zjAYC-gQS}<=?G0YpXsUze@QoihhnbvgLD(V12owsf`T8$kX^+loKn$CRaURc>Gjpy zgm%R0@5d;q*9hZ6suUNNS$m%btk+&4HNEx{c3#0lue~bme^8!t5vRoAOI&$P>kw=w z*87;Uf>`-KB6tbYtpcVcKg)auv2CgI&pLEDRtv2cY(ckhAC? zB!OA6v?@bfr3y6{H*J9ncO}IK%>)k+^8zIp+loZ{(;*P>qfDI7@)x1}o27idnW)O2 zuS#rFL|QfVN~=wDq49FM(ULF zlQzX4B|lZ8je$Gvc{DHQ(sDF?0{KqymOF)%=o-bA1n>MFk0^8HX=Rx7ldqIv;#mcG zDA=wgDVXltUH<{3Lg9v5HI^HSM77kR<|5&STFniG!VPtr8w!ORbjT8;*#edhEuk0; zZ7)=T6(!_pdl4If?N5pw`xVBY^kQGS7Y!&i!9KqfoOK{>_G%{tA?d|#C{sE#=&>JZ z{pZNkzZJk)))_O=D|agUVSN%hiEI7+0zB0sa*3Yq`%utoU`)RFBu}@zO3{0{SrJA& z@(#tj;c_$TW`5*q9zT6Gj~}_3$3OIHo_OSHo_P9do_OSHp3ED~#z9t@*ZcV@w|Tuk zeKk)!ay3spay3spay3sp<7%FG`f8qdR_^ThwpU(ItbCD}A`^-B~F zT^EA!sPo2cTv^w!X8g)!n_E_HG09sRH#V%_+`4KFELrmwEFUy;>7enW$4?wR zahzrEq<4sGeOc;UhWc}VfJRfVd=!=Q--~F-=~@SUb)o6UQEkAL#7fADXHWhrU|I3( zX|iC6Hfc}SE8atBa_kpeq#Pau^iaJ%{Sp|QhFdQ|HAmisYX2#aJtnIg|0$Omvt4l& z(mm$^)aX^QMk+*jYeHf>*^Yk&lepH<#bG=8z!o6ejCwROW?BEm_M8&6DC00H+hNM69uJV$&&is-`@jNUYB0|Cd`L2tS=x& zclZAO7f_Ty_ZI&auoCFrl6(w}^1AevjsmQ_F1=-SfR)#!xBM!=%Ing*hR4e;&llxCLoD-B`+LN*PYx9oLq`Dc>tX^iFoS17Dlt- zf{Dl%O?LJ`Wq)5J=kHB2JC#*Ba?5=!ERy|H$I0@uf+l#R-y>r`^%~dpy*jKIeM)`(yt`xC6q*=AFJY^aIe;6#b$jLY1lnk z#eA15*2LTuPzYB71uxTq@Jr;1mnIG$@Wh)yp`nBlE)6EsRwRaxD|xw2?5-7Zsc^HZ zYC|d~iO7vC9Tu__72Mb+6P-KW6ff32D9p94ToSTwwPxK;2=_h6?ZNDEYFIbwXLP6uKeD+| zX0Zp+TIF)&R8fqI%aJpapmI5KW)Y^#(f#-}E=NPq2kmmi=2*eTNtlCnwNuGjpkjI|I zvF}2<-PNBoDOT561mU1kq-?1~r>TLZ-wpHuM4$UILM0}cYDb8qYZbF?`++r|So3U@ zRJvXgTR=$wTr457F`8exK;qjFc4@Eedj(r`@gO1~Xm_nf<|1+GxkR<7MOlR}RiWmh zmCUe`3oeaiWwfi>BN_CZhp||c(Yk7%*N$R5=MhBoV_F$)toAg{kmuZtSnCe_xz^X0 zhJ<+s%H^6;fn}zgi7QdVDOgj?C9Yf8k0GWuyUW^!N@G@h8C$d*m4D02RJ0nmuWf8; zYudc&q^nymW3XJSpVW+pmHVI`i#m+OorvH!#ZJXo9O0c1<$WaUYfP=Lid7$Blk|JG zWD!|Z9p&sHm+3p1&K~NmS}sy*QFZIrg4SeFbtA7bxRF;G+(kbCidt0N#V-L?iz;0D zF<5F*b(ivhQZ1_PGHzi*3=NTl9Nu&(DsB-zu|2o-X63506pWVgR5DH|6 zG)XOkEd})1u_tlzY1J2bF@>49dy>1Lr*ip`g_TEz-fdiGt)HPF*GeoWiwU9syC(D> zfzT;`9`7aeUP3=Tg#Kzs=!W?0t{-F*dM0T%E7G2(NV~-%4p6>20xQTHM48Q1!w{m~#dr7$+jy2Q7{x$Bv6}k9-m&>V4TwW&mtDD06?e2EtP;{ zeqsnv{Qn#TY<~-$o<9wkzW)-QasLm93H)1tpYSUH=lH{rE9rj@xpMuN@XYhC17^Pe zD&h+vk|_X5AzzZ!6fUk^CtuL5SNUxL#5SaGe+K7%;tq74@6Z$c3+kH?(% z^6BqElI4FD1=#Fl1wzg}2svxcKyvVYpxd+Axo7aV8mYMG0#IV7B+N$y*5jO?3`W9S z6>84;RwUG7B|lHpeJtZM7|Jdw<4Y_ia_CezF}5OZGxUObnBgB1Kbb2UoVeNrP4zRu@<4CzNf0^z*N`+o@i z75UU1q@MPG@c#wXiWMN{eGaMd3es=<1vWbV6+H22?NNww7LG*xY^WhA{NhasJQM5T zg(t_gec^4AvjT~XPTI@tu}OQmbI@Lvv@arju{{<&{4R>)Uo6jhF<|d+UxBABy`O+O z*!w}c_g=$E?!Eh(gA&KG7d>An%KrPVv*-!@9_8nC^~mM~PW_u4fFjQfn3e2Dc-bdd zt>y9scW3zz8Q@{Fb4e?}T-Ut>NM4_H2;|L2e3>_@8T68T8tC2_g1DLTO3PENPddaF zI7p5Ix`K0)?+@b(^H*)Rym8zPr*QKgPiupGJh%r=d>_T3q9)`l_a^=cQOW!8@FsI? ztmGJ$GDXHI`4Ho$5;mXLC7heQ9b@U8Pq;9-jc_I5RPuw&dja9H4XO)zsL9) zga=uL{Bl%ad-G4zg`%wW)LbYkB_GX&qJpR{6eSoWub{Yp6($Ib-7luZdrle46kZxp)ppR-FO(%_fZKpyULsSKizA0xnMu<{1BJKb{rI z8}TlM_vilvJTiaP)-{&*SC%=pWYyLd%d_n7A}|F3Ty|>Ptf4aXS=Qj%KSGwO)RQdA zvwwx33rkitBY$ACfZ3^7F;bF}r!Msu=E=3MM*)jc#R&uo>~hTdveXZ95Gb*Eth^%i z1PP(kCTFZny@%A&M+$)Pj&(Cf_UCmOkAWS5q`oi5iR0~ytweKDH?pwDV}g+S4IA*4 z*oBZ8ZfYwUr~^T&Djmp8y}&$QlRSkf%4zTGv0)HEsnjNR^qZ10HuX!QeJe&TnvlAO z?fj0UOiBHLDNo0$kf$>BsUZmbAV#jNO08l&&j{M=)E`;eze&pc)K6K!zsKexPhIL( zqWw_NPS=H^TD}+H!Y3@L94~e(GSCv#1N|W+^;?5Sfx8*c(wl)!N{XN}s*gthIB^J* z!qPa%{S`z6^N~W@5lMsfgW*4$Fa}}|hjXRDTqrsWEw)nA(KheLLU(TJK{n?nu@8V6gVb|Oc_Bt|TAo_Olow@k z=cm5OhW<2mCGyv$enGUK#j4TjWvPE>%FknDgLNqmkN1)Uno}PnNxm$Bw$wi{<-cQ} zKt0!`KExgTsl7J^wo;#B z3*U+zM8b9}pp+pfWel`}Vdyz8&eh=ouY1o48xACgd%unGhSz~#XAy75SeL4GqRCNa zok<21as#C?zQ83Hp2_~+*i8-hys z7caK@plz;o)unhU9p@&X%ta^1;*bCAwbXIErcLmotSM`;? z@2ac*Ty+_}8;f%X4YMRsk#DGE5M>V`DtjL8(nFHEaNggE1~`52u-KjJ@N0K*QHgKF zG4@144H$&@#_>AT&Jc9Gi5#E%5eT*bvAPqX#5{(8Si{gnh8mDoTf#ylgtR(_+7LoJ ziUB4(cQXRGAqH)-UQdtA*U%pg3K?CCLTfBMFtEbWg~on-A#TCcS~!bbZeY_vbZ$EW=@|z8eLc&N+-)$6 z%F}Qx1w-NPD1mDcDCb2{b~VfR9)iR07Hkc_7V&cg&b}9Q;4*V-xV_BDxf6&5E^RRt z5ykSHJ)ULpFMg%f09045%GTOs{@F@rYSB8=hu2eQQZ~?&VlV8qm|;44EoRjIXtMKG zlRR$*nbv}Y1JXbLWOsEIVn2;Qao$H$RAHP)?W5)N3)Df~CgWX&=ugc(`gFtIk9wKOH&~>p6Kk6H7ZyIui%=)agv@ z2xM^&IumVLc6IqhmbDY2-|m_S9Yk5os+l>r8WuC?JJnQvE<|7m6r4ILIM*UDG=PF) z*E7$*F(|swg7XplErLU!L&=e1RSg1oVPFwUd@lo3uNHG@`4B?oOIXT^VT+*Ulq2tu zrcJ1^k;wazeD+Elpi+an%>qKji)iX4z(P!ncBulFtx<~AIhV2|xpmkY5mBdkj zxFWz|cMy6p!L-Nj3W#R&_>||+iJka;3XO%vYS}Y$ZkOn`q>0M?2;-OFVcA7EYF_R; z!5P7@fVZ$9#vYbml63OV$S<;s{ldN|vfN50ry~kOq`?4#(+A%lnU*|1SzUs5j<#p? zGePF7D*G_L9f=fw0;pG^#o*=|k0Ms~gPwp$D^Y+NSWeDFi$6)*t}bEj+`$NsfeMj_ zVsvdY`mrErzQl~ScX{6;JKA0}(up?GP4~mo@gB?Y``XDQo5&jDd0|CpoM}hGv?He^ zf}2d^PC*-U*$Xta#CG!21?B@u4*-!0Ojip9%`^2DMasfF6qj1VF*+qWGup|_DUGCq zrKR@perlllcrqM)!$LiFrYwSwLi?#P>mN2ykj~IulE45x77`ek&JGJZD`TN&f!3@+ z;XHb5f|~M=s42%Gr0H=Cl$vX--NX}3X(;{Xo76$*H) z?5A=;>c=9^)osAQ&FDw68#&q{uqj_r)$tROnNDAgZG^rL zX%ej0(Q!#c#sxjgi3Bk&M_ZuX#U{HF(u*e}0%DW*^ z%2UXs>oC2XKR;A;aTHKDCy1-03Rs0x!=Jv(gLIt9g8is7e53&|LiX^}=_RL|$o;5?o}!?G?= zC1{qPJ{oCZX3Lrp7K%9tQ9M2#{8LbFxR-W6IFJZP>bPxaKI8G8k;jDw<* zni0|I$d<#syMa@)8%QfQX{AX|ykaSltK4T9h7yIx2w(}6hfI3tZep0$yMRcpJUcz- z3=Y>!s#7Z>`hjRUqCCl$R-jA)|6HXijHD{X@F>OA<7BrvI>OmwOajh@0cy=;oa_TZ z&*Rh0Q2WvIvSs~*jEEyB2|W>~+Ex1D5FIMV;%U{Ced=bP5s_nA`;4U7z~pj4%{#GO zwQi~@GOkJsdT4qgv*~PHq^(Ik%H(%+EO)YOa?!}+XZ2Iv#FN>!9>y(ujw2en-b?l{ zjFCa^6`Jpe2_>A_L**Tn5-mST^MmzdX#r=9DLOb*Z>dCYEjPB4VQJZQc0{BNH_=p9 zwIM||OAMc5BpY^_rhc{k$<$&GC&;3Pwy2dbtp)Yk+{7q&yrv0bUp>UEbo5#*n0;tS zd9sXDibb#75C-->5qdF8Eu^oagy9)WTJL`5ofRF2>H$Yj2@ot%J1hv}a5NBEpMf}j zIGh%twFPd6(uzax0msQYxjX41Ae;M#Wz2G~hVag62$91kv#S7mr>!y)Fjg4}3{ArR z%_CVdv&7)wDsqfM5rA_mWjg`W^Yo>{h>&xPQH6`Y79PglVnhWC7!_GW^a&NCqZXGQ z2z6XU1%VnfUX`p5eRwpLA%`-pFd)c@85DX1In=v8z2oZ>CNyHn$X=xk>0#?jg+^8= zMG!)%5kl*3^9!9dB(y^};v+Pn>8&61g{BN)1#*8aF;zcolmWk zs_{_$lTW*L4E4!RIAtZ<@jA+ zqU2y)wGQLbpp_Pg?4B$+QNd2{$lh(7*+tOn_8oGwJ%(A17-_TE{!~f&UJK2;X=l`f zk@lEan`=w9{@3090<#Z~e`O@#d6e?}@Y6eU_|d0n5*`U@F$8D-9tb-pT?AJwp8rR7 zf4LFw4)A53F+}8Z8wl5WV}B@&l!BotI!uM|vS$hO`NXRa%Goxm(jMDrbZc?N%LS3P zppEg&9v!K=v^~^SjtidgtuX%P(dh|7$A0ntO=$Oh2!D#P9Ie^tU^4ykK(2auAg=_* z6N>-*(b@o%K5K+iB?h>=fzp0|Q71k;nd8CXiE#S~3{RE; z;>3q1lf6!{49p}N%D@QGoGclbwM0;=8c!QYdeBcMy+qz`1_ zln#=A=-g@+F39YAVRomA%#0KtP4Cie$~>Vr3l?0K)2fQvB1BXN%APP?rAC~Ap{_=I zVOD2tIs3#wDuh*~nJ@i51-dP_9P@**$%dN@@zHryz5B3f1&Pg%U0U zz`T`22h4~DE#gv{gI$_Af_*S55gqK1sW^t6jHcG;z#T!=GIBAICR5lusO2Ox$AOzb ztv~ZnGf#~}z9G{BL#Bmk3ZxAt%hC}N12>1+8<@;tNo5jNgp^ewTI?Qv^hjc5$_mpj zeH7o{$lWsz2B*1(KgWalK>NSddQ zcR&mc`cb-#XEJ;cAwTX2P9?b-$)ywkQmH0<;c}B|ER2!y5P_SPd|6T;TjZw86-v#N z0{zm%%2ZITx`N`2sBS#PprG}Aa z?~v}3=~Od}5d{~^K{`D{#KS;n3gM{08XgT6Mk$rVTx5tD!bcHvNfZTEEkn#$4$_R4 zQDruprK?m_U#3>BcWPD5Cg0rj$eEFi+$%)8cc{XtdEtI3oR@CRg%NqK5jpnR=I+ep zH5DCaPDQDi3M{Z{D!>j3D2w7MM$q-B5$=EF5k6k^E~*3L8ZYW8(QRn$!yYZgvldg&mqmAUr?_ZAh{@sZHpKkdl0!%ZgtFJ=yD5_afy|2v(VCs zmsmxnH*PesfIFPXJwEToiqpIFs7rl!`jHuqI2U}Tk}y&d4T= zV;JZtPoKU+lW?gB#T&;U`w%X@fOI#YQQFNYCZgynJ}K3+Zq}&HPvb%=vM2 zu+m1yY>q!sa!d>PjBZ8PpTj{FB|={rBA$bJWEI8G5r#+I6UZ%UxInUwC>`}^^vKTW z9eHKVI>gX2)$sT`bV9GXpWbn5DVj}~nL`i7K0Redit3yZ9bec!Jx~nxU?De?bDVa- z3`)%;$m~NbGvwWDRkPPF_&OK@$xx+@LKq>@EYYbbSuZUd&WJ`pcZW!@I4bu@$t7mR z0b{EbC%qU&adEB?orw(QDNEO(&e!@KGld>%D@1pMM|zMeY($iE-bt!IJe6jvi|N54 zl^)(5r3aO*N6pEQ+^OaAzqS5qE~50JJ@ZHoN0G%NdxoQT4Mr3fHQgyAn#{5q-g%q7 zh#snBWHURX!C$PobCvKM#s~1)9uYSTC7G6yGWtgr|VHMU<9(3J-KOv z99-b2p+|(45)I)kv(e?RyS}B{DhdJ%^VDw0&+aL{DuJG&gWdK@w~f6rvjTUwoQ${g zk`X6!><~E@M|S}$XCczk=*nPx9eTY5UEwd ziHyETqJ=KzLh;!#`;kXyHhS+vC~bRS3woSJNH5}Z%JwICSk@CaWG!pamh{rt3EW(h zEk*_zF_Phek|pAXMAR!x-q6gcjl7`DspUIMk%^DKz7nBRzCK44EhNs&8wnuSdZLbA z33TfH-7~b^Z1Iyx0o}aWWYljE1aEVdAZWuJ=4h%o{5`H|g^_FA5pKcCqLw45$k}@k ze5%vz7N9qzsTaCKRKA50S(J3TDVsh*Ur1zb8(@-C`--I5Y2eI2#aQ^plohjvk-+Ug z;W~PJlG$be|L4!F8?&VqpCDb^+BSCdQpQ06z~>UM;C|J%YinaJ&=E5han3_A#MC08 zW`V?i5NI*$LOc&Cc=YHoTN*butl!+aYR#6GF=JR!(x z22(9Rd+rq2Z}01@#aFDH{d~kK3-M$7d-06gJ|3qsEUOufZ`0t{t3xkGXs;>TTM*W&Ehd=JjJHjy`YnSdLHg)^&|1R%H(z(&dlY za?O@gU5910Y;D_uiN-8o9M?6j$1G!Bnm4b#5|CqA;oJjmZEW1srlMug0pbf%>$hFq z+SJyF0183IhGqqBX=`oTbh*MIv5rLv&d)6S@ppz?`Wph*06mh&;&E;(2vbaW-Ejak!A0v)lvCpO~mNFT=Phx@ogw%ERy~GD7mD`L>Hh@uisE z$1va?M*NFyJB4n+yc9<{-$v)JwS(Et zcH6ARe`fp-ZM)PNx7Wm#aRaj_7UB$G_=%WZ8e9+#<^;xlBW9NbGYTZ%EMdA|yc?MA zUC9uqXRS0V-N{=nqr4UhV{j6MaUF?Zvfcki#ZM#gZ5?PE}U0e=Pcdpe9vZ_<9z9c*jPK50Zga3U66|o)K%;Cw%rF&?yKEZTV3mvVKuIE z{&~j%se+kfzSDTXx$FSTaz4L9>Yxsn$3-F7>U_m&?~oFg1Z}m8_V28vCTLgl(Xpg1 z_&in*{AZ~vltx(NJISCjnBK9g!zm2fcE925-_hQ&54BfARh_)|plZq99ebTodk?Z4 zUsc-SJg}qP**v;0*Ga`r;a+D|P`Q7>zWr=g%$Xvc zsC9mNL%Z{=)xJ--XcFXK%zEnGz(s${OV`y6%~zh-%0>3TH?}NinC;}nD(p9Q1zW3y z9(|~hB!JiXsJ_|R3=S|-`Z?x!%2HW^>AQjpOdcUOMZtW=9?j0Z5;PODF6xeQPH5xt z?j?h){TKI%hu?yUei7~(FiN2!0ytDezQzw z>Hk3;{`4$x8ylLT6t*hnjLw@@F)>Tw%mOyE83Fa$)kQnpM~iJI6&qN?3l`Vz z4`#d>Ovk$P_~W(pZ$G{-xUjBn?#+vDt=_k9fBnLP3xf-sZ^jOYXn|s=j1 zl;`ZbfgKNI406%4q@Q0W|q1uxC7Lm&1#2^MGj?S9?k7&yR5 z4i0|v5a)am#bFQ-Jj409%|h~>InsBLMDcFtKkeWF=OL`S zB?sypX>Ojx0j)s#S}0kI+k>{)An4(@fa`nr@7-CpjG@We*tl`+hHlt0R2jj6ToXUj z2W#-4ymFGD* z2Z9GXs&_g`Y?wQKx6ru+Y}XfD8ZyR=ldvfMpEYcOF*a`AMlAxP6kV{gC*xU|;++}T zp`WSe!N0Mi?saTYAeA5kWmXOzJoxL3`RIIoiL((>ij#3$FJl}9V{B<`JMvtN?T@(_ zYdbkMEIwGVAec&a+=}(oDX!_bDK;_%OG_{r>yvZ!0j#WbwL7qNe5qsC0;i@OVVREK z$yRQ~N#G3{yZ)~#jMnihbX-nWoPmk@VW}M(S?mm{*Q3j09W@=!{dRkGUG=_N8VYXQ(SDP2#@H3b{^LV;MLnD41 zA8LdTqX$r&vlTZ(&${u3-LjFBmd1S9&&lb6^OmSMw)17Nk=V|YH$X#WL8!RKXIbl| z*^)EivvY|~=dm3yb5z$tdD|!DIzP7BoeK|`dX%lgK~sp{jvhylJ!d>(V79q-FH}k( zcrd0T0#F>b9M%?h)sgk^f+oCURygAD9osxm3B7wPt&CqufO=+S5oyZ)1QPWi4R zCebHwR3fT{o?J{zxc>zlDjbmwFrv+Axxz4~EoQTcEPrSZA zR;W%U)-m}zmYtH-4zjqJ5zkt7KPdLW`|$WfEsh$Xn?a))S55Ox#eZ#V! zn7g3%#@gx|oofz!*_1nb2-0rCiA1eBY&c+Z^YuMEPwWuBSEL-yx8TSOWLa{6eu6S( z>!v2qBaZF#Ua*ccJrSqvyXP&qp*~Z-tO04ptmjU6)=^=veCgJso)p4muxqjWET4!-kd9#z)du#1J9Q(Ib z*WScMNo#vF#NmLmX1Cbaow{~sbUVxl3}FL>r?c0upWjgnJ-$P&V(%i^a^&eaaRckS zbQN3Mym?EbmMzD$j71i#P_{sBaARPVgS5ulyFpqD=4Q#3iM_Jr9d{jrQi1N#J8>88DHZx1#NEx+xB+^7vOwX+3zpf>kNR=e)R#Q z8#QG%JRO}|(|%n4-Ag#{2Etk2ymiZl6C)hZQ0PGfkz*VJ#iYPVf8Danu#$)xdy7+8 zBO0(QAv7c8w%5rfsfH%JL=hsM zW<`U`KusNLxfV90>J9{)^~@N9p`jfc?_eu)I0J%8y@>g+MC^06)UZNHx~yG(akzo% z>#1$Wa+QAGHXQx9F=p?@nG{iZxN}P|wf-h((kRPe1t$47{jiy92Pq%mA>6i_C3Oe)&+o*xZXX<1I-GNB zoOQti`<;^Z$M#hVfp2W5_eYcor1%&f!7T_!t z#ieQ%bS{{O{PmAJ`3E{^PT~=sb6IS@?Tn~#F5;nH%o#>H_vI9^KVuF#Km?y{2`cB; zJ++{A&W%v~+UM8R)zZ+;LDf6u?SyOm5BG8_9U_{dgpN7Ip^e+ZMbe4s%S( zVG>RN@yrvmRsq5EDWAWiL)hjr=ngUQaz7b+O{-~B+p5Oa)=hegZuP30@4U}Cd_cA| zHX8HT$x=5bj09sGW-Hqwvh+9ahOO+oaszPU`42==;= z$WKaiyZ=V*u1*{kTzp`6y|W#ASV*`7)%!X+u)Ke1NASQtyrn`+r?mZz1vjXJhO^oa zI;{ux!^fn$L+tRs6QkMFCrop_Mza$!nzc4ItUJjzvqhs1FKGTGlYZhh`I9i|N9M>A z=lXZ0FvGrtBEF9U?$7N|&KB39busHbCua~gZf!pN2&Beg1P0iB zI_u}v*3O0Bniz|&kV*JUxAmJhRz>3R?Nv;LHQL0cp)oD3P1~%s(^hWTyuR(~hStWF z*gxQ%&X&s?RyE`G#g*fxj2^dACZuuQmX&LoHm$@vper|+H$yk#-N38Q8?P|KRr8B|w1zZRRmP1vzv*QOL5JmKnzr!j`yBJr|46gLGOzknzmoxug2 zHy*5$o#Q#5cr+bvSoaMor5a8r-XrX( zno~7f-H}H)i<}8L^9>bNbfDYSBihNVS;f?)XB7bsz;+J6)0PeA5U~!`%K*&lX#m28 z3Uy17ksU8C+(J|uaK{(@jvxnn<7~TmkbP~c9>L@Fdb8dWtFTw7+N%P0$GR!6a%Z6F4oTp=)?>(=F zaqdwvpg-&&+$h@X!kgMOx z8^I&>^u)(9ypqF=o@ilVf3nrS?$#Ze4-}KauB0x$03C))5SACS1Oe1bkY0040v47i znPZ3<>`s-#d*&hQq7cs0O3u^amLrNudC6R?>Y2;Yt>kEVMcsZ3Z@Q@dOQ;P z&;D3>N$}rdN_$({`=z65uSlI!MLW`6x^{vl^h7qbUIpQVZkitJUV#|ob`+$rTZ5*c zTVJzmXyD@xbiM)G;X=HFmK#(e;_FuM5+WYo(SBnGg42UGgsx~;3g9n=GjH$ZOryl= zaaY&Lh(`F%u;w$I(ZjH&oQoZSIsF6v+u4j+FO%Kx$detiVKzgK9Vl?2 zL=AX&Rnw*>zJP&$OjZP}N7WE<7TfC7hUSLWjcO@oP7_qAjjau<8n!hwZ{D=(infN! zS8Z)*<4xb{#WQnA=HEo1*k|dCqa;IVBU%?(}#y+r1BNUhm;FW}FUZ3g|}K9Xhy?Muwd}UO8xnQ;(Pl z9)7eiB5H9tTq`7^wX8JcMd0sM5c)f(!NspO;{;Rn&l+WVn8F)Wemj;vJb5 zyKSf@$1EDt6le%iOI5Ru=u|UCYRI}PJshJ|5mhoxlStMAy0Q{O^i>em7xo7a$IAP4 zy0IHvdqMDpx4_J82Rpv}`^TTWCAi@2{f`GP2iu*iaMeVj=1CCR)lWRWzmAN24Gx%; z9u4=DpmI0T9(vsQSJ=+JW7Pz+4|WFA_XjWU2eHPSzu?VS2>ILbMsd-BU}o*Uostu; za|E-L+#e-V@#CYOipby}Kjk)UUfZS(Z%1ClGY3DE21h}!|37|+ zWz#n240oH^Fnc%?&YC&VoMkM=Q6|bpC-ElCgh@DA?wGTo9nMB`4o7BYl^tfh-*@ZP zd)2SI@lOhX++^X_&#hbc-ukasKhEd}m@J%4d!hN==VP`Gi-PCQv!1~%Yw$RXlcy-KTVH(rd$`a~8jCSe z_`L_KaNX@MCyj6FgvS328vic0Odp`iC%@onom(9P>%Y-Zzh6|k-qGj}D~(QK$KxZu z-1ueyTOl8P5nraEZEST@`u;m;y573HMEYjmZYY+IpH|ta>`pVg zw+p)ZR9)lV-$9{jQH3n_3>T*V$od8f*lXByhxQg%MQ;7k6SyYm?;3wyI`Y32;m=hQ zUQbEgG11I5TLwMScG(!CV~pYs<#mJR2w7H}eI1Wa0Xil+7FNNweGu~Bpg{bukgMq# zh?RdPeByNsQhrKHjDXDy806l=yZ_~-hKB$2`rYpVqg^_N?iXMFVptY{{}2@GtuIs) zyjeyI%B#}JkjK`f`LzgqBU|BuT z!4qiOad*~3GI!kGcoD5iAdo;g`d85NXWoIM>qV5T(P#GKbTrGEgK`Kc-+}v2EaLpe z=Ye!+f8c}fG=2u1gOs(u5K;f>0z@@NA6}`;WRiBKkhk;c5q!qd#|zk;lvOP)qa!lH z>|$a_f81i$U&41L`6%+8FT4Z+wx~+Ps`IZJzpIKH{?^M^tp8|JW=7ReqdT3oM-vmN zvAL8t>VN|nHfY!>i?W3gIl7&|mp_j?XYrxoZ|mEC6uy6EiSMs{{>9g?gu_3-Uz8FS zPSMoQfm4sSBMIH8nS(@Rr1hv2(KFTPl}oG(tm|8~_W9cUY)w#%|04@$5FmK zXW@fn&E_B9mK*;`W2fvlMp0tTtl#qCaO1CWgM2-1k)e-V^8C*J!1~5wAfwLlCRJ{mC`SsR6tEQ?7<&@X8s;VkxuvF&` z1IijFU6-os&FJ@iqa>EB6NjKH3p#eH6yhaP5+(hj+3DZjYoVTBXqy zA<5g;XTjU%y4RIHzb^X3XQG)2+UcoeI# zFfzT$+*n#JUX?2&Rks-EM%BrAT@ai$R!zbjM|l+qQ?;DW{?^|`8gHKn)zaQy^k)ZxY_(GBpjf%>)eTRs99Sljp=g2rDvbRv-ZM&L&rf&Nqk z`X84{ppi5_050|`0&8OBwD?v;<;s!3h8Is@6%1b&uE_Og_1GyTl?@k{{sX6i{c92H zpDaWy)elbKYN5%bswuuYQ!A_7y2U&6QLtD`uc8g+R|77LeBr>)2U;61MpTVO;Dsmx zU$3kCUj67tUw%o=7XC^Y=bWLURy^=45@+9mh+eUno|46Ab~ef`9gn+3SXV*lV9 zcTU{h-xUet?&xoJ-;rs9ac{Q zzi-v?`fQ$u#V9Kr`0;mea<*}5f8goH_TOrJ;{WS8gjeht_Rs^y6+p_%;|mRP%=5ZVjCZ z^uAhOs;fWo@V7&M61o~%Z-qX{c*NsFG#dc@bm%U^@HG|A`KyJWhCd(HXmrBq=DQli z#pga7?rZg54Sc2H^qMcP{n7_sZ9Mf*pu6tdp}z?IdFanV*Ft|9 z`nL{yh({WXA6~2IZ*|;!4Py%S(E6s(m+Hf(8hT50uLkP(e%pxL?BMcc4D=nq-4Hsp zCj904fl}R<0Q(=>*}BU+dsYbXMg?|H@@AyzWLO{uRipp#xH;1^xChi`D(-00%uVuD5se&^KA^} zj5pK22|MyFb$X4?Ih}9yW6)q_zCn{ZKJTetNPIs(d%?p!@Wacvsr%6SZKGdm)H zzWjk#A3F7LV7eYGRab)%wo#?UE78bBn~y!0xI(gAUK7aX$B z(s9S&o`$=7{f5|=>sc#Lp_J8kn-x>z@-8^)CbZs3XRvlUq0L@#(Ry+*s#n;w`{xKMm%0la|ibB z^-aC622M4g<*H91J>5j2dY_T%{cWXV#^Gf&8xY5z&)eX)7j5AH!Z)EVDV@Jcnt@Ym z>aT!oS!+nW6QB2kD7`~hXe&Imce@$Dw1~?)Ldbiyw67qX8NUVkV_W>?`k0&Hl*vq- zyb_=HNHn1?D1D<;LfW0d{GX=~3_7&}Q?v{UGcp({sw_J-|&N9?xT60o~s9 zz34Lrs3aE8`fr(R9$w#jYR#(+UkZG=CJ&cDizjjC!vlH0jd(o5>FS;JaSmlP*a%(V z=-qtE8uMxZ@pxqK1nk;<3+|%f9ze#X4EGhd2OPIq#~A+t=&F4|{rgWoG)l4_g)wUd%?HcvpaTL+Eev=wGQ#e58=l+}s^WQb9Wx^NAl{x&&kgSk@Op)hCReVU!H1@I8+b9n^U^IEc-8nli?J^E zXTIT!SAWin7(^KH?g4Kd`ry#|pp)l;yLCWgrfZzW&sf$u;CndrCmj?Q=K^p>1xL4W z^=>2Q=aF>xqGfH`tHupZqre9!E5Wxx)1~k@p^%G5_YtSy1Wog+9!>39XPL)Mp})bD;Z45`#NB!jeVml%t*)K|?X~M? z5Ol*If$WD+UL_5;EnrENd?UZa38IiR3T;C_w`nXb@P{9rRKMF8=A6urNB1`1 z@!QFC6*`i)2K?W0F|p^0n9y&k503XYLnHdlON zWGp+BK-frd`=Mr)d@4JMU4T|$G@CC5-Gs&P?vwzN=;Ya5yp4 zdN`KNdG~iX@76mn)hk*gQOJBQ%^e;}@?EW#ohoFVjbqj%w&7*6N0Pv^ac4#H1vxTh z=ZbmD&SP&`+aAkK<46*=)g+JMn@oX6SSPd+y%$Op=#MFo~u47ld>CIhq_Dl1SM}AVUWAAiNC< zU))RwZ7QU zXhzY+#XBhd6Q~Nw$$TE$)p%S!f%e44ojd8o#AFT;5>rQ0EZONat4uOG$%1U-5F@J& z@2G?pbJ>CUVfTHjAO?rbs6qM%#)CkuE|5X^QAEk%Z4k zHV;83CngK&l(Z*kE64_`_)$@Us=E{FoIe_j-E9d~4NwPdsv7zeH7O!0YNn7PGa{y2 zSL{3+tMMVToyk4sBsR{(=2^nHg8-$RH4uet`BXc3y)H2(eHHToI!7aulS>lpMDnwf z*i>yfIq#?tdFup^jS2R67F*Ss_xxiY%@jxFItqrdP6{#{h&DMP%^*IumIb2KlcVs+ zZ&k+Fym|Q6FiMy-&ci5uE_*0IeC?R!kuR%C9G7*MqUs@S^&+nHMcC#cjkv*`E2$z0 zeo*q~o5g6sc5*-DFt?C^&7<-J1=w;P(z8&bP%E4VC)w0%z9+^~Y;d^$BscGpCCRE@ zf$6gOqAG^v#wge(#$K4n9-Xkza!B_nvPo95!C8=y&Lh)~PT5{9n-aZ%oRcU!-6xZC zd7Qac0}WlWirJiW(#z+tWCrLU!7?QNiIx#dI*yIU>Fdu2FOHDPejzpG8|f zj+=^D*FCD`X`p4dc~1ixSQJKUQpcvBO2Xrn98YBGQ72E_5_QTjmvKSESo`GE?JewCxTOM@=Y}8jUoXO^gbL(->W%?xSQ-T9k?$D%n&~nu75p%3~pi%M1}r&QbkX&KW@v zfKE4nI1!w~CF)6#Eap=g8I!Ug%};--Wnw(u%4y5pSE`#+gDi}-vS{zoGN}s@5q5MG zlPiqE=nLmOmBPM6hT=?4&J3&MR2n$v%J*(CildBbSSd*JOGZg05k)M!FrJVbt{29y z>Sn?4yku2OxbOGa>P;7Lpe$BiW~~@&@WqaWG3GuKQykAZ1NM2bC>gO+_2UpvSvZKm z6bE~Vi}{3=DU6|Qa|Y@xUQ%E`r-~&SP^Z?`sKGcFLV#cb>G=w1v?s?>Lup(}OV2_Mz2z>@;OSb4Bt9)}SSxLl zpfE2+m6n|n2sJY$t}@6}K#~z|>7SX%60kob2CN(ipgySk|4>Tcl@Kpjgu6EI3zihW z6c+a8Ryzv`3c2 zD4lq<&9XqCR|U8h;w%E}t!8K$FeG6N`_T zp$z(_V^54`aNU{=?-Xws#Y~QoNwZkZNo2%BHuCtRto5rN)5 zFaLECSM1Dxanl|uT*eavs+!V=hEJP|vU{Tq`Kw&REaim;+r?=pgz?ULh zmbS)lXP49}I^CEA^8h;{k6B5s zMW8t}mBE1!xG<>8G(+e~tx%~s3sE{1%&;_3lbF5{1!%^79^P$KY)fUC+X!Vd4WA$0u%ZPKc{~>DREmlSEGv`@Vr{~jiNc#8V!wy|tw1#<1|{_F7-rW((-~bg0c2s#h|F;20ILSdR82fJ5TA=UWCfK**Ebea zlwq0LsUljib6j~yBgwfbNZ)oO3a0Er_HIAikf)Lg^;f4NmQFceugrj zYMWff@HUo$LENL}akp)HscVb88MMsIF4oBBMy~ia>ro z$|LWc@x0S62rCjS-7cMO%>hrmh^8zxe;_g+S*s4#X0b4+uZTfA+8H|(vBN#lm~CrU z*TE1RCifa&THX+2RK?M^!=SU4GrUex;%)ISQjpkAdXxi0h-@Ci7IdwJ7eo)d`o!xA zlozf-k+pla$j(T@cSDN8GvYBkg>h`YypK`DRnu7}Bd%P5cw~vG8*Qhb|yA&hV(1_NkeJ6e7vgQ|@Nla(mQQ6n5&yH^z`A(XQc z$GI86MWVY5%RO#S6y*?Zl~q14TL*g%MO*EzfzGbpSkIw@b~N7G73u4VqWT;<7=F&~ zIT(#qAa!+i;(O!MsE}O|`G#kAMIsegJ>hWI(;Z<&!juq=!3@Xe{Twl|Soo=`NyHEK z9Ow;q9q2k3>k3upHPi!XC>dBhRLNv#M?BhPA3PMZdm~*@(5VpPKu@$I-1GE7BoOL| zb=V!DP$d~MDWTOALGB}69U&X4Jz)3s913?(*A*#6x?=IjL7?_Tqwy{!&QKyHU%Qxv z?iB(keE7In5#Qi6))9HS3o><9AjKjbz11~!pf@f7lC@A+ZAOVH(y|cDh)KQd>xy-D zOZJrup|%iIG6-!!l4wPMqn_ygo*Dv3DH*_b@K~2$)#WLR`s_*t`U`*U{O&=4i$vnR zF{w~q#yHy|(pdLOI#;RWoCdpgq4&{H++p(xnhMO|v9lnhzIgg;1`BLud8HQmBR!Qm z@JDycY-dMjcbAIuR0p;aunbdS)$6HllKtd5Q7ELz5VlZ=o{e`^X*>KnW&@+@ls^2d z?!-bjj48KlZs8O}ZWxm$3o8noTOlxuh8RN~bICy2xp07$ZLCIYZ{5-A-@pOyolva0 zm_i6C*MbPSWY{0L{Hc$p|Jg>BkH z+`kP|Cok2C?{PTi%JUuI^3*CsB?yQ$PQc^9N>rT`eKrX~jDY6}!SfWrNo9QnfTx5> z%=tCLNen}{^KgD$VG;1yaCtiPX<8#>2-%gujAn~^pVHbL@wtJS6B`8`$PXYkE4)HxXB z2*D|_4ifOhbh#>tJ1Piqf-7PjBG9;THz`v1x*$Xd-W2N~0dbAYrt`LV#Rx9q%v+t6 zBzOZxF+dv+VjmTwHZnqtKph`vQ=t)f!26;? zAmI79Ix9`^SIU=QBTjk2m!J(sX^6IV35XHg6l}s! z2~WbHAYA~sBHqmG9dAOF@({sY7|P&`k6Hc2UiwS~a zjS-}jFF_H8sSw^(-URm?Z^C=niVYeK$N-fIZZQe_xMvR6TR&&r0=xsW7We7qt<5Fc zEnzT8Eo#Xw!G0NLEe>7~jdqGyXN?iufFU(PRVKy=-gdkRDLGK42$k5-7g*L(U5EW9 z3{&_bQlMQ)IY!WcvujL&un`6cQ&>uBwxY}=l`#os3==~G$MlqH5}s<@W{)a(7Y2Ef z1LAp!lO(t#))7W;0}p5x2==086(txG>j=Slu_g)L66-L*HL;G|0JtUAB(LSzDEXfxI4stYvjA6= zFTq>NR~PluE_1`=NHH*6Jt-aME)efYk`V$X8?f@9FWrIVo{)D(4@HnyY8YVl-WAyg z2$&l4jqqbaY=Ei$hO$zk?sC-mH`;0 zJ=zZIAT0^0?16#y2uMk^M~mXb)t=^)YTD!mk7(9R?KL2H8U`xU!G`wEBIIu*G)8a@ zr{mDC5PVy#F@iyy1%od^4n}E@w&M~IBj9}}z|pdJbmN*Xqs2=e={QMidhwD+FRtmS z7@A}=PfH3@gDC*T>Y#rvTnm))-DZ9fnzB1$qyoaKU;Jhk-EExB_rhS?>UZ zenfnW0H>ApGQc%u4MV0gBG;g1g2z0=Fz5^plOLG1=qMk$_t6sVqcCXd8`jd}6*yjl zsk8bC-ZsO=AVCqvMLrJ48Pnq&B>CS&ntrm+gIgf90pMw6od!4!!&=^B1AvI zDHs>c6R@8Go)Q6ZN=p4UfJ;h&vG!0GQJS+#1Tvd~30Oy_OSF)4sHd^yG2E| zmb10iQH-B9m2{bV*eQ?nW3ii(l%ppAl(11|(s_|ELcmg|iR@vf!GJ#NR7zQOfz()D zsf9S!gq_l(fDWM@7uU6FB`e4AQv{1u(Oao#awgu{iZHB}5|xr(y8WDJKZ>y9$ao zU;@_UREZXq#B)sIk|Yt?2=aR*iI|r}oIIbC)M5lo4RqHrIi_LA%}S^Why zno}iO8ij7u)5l@fIw~4c5GW}QQ{V5x1gz=C(mhxjB#pxa8)3v>%XAZ+ooWCQ*O1_C zn0jk(={VA2Z9sLLhN@W3VZ8`qS72aen=>eM6BL2D3Eu{^FdL*DO|YR+eWBY~-vq%n zp)_4^dYZI3|)f{sR4lmS=5bQbt}r-HYsxvf}&Vc(*UfT93Q##Q>0H# z!`CC4@`$D??)(PvAA9Yt0at`tuYfk~y`4u=XO5F4ou)0;) zO%pW3n8Oe%flSg*b4j~qlXk5K+BMI#Yo=+}8F&V%o|lv(Udj<2dIy}p3$xaG*?I}{ zG}`aMpu`YR!#YSuA%j8D;vo6EDY-Q0<aL_W>GqY z(r%ryc6-V9Y4LQBmQlO4pxUjaZ9tOiU;@@lO(j}3z%*Dd{oG)QHWn5v7kSdB$pZ@+ ze3|@fFcO!R%gWzVMViSnuZ<-qrpQv&fKH~#Emz7#;zbzc(&8zXrm9?89_7-~uoizy zl8X_Xz=eHk_PAgKLm*&_bYZ2-+;jge!f59tV| zVL-j1M2k=AOGt38QqM$Ii5k=Lbt5I^^_=z^aJ&LmW6*5^$jxmSa7w_N5uq?0g`pww ziW6LcQS%AfzNG>P7_EGw;nD3Q-Mv6~2=9JRS(}ca9?=j2Qeg4%0#}NkAFaf(x!X(SA||5s(gpiU{&(#!31d47%;Q5-k^C zK+Q|bEt2HzT^Ni~8%nfT5)b2ATAGw&U5S=v7>w)p0#JMm^9V*^K4=xd#HJ~0^CJx< zdYpiH$n`AINp{yMFK`Rg5`Dq`QqZ^1|DBdYV$<8jL5aZ2&H0TBY$)VdNaWUkH{ zAn>b=%t8kQWD!vmuVevHymVdNTtmnm35^l(eoZ5n82Yw^#t9mKOcy5FF2W!&f(?kK zN*Qfigh-r#^va7LN!}KceFRJt^9`)K!9uDJqYMO53|JWH?v+&f2&Q3-qDto}m=C(8 z_mQR&JuZ~v(Rl@?&icm$cg5Q8G1|A)VH5~DJFbHp#bu0ujG!zKsOS-bd&<|N6rsqZ z23(J*TW3l177Ua|a96DT1ovQ2I_pZbsKojSE=US-0;*Y81KRJXAOiBDgFL?CWUmp- zNDZN-NjQs>UzN{pDd+|20F2ZSS_YM)SjxeoxacQPdW;fWg(<5P^mrS_s1$VGfpLq! zR0{f#PuEu}g{LsLQuG2zae{J8%QOrMH72C6D7FTwDMzsx*KA5XAbU&|^}tKY)c_R| z6aB;pc#o`64;Z>vLi-2?#M)1g66*j#QTd(%xT35Cw_&J}W7Z}%Zgjp4L)n$rG<+M7 zT(cxO5C%}G_vyme27H4zm1LA)6b7AOU5OTzew1|f2(2i=UKl~4C8Yej0hkVosh6Bv zOep|~l=Vg@;mH6r`#QYNaydMX5G8Yz;0>67b*!O8%bUv4Sfb^ca;z)Sau=q~dX9h! z05=3pk~AXILOy_^H9^IEO}t_RH#adfMer^R8=6>&w$UG#0D^xm))>KA7==R{ukJOL z3O&+sl0GG*(*&AyTu8V5Lqxe_fQpWuq}A1ztV&r?qy)w4m=GemG4hCKF_Xj6I{GWFIcdfNaMaoPv41xCf7 zO(}H9RA^s`3cU`47zEU#ia}c#1_1=rstTY@<#iu{)?8nSwoT9yVi0VDQ88%iRRIK7 zAhHV3GGBq9lnGPdR{*XkD~m(7u$CZ~Ocw~u#dSg~LGZ&c=+<&2CV5%;Gpm>guyqO- z-IA8n8e7YC!oQ~{`InMko*h^@jC5}{?U_(utji~oyLQnua_|0KD(i6kK=0ZRm?j|AOfO%jYMU-I(?OuzySTF4c! z32N!%QDHhtz#<}1X$gyel7LwWDg?J-1cjEj#Xm}LSNl^3Q~=l|2#R%>pdCh{(!vS| zk_6|(nk2ZQd@bk4ytyb^m@#jdQOqB-&;&|ZgPyWRJY@}f${NwiLUwzq8lkFKoY8Nx zv?whMdRiEv7A)a&kRT}55rTH*OQ6IX@x&WE2j44#;C_>2bFxLmix4pLQENTE-6{`X zGOIGvt=8~mMW*IqB`+$~A%d?-QV{}`s{1kL29$aa23;FL15)Ku42zjkVvGg+O&DMh z+=AgogX8GAsmU!cj6rr9B=Hylwbh9A8K9v)Z-c8@1rktcng-isvZ&o!GumxAKa)EP zJSgtsQw~e$S^V6ne2X*f(~@?aX{BI*oC8n_it7~N>!f9!0acPincM_0s;pkQ7$#9l z0R*Z#C`5l7zSD(cmYNhg?0F8l!(`)Oh(uw#{|_K zHm!qG<%r03TZK}B=am#>eE$ zK`SZIOdo-2wU1BB*oFzBA!2P%Eo-0GvI2!g=r#;c$N*C}2A1uh78b&3f*1@2vkfI$ z29={xQaO)Q(4#e!Xt|;sjU`%C`cbBH6$VJ_O0?Wkj;0bVii0RQ*aicn4JBF>JFc7_ zJ3}5jdBqL|M;B(Y^P*zsvas`FV~G~UPQ+v9Ma9ldVdurB5-p0I2-(>x?7X<4M2lj_ zEpj*ip{g^6!oo?2@) zVW-2i44_pN?IU2l5Pz?N^qqs>6`|%%kYb2_7zRoqNC`ERR$8W&1Cv@H;gkGCO@Hz=OGos?vucv?=ufD(CA>_G9fC{?+In$GKj8Y8$X)&zm|2{l5G zm1yG=D#lQn(f&$s;{*)lXx`gmOP@z2PK2+U5Nm?quaz$WABQPd0i&H4ggC)X zu?`YwTu)NsUKE5rg6m=(B2Y>6nMru^Guj2g?I*Y<)+B+7)^A4hv~mgvbLEV@eqZRu z2>7l|xtK(FR}kU^K_FnvOR&|!jhAR^R{;c?h$p|7N}rjEC#i?fZz6ay-CYVc$k=}2 zGe*Eiddh_*Lbo8q2{eKy$gBvAQWOd?f>UBm5S)cksnN!VevBH)XesfE6MSB*5dtDI zn#TiibAr%Epm5_RuBTVxDujL$!P6_rzbV9G1e+cawg|SuD1K?)jCMn!#R-BE zZID1mL#cr4oC+ZL6|u$%6tO|4Es^vUv6zSGegh)%CP_U;utzaM5Q0%0(#EHZ3=SFX zQSpisFtl8a^f@jFF@iH`x@e2hC%;C zpvVjnajQ@q+U8Om(s8Jxn1sd%`o$V2;A>Gz*&3HVmjoe3(Dsu|DoM}{qi|^}Nr9!QzA`EXyi1&d1ck1hr#?SqO}OYDH!gsA?pJQ zlYlhnt;y4_DV#%;t%5pu89-@o@LP-}Dsvg3p1uu(aq~@pMu`*g;zVx2=eF`Ccvq}_ z1Y3ksq#ZyJbw_<6c*S4>)$QIN`U5-9;aZq#13#?tb+s>VN@BR?Xn6W z_-(Pq2;P8EIJEt~3LxO~VyLW@sl=IzjutO@(c(H9>3MDR383G9{>bhvVL3+d*J6zm z+=fvS(sow@Vg!7#N(E>k>GRVtP$j`hu?`WOfl;`$@u@T}73m+mRJ1t3UKnVOfQaSV zXoqi35E7*HhO*uScvo2o)(QP6!A2PFr7dD#kxniKlxe{pB#pO}l{6G%gBmdfFO^t? zh`%C;k+%Uz)|j=Nhu38lN-}?=teOm|c1na8LGY&-H$m{zVvP}OgHalytyu*S@Lf2g zGxCVVNoVX2RR+M=Lj}lygL4(-9v@S>jQ357QZ>D=&c@r=T*5bP) z_jgm?up|>D7=RH%fUh}rCcgy+D?tQXVThttdID*m6;=ia&I>EYr*0ylcO}h!rlF)7 z@I)EV@pUAuJl{dwdoWo1nJUqu%FRFubSN4s`fC6bhu8org=n{%LX4R~-5KK=FNOYh zU84OS(I|;Jx@z>O#!0mo2GTZ?>QJ8 z2${R|C!}78uk}NJO`B!#FbpIjm=bG(-~^1S#I&7O0cNzYc*O}u#2O(WVtF&iBnAYb zk3iwZOPzvz@Fb2+qSOerdZR0WktT1Zgxnq;N?n#0ky{ z;vj*hfPpAnibC9@FsOyUg=lTS;BL5vQV6zQ7<2+}0^D%}+L12PR%kl%9tWKnLfVW& z`%OT`r9I@tq+Q25jIfh1XsLXb>_M1sgiC2u^v{(}a=U|kEXuG5WG<`-J z6|XqK7sMJNAYyrr61OM_eFO?OZsK}5N?e7|Zz6a(O7ho)Sd8F~SQ7;IU=+W!@qJAr z8yW2?4B9P%Z;LfTK*Vx>iK`I$OazZfM%gO#V+0|wCJ1_A6c4oVtxkgnM%yJ`aRP>x zCrstC8l!gQ0E)^=z)qt99v^oz7#?V_MIeHpvZeq|C@cQDKN4l>AS7jUPC5a4>l9ei znUbQ=51af3@t1^+QiJW?@~S9f>lkM7)8SCJ4mNs>HpJd51Y-njevQ>*#tp)d8$uNv z)pX3}h^TvEm^7h6jd-YDg`iBYz(BVIrU(Tr=F4xXZ_GJpgr7olRNpWwFRO~}|(ho*vTg^&EM z;;)j%9Wan5dYds!WuAA!jf~P!*oq+3Cp|+Mi9`tBfI-Ln768+MRYx+DVXVtAl+6qG zGKz^b)iHXUfbTe~T0$FNPc!%##8H0wHo=D6AyA>NAVeVvs!WqYk<@g|94BfM3=;JSxw*#&+hQ;XCFmDxoPbZY zDeg#tKl)?^Ax6MA+Kfppo#$030bghml@A0fo1OSRcVP7;NRKE75XY z`QHS%qpSq)!T<#ucVW4w{0SPshiq?J5z1F|j5HreKu9Xlud(20BWDO=67^@cBBk`vhV)49WK5|ckgvd<*hXOQeON%k2e`%IF?*uW*(Z<6dcNcNi~`wf!)CP{C| zbJZ0pf3nVP+qS_s1%rw}1xzdJ830l-`f93iP`qLU8_-A8TmMwxMrDr?@?k&v>z@}b z&S-ap!WaRul^%6GTq>cX<$!Qga8m@gVd}ASg^;}y_CGSxX=Noi1B1;20?&$fgn;ZA znk{H4xPY8m`#pp1Ek?yE&A68<(z}jS54?^Aapo!d1r$D(au`{Xn5FO z|MX!)eacFog3{-o^jR)_=1IpR4W44sKiYW^M*nEPwo$v3y&3zG^^bOLfz`iebZ6Y~ z!Ch$Fld$RISd4<9seB66&U@C0p~Of z!v|rw6QCL%b!f(;o!{N{kM@*f_@$VJ-%)8oo0Z}BKmDVf-|h5|_De9Y!CZ!U1BTxK zufp(i+_^_k4q)_8;%?C{K-l@QOaJr-Dt^)8*C74VAG`FYB<;_*{4PYpuQ2*|7IuDz z&>s}uf}QLA`lr{{xd_h{aQ!0<;?dAw!nW#AK-jlng^7mCFZxG2*Glw{_Fhb+^^bN= zto4s}PKfo7cFu_PkAt`Ym}!_3+7s|J%vBgYb^97Df^=}Y%j-xq3Z_sSF6Me!k=-8 zOW9~HIEHcbkM9$6_(~dV^YxE@9IEOc?HpR_AMG6G=pXIu+VyV$_QNpj(=NcUyE_G= zf5c^9JeOa;B$Z0=brMpf6nLr1-RLVQWN#y_^W{ILphJ5`&Z#+f2za34!8c1pWoCDK)Qd& z=l%n@nLk@Vvkv+5=f3cpKKFn0x!>`*%`(mO{PfnSA|$aG{vn_HM||!d_qiYSxto3N zPx;*b^q=yDAN0BVeeQ(Mo$0RC9(aZS4r{G@qIQlL}KPTXRH(@z9A+51~$%p@IKKCEM zeGkf72gv;RE@0zl(9b*c)~Y5BE~THJ^troy?zGSSKft}W3wh|!`>HSeg3nEP2cP!j zww44ZiKhP{WiR* zuYErJXMOIB&&{i6^^fWCqFVi<`<&1HTR!(!eD43r=l&Ny_iy^#Z^C`qDQ_P}fAn3r zIaXm8`w_^)AkTLTUAS){K06A=XBWq@(x4Om5Zt0W3U1DgSU%|fB;0#Yf3`U8r{QM*O8gI_mh{5Sc?QQs#27Rl7yb=D(a1Xpgisb1( zfB#qEo*G0Q2AvN>8NUv980nuvIOBg4ZqAK3)}s6O;66{CJKkc~cC+TigOPa)g8SW{U-WuywxH*Qu@@FL!z6YYiCN)QS4xl$S@~=K2G&U-YpXcFyf@ zIqoOm=G>?WWsv&sqPtI(ce?xF9(47y#`=%opW|b#?+n5(x#4SZ^c-^(!`*`XI)nP& z;OOTB!l%%m4La$+3OC328=df9h5G{fr%@;Tcj4ZE@x)fTp}%jzeFgOCmi7(q>ju5G z7*gMgU{34A|1jJ#XLZ~kg`0DXX~+EuxX*kVdF;5KV*COe_)))6xNjp|*OwyPoD*|i zMg1Iyn`=Ir|CizJK8*4Kg|mEo8E&rqX#HP+n{#+gk5|v$KzpLg^Ecr>`!w1Rq)+;P z3ik%1z5EYw2lqhdPWX4>=G+%a3O;Yb)$xyn@%P55MYj{|4N9Q68WoN$(A~&tg&|^pO4^!_7J9 z1}FT_;SNKdLC5|3$jie9f8R#M1Dz6JdkNe}w}mvEc;`4hKL$KQv$3Bs_vF#W%T zoAd8B$9)s-wtX1iJNb79ZmzXlaom3ocLO4yaqt_~A-fNH{r^L7pD_6U7~H*(_oRcr z9qyBcKHK5m+wJAYZ-KWdv>(?U{Cx=DVDQUVC<=JH9gnQ@Ey`%om$? zWaYhsK(k|zP(w-@;)llRtA?MFeAu29393FfIOBVU z&g+1+;-Z+=q^qAQtQnWj04m|C^5rR`YOo=@5w73ZbCg!?Ynz_ z;tl6)p0$ec@YbDv$Bs_pSvcP-Zw|ik7MtUv-(ZvI<|R zL%7=WWyVWo?we$+tMbZ?eoqGR{ZGy?N#iM*ncpMwUbnW{{@8iZ6ibHjAU!Ec(baF+ z6!Z)A@@gX5q~Y-_%Inx9UclDRk~<9+o=wgakOypU`pJk66(uk^`txAus7cuqfAOfIz^rFF|4vvguwF7N3*Flsz^NZCbl?**!w z4@x=t=#);kic0+lRs9UMYD7GJ6tg)y2mYxo)mDQkn+*dYMRS-mTQT9ud79XG#a`a6 z_ec|ggz)SYw5-}%%N`la4kgBHr}dW??~%$FdJ86qKCOUvW}R0LeHB2GmFM}S?_mdn zS|`tb+P+5E+9KY^UX!CZ@=RCf(Xt@1^$T6$40$hz-?yQ%8eN7;L2CWd7M zQ&m7IkHe~TIFBHzju%3yMuiDm3giwzA%|)P%(v71 zt%seKv1TpxNOr3v${&Pf_T$A^qZ1LCi=*fbMzdomQKA~u1MtP%%%(&^qFg5FHfU}$ z4lEQSUx{Jws1#s4%nL+kYzj@NLAb?ET@Y)&Wox_@tJ*zjzRYKMjUt$lcO5;0R0SeX*c}9IlJ}XMykw2;++HY$IOW}@Wc6^))*^x>QO^zT}6B8q8 z1S+Rga~(7^lusYgA!q^8+CH35jJpo-qWw`*v{jR3cA&F@^3!8TJU40W_$(SJ2$R7e zJyFbLCy)<(z&u3(-PdYqciyw5Rlj<>qma!dIRGxA519}yK0Aq_-6Wo_&S!r%okT^> zfS;dDWk3$NA`m8RbNXQckqdBGuW)`%-Yk_qLB|^teHV{@LEx2t0aA!q5Owjm+SU9K ztdbaCr8(Q>kF#8+3lv$ExIUs*9^sUq#%qU*cvxg>lCP7an5AP?M*lQk2p*W63LaMh zPQ9pBn*4Z}01G|Rk zY14h-6&z?udOq3)*30mI=uVyW9h))KPvaejQ`;GG_fWmnk)cifbQqE;!`t(l^>V$t z#?$)yXAYj0xBZXmtuOtg#!@s$^SpznL;mP)z4e#RXqT7P*L`>wK7$oMw~(p4Vyq9t zAaC8@uM7pOPR%@gwUv-%MgO+$3rHFKVR&R=C)$y^h1s zuU@tx7^aPep&pWeBz_vwzEnaN$-ceRY~ z3@3(KcgC{0_QF`oZ9&;)KKY@CgTZ#EO%(^6qlv<3a6I)G+F0}%MSD;4&=Z^6c0ZPU zEScP$-qrfam5XIOx$Mh=EronSNq(S8rQqS4+!d`&vKM z`N_?}T%tJo#Aatr4Ndv*<{%zq&hs7ACpI@v?Q?!^O6A1cM<<6cvKh_>#gey=W>Td0 z*ydoyb+m2{PJo=e{HqbPUoty6QG8-^tEMlAChznJjw&vdl5OP0<1o{nvxb*5tk5W8#Tq+RmhqOPhn^!y{t-BO+bPhZ@)ukFYx^~piXEYqbv+p53v%c?8wfJqV&269Bz2{T9y&#?T zomH~BJu|^Ym$IzS=8I0gO&0PthKMLs*~vVc60caVuKGwJ%Zp&S6410^p!|IE&#Zn` zc%sfhAuaD8g+SC=3SqmyV+fyNoPK7euE(wtSfyX_cU>k5IuP_#zHZ0uDzJQY)Gj7p z@Jj0PWN!s2bG)#ul?nD%hBC46uv`^M)>eiRWsr%nU@>hM$MVZnpFS>EoNDvMWm{AY z)so8Xf2l>-BH)ZlIRLaTDHFIa2^ZIgZra7Dsb;c=) z4|k?gO`(?0?x&e{@+~h;(LnR?4F(UjO4qXF*Vp@%_jc_&x%JrDP1;^%C%4o9o-2CX zwN3L3oVXIju>_A?>fO^WikvJfL#e$Xx~0Y35#7?d2V*n;mgvsr=G|RoJEB|Wy(7Bk zU^LcouoHW5L)CWR&a$7l>JHw?q0EH4hqxI($m0FrXfZr|rAF`Kc6RQPen&iZ2s?x| zn+HQ(1DezM?pF>Udb-t)4eaaLcgPNP^~Sn2A#)eFx!JhN7IL8xSsaZ>SF|hA*R>Fh zhdN>%c7IQ(I`4aCQDGd!);?+;!*C zSRqu|rtA_k)Vnw?W*BNKE?O%$OD{baNMz<5+4Uf}Kq519(e@y?Kq519@z{gl0*TDb z#qI~e1rnK=i%&iXE|5qqE^xj$ab!;Ij>4=(hgFKhQMYR8t@NHp#ek#so_Q})MdMJL z%965Hb<^~`*qUiMfrRF*ElFK18C2aTJ1_2h#FkWdn8j3CZ=V-?LSjjos=9hTFP`SI z$UHBCRo8;&O<_s3Rx!hhmWh_Sc16Pp9vOY#V z3@3&jKdf$8W5%j0e&CtM#ZN~w#Zh(aeMbgHnIaMn*2VcOAu=*&xajAk3Q`w>F(&i^04z_<{`}W|zY<@gZ)X4^0 z_Uvd0?rwc7xOLxTaWbFQ0fpdr;#e@5Mb>5}f{9cr!%LhJW4QYPx8H&STs!_zaQk*m zx3#&obw^9{j@GsvEiI2X?|!_k*$C|bsj)F!+=OVs>~Ih_MNN*S3&F%hiiu39kb)D# zy&J%3OJwn|tj1HEU^}mIc=Bg)OWzKx)sF1wnJA`5()sqC;!gx=Zl3g7hxVPyhheyc zDsv>AdU7~{>s;G+YA1uxS@No?CyV(>glLx#%oH*R(vfhFr8u3mGCB%Uft|j%#EKfT zg{3kPP$tYUWRG_z0+m`-3GstH&&Fk$x0(W?a`Qul{O_^UF3$^+IMmhGgN5yV9S3^C z&sEL#8d7&Y*BL%^u&bx9>phmgOoBz|U_Qc^U9+<+r z6FPLDqvv4NqEV|$SSH$0oO{x{9hdGJ7O5Y8qKeroQ9mZPy_!-3LYy{WSDbhQF z2V`7|Yw7eoWI3FgzE#mu#sP6crda1pTzK@5aJ!Qo2Z>a|0SVJpXP!sR|8 zGm%uq&Kbd?Od0LB9<@H^d~G+H@tFp#GmKh6(HW;!s9h4PGHfjyiq0m>{)z0-2~n>j zP(>NiGCApU5rDa|Y~+z`lbtL^^T?p)rOX*!y}SuUjjZwdPU$RW>SaAtryIfQhS!#= zp4K<(sLX1)bw7G+A~~ARPGlzwT`61&X=XOA8s32kH5wGTiWk)(J+?z)?TGNk{w)&2 zYfp4pIEcadQfhFi%63KPP%+Q+_YPX3_`&@L5A`2hjzU#$@n@^9x=_C7^;NFqA5J9G zUgM9ltXDsr_CGU$jVtc8l3dtuiYeRt7@BZzp&=-@{1<}hsT{6iPX&jLRW{_(yrboR z7@Yz3f#DkKyl(5g?qNr;(_OvLM7gGcc$qw7I@ejU8$l8n)x^UwE`YF0ae599OZOe- z5}~w{!FDdHKFK?^cT8q*6F66b_LP70 z?bd4l(8$u8#NIZ*y5t!~L#liPde$Y>H0wU@#8~NFLO7x_-u^V+b z)yc_1jly0rKD=9y*P@-ZXV6CYVmlj z+D!DNvTWf^XqHziB00%nU0G+~Thi0DYv%FJa%dD?aVkftm8*JMET@9I%LL0ShZZ=! zy$?d^#?@Hl6BRCGmh^+!ZP}u^E{Z>x-8yp-J?B%!Si98BLa(+gwqusY!Wcxj9kU{= zyJ?xZ=!pyFD$*0PUB|Y=8vXJixwl{`CQ89};WCuvL(K=Ukt&^cG?XbkmCs-`+nd!n zZlxr(I>&{hC-Ig-`%c5H5afv-6)s<}`C^YaRj`sWH@TyHHrT$iIzLOmrn?bzfy}z` z9~{eA(By0xZ=H^FdasZer2zN?dzlNe7({&GwzMt_JBI7|LpQzWcK8e_}Jnmbyb!yC~#PS*wk&V6Fbo z+0JvBj6$W$e*OounF&pxbA(57TMgmm9k3mv*+S9#2yTuO)RGM|E6AZ`Fp~;?vib2{ zZPU0pUrA6v*$e>*mdEJrBuS^ z{+tEVk92kHw>v_iNEP~1*rC)A?S^vV<_I_^r_LY2l;dO~)z=O1H(k3Ux+*it3X*Ab3aNuinfBEO|d>OgO}>p<7R zn4CAO6lpWI10LJF1c}MDFf459ZkWoHTJ|hODxDpj-CcIL=U`WjJX(qZ9rC4O^U9q{ ziIy;_>g8=I66hM}#63eiYdAwofD*SPEy2EUN3`4S=hWI(;Z>C zf-Dm6l*;T@>-(1KGY5Km%p~tiLOs5gwla~WDCPcC?qhrIBz6DVbKXNIP5FK8XAgDu z*nM4*DEf`cELn=Gqt3|8+$A+HD(|slb~rOKiL)X+?i)%J6P*ccsqD+(An*87lfylD z6TVV5Y1HKiVSKTqWqV73XI=8!+(Nb;S0muVm4BA2=b($5 z5l|pARlcj9cCXv0Om*=SQGbe3=w^{|ab1j&qI?+LKCF+(Rm!&{ixftJsU&-|?PHmV z^!7sLb5#n?qUvvDO7}OB%mWk0N$Ea`gCi)ZY?VsInm1D=_U}I{j?1lByvjpu&QceK zRPJMP?g`P`bKHGQGu#m}(-!-gPO~tn%I!Yo2VERqlydT$WKd-a^0wR;x?091b;P3h5r)>obxVJCGjl zNG8*TLYE%w-N*cMYO1-b$*putoq_7GU2o@8_fa0jb5(J4qh#zETwH-v^2qFhHxsQA zsgj%;4m_197Q&%}*@>r)@WW%)MxLz$7TiBu*rwn+A*d z#01t>ifKEGZ2`l>t4YY>XeOV+jimYFF&hHoxOpM7swx`h-FSK-F=1mjMH+))oU2?V z`G*sRwsVbvDG^S18k@?TDT}!hGvlVY8BPSdBPZP+E!j|GIDvOS+_nS@tE*)mrn<0h z?0gBOhbBjQaRk`RsueuLWZ`ostV8_OQG zEsLP)iOCrCzH6GW85x6IL^6>tEJaMp?s$sftFWKN$m&F6wiA*t@pIc@v+a6ap996bk%&A3O{(?QljU6%6tvSh~i_7wD z3#D$U}o>#S5P`;>0_qF=# zzBHd6N7IZi92txd=2X&jW4e5M9qv3(uSMz22&+V}!e}CuJsNR7d_>dbqwf{8?!eAx zVsiY@a1Z8vlqXyE;jpSC=CPvXvx4GE!i~o(TM~4mvG7v|GO|5FZ5B1_P1Q_Up37R& zTHiMb9zg5tZrz-t5M_J5=T>I7RTg`tPMCEroV;ke5i`0@yC95<1;?Ld8X4b4wd8Db59rN=Ors9OaqO(ZVDt+yi z-1oDfeW2rI{m24D!`3M5a&}LW%uTQdF_m#!0YuXLQicl zU`glmlR4h}P{idIW9fVh_dLw6p7l7}VwYus)RN+nQoMbFy{;)9dV{p{7Bh4V?P(^t zyrmp1R;-6q9h5H5nSyhqEs{QBmcXh4EYlq`=zEKqDLYlj4e_2ZyD*v=F52UhW2Qh0 z_#UYm1@oTm2fGu9Vl1R>7)O1Z9JjIiP&84s^1R2gA#;kE+*oEI;!R|kx64#2r|>05 zvzhX*byX|W@|>nZyY{YDnc!O8J1jI1-|Z|zlWzS@>&8KWer`AM>S*-8RA<~BYyADv!CeF-u{Wko->h|by} zwk+R_$mO#tG0S!G$C6BhrtWcC%d819&xclDTINk9cHeo0Cl1;@s@XI(9oXn0?>;VN zjajlqG)KZ!E*`!?$2xls%vzh4btxR0%omFDFMkVKzkLuRf3_ zH{}5ebwq9@gKWbU^Uc|%E5EaB`HbA044YGX-rC%@JV!f@5UaRJcO+b`O}ZnVH0hz0 z+NA3*I_h}i5!`+^*IoMyYx-swd~1S>-iV-O!iIztPI!+@9K{8RW|zBwPX-QiRad7T zq)a>ygYRzd-3iU5nj+~;;`I>ol*wUXetqtP%%uGA>XV7PELL4crB-3#%M_F2t1zcl zl~lO?K`xP5twST6YQ+8{d-aRgv+@ryuwh@V88tMDt5#O&f>|;(HLrs~dU(D3hE3zS z)gp%dGj&QP^$giFSV-dD1#HYrmmh*yfwNsD81GuDE>hJ`{_|fme-Lv*B?_LsID{Ru znOwznDzmS`+AXcibOn>weA%N%WkYu^i%c<_h$YIS2Um|$I8f&_cq>{CIk7VT&odLt z^%R7vK_XQYtCNY5vbDU~>rYlH9xt{`F3)2g?EG?!Rs2;YF0BYA7(4Rf}6s5NV&>0v*mq}nOe$Ko|&!hi_Fwg zuJX*>^}fhVE#+#;OmjrA3Ombc;;q)~(;deu8ta(Zr^Q>X*{8dTRhoTTyw#e0x^q~i z*{8)@$=O$BQE3!YNIRF#4`=h^{E)Nq$1!skawTV_*)goXJe-4cD>?JzMy!sZLUwGj znC`&xjJW_mkFQv2A$q<$gjKA?A31dIikg=sHLj9-Z$**siSrVpW!J8j$Eu$|T$m_J zw(X$&axw_DVhfma+(Dg^vYldXR+ZVm#A&ToZb5pFbIFm7tyne5sx|^O4;H=0vUu04 zRTE|QOv1HMJJcsvZs9D9C5}}8YWg0F>0}wtCP(L!ZA;qX=>omz-AV3gh%B}S<3cs{ zRBNb{PqCYIYysbc-{XAJlHrl0T`j9eEbJz5pUE^cX!iOQ-0VI*87!j`3|2ju{T}C^ z!D9JipbM51WR|6*s?k%sMP##xnXfCa;yL0B4|Chus*#8D$yFl^CswOK80HCwwf&h? zan!6dRmV*&^WV&t|0G?eq!@*Vjdc*+7CFA&Ub$KKonGK!_B4{eV#}`Rzrzz0S{LGWfX&QH+qt~lA zvbb`87El&bFy{NZ(|ufVnuEHQbU9@)YMWzyYBh?wQOxIFpFrTj)+e(`z~s$Nj=f+P zac50l>bBcBw5pow)!Wt@4TKlhCr2SYz60%;`i^R-36x38qrA(d?2A%`V6r$?jUY)F z1o!B+j0BA+K7u@DZ%?8%+~G8K;votu=XVzfdgR+&e#@K68Ob5(72c8=!w0X?o8uaA zt;MJ21@?9;t5JJE3wiH*T`qQ}8ggd^t?zqXE_RaY>2lZmUYGNqpO&|m6%+pVXR}#l z+O^ovAQhTzd0!URDwun~P4dl~efjifC({$jW9G78^(%KCg!}!hVW44IIB#h@y17bA6`=)J9cp1Z`5}_2ks7b_4I+adA@*tAJ)i1U41>B zUG~0?13lsAJWXh2&9=!3`_0n%iNsjX|KHxXH8+kUYrfB~(5aY*-H21Fvb%exC)QmN z6h+zWl0+3r+2z>>hDxGsZOf8dm#X&p_4{Q4Ai<07v`u^14_y`s0!Sc{`DG%JnE#2@ z_lNh0>{ItPV!pQX3#8`DlW%u@%XRxb-wXPl)yxh+9K^<9Y4bnhB0F-+aJ9fKP^3NC zFSy7bqkUZM6jS%%Q%QW%X5aIjPGEOE-|d^e?Q{dLZCqMjJWz_6l4B{xB`0T3{Nd3f z9FUVg80gGc4K#LF?q&YF!iV}>HPo~F9G(uo6+YC%YN+#vdbsycONAZ|mMiRG9x9+! zfV1Zt?RM>?W;8F2u4y$P8(U88V+~K8D=#pePS0-J-SfclFDzH8-oWnBC*AAdORI;% zrqyl-ZR^r%2Mq&Q#^`n(-(d0Dv^}HIwwhFm>p~@7zt?kIA5}Cx`W*icybHSp?G`i$ z4AHZGrxzGrCukdupkYJhwH!C-Iaij8@2pOrI&F2S?jY{FgQku4wP$<5dEX9Nmf`mi z#~l~#+1;SmM*mRFM|^*7cP+~$`aGl4Yg_cNXYvPL13y~EXX`}%dn*6^iT@=CB55Xd zg7FdrJKgp*+G`n}Z+Siywb7-(w>#8I$7xz^e&`dmP~#ZVHV?4XJhuY8HLmG>ug7EG zwtNfipv$J^fw*SiI(<|e^w8@bW`qi#TObGUF=(0rG@99WU90N{SBBfAHfi7(I#55% zHt3|MO&eXo#}|g%G@TyRb76SF$*(lp0mj$&mE9CY>4a(!^3>9}H=xJj)9b3xSH3HMv) z0Xfz&n{fs0PV|ZFAwdB(q%pxf@x1C{-*+%QE)gyedmrn?^$k#QovxY6 zwlIvzE;I~q9<4E_=Lf!JUUcm*{q)#2Fqtjev@uuSXX`3mh3$)V*5r-=#(;jFclXBdyDniR82c*_;-vN3kKi90>^lw>F&84W7Bm!T1uazhGpdf zwz;Bq)lETb)93}i`v%zD#dKcu6xULqN5G@h1;1W?2`)U(PSxAQV6>W( zKw6_62oYWC3NqM~>e%Nr1B8Pkq0FysE+tkP-g`FWd2Wy8YLs-f9dssJR`n~Y z@A3ljWo^Opw(Xl2B!57pPM_$#QaE;c-CdX%8l#yOrWf`(bW6{|k^>}>E5#?_rsx&@ zyKveN+BB=irDHcq(qiG0M3M5^gWiijlAXarl)G~6>=I#tA#{Riv`rd8M`;7QVS7&c zGtm#*Y|``@1d`+vh#A#a(QIP!FxBhdde>bnX#zBuME z&{+9rc~)DB5x`8f4e1PB%5DWf&WJ?ONEdLMM6iDiwq^8yHsqWn-nCkJPumQz(SL%Z zH1J&j1JdMO$acneozss{nr2%~G!?*lYSD^O9gRPQ%7@4Zh;H=R>AP40rqc%_xfUVw z>;O=Gm%X$S={j8xaNUw<#=*>7ua)RsC!nRz0H4&7ZzuGQ?42W&Ie@WCjGOAb1K10G zC7EgY^sm6gKtD~c&09-A&mi$3#kRI`l7qwDf-odj?Y8W+XztO6I`B<>-Rug0&w9GA zkce0kv6zI9K!B-5;!8D57FPhqwmW%(0{D@>=^79WSybHZcN&%(jRTg2RM2GJsD6lv zM@8zTRFi5P(qK}Szf7akFMaS--wQ5m@Q7<(Ku2dZqxjY}dNvHHHR8xM(_^GP;l!dr z9W~>uyn+_~Nr10Zv-EZ1eJ(f?bt$GG4416NH_7B-_@`b7YT3}*ESks`fp*6 zN<*5Ye-i!epEgd@k05rjfOZ31?7R>ooXxgGO=Q6;R04HM|@x_<+*b=_{kR(|q_QUZ&rj)a+J| z-8F6N+%T_c^+>@4!V+*UfyIRf4HAL;RG3cy1acUVgGqXg*fkcpXL?Q~d?M?a>IXWd ztp6lj6~9cp`sL(Qd-boV9I7OzW&9NxebFy?Mg#Jn@VwIxu@J~n zhVBC-Ng*bbfN6lslov;S5|9%U#<3_4juC&jpf_GDs7U@`mBpBuAv1>3CzB!NsKr2} zK7rpNN2N2`GvDJ2ZWYD1ZU#Jh6r!-GIP*`bn#46t_djUD&X;NMLvuFn`1^DXnNGV_u>nqGqML8$zlR-LgWs#Csnv zAu2qdHZh{7u;|$2KzGQHhG^%7*6*VG>P`-9fVtR4vR-z1~Y(V4|k1=$#v2@9+s6{)5CpGbmob=$_^t`Lrk6$JNG4bWL@2gQ0gJ9_v11Di^DgcAOQYQ<*~(Rms-(Q;{WwS?-su#tFAX@_ zPgQA;{25J!Bgow!HMyY(oBnDWM3wU|Y=|mN)JW0EV#~t-5F2tI*uF@-Rdsyrz*Zx7 zI)En#=Dh?0HKdhUKrxYteO>9NxP~HU#3~v|6p>9e(q$Nl$ZZGO@qr>HJ&ZmE4h&m^ zHtV1d?S`=2WzBGGK;Z%AYtAC_YLaT8Pb0;{2!%;j=09wG+8`s+$oPysV)9cdRHQ$W z_5t9dCKe=LC2!jaf3)lg9A&WT&=XF}qT?h-XfJ?E)hMIkm~oxz5m%^NChw+5IQU*BL<3Ge}3?K2TJe%Qw)?IW1Y`P9&V1#yZW`?{oHk~x!E{95jpy{G$Pv1z z4dx-50OP_tSDW2XfxOz@zo&GfwX^6;Np1L-2z8%4Hs|B-;Z#soJ7bNRoxIwakY*|y zXq17!cBT@F=1gDhOyyk7#`>d1ne|-NsU<<@=EkE>a&89`{LN$}v!rIpdRX3gNO^ug zzyQaG86;U7f~6IG`Z_BaX;~SvJ6SnWqyXx&EAQZWTO&(egdYneiv@`b8TolS`;lB@ zF*7!1vq?CZY8XOsW5oWekl8<;Gx0_(Jz5&izt$q+60#$vtDU^dToILbvg&0CW0}X) zXmfBjUU-z_>5~*s>Zg#VGrSO^PU)FH@wqGhOFSHn=Rz-hvZoKL<=Kb$fhh2UQeA%n zNECmFhjZ|OsEYrhhcH}ZQ)eF{g+(o&MZcs#=Ua%B0^=WVTY(K0i`j6Dr8P3?Xx|zh z%)lzeg*;<1LXR2nU^P~t*uVu3!@K!xI$JHQ(QUX$5I3CN*G0;l`eODl1jq;{b)N9L z8{)Q%zw;*=Co7Vh*yx1k+%H(i6-alb;&P@+4>^QV1vLyJ7@p zR9%kH1VBtlYEc^JfQxOOE6nM@HmR*09#^tkX3-Ld+TM))0dYOPo!*Djgx=&kM(Pjq z+4u1XN0q`k9YtOwrbftUF&et$LL#tal^GLfFd9587rs2aE1{$&J<*r(@-fn*i@F_~ zUcDKfYYeVrsNRDDbcR~nMVLM^!{hlJ>HQN5BcGClgQ}dQ^rz$H4!Tb)n7Tz-XB(^e zV#(j-Or8iB!cpF`>ixmw$KY|nG;{`k@xO_ge7$!0TSjX!Z7$)j#Eiw(&{`zQ8mYWI ziF?-;NbT%B-hZ1-613&ZmBf`Q1;~{npi0{3#aB-{Q(jk%*=jl(%*WxvnNDOrkgeVZ z*_V7M$3lZkAYV!VOCv)}@%(@NV#ZJd>C3Zk z;yjIGn@1`aNXn@RIyiq*xd)49!WDn4;%pxMGQVYoczvAR#yS zHc76c*E~fm;@%KNbOH2_`QYKj#Y2JW=zj1Q8N;~1Lc3B^LZN&Kp1jq%01;lKTmU)a z`_+99$>R|tK`Y9q+mi>p^PZIG`P7wIKP747#r1ZG1IinWHp@IQ<#KUO&+|mgGq7`tyfP^U;q~>C=_wdYIzF>?w-V{o|M%T zgl}o}upJ%P5eWNr|1fIEDrCbyHLeT)>&ImZ-vzbz@jp4l#t|M=wQT=EbPC?5$%7X8AT)gwhuNbn??Lr>Bq%`ZL-7 z!(jReVrxPF(j)Yd?`n)mJxf182;R=L;|8^jR7ba~t)Vt?1KURWPX6%{^x^i(jr1{R z#az6G%A7keH_-ai$ti%+nz=mv`11=+XLMoT8bT8{^lc#V7hEa2mPDHAC*xQBO2dsa zyMU(4U7+b(5jT-}@()bbnwcai`c!0MNpB{O+Rl?c_^`gfOHD26-QO^2Kb?I1=SGUQ zMT})H$9UU;W9eP)DymDI(aHC87VUXbrcDuB+0q2q&+`9|j_NA8yDbiUdV3-GF>O^) zS4hyftj@J>6!CdXP?r*MMY?^D@ZO~L9n)7ubyZPa*rkCVq|jxkSicDEu0o((_?dRy zPEzfcbm=LQcvtp~&{Rcrm3R$RQC%zvoRaBSq_Lf!~9Te5|1m;$OK(Gqx zQb`a5*Ohpeyb9{V4oc}~iFQQyh{`D1wN{{LP;pO(1$8OaSR@Kpbrzv+6?IiqSBXVl z71hN#csTYZ-!)(2$*ZEev_L7Pxs(ViQY;=J^N)|}8ozW@*EkR%P};8PG2tqrvYkSC zM9Ui@d7eC;Y8)hjpK^y_V<++=$`V!P4#Y7*EB|3Z9b1C|)ThYG>XLN%g|fNQl6c*UJ~| zHatQTtRIe&Y{M$eSp@ix=ejieutkzLp8weyB-8cmkTRrTUM!d(PQaQx@Tx7vIQ>K< zvFf&xU8w|2f4A)UpQ5@PfM(-PM_iLZS833dH@L#2#5pP|h@CToit)+>u_Lb3`n%8B z39RS@6o?V-MtGMSEY5Z1;<~7pdxv2s;xaczKXU82;g5+r>S>CjzI9jk-@^GP7{2L8 zBE&j+DnhQ4Klcf*j)K7tq$m1*D=mO|Q8y)dIkaqx;S}M}ocIZ7?ax)XSSlDN9xi69 z`7rzs0B|KiEKh>Qnw$jqAlOo{f`1b7m@p4H@{^Gf|F@k6F#kuBq{_K6olT1lW+{+J zEwxIOfA{eLXLoQcr#=}>Z|iH**i|X!r7GU#%7Y_#b#wk*QSs)(oqVD!p?uZ=md(f8 zpbB#i8RC$7?%A>3R3cm{;+&gOhw?ZWqmVbF4q?;gO)hqls57##IDJDi{3j@ zhF2jT8Zo^dj!q_KmURX$V!5j$tCyX*Crcp#aZ)el;dr8iEPL5IE}rPjO34#yR(Xh1 zmvJd(E%mJtC3KY@fpgMY15!v@U14=iQ&hg6607yu((Soz4b{Il`&T2E|{vb2m^1t=x{C^;e&WH zM0ID=%h?1jmPzO>XY;{ra?ASl&euU_UlhX>$%F-pzT}AtI%E0_S#qCCt|y7()245U zy782(IzB{z z2CxJFKi(aw(FFbWZn#=3XZJxi*zBDs^~ZQR z`t99xJX-|YisHQE0>N^>y*v4}ej)HdZ1zIj@*z%e!!cpzp zV{K3q+30K3i@|gl)^29=+VU>^8=&%XJX}o%b5$gYNm$ftaafwFbTA#!cjGyI3Hq=V zx~KteqY2mY`fa~9yP*PkwY`5Y&Ok(8$`LZ{E^0~u^&Mhy^LNOF@_AW@nbZ+iGxaLgDRquDV631gc?p2-wfg=AH!ToVcoM=q{2WgW;j>L-bSGv!mwdRWg?u$!t-!`IZ*c=Snn zzW8sF9Ume>Sd>J+Bw2T>Z??3@?54m4dDougC7CP@4J5i7tDBo}-V7g!@#302{Zk-HW29}13#rU2*93~(r?hOy;IL8_e?sZ}|*wvEF zv~{@~VRAXBg~O|;@TJ0}kuJw|=-)%*Dw<4W~Z3o&X;q74f=;63I9883d^&vPwHwgM(3=0eRU{mz0(D969-WW~7GxEBL z$~yi8+TXtFa+0SUi@1tHCb!`fe76kSa#1BP^8t(LK+Ez_a^NHn=Qjgv>j_sFWU0z6 z!W%dNBr={PJzioj?hR}ohS)fmLkdnFn{z<0<{;YOk&Az0{2b$O-g+R24r(~TAKWdUHb&3nh4OG! zTlaL312d(*IBl0wU?PXtn^s_PP7{;DE!Obh+N5+m%+an7O&RtD5GStgFH=9od@4Vk z;7;{Ryi;mSF4iKnSe0dP^0@DWRw|CM>27M^2wr7j$@1k;VToIr18BQq#HEmQsO|uf zsqb*hJc4ou9D-psRrvaeQ}w1d%(u6r z=MZ>?=CY^zpP|uS@;Go#i@*Z0I?9e}+R%~Vl2NY1gt){edtF3vWE1Wf%-ivPFyD^x zcO#XFQCr&zw{;EL0@8a~fYL0|_LD=&5 ztkNd3U05O`qe`2oc8y^D3Duh~HcR3CtFk6GW~=FFFdv5tXPPn(U#)+TvqBC**z!M2 zA;Q+EvLL9oP?vXWUA}1tceoY$nn8mo2iT~7U%!z5rrb|RGWaL94 zs^o|+MAhxuK4Ix1L%Kw5`?S6xf8FNHD!B>lh@Q3i>vCs+WpQys5LLJ981M%h24Q0u za_$&tHSXLAWbl;G|qm87z=u12@mT z)w4ZuXtW{1y67GXj`K=*WVMK!LoVBkQvTF4ag+sbjvOK7(>qu3(3B)=FUh)DhUy4x|CNIDaH8zNuMQ^$A|$eU4!hoZ2^)mq*q z2?@nN>)yQBD4A9DHlr~iJ)^(A`Ku_Q_ci{M!W4? zA(v6$U3cU)~ka{NE(p#1bnhs=QrnR`$)-Eoah;MpD3 zq!&2d_I1#*+Ym|7S(pNwm85wfy5(e>c=t|I35Sx_>>KT%=eT~hetpB_(CW|oHqxMU zf(9o<@vnP1LuK@RP#1xgLz}X@wjWPT{;W_|P}`=3P*j89Le=QgjG*21e4}exft{0+ zGNld=9XeElq?9cKdCb9U7)Bbs!0H-}ww2SW?u0zF$!B5;ku|jM+THU&q{i$x%^V(V zLW%^Y;p*VxP|`d!hT#)AfSlgbu!U#;+X_0EFuRv0eu`MT+yrv<^mE4RUEq zaZyVb*4L+N<-+iS#x>|^8w9@#)pgpifribq^rhD-aF$4Pv$Vr38Ay!S%u6jyp0z@F zB5I9fYuD)HjN=j730Gb$Wd;u9ET|)IB<{ALY>{=`(7Ft6kd?2_o|J$lFFS>i)Zd%h zU0Nm+KHH`mkxflzyg!uk%bt3Vh$p!3FrJ0|hz$BmYc$49&%)pq!Bg3g0*TEs2Fa$> z0neF_^&90u@G)3A8F8ACOezFPPYOV7j0k&9a>$#l-3F2u(k3ZLtg`a;|5Tq3n2x&9 zD1<|?1Skg8T#7VM*>p-GMxT^7<27MbZ~SruX*zB#_R$uQGeTGR-Z zju@GhBq)|BF?D*zlU>%i8l+lyOANd=t&ujHsG5pIx~JnH`}H8Z2SD=S>&am4)~gr&yq_} zO1;G!$b1d5AjU~9&;eZ{h^z%&KIDQQ-5+%H6e&S-v{FLuG~8Ijo5aoor8$K)q?581 zJCqd%DdPAaA_OKfR__! zMa{}^_VD-&lxzZQg^4pvk9Q|-1PbpP!;Yt7|)Wsg=oYFTcDIjNf^ z`d#}=Uu*{Mdv!uKIAvFZ*1X1MR@X`;k2}^sbm$N?txFsGp)I3hx3BlVK|&5gI9RR_ zFQ*Hi?M8{wY~p@EFW{Y|+DXl5Ueexd6Z;4)r}nYNfdU>jggZUEO?!@xe_^?@tAX94 zPrBE^msSsjO$)nGZR^r%2Mq()g&5tg<0C~MZN}Oj@4Zqft_ziT{T}Vpql%_SpX2|5 zcVV}1x0Bdjr)T|6FEG3gHfkC{!}h(P<+#}1zp`9>XJNmP{^5PyAnv<^rj7QsXL|wd z*S9Rg?}N;^Xb({rJ?#BpR|}0;-E+HZS-hv}86E6D(!-v~A9xM?Xc?ca6Z!9{{P!pR zm!?e8EcfFC!4ye>_F4u)J3OC?+UQc?+Z`H3+Ouu*L!YSado=rP9$>3^P8TY+jca<} z>+#sPE#E>r=(1^fAg&p>P9GHqJ@mSV8KJ__)>+d;AV*;KU68tjT+!GzElfFrNr;_Y{H1e^Bs410wy+rwf_&TDTx`=@TJXf3o&MJy>LQNq&^otW zvkwlWHQIp?(WR~+gH=z*KBpPLoTWz9HqITA7uf=0O016E!V+=XpEWj@uUr=r2ZLaV znN~H6w?f$kmxgN_5K`;vZy8GJ5MVq98%t_2~H zsWeqcbzCqS#3&6cgp-Z+nKx*y9+u2k^TOytlBYiQ3^Qo=eF_#LHimM5HiNFDnN>yQ z0QD)f1K}1>7)oHO60#>$!tR=`)q$KxpPGVW)1|~p!+XSp^xL^Tma9?H)ppRCY+2Q> zsJ_b!$d|PR&)c?dUXc6&kve^%_e$X)dQEj$G;m>JXpClBm|ocD&@DX+OOC=Og^>11 zxTQYFUB@(DR$yE@c9SE`43;QTUVG4c@kg>VcnI~z0afWG!U97yXBusjM$l2(z;4){ zlm1MQVVk(!nc^@2lH?PJ8P!+OY+~{#vL-@Av^Mp(-gOsCngC6z44@7K+Ig2)AVxiz zLQSj%NLkerM?eYw2stMGLHNlHYV}On1+90F}IGrbteS_S3D4 zslY1h7+=*wW96UaS#2ps05jD#q%(A>@AgfCoDqqlkuKmiiD3U)h0~!8IVXvCtybRC zHUn(*pCBm>d>6ogG&x1zFuv=YeuUCA+iIez0M=8BR*dRs{3%pEL`Fb#qt8y?#S$=` zJ{ZZh2$^RGfa<&KrB?`co;+L+Xh}5VU}mn@N_1S`N=skF&q6Kvc0%vS-Z?^<0~ou+ zxT(%NfW6>Xl9`rI{|Zcu@M6SFLyLK93FzU@b+C+Jc5US(2Zy@_VMwgnZP{tj+@lYH z|03X<`nuT_0H5`AUm+2(Bw{fw?T-{`QK?8xF>00*9=%m)Ak{Jjlj9ab(oDgn(*_=j zhfc6yuiYfogT;`LHA22M>@J%MZ3qOIS|q+y!(?#6@-W@$0-KbyVE# zcN&%(jRTg2RM2GJsD6lvM@8zTRFi5P(qK}Szf7akFMaS--wQ5m@Q7<(Ku2dZqxjY} zdNvHHHR8xM(_^GP;lDEZktbFAI2&*ww->}*T7*o#${az0mn((W7EQ|BwwwZqH zyS51+nP(};4jwZk((t=fnbeT#>HYy8W!@~ z9OR|ChX8xHU_4OL0pLtfL;5FS|MpKCr|Cx!yI4TG0WNl4h!M}B=F&8KO^MdXPLlG3 zYP{dxU{XSrfWcLU3VG|U}mDvml>|Tw6W@WrISIUIPVH5Mbn zgBPW6NEeD1F}9BjyKpJO&S=}{wkYL6iSb#bL|b0RCY?-8v>hn=20RFKLPy|mb_|eM z3cwWr*X-YHUpDc#gL&wj06HOp7e}!0edpxopY@-FtKyf5SHGN`YOnqkl|z-}w2Z$Z zqc8de&uBpY6P|baAr=BT%FsMOk`!V>377`BOnGtSCjmJzVH}I%J%=D8zH>ouyjbu+ z{$Q2Gn3?r6hLTU2r5v>wh}0+WTjZ#8W_r<}4|ikSV9)V51FSlPdrc%CycC_SmJ&ZHQ|kj{aFGh146?aL|#r>hJe0)qlJNVtuK8W>Jp!k zH%nUHJp)!T+K%3`7Y$w2mo9mD6@FWz2;}#{2D}ruB2Xddfm5Q%Zf08D1cc$R2hYJG z<_?n+5FJjS46qrAQ?d}+SchaSNUw}#xa{Q4c|~eed;~a3UVHm1lo1`kVf_7B{39Sz z#FU~7j5F|_us@JgTey!zWfFss)qtKWcuwyWXj0q`A$MWJ+9HABUBLVizoMNB`2Qnb zq9USZrMnwKsuSI^Lb$|xA1@&)Jf9?W^b{5yn;hs48Pa5X(9rg~=sr0I^|GdGg2d?4 zrVXt`E_$NHupt8)4lJVpx&elm*dox2V|zrnj)@i1>sISh?Chl#z>_2uu*C1^A9;oK zzMQum)U76dL**BC4+kL0ZH8Xx#6db+UE0SWR~<>=)C@&r6C#0gOb9P*+6cEq^qNe} zlv;@1(aKcbvJHI%-r1#?;Bo<_V~Aw;V9Jmcqz{ig0cUlanAGi4#jM*gx&%m=yMtyQ zs9KnvdcBvn#!Ncm5)N#?a|ss;2_15L!$(8#fshgJeyO(+ED#Q70u}3CL5>QZ++3j1 zC;7Fh47(yQ{Ts_*x~Pb2bUl;yTHW9$FfOT|)Z>4|k1=$#v2@9+s09Z*IwGEtlOCL# zo_F<{yo^TB?gAB~cJT?jprqeC$Aia(^bLX@tS)j7_2sT5JnpoYBFb5p>QCG3aaUkD zN;R!oY}+t&p#h@h!Joof;awJGw6E(5tr{o`SOi2UwoCzwu4%Dj3k>rv?Rh@Hs2UVi zNqNotagav5)5$GEH3HI|s?r|$GnxuVkh?!>azha|{na*zD(7F=5LKF}k)o5umWKf# zHsn6AeUW&p>iF7$tw!#2`0zn6?q!kC?cC`q{}c8 zk=qWm;{!!ZdKi5S92mBA<_HI7F@wT#mo>w&0fh&cuQ`jzt4XSXK8+L)BNQfCng6i$ zX@iVNBjYpnh{;dYv0AksPHrXmTWPbU#q>+-L-quYGFWx!i7@Q&M=Z2}xUssJU8!5d zGpE0Hfsuin+T?On=0^%@U?pctq;q*n#POZZZIEFoUKmX0nv{o;lVKi z2Klr!pOe;U+CF1(py1kLUoT9hJRu&o!`s2|(Ho0YKQ$2sc)+Ad)wHir7o(tVU8meb zyB%WVq)j)2VJI_0E>2WpNSVKIF&TUh-%L`-)V0jpuVF69b1s%t*Ko(#rmjCWhAr=Q@q7*(U9)^~vv@QJe6#&o^iwWinWlKx`<@ewRZdd8hk-m~ zCTEt_2K4yd=Bom;2RUQpx|(^vRKT9e}b0P6=G3j*D^vcLsC^(mJ(&NdE2;Yj(aC*_k$0^To2d_Bou$4C{4^a2t;b ztI{0Q2G@7fD|luLl&!<++UH}iT#m)O8<;C_3ZMAyXVFvrtSS__Jmy#+73>U{gfRGd zIlYzJBK42d|wX)%kdV3@ON>-3-5vhtj3H)`6xhamn`45$V#= zly$Kra7xxSs3=oITUOGlo1b$aM#6KoBQMH{esZy1-Ta)F`bI6cqODT3e6=hD+*CHx zEk60xB^n zZ$=qM)VzLeoK2CXZ{u#he6ipC+;y(H1^X@pODg{v#mXEE(APx&Z1r9!&My+8A3+vx z{)pHJ&9>d|@-`8Rkq9H%^|ob1w{jdTM>N#V_|7&N$8h!`=LWazWy8bCAK~<%wsV@6 zmF?EBb}@ByhYRfg@f^2bBRlS=jdu*g_RJ8jU!J{F7g$ylMZ2tQr-sR5*l@e@=4*{9 z(lkSRT;on1;LdL7!N#%A?SNL}PCfYcxD18==8y^aIcEs;8gsgkyu7e>mWkgxt>X8_ zw=0pFx;|c1@q2)w91fa^rrSFfvWnmPkngKi+PnxHTgC51j=%JMc-p>|z&dIdOF%d^ zH_v5p$eF6*_uk09R(w%BUvQA1YQsqd#jZe&MQf#@?~!BzZTWavR7{#ss>QUte+ z3}H_>H#fQAmfORh3#PD09aqKgRq=aO{2u#$)Uqnl5v1xY0*;1%Jxs>K@luMTr#Oot zySqP_D^NXF@p~m!7ghXT6~Fh~#@%>P#qa5WS?QD_^;CiKI~n5U!ZGdBe)NES@X0vl z{3xSCwl?LMDAtt2CAIF85qCv*KfWdEkRRZFbq_ZR?i`=bR}XZ?Y&C6%)7#};!U)fw zxuT73#py+$rz(Cg{8aS(6u7Wd$eXvs!N#YO5~9EPLZV1sQ^dUfh=D48uZrJ0`|zUn zU=_c&>p2`H!iofjs?H)*@Q-jZX@qw;)5f9N@;1f8v(*Z4CA@vZB^b4KwU)Q2Ys2eiNyC?pP6+>k>t~9a*dLpeA2*rq>-EC3hvkhoybC$yXm@a*gw#8Pl$3Z+ra}d; z1QoFK(E7SpP(Q@bL3kif8&g#|%5YmiGwu@)mys;wUvFC$zUeTu#p85%H=j*stA&L# zf=QoiZpKcY@j54+`#XQ4@v=hWP28-7t6Kbm<>e88Jgwj=ahWr1Wi+Cg-L$^$B`)R1 z2N+N}0#jC&BQmr01D6fp+bT+0YZc~nAStuYKHSens|g)5I1_)VT+-pa!mtba^Lsd7 z;7HVO?@sC;-_;(F!1cFx^n%VOoc-7P_qArY7|zGv!bNRR1Kz!zgf&rNz1HC-@DcLA zhX9KxG7tZ@ybEj6qZf{9P!vmiwW!_9=BPpTWjtLh2h(9#uf2cIEk$+6ZYDBzC-)dB zhjuzzbW`r6Gquf=-SpXzuWPqV-RW*;MT*FBvH@30a&k^pmW8M_=P6%nHgyJHY7JC& z+zh$pa7r_iYV;`-fvU5JJ%q}Ro8IP3TaFyrG4oYcJOauUA2_o{n!L)>*{|J>8!jh- zyi4Mb@pSatyXt<4X?f14Cc@texauJrJefeI0#+5>XmvideCJaWb6kLSC{nG<1DuDR zX;^cHOz5_k-F~i)rVjq%6vl5P&f{pGAa;<->l}-za}T~-B7j{{XoBMcoqyHk5*drH z;K?ddD^!P5tHY_);Z*i}s%2GVl#{Bn2)|50hf|Bjs0FHy>Rf7xHAQtU^$ng&Rr0B< zGOs$AD)O1Bz5l0}uL1>lbue{fa{ohAubgqU)%tYDZmWZ-4^O|=)cP#~4^lAm%bkWP zP->{NoYle9O@Rr|e#={RF!lAuVpkVSOvlSb&MvfYapnkMTfo6o3LN?|PlS&gal67kXCtZQ z`KYLt3Df7EfXRk)Q^T}{UsTgF9AJ;uf6QO>S_Luk8@f>RvD9Qld-hrd5f49Ap}gYf zn?8~mZ_1l={xlItu_44w^Ih#(!&0oD4&XWDz5NZ6Q>a-=TRAuwJYjkY0U=K`@eswt}=kwX> zAu%4w({hTS1Y^CenBS9s-AW_Z3G@u=TZ+jMD(F|4@}=;&RkzXLt`?bwvS?LYr2678LDFAu z;sj1aO?4ZMbJZ=_xl|z3cuV;TMO1{gAF@{j6SDliP|z>6Ogrg^#NOiekRRjG@=nHy zapq*XE1w%bm5uxrbZ-cJE&4K)Z6REoxLG7@L>SX(HC_hcbfo8U{Rg+>#u<@MWsZFWNi@@f;QpfUdHOBMaISj>iF+y**2DC)JmqUEh`w^xTj zG*PP%mO?}&ag%ajtCS*IZ}(CRI1M;1EVflvmXqN=M>2=&PJ(PcivZ6?MkmavuAN$a z#O;-{A8T~c{tc_@c53@}9)|0NdjF5T|WRxEJI5fCYiyw8f zGZ@Zib)$V{Tzi4r2VRXXl*qB1(t*2YJJxSH{jP7hfoK2Q%C_(mpiFdj-@oSfQ=7$V;`KYO$W z0?$H-cX}nCfKv;g!M8gW#wh6Z+n)6_qcvJCaFF310(AUs5@snugxvhUS@gcg^)AQI zL@nJ?sCl*#uK(D&X%3#KYBnb@PIO}K{SDttGaWu=8!JLW(Jt?aVbnvjao5>SeTV$48pphk9mUTiJZMItW zR*0&56O;0Yz4H5(Qhhpy;dGpSi;!IOIjZpJrJ|&w7RZn2n`bQE?L$@Pvf}kCUJEgXadNq-Nqa#5({}b{yMyS_Vm4LWXX&0qqIkhU`G}t zE@BowPiH@pYb*vxjoG@(cV$l^Q5nx!7QF5@Wks&Fh`5C8i0Nu4jY`Ffc~<4i5+*jk z$uWHB);HUn(FOvj8P7$gnNJ&og5XulY(R5WTeo7@q`^}POk{?tlHk>ay{JvA;zAzg z*wl>~unf|$N`Y6Uz>8wBmB`M~0x!XdKQ7^<&adl_C8hjYePLNgZU-2aAvh~ln7^w>Qwh@V@ps;y;9?RNO*aq!96(9 zUcvIRK<7tww|2CJr?|1;$tqI0Rd;JwY4&0eXBjwW$LfT`t29$jvGSGUHW67|C|D~{ z_`tGREC~_% zcr_m{L!WNK91NFZz>WmUNX95BgmH+{dN%5+yS1ykwRy`UURh%CJo&<^((Dy?GiibH zP9>tN((Jvy+kUGwdsUh}g_b0OP(PF4X_JUA63AC+_TtTnLtx;u%@e`eD$Sl)Bx=bV zyn+hU#@Vw3)!o|4E-Z^Tnm2xqOS2axr`tcQ6h~k(VWm-ZIZ;yCj7pr9^3YUwbP->s zeXYiwdO)VYh7N2=a+KGDxW=72FuWT-EW!!Gc(oi6$3^yPHSW{}90+> zmPnjcUnHWm%C(&lM=O|zT|18O`a%VCk+3u-t~8+-MUp4)33S6@h|^wk=jH~6VSgA{5ZSU-bvbk2b-HDa&8$#y&YUR;Qe+^*5!}o2B;rG@zk3}Y0MD;MWLrRC z4l3okw!$Gj?a>E zW<{S5p{5g9WK+27hTY(3GdRmPhb05~HdurbkLd)k`nn%1@9K^5ElIef22(Nqe<9bw z>B+~R5&_I*A#D`i43K*w7Rx$;*>ELEQ)ZEuha5VI&>Gy2)oHFO=>f8&h|@$HJW5Zw znpm!MW~0?4`~nsAd}q)X7J5KOY7NIIQ;h#$%#=`S-P zlD|op`WsH<3?tEtAv}wJk-W?o#K@3eA1)_Bp`tfgX(ep83)_U7yFAjV61I#Bb8d|CJTZzvjYFUVHMYN-&NGwp`67-~Ns0zokaix`z z-6CX9KAu*1cD_P}fkGE>R%cYsgR(hzo=3>;hG!#*Rit>;?;gTdtbUErG0xib7B9Q? zviI9n9$GlZK1vU5g=C)qB~?PU{9g2D60*9eV-c$PfPrw+{#S}i$$5O3TNd7e`r@=G z=_+fhfa_-9T7lLIv;xFrlPYh!eW7x~vPe!##N>__gwQTh6gP()B}KyWcg=m1G|+a#30&LZ7Mf(>DEzSi zHcr-6F*Bbbcx4#4aAuB}Vh5i?F)W?j!a80$XX~q2yJBqwr6(BU+1Q&bEikoiz#W1) zqG~E;u9z9jyc=jH#yiF@EKvuaOW=dqvHSNBCXVZ=xVYkC63IL5Z7{1H!^W`sa2%5^ zcMq^40=~|io@jR9Se;znVhf6@Vp}jW z>u%b0Wkx*x^s7?9zkshnWZxH*@MX==GZ}k7t87iR97Io=ha)We8uun z+KvQ+JUiQy^OP5o?u9*ExNFr_OkOd0#N@mAWCbG@hF-|0%2fs;;g<-`J)F7q`YP_O zxI5zR-F&r@6^~(WScc-9yQpg({<#Vl%Y*ID{OT%86dC)%c6d7&K6>MO-7WpfLLhce zHO9#k5=>Pln>3$KwTzFkOy6C`=T{mte`JbCW1y1wuTkPZ`4WiOwKF_9h@19~Y zB#e}5K~y>cH#AUE+Zi@$SukRMOP*y;u*wTA3e6PV&uy8%$YRByRb1(WN+;wjf#tbrpJ1j4=ZVuv?_~MCpg+1Xqk__|A z5@pYk5iIk}BQu!b{*6H}9nTi00TP!W-^OzZ8qTS|Ua)l0rJ8Nmdh^*3SBcGVy;7m# z<(F;xr_AC-XrrePFYAZpKU(TyT_lxugjVEr4B{r6=UY8{3KxUZG1fZa{cQeN>kJ+q z!V&(V+jk0HSL<Jaq~5GJ=(L4(to}kNv+j8H)?jTV8&Xn>S6&dF0y^Tf!R~r#p2t8bNd-pT#|!kQ@RtG?)rez z#jutHSv%ABCi1C^T+q${7Kgfu3X$`b3EIa z#LmF==6Wvf(LXE{{H(W9*$GyvkrB4~lMTfV>bg|J5$onB8ct*cUvhj9BH zI~McsVK!f0O07iO5Ve1tVwEJHV?$y!9V7729?|Z}%{ZKYI{T1$^tZDQ))YD!`v5N2 I`{{509}o0CN&o-= literal 0 HcmV?d00001 diff --git a/Top/software/semafor/RUN_ON_HDL_SIMULATOR_ONLY_semafor.map b/Top/software/semafor/RUN_ON_HDL_SIMULATOR_ONLY_semafor.map new file mode 100644 index 0000000..1524a94 --- /dev/null +++ b/Top/software/semafor/RUN_ON_HDL_SIMULATOR_ONLY_semafor.map @@ -0,0 +1,1645 @@ +Archive member included to satisfy reference by file (symbol) + +c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) + obj/default/sem.o (puts) +c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) (strlen) +c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) (__sinit) +c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) (__sfvwrite_r) +c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) (_fwalk) +c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) (_global_impure_ptr) +c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) (_malloc_r) +c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) (memchr) +c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) (memcpy) +c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) (memmove) +c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) (memset) +c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) (_realloc_r) +c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) (_sbrk_r) +c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) (__sread) +c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) (_write_r) +c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) (__swsetup_r) +c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(closer.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) (_close_r) +c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) (_fclose_r) +c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) (__sflush_r) +c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) (_free_r) +c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) (errno) +c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) (_lseek_r) +c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) (__smakebuf_r) +c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) (_read_r) +c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) (_fstat_r) +c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) (_isatty_r) +c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-divmod.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) (__divsi3) +c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-mul.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) (__mulsi3) +../semafor_bsp/\libhal_bsp.a(alt_close.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(closer.o) (close) +../semafor_bsp/\libhal_bsp.a(alt_dcache_flush.o) + obj/default/sem.o (alt_dcache_flush) +../semafor_bsp/\libhal_bsp.a(alt_dev.o) + ../semafor_bsp/\libhal_bsp.a(alt_close.o) (alt_fd_list) +../semafor_bsp/\libhal_bsp.a(alt_errno.o) + ../semafor_bsp/\libhal_bsp.a(alt_close.o) (alt_errno) +../semafor_bsp/\libhal_bsp.a(alt_fstat.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) (fstat) +../semafor_bsp/\libhal_bsp.a(alt_isatty.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) (isatty) +../semafor_bsp/\libhal_bsp.a(alt_lseek.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) (lseek) +../semafor_bsp/\libhal_bsp.a(alt_main.o) + ../semafor_bsp//obj/HAL/src/crt0.o (alt_main) +../semafor_bsp/\libhal_bsp.a(alt_malloc_lock.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) (__malloc_lock) +../semafor_bsp/\libhal_bsp.a(alt_read.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) (read) +../semafor_bsp/\libhal_bsp.a(alt_release_fd.o) + ../semafor_bsp/\libhal_bsp.a(alt_close.o) (alt_release_fd) +../semafor_bsp/\libhal_bsp.a(alt_sbrk.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) (sbrk) +../semafor_bsp/\libhal_bsp.a(alt_write.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) (write) +../semafor_bsp/\libhal_bsp.a(alt_sys_init.o) + ../semafor_bsp/\libhal_bsp.a(alt_main.o) (alt_irq_init) +../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + ../semafor_bsp/\libhal_bsp.a(alt_sys_init.o) (altera_avalon_jtag_uart_read_fd) +../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + ../semafor_bsp/\libhal_bsp.a(alt_sys_init.o) (altera_avalon_jtag_uart_init) +../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) (altera_avalon_jtag_uart_ioctl) +../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) (altera_avalon_jtag_uart_read) +../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) (altera_avalon_jtag_uart_write) +../semafor_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) + ../semafor_bsp/\libhal_bsp.a(alt_sys_init.o) (alt_avalon_timer_sc_init) +../semafor_bsp/\libhal_bsp.a(alt_alarm_start.o) + ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) (alt_alarm_start) +../semafor_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + ../semafor_bsp/\libhal_bsp.a(alt_sys_init.o) (alt_dev_llist_insert) +../semafor_bsp/\libhal_bsp.a(alt_do_ctors.o) + ../semafor_bsp/\libhal_bsp.a(alt_main.o) (_do_ctors) +../semafor_bsp/\libhal_bsp.a(alt_do_dtors.o) + ../semafor_bsp/\libhal_bsp.a(alt_main.o) (_do_dtors) +../semafor_bsp/\libhal_bsp.a(alt_iic.o) + ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) (alt_ic_isr_register) +../semafor_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + ../semafor_bsp/\libhal_bsp.a(alt_iic.o) (alt_iic_isr_register) +../semafor_bsp/\libhal_bsp.a(alt_io_redirect.o) + ../semafor_bsp/\libhal_bsp.a(alt_main.o) (alt_io_redirect) +../semafor_bsp/\libhal_bsp.a(alt_irq_entry.o) + ../semafor_bsp/\libhal_bsp.a(alt_iic_isr_register.o) (alt_irq_entry) +../semafor_bsp/\libhal_bsp.a(alt_irq_handler.o) + ../semafor_bsp/\libhal_bsp.a(alt_iic_isr_register.o) (alt_irq) +../semafor_bsp/\libhal_bsp.a(alt_irq_vars.o) + ../semafor_bsp/\libhal_bsp.a(alt_iic.o) (alt_irq_active) +../semafor_bsp/\libhal_bsp.a(alt_open.o) + ../semafor_bsp/\libhal_bsp.a(alt_io_redirect.o) (open) +../semafor_bsp/\libhal_bsp.a(alt_tick.o) + ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) (_alt_tick_rate) +../semafor_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) + ../semafor_bsp/\libhal_bsp.a(alt_sys_init.o) (altera_nios2_gen2_irq_init) +../semafor_bsp/\libhal_bsp.a(alt_exception_entry.o) + ../semafor_bsp/\libhal_bsp.a(alt_irq_entry.o) (alt_exception) +../semafor_bsp/\libhal_bsp.a(alt_find_dev.o) + ../semafor_bsp/\libhal_bsp.a(alt_open.o) (alt_find_dev) +../semafor_bsp/\libhal_bsp.a(alt_find_file.o) + ../semafor_bsp/\libhal_bsp.a(alt_open.o) (alt_find_file) +../semafor_bsp/\libhal_bsp.a(alt_get_fd.o) + ../semafor_bsp/\libhal_bsp.a(alt_open.o) (alt_get_fd) +../semafor_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) + ../semafor_bsp/\libhal_bsp.a(alt_exception_entry.o) (alt_instruction_exception_entry) +c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + ../semafor_bsp/\libhal_bsp.a(alt_main.o) (atexit) +c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) + ../semafor_bsp/\libhal_bsp.a(alt_main.o) (exit) +c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) + ../semafor_bsp/\libhal_bsp.a(alt_find_dev.o) (memcmp) +c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) (__register_exitproc) +c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) (__call_exitprocs) +../semafor_bsp/\libhal_bsp.a(alt_exit.o) + c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) (_exit) + +Allocating common symbols +Common symbol size file + +alt_irq 0x100 ../semafor_bsp/\libhal_bsp.a(alt_irq_handler.o) +errno 0x4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) + +Memory Configuration + +Name Origin Length Attributes +reset 0x0000000000000000 0x0000000000000020 +mem 0x0000000000000020 0x000000000001ffe0 +*default* 0x0000000000000000 0xffffffffffffffff + +Linker script and memory map + +LOAD ../semafor_bsp//obj/HAL/src/crt0.o +LOAD obj/default/sem.o +LOAD c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libstdc++.a +LOAD c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libm.a +LOAD c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a +START GROUP +LOAD c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a +LOAD c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a +LOAD ../semafor_bsp/\libhal_bsp.a +LOAD c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libm.a +END GROUP +LOAD c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a + 0x0000000000000000 __alt_mem_mem = 0x0 + +.entry 0x0000000000000000 0x20 + *(.entry) + .entry 0x0000000000000000 0x20 ../semafor_bsp//obj/HAL/src/crt0.o + 0x0000000000000000 __reset + +.exceptions 0x0000000000000020 0x210 + [!provide] PROVIDE (__ram_exceptions_start, ABSOLUTE (.)) + 0x0000000000000020 . = ALIGN (0x20) + *(.irq) + *(.exceptions.entry.label) + .exceptions.entry.label + 0x0000000000000020 0x0 ../semafor_bsp/\libhal_bsp.a(alt_irq_entry.o) + 0x0000000000000020 alt_irq_entry + .exceptions.entry.label + 0x0000000000000020 0x0 ../semafor_bsp/\libhal_bsp.a(alt_exception_entry.o) + 0x0000000000000020 alt_exception + *(.exceptions.entry.user) + *(.exceptions.entry.ecc_fatal) + *(.exceptions.entry) + .exceptions.entry + 0x0000000000000020 0x54 ../semafor_bsp/\libhal_bsp.a(alt_exception_entry.o) + *(.exceptions.irqtest.user) + *(.exceptions.irqtest) + .exceptions.irqtest + 0x0000000000000074 0x10 ../semafor_bsp/\libhal_bsp.a(alt_irq_entry.o) + *(.exceptions.irqhandler.user) + *(.exceptions.irqhandler) + .exceptions.irqhandler + 0x0000000000000084 0x4 ../semafor_bsp/\libhal_bsp.a(alt_irq_entry.o) + *(.exceptions.irqreturn.user) + *(.exceptions.irqreturn) + .exceptions.irqreturn + 0x0000000000000088 0x4 ../semafor_bsp/\libhal_bsp.a(alt_irq_entry.o) + *(.exceptions.notirq.label) + .exceptions.notirq.label + 0x000000000000008c 0x0 ../semafor_bsp/\libhal_bsp.a(alt_irq_entry.o) + *(.exceptions.notirq.user) + *(.exceptions.notirq) + .exceptions.notirq + 0x000000000000008c 0x8 ../semafor_bsp/\libhal_bsp.a(alt_exception_entry.o) + *(.exceptions.soft.user) + *(.exceptions.soft) + *(.exceptions.unknown.user) + *(.exceptions.unknown) + .exceptions.unknown + 0x0000000000000094 0x14 ../semafor_bsp/\libhal_bsp.a(alt_exception_entry.o) + *(.exceptions.exit.label) + .exceptions.exit.label + 0x00000000000000a8 0x0 ../semafor_bsp/\libhal_bsp.a(alt_irq_entry.o) + .exceptions.exit.label + 0x00000000000000a8 0x0 ../semafor_bsp/\libhal_bsp.a(alt_exception_entry.o) + *(.exceptions.exit.user) + *(.exceptions.exit) + .exceptions.exit + 0x00000000000000a8 0x54 ../semafor_bsp/\libhal_bsp.a(alt_exception_entry.o) + *(.exceptions) + .exceptions 0x00000000000000fc 0xd4 ../semafor_bsp/\libhal_bsp.a(alt_irq_handler.o) + 0x00000000000000fc alt_irq_handler + .exceptions 0x00000000000001d0 0x60 ../semafor_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) + 0x00000000000001d0 alt_instruction_exception_entry + [!provide] PROVIDE (__ram_exceptions_end, ABSOLUTE (.)) + [!provide] PROVIDE (__flash_exceptions_start, LOADADDR (.exceptions)) + +.text 0x0000000000000230 0x55a8 + [!provide] PROVIDE (stext, ABSOLUTE (.)) + *(.interp) + *(.hash) + *(.dynsym) + *(.dynstr) + *(.gnu.version) + *(.gnu.version_d) + *(.gnu.version_r) + *(.rel.init) + *(.rela.init) + *(.rel.text .rel.text.* .rel.gnu.linkonce.t.*) + *(.rela.text .rela.text.* .rela.gnu.linkonce.t.*) + *(.rel.fini) + *(.rela.fini) + *(.rel.rodata .rel.rodata.* .rel.gnu.linkonce.r.*) + *(.rela.rodata .rela.rodata.* .rela.gnu.linkonce.r.*) + *(.rel.data .rel.data.* .rel.gnu.linkonce.d.*) + *(.rela.data .rela.data.* .rela.gnu.linkonce.d.*) + *(.rel.tdata .rel.tdata.* .rel.gnu.linkonce.td.*) + *(.rela.tdata .rela.tdata.* .rela.gnu.linkonce.td.*) + *(.rel.tbss .rel.tbss.* .rel.gnu.linkonce.tb.*) + *(.rela.tbss .rela.tbss.* .rela.gnu.linkonce.tb.*) + *(.rel.ctors) + *(.rela.ctors) + *(.rel.dtors) + *(.rela.dtors) + *(.rel.got) + *(.rela.got) + *(.rel.sdata .rel.sdata.* .rel.gnu.linkonce.s.*) + *(.rela.sdata .rela.sdata.* .rela.gnu.linkonce.s.*) + *(.rel.sbss .rel.sbss.* .rel.gnu.linkonce.sb.*) + *(.rela.sbss .rela.sbss.* .rela.gnu.linkonce.sb.*) + *(.rel.sdata2 .rel.sdata2.* .rel.gnu.linkonce.s2.*) + *(.rela.sdata2 .rela.sdata2.* .rela.gnu.linkonce.s2.*) + *(.rel.sbss2 .rel.sbss2.* .rel.gnu.linkonce.sb2.*) + *(.rela.sbss2 .rela.sbss2.* .rela.gnu.linkonce.sb2.*) + *(.rel.bss .rel.bss.* .rel.gnu.linkonce.b.*) + *(.rela.bss .rela.bss.* .rela.gnu.linkonce.b.*) + *(.rel.plt) + *(.rela.plt) + *(.rel.dyn) + *(.init) + *(.plt) + *(.text .stub .text.* .gnu.linkonce.t.*) + .text 0x0000000000000230 0x18 ../semafor_bsp//obj/HAL/src/crt0.o + 0x0000000000000230 _start + .text 0x0000000000000248 0xe8 obj/default/sem.o + 0x0000000000000248 main + .text 0x0000000000000330 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) + .text._puts_r 0x0000000000000330 0xc0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) + 0x0000000000000330 _puts_r + .text.puts 0x00000000000003f0 0x14 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) + 0x00000000000003f0 puts + .text 0x0000000000000404 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) + .text.strlen 0x0000000000000404 0x98 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) + 0x0000000000000404 strlen + .text 0x000000000000049c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + .text.__fp_unlock + 0x000000000000049c 0x8 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + .text._cleanup_r + 0x00000000000004a4 0xc c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + 0x00000000000004a4 _cleanup_r + .text.__sinit.part.1 + 0x00000000000004b0 0x19c c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + .text.__fp_lock + 0x000000000000064c 0x8 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + .text.__sfmoreglue + 0x0000000000000654 0x78 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + 0x0000000000000654 __sfmoreglue + .text.__sfp 0x00000000000006cc 0x118 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + 0x00000000000006cc __sfp + .text._cleanup + 0x00000000000007e4 0x18 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + 0x00000000000007e4 _cleanup + .text.__sinit 0x00000000000007fc 0x10 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + 0x00000000000007fc __sinit + .text.__sfp_lock_acquire + 0x000000000000080c 0x4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + 0x000000000000080c __sfp_lock_acquire + .text.__sfp_lock_release + 0x0000000000000810 0x4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + 0x0000000000000810 __sfp_lock_release + .text.__sinit_lock_acquire + 0x0000000000000814 0x4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + 0x0000000000000814 __sinit_lock_acquire + .text.__sinit_lock_release + 0x0000000000000818 0x4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + 0x0000000000000818 __sinit_lock_release + .text.__fp_lock_all + 0x000000000000081c 0x18 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + 0x000000000000081c __fp_lock_all + .text.__fp_unlock_all + 0x0000000000000834 0x18 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + 0x0000000000000834 __fp_unlock_all + .text 0x000000000000084c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + .text.__sfvwrite_r + 0x000000000000084c 0x4c8 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + 0x000000000000084c __sfvwrite_r + .text 0x0000000000000d14 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + .text._fwalk 0x0000000000000d14 0xc4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + 0x0000000000000d14 _fwalk + .text._fwalk_reent + 0x0000000000000dd8 0xc4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + 0x0000000000000dd8 _fwalk_reent + .text 0x0000000000000e9c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + .text 0x0000000000000e9c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + .text._malloc_r + 0x0000000000000e9c 0x80c c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + 0x0000000000000e9c _malloc_r + .text 0x00000000000016a8 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) + .text.memchr 0x00000000000016a8 0xe4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) + 0x00000000000016a8 memchr + .text 0x000000000000178c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) + .text.memcpy 0x000000000000178c 0x148 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) + 0x000000000000178c memcpy + .text 0x00000000000018d4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) + .text.memmove 0x00000000000018d4 0x15c c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) + 0x00000000000018d4 memmove + .text 0x0000000000001a30 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) + .text.memset 0x0000000000001a30 0x128 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) + 0x0000000000001a30 memset + .text 0x0000000000001b58 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + .text._realloc_r + 0x0000000000001b58 0x564 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + 0x0000000000001b58 _realloc_r + .text 0x00000000000020bc 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) + .text._sbrk_r 0x00000000000020bc 0x54 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) + 0x00000000000020bc _sbrk_r + .text 0x0000000000002110 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + .text.__sread 0x0000000000002110 0x54 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + 0x0000000000002110 __sread + .text.__seofread + 0x0000000000002164 0x8 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + 0x0000000000002164 __seofread + .text.__swrite + 0x000000000000216c 0x7c c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + 0x000000000000216c __swrite + .text.__sseek 0x00000000000021e8 0x5c c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + 0x00000000000021e8 __sseek + .text.__sclose + 0x0000000000002244 0x8 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + 0x0000000000002244 __sclose + .text 0x000000000000224c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) + .text._write_r + 0x000000000000224c 0x60 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) + 0x000000000000224c _write_r + .text 0x00000000000022ac 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) + .text.__swsetup_r + 0x00000000000022ac 0x154 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) + 0x00000000000022ac __swsetup_r + .text 0x0000000000002400 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(closer.o) + .text._close_r + 0x0000000000002400 0x54 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(closer.o) + 0x0000000000002400 _close_r + .text 0x0000000000002454 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + .text._fclose_r + 0x0000000000002454 0xf0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + 0x0000000000002454 _fclose_r + .text.fclose 0x0000000000002544 0x14 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + 0x0000000000002544 fclose + .text 0x0000000000002558 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + .text.__sflush_r + 0x0000000000002558 0x21c c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + 0x0000000000002558 __sflush_r + .text._fflush_r + 0x0000000000002774 0x5c c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + 0x0000000000002774 _fflush_r + .text.fflush 0x00000000000027d0 0x30 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + 0x00000000000027d0 fflush + .text 0x0000000000002800 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + .text._malloc_trim_r + 0x0000000000002800 0x124 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + 0x0000000000002800 _malloc_trim_r + .text._free_r 0x0000000000002924 0x310 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + 0x0000000000002924 _free_r + .text 0x0000000000002c34 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) + .text 0x0000000000002c34 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) + .text._lseek_r + 0x0000000000002c34 0x60 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) + 0x0000000000002c34 _lseek_r + .text 0x0000000000002c94 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) + .text.__smakebuf_r + 0x0000000000002c94 0x1bc c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) + 0x0000000000002c94 __smakebuf_r + .text 0x0000000000002e50 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) + .text._read_r 0x0000000000002e50 0x60 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) + 0x0000000000002e50 _read_r + .text 0x0000000000002eb0 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) + .text._fstat_r + 0x0000000000002eb0 0x5c c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) + 0x0000000000002eb0 _fstat_r + .text 0x0000000000002f0c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) + .text._isatty_r + 0x0000000000002f0c 0x54 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) + 0x0000000000002f0c _isatty_r + .text 0x0000000000002f60 0x1b4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-divmod.o) + 0x0000000000002f60 __divsi3 + 0x0000000000002fe4 __modsi3 + 0x0000000000003058 __udivsi3 + 0x00000000000030bc __umodsi3 + .text 0x0000000000003114 0x28 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-mul.o) + 0x0000000000003114 __mulsi3 + .text 0x000000000000313c 0x114 ../semafor_bsp/\libhal_bsp.a(alt_close.o) + 0x0000000000003178 close + .text 0x0000000000003250 0x28 ../semafor_bsp/\libhal_bsp.a(alt_dcache_flush.o) + 0x0000000000003250 alt_dcache_flush + .text 0x0000000000003278 0x2c ../semafor_bsp/\libhal_bsp.a(alt_dev.o) + .text 0x00000000000032a4 0x0 ../semafor_bsp/\libhal_bsp.a(alt_errno.o) + .text 0x00000000000032a4 0xf4 ../semafor_bsp/\libhal_bsp.a(alt_fstat.o) + 0x00000000000032e0 fstat + .text 0x0000000000003398 0xe8 ../semafor_bsp/\libhal_bsp.a(alt_isatty.o) + 0x00000000000033d4 isatty + .text 0x0000000000003480 0x118 ../semafor_bsp/\libhal_bsp.a(alt_lseek.o) + 0x00000000000034bc lseek + .text 0x0000000000003598 0x7c ../semafor_bsp/\libhal_bsp.a(alt_main.o) + 0x0000000000003598 alt_main + .text 0x0000000000003614 0x48 ../semafor_bsp/\libhal_bsp.a(alt_malloc_lock.o) + 0x0000000000003614 __malloc_lock + 0x0000000000003638 __malloc_unlock + .text 0x000000000000365c 0x140 ../semafor_bsp/\libhal_bsp.a(alt_read.o) + 0x0000000000003698 read + .text 0x000000000000379c 0x84 ../semafor_bsp/\libhal_bsp.a(alt_release_fd.o) + 0x000000000000379c alt_release_fd + .text 0x0000000000003820 0xb0 ../semafor_bsp/\libhal_bsp.a(alt_sbrk.o) + 0x0000000000003820 sbrk + .text 0x00000000000038d0 0x13c ../semafor_bsp/\libhal_bsp.a(alt_write.o) + 0x000000000000390c write + .text 0x0000000000003a0c 0xcc ../semafor_bsp/\libhal_bsp.a(alt_sys_init.o) + 0x0000000000003a40 alt_irq_init + 0x0000000000003a78 alt_sys_init + .text 0x0000000000003ad8 0x164 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + 0x0000000000003ad8 altera_avalon_jtag_uart_read_fd + 0x0000000000003b38 altera_avalon_jtag_uart_write_fd + 0x0000000000003b98 altera_avalon_jtag_uart_close_fd + 0x0000000000003be8 altera_avalon_jtag_uart_ioctl_fd + .text 0x0000000000003c3c 0x3d4 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + 0x0000000000003c3c altera_avalon_jtag_uart_init + 0x0000000000003fa8 altera_avalon_jtag_uart_close + .text 0x0000000000004010 0xf0 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + 0x0000000000004010 altera_avalon_jtag_uart_ioctl + .text 0x0000000000004100 0x21c ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + 0x0000000000004100 altera_avalon_jtag_uart_read + .text 0x000000000000431c 0x224 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + 0x000000000000431c altera_avalon_jtag_uart_write + .text 0x0000000000004540 0xf4 ../semafor_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) + 0x00000000000045b8 alt_avalon_timer_sc_init + .text 0x0000000000004634 0x12c ../semafor_bsp/\libhal_bsp.a(alt_alarm_start.o) + 0x0000000000004634 alt_alarm_start + .text 0x0000000000004760 0xe0 ../semafor_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + 0x000000000000479c alt_dev_llist_insert + .text 0x0000000000004840 0x60 ../semafor_bsp/\libhal_bsp.a(alt_do_ctors.o) + 0x0000000000004840 _do_ctors + .text 0x00000000000048a0 0x60 ../semafor_bsp/\libhal_bsp.a(alt_do_dtors.o) + 0x00000000000048a0 _do_dtors + .text 0x0000000000004900 0x1b0 ../semafor_bsp/\libhal_bsp.a(alt_iic.o) + 0x0000000000004900 alt_ic_isr_register + 0x0000000000004950 alt_ic_irq_enable + 0x00000000000049d8 alt_ic_irq_disable + 0x0000000000004a64 alt_ic_irq_enabled + .text 0x0000000000004ab0 0xf0 ../semafor_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + 0x0000000000004ab0 alt_iic_isr_register + .text 0x0000000000004ba0 0x160 ../semafor_bsp/\libhal_bsp.a(alt_io_redirect.o) + 0x0000000000004c84 alt_io_redirect + .text 0x0000000000004d00 0x0 ../semafor_bsp/\libhal_bsp.a(alt_irq_entry.o) + .text 0x0000000000004d00 0x0 ../semafor_bsp/\libhal_bsp.a(alt_irq_handler.o) + .text 0x0000000000004d00 0x0 ../semafor_bsp/\libhal_bsp.a(alt_irq_vars.o) + .text 0x0000000000004d00 0x284 ../semafor_bsp/\libhal_bsp.a(alt_open.o) + 0x0000000000004e28 open + .text 0x0000000000004f84 0x1a4 ../semafor_bsp/\libhal_bsp.a(alt_tick.o) + 0x0000000000004f84 alt_alarm_stop + 0x0000000000005020 alt_tick + .text 0x0000000000005128 0x24 ../semafor_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) + 0x0000000000005128 altera_nios2_gen2_irq_init + .text 0x000000000000514c 0x0 ../semafor_bsp/\libhal_bsp.a(alt_exception_entry.o) + .text 0x000000000000514c 0x90 ../semafor_bsp/\libhal_bsp.a(alt_find_dev.o) + 0x000000000000514c alt_find_dev + .text 0x00000000000051dc 0x108 ../semafor_bsp/\libhal_bsp.a(alt_find_file.o) + 0x00000000000051dc alt_find_file + .text 0x00000000000052e4 0xc4 ../semafor_bsp/\libhal_bsp.a(alt_get_fd.o) + 0x00000000000052e4 alt_get_fd + .text 0x00000000000053a8 0x9c ../semafor_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) + 0x00000000000053a8 alt_exception_cause_generated_bad_addr + .text 0x0000000000005444 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + .text.atexit 0x0000000000005444 0x14 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + 0x0000000000005444 atexit + .text 0x0000000000005458 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) + .text.exit 0x0000000000005458 0x38 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) + 0x0000000000005458 exit + .text 0x0000000000005490 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) + .text.memcmp 0x0000000000005490 0x7c c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) + 0x0000000000005490 memcmp + .text 0x000000000000550c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) + .text.__register_exitproc + 0x000000000000550c 0x118 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) + 0x000000000000550c __register_exitproc + .text 0x0000000000005624 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + .text.__call_exitprocs + 0x0000000000005624 0x180 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + 0x0000000000005624 __call_exitprocs + .text 0x00000000000057a4 0x34 ../semafor_bsp/\libhal_bsp.a(alt_exit.o) + 0x00000000000057a4 _exit + *(.gnu.warning.*) + *(.fini) + [!provide] PROVIDE (__etext, ABSOLUTE (.)) + [!provide] PROVIDE (_etext, ABSOLUTE (.)) + [!provide] PROVIDE (etext, ABSOLUTE (.)) + *(.eh_frame_hdr) + 0x00000000000057d8 . = ALIGN (0x4) + [!provide] PROVIDE (__preinit_array_start, ABSOLUTE (.)) + *(.preinit_array) + [!provide] PROVIDE (__preinit_array_end, ABSOLUTE (.)) + [!provide] PROVIDE (__init_array_start, ABSOLUTE (.)) + *(.init_array) + [!provide] PROVIDE (__init_array_end, ABSOLUTE (.)) + [!provide] PROVIDE (__fini_array_start, ABSOLUTE (.)) + *(.fini_array) + [!provide] PROVIDE (__fini_array_end, ABSOLUTE (.)) + *(.eh_frame) + *(.gcc_except_table .gcc_except_table.*) + *(.dynamic) + 0x00000000000057d8 PROVIDE (__CTOR_LIST__, ABSOLUTE (.)) + *(.ctors) + *(SORT(.ctors.*)) + 0x00000000000057d8 PROVIDE (__CTOR_END__, ABSOLUTE (.)) + 0x00000000000057d8 PROVIDE (__DTOR_LIST__, ABSOLUTE (.)) + *(.dtors) + *(SORT(.dtors.*)) + 0x00000000000057d8 PROVIDE (__DTOR_END__, ABSOLUTE (.)) + *(.jcr) + 0x00000000000057d8 . = ALIGN (0x4) + +.rodata 0x00000000000057d8 0x4c + [!provide] PROVIDE (__ram_rodata_start, ABSOLUTE (.)) + 0x00000000000057d8 . = ALIGN (0x4) + *(.rodata .rodata.* .gnu.linkonce.r.*) + .rodata 0x00000000000057d8 0x16 obj/default/sem.o + 0x00000000000057d8 divisors + *fill* 0x00000000000057ee 0x2 + .rodata.str1.4 + 0x00000000000057f0 0x2 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) + *fill* 0x00000000000057f2 0x2 + .rodata.str1.4 + 0x00000000000057f4 0x4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + 0x2 (size before relaxing) + .rodata 0x00000000000057f8 0xa ../semafor_bsp/\libhal_bsp.a(alt_dev.o) + *fill* 0x0000000000005802 0x2 + .rodata 0x0000000000005804 0xf ../semafor_bsp/\libhal_bsp.a(alt_main.o) + *fill* 0x0000000000005813 0x1 + .rodata 0x0000000000005814 0xf ../semafor_bsp/\libhal_bsp.a(alt_sys_init.o) + *(.rodata1) + 0x0000000000005824 . = ALIGN (0x4) + *fill* 0x0000000000005823 0x1 + [!provide] PROVIDE (__ram_rodata_end, ABSOLUTE (.)) + [!provide] PROVIDE (__flash_rodata_start, LOADADDR (.rodata)) + +.rwdata 0x0000000000005824 0x1a6c + [!provide] PROVIDE (__ram_rwdata_start, ABSOLUTE (.)) + 0x0000000000005824 . = ALIGN (0x4) + *(.got.plt) + *(.got) + *(.data1) + *(.data .data.* .gnu.linkonce.d.*) + .data 0x0000000000005824 0x0 ../semafor_bsp//obj/HAL/src/crt0.o + .data 0x0000000000005824 0x0 obj/default/sem.o + .data 0x0000000000005824 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) + .data 0x0000000000005824 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) + .data 0x0000000000005824 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + .data 0x0000000000005824 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + .data 0x0000000000005824 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + .data 0x0000000000005824 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + .data.impure_data + 0x0000000000005824 0x424 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + .data 0x0000000000005c48 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + .data.__malloc_av_ + 0x0000000000005c48 0x408 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + 0x0000000000005c48 __malloc_av_ + .data 0x0000000000006050 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) + .data 0x0000000000006050 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) + .data 0x0000000000006050 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) + .data 0x0000000000006050 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) + .data 0x0000000000006050 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + .data 0x0000000000006050 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) + .data 0x0000000000006050 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + .data 0x0000000000006050 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) + .data 0x0000000000006050 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) + .data 0x0000000000006050 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(closer.o) + .data 0x0000000000006050 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + .data 0x0000000000006050 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + .data 0x0000000000006050 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + .data 0x0000000000006050 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) + .data 0x0000000000006050 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) + .data 0x0000000000006050 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) + .data 0x0000000000006050 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) + .data 0x0000000000006050 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) + .data 0x0000000000006050 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) + .data 0x0000000000006050 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-divmod.o) + .data 0x0000000000006050 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-mul.o) + .data 0x0000000000006050 0x0 ../semafor_bsp/\libhal_bsp.a(alt_close.o) + .data 0x0000000000006050 0x0 ../semafor_bsp/\libhal_bsp.a(alt_dcache_flush.o) + .data 0x0000000000006050 0x1a8 ../semafor_bsp/\libhal_bsp.a(alt_dev.o) + 0x0000000000006050 alt_dev_null + 0x0000000000006078 alt_fd_list + .data 0x00000000000061f8 0x0 ../semafor_bsp/\libhal_bsp.a(alt_errno.o) + .data 0x00000000000061f8 0x0 ../semafor_bsp/\libhal_bsp.a(alt_fstat.o) + .data 0x00000000000061f8 0x0 ../semafor_bsp/\libhal_bsp.a(alt_isatty.o) + .data 0x00000000000061f8 0x0 ../semafor_bsp/\libhal_bsp.a(alt_lseek.o) + .data 0x00000000000061f8 0x0 ../semafor_bsp/\libhal_bsp.a(alt_main.o) + .data 0x00000000000061f8 0x0 ../semafor_bsp/\libhal_bsp.a(alt_malloc_lock.o) + .data 0x00000000000061f8 0x0 ../semafor_bsp/\libhal_bsp.a(alt_read.o) + .data 0x00000000000061f8 0x0 ../semafor_bsp/\libhal_bsp.a(alt_release_fd.o) + .data 0x00000000000061f8 0x0 ../semafor_bsp/\libhal_bsp.a(alt_sbrk.o) + .data 0x00000000000061f8 0x0 ../semafor_bsp/\libhal_bsp.a(alt_write.o) + .data 0x00000000000061f8 0x1060 ../semafor_bsp/\libhal_bsp.a(alt_sys_init.o) + .data 0x0000000000007258 0x0 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .data 0x0000000000007258 0x0 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .data 0x0000000000007258 0x0 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .data 0x0000000000007258 0x0 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .data 0x0000000000007258 0x0 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .data 0x0000000000007258 0x0 ../semafor_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) + .data 0x0000000000007258 0x0 ../semafor_bsp/\libhal_bsp.a(alt_alarm_start.o) + .data 0x0000000000007258 0x0 ../semafor_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + .data 0x0000000000007258 0x0 ../semafor_bsp/\libhal_bsp.a(alt_do_ctors.o) + .data 0x0000000000007258 0x0 ../semafor_bsp/\libhal_bsp.a(alt_do_dtors.o) + .data 0x0000000000007258 0x0 ../semafor_bsp/\libhal_bsp.a(alt_iic.o) + .data 0x0000000000007258 0x0 ../semafor_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + .data 0x0000000000007258 0x0 ../semafor_bsp/\libhal_bsp.a(alt_io_redirect.o) + .data 0x0000000000007258 0x0 ../semafor_bsp/\libhal_bsp.a(alt_irq_entry.o) + .data 0x0000000000007258 0x0 ../semafor_bsp/\libhal_bsp.a(alt_irq_handler.o) + .data 0x0000000000007258 0x0 ../semafor_bsp/\libhal_bsp.a(alt_irq_vars.o) + .data 0x0000000000007258 0x0 ../semafor_bsp/\libhal_bsp.a(alt_open.o) + .data 0x0000000000007258 0x0 ../semafor_bsp/\libhal_bsp.a(alt_tick.o) + .data 0x0000000000007258 0x0 ../semafor_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) + .data 0x0000000000007258 0x0 ../semafor_bsp/\libhal_bsp.a(alt_exception_entry.o) + .data 0x0000000000007258 0x0 ../semafor_bsp/\libhal_bsp.a(alt_find_dev.o) + .data 0x0000000000007258 0x0 ../semafor_bsp/\libhal_bsp.a(alt_find_file.o) + .data 0x0000000000007258 0x0 ../semafor_bsp/\libhal_bsp.a(alt_get_fd.o) + .data 0x0000000000007258 0x0 ../semafor_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) + .data 0x0000000000007258 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + .data 0x0000000000007258 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) + .data 0x0000000000007258 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) + .data 0x0000000000007258 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) + .data 0x0000000000007258 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + .data 0x0000000000007258 0x0 ../semafor_bsp/\libhal_bsp.a(alt_exit.o) + 0x000000000000f258 _gp = ABSOLUTE ((. + 0x8000)) + [!provide] PROVIDE (gp, _gp) + *(.rwdata .rwdata.*) + *(.sdata .sdata.* .gnu.linkonce.s.*) + .sdata._global_impure_ptr + 0x0000000000007258 0x4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + 0x0000000000007258 _global_impure_ptr + .sdata._impure_ptr + 0x000000000000725c 0x4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + 0x000000000000725c _impure_ptr + .sdata.__malloc_sbrk_base + 0x0000000000007260 0x4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + 0x0000000000007260 __malloc_sbrk_base + .sdata.__malloc_trim_threshold + 0x0000000000007264 0x4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + 0x0000000000007264 __malloc_trim_threshold + .sdata 0x0000000000007268 0x14 ../semafor_bsp/\libhal_bsp.a(alt_dev.o) + 0x0000000000007268 alt_fs_list + 0x0000000000007270 alt_dev_list + 0x0000000000007278 alt_max_fd + .sdata 0x000000000000727c 0x4 ../semafor_bsp/\libhal_bsp.a(alt_errno.o) + 0x000000000000727c alt_errno + .sdata 0x0000000000007280 0x4 ../semafor_bsp/\libhal_bsp.a(alt_sbrk.o) + .sdata 0x0000000000007284 0x4 ../semafor_bsp/\libhal_bsp.a(alt_irq_vars.o) + 0x0000000000007284 alt_priority_mask + .sdata 0x0000000000007288 0x8 ../semafor_bsp/\libhal_bsp.a(alt_tick.o) + 0x0000000000007288 alt_alarm_list + *(.sdata2 .sdata2.* .gnu.linkonce.s2.*) + 0x0000000000007290 . = ALIGN (0x4) + 0x0000000000007290 _edata = ABSOLUTE (.) + [!provide] PROVIDE (edata, ABSOLUTE (.)) + [!provide] PROVIDE (__ram_rwdata_end, ABSOLUTE (.)) + [!provide] PROVIDE (__flash_rwdata_start, LOADADDR (.rwdata)) + +.bss 0x0000000000007290 0x154 + 0x0000000000007290 __bss_start = ABSOLUTE (.) + [!provide] PROVIDE (__sbss_start, ABSOLUTE (.)) + [!provide] PROVIDE (___sbss_start, ABSOLUTE (.)) + *(.dynsbss) + *(.sbss .sbss.* .gnu.linkonce.sb.*) + .sbss.__malloc_max_total_mem + 0x0000000000007290 0x4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + 0x0000000000007290 __malloc_max_total_mem + .sbss.__malloc_max_sbrked_mem + 0x0000000000007294 0x4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + 0x0000000000007294 __malloc_max_sbrked_mem + .sbss.__malloc_top_pad + 0x0000000000007298 0x4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + 0x0000000000007298 __malloc_top_pad + .sbss 0x000000000000729c 0x4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) + 0x000000000000729c errno + .sbss 0x00000000000072a0 0xc ../semafor_bsp/\libhal_bsp.a(alt_main.o) + 0x00000000000072a0 alt_argc + 0x00000000000072a4 alt_argv + 0x00000000000072a8 alt_envp + .sbss 0x00000000000072ac 0x4 ../semafor_bsp/\libhal_bsp.a(alt_irq_vars.o) + 0x00000000000072ac alt_irq_active + .sbss 0x00000000000072b0 0x8 ../semafor_bsp/\libhal_bsp.a(alt_tick.o) + 0x00000000000072b0 _alt_tick_rate + 0x00000000000072b4 _alt_nticks + .sbss 0x00000000000072b8 0x4 ../semafor_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) + 0x00000000000072b8 alt_instruction_exception_handler + *(.sbss2 .sbss2.* .gnu.linkonce.sb2.*) + *(.scommon) + [!provide] PROVIDE (__sbss_end, ABSOLUTE (.)) + [!provide] PROVIDE (___sbss_end, ABSOLUTE (.)) + *(.dynbss) + *(.bss .bss.* .gnu.linkonce.b.*) + .bss 0x00000000000072bc 0x0 ../semafor_bsp//obj/HAL/src/crt0.o + .bss 0x00000000000072bc 0x0 obj/default/sem.o + .bss 0x00000000000072bc 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) + .bss 0x00000000000072bc 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) + .bss 0x00000000000072bc 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + .bss 0x00000000000072bc 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + .bss 0x00000000000072bc 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + .bss 0x00000000000072bc 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + .bss 0x00000000000072bc 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + .bss.__malloc_current_mallinfo + 0x00000000000072bc 0x28 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + 0x00000000000072bc __malloc_current_mallinfo + .bss 0x00000000000072e4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) + .bss 0x00000000000072e4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) + .bss 0x00000000000072e4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) + .bss 0x00000000000072e4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) + .bss 0x00000000000072e4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + .bss 0x00000000000072e4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) + .bss 0x00000000000072e4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + .bss 0x00000000000072e4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) + .bss 0x00000000000072e4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) + .bss 0x00000000000072e4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(closer.o) + .bss 0x00000000000072e4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + .bss 0x00000000000072e4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + .bss 0x00000000000072e4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + .bss 0x00000000000072e4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) + .bss 0x00000000000072e4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) + .bss 0x00000000000072e4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) + .bss 0x00000000000072e4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) + .bss 0x00000000000072e4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) + .bss 0x00000000000072e4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) + .bss 0x00000000000072e4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-divmod.o) + .bss 0x00000000000072e4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-mul.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(alt_close.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(alt_dcache_flush.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(alt_dev.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(alt_errno.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(alt_fstat.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(alt_isatty.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(alt_lseek.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(alt_main.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(alt_malloc_lock.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(alt_read.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(alt_release_fd.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(alt_sbrk.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(alt_write.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(alt_sys_init.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(alt_alarm_start.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(alt_do_ctors.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(alt_do_dtors.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(alt_iic.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(alt_io_redirect.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(alt_irq_entry.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(alt_irq_handler.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(alt_irq_vars.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(alt_open.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(alt_tick.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(alt_exception_entry.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(alt_find_dev.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(alt_find_file.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(alt_get_fd.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) + .bss 0x00000000000072e4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + .bss 0x00000000000072e4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) + .bss 0x00000000000072e4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) + .bss 0x00000000000072e4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) + .bss 0x00000000000072e4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + .bss 0x00000000000072e4 0x0 ../semafor_bsp/\libhal_bsp.a(alt_exit.o) + *(COMMON) + COMMON 0x00000000000072e4 0x100 ../semafor_bsp/\libhal_bsp.a(alt_irq_handler.o) + 0x00000000000072e4 alt_irq + 0x00000000000073e4 . = ALIGN (0x4) + 0x00000000000073e4 __bss_end = ABSOLUTE (.) + +.mem 0x00000000000073e4 0x0 + [!provide] PROVIDE (_alt_partition_mem_start, ABSOLUTE (.)) + *(.mem .mem. mem.*) + 0x00000000000073e4 . = ALIGN (0x4) + [!provide] PROVIDE (_alt_partition_mem_end, ABSOLUTE (.)) + 0x00000000000073e4 _end = ABSOLUTE (.) + 0x00000000000073e4 end = ABSOLUTE (.) + 0x00000000000073e4 __alt_stack_base = ABSOLUTE (.) + [!provide] PROVIDE (_alt_partition_mem_load_addr, LOADADDR (.mem)) + +.stab + *(.stab) + +.stabstr + *(.stabstr) + +.stab.excl + *(.stab.excl) + +.stab.exclstr + *(.stab.exclstr) + +.stab.index + *(.stab.index) + +.stab.indexstr + *(.stab.indexstr) + +.comment 0x0000000000000000 0x23 + *(.comment) + .comment 0x0000000000000000 0x23 obj/default/sem.o + 0x24 (size before relaxing) + .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) + .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) + .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) + .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) + .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) + .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) + .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) + .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) + .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) + .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(closer.o) + .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) + .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) + .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) + .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) + .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) + .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) + .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-divmod.o) + .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-mul.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_close.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_dcache_flush.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_dev.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_errno.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_fstat.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_isatty.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_lseek.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_main.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_malloc_lock.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_read.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_release_fd.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_sbrk.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_write.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_sys_init.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_alarm_start.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_do_ctors.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_do_dtors.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_iic.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_io_redirect.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_irq_handler.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_irq_vars.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_open.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_tick.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_find_dev.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_find_file.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_get_fd.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) + .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) + .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) + .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) + .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_exit.o) + +.debug + *(.debug) + +.line + *(.line) + +.debug_srcinfo + *(.debug_srcinfo) + +.debug_sfnames + *(.debug_sfnames) + +.debug_aranges 0x0000000000000000 0x9f0 + *(.debug_aranges) + .debug_aranges + 0x0000000000000000 0x28 ../semafor_bsp//obj/HAL/src/crt0.o + .debug_aranges + 0x0000000000000028 0x20 obj/default/sem.o + .debug_aranges + 0x0000000000000048 0x28 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) + .debug_aranges + 0x0000000000000070 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) + .debug_aranges + 0x0000000000000090 0x68 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + .debug_aranges + 0x00000000000000f8 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + .debug_aranges + 0x0000000000000118 0x28 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + .debug_aranges + 0x0000000000000140 0x18 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + .debug_aranges + 0x0000000000000158 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + .debug_aranges + 0x0000000000000178 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) + .debug_aranges + 0x0000000000000198 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) + .debug_aranges + 0x00000000000001b8 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) + .debug_aranges + 0x00000000000001d8 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) + .debug_aranges + 0x00000000000001f8 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + .debug_aranges + 0x0000000000000218 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) + .debug_aranges + 0x0000000000000238 0x40 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + .debug_aranges + 0x0000000000000278 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) + .debug_aranges + 0x0000000000000298 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) + .debug_aranges + 0x00000000000002b8 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(closer.o) + .debug_aranges + 0x00000000000002d8 0x28 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + .debug_aranges + 0x0000000000000300 0x30 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + .debug_aranges + 0x0000000000000330 0x28 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + .debug_aranges + 0x0000000000000358 0x18 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) + .debug_aranges + 0x0000000000000370 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) + .debug_aranges + 0x0000000000000390 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) + .debug_aranges + 0x00000000000003b0 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) + .debug_aranges + 0x00000000000003d0 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) + .debug_aranges + 0x00000000000003f0 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) + .debug_aranges + 0x0000000000000410 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-divmod.o) + .debug_aranges + 0x0000000000000430 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-mul.o) + .debug_aranges + 0x0000000000000450 0x20 ../semafor_bsp/\libhal_bsp.a(alt_close.o) + .debug_aranges + 0x0000000000000470 0x20 ../semafor_bsp/\libhal_bsp.a(alt_dcache_flush.o) + .debug_aranges + 0x0000000000000490 0x20 ../semafor_bsp/\libhal_bsp.a(alt_dev.o) + .debug_aranges + 0x00000000000004b0 0x18 ../semafor_bsp/\libhal_bsp.a(alt_errno.o) + .debug_aranges + 0x00000000000004c8 0x20 ../semafor_bsp/\libhal_bsp.a(alt_fstat.o) + .debug_aranges + 0x00000000000004e8 0x20 ../semafor_bsp/\libhal_bsp.a(alt_isatty.o) + .debug_aranges + 0x0000000000000508 0x20 ../semafor_bsp/\libhal_bsp.a(alt_lseek.o) + .debug_aranges + 0x0000000000000528 0x20 ../semafor_bsp/\libhal_bsp.a(alt_main.o) + .debug_aranges + 0x0000000000000548 0x20 ../semafor_bsp/\libhal_bsp.a(alt_malloc_lock.o) + .debug_aranges + 0x0000000000000568 0x20 ../semafor_bsp/\libhal_bsp.a(alt_read.o) + .debug_aranges + 0x0000000000000588 0x20 ../semafor_bsp/\libhal_bsp.a(alt_release_fd.o) + .debug_aranges + 0x00000000000005a8 0x20 ../semafor_bsp/\libhal_bsp.a(alt_sbrk.o) + .debug_aranges + 0x00000000000005c8 0x20 ../semafor_bsp/\libhal_bsp.a(alt_write.o) + .debug_aranges + 0x00000000000005e8 0x20 ../semafor_bsp/\libhal_bsp.a(alt_sys_init.o) + .debug_aranges + 0x0000000000000608 0x20 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .debug_aranges + 0x0000000000000628 0x20 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_aranges + 0x0000000000000648 0x20 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .debug_aranges + 0x0000000000000668 0x20 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_aranges + 0x0000000000000688 0x20 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .debug_aranges + 0x00000000000006a8 0x20 ../semafor_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) + .debug_aranges + 0x00000000000006c8 0x20 ../semafor_bsp/\libhal_bsp.a(alt_alarm_start.o) + .debug_aranges + 0x00000000000006e8 0x20 ../semafor_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + .debug_aranges + 0x0000000000000708 0x20 ../semafor_bsp/\libhal_bsp.a(alt_do_ctors.o) + .debug_aranges + 0x0000000000000728 0x20 ../semafor_bsp/\libhal_bsp.a(alt_do_dtors.o) + .debug_aranges + 0x0000000000000748 0x20 ../semafor_bsp/\libhal_bsp.a(alt_iic.o) + .debug_aranges + 0x0000000000000768 0x20 ../semafor_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + .debug_aranges + 0x0000000000000788 0x20 ../semafor_bsp/\libhal_bsp.a(alt_io_redirect.o) + .debug_aranges + 0x00000000000007a8 0x30 ../semafor_bsp/\libhal_bsp.a(alt_irq_entry.o) + .debug_aranges + 0x00000000000007d8 0x20 ../semafor_bsp/\libhal_bsp.a(alt_irq_handler.o) + .debug_aranges + 0x00000000000007f8 0x18 ../semafor_bsp/\libhal_bsp.a(alt_irq_vars.o) + .debug_aranges + 0x0000000000000810 0x20 ../semafor_bsp/\libhal_bsp.a(alt_open.o) + .debug_aranges + 0x0000000000000830 0x20 ../semafor_bsp/\libhal_bsp.a(alt_tick.o) + .debug_aranges + 0x0000000000000850 0x20 ../semafor_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) + .debug_aranges + 0x0000000000000870 0x38 ../semafor_bsp/\libhal_bsp.a(alt_exception_entry.o) + .debug_aranges + 0x00000000000008a8 0x20 ../semafor_bsp/\libhal_bsp.a(alt_find_dev.o) + .debug_aranges + 0x00000000000008c8 0x20 ../semafor_bsp/\libhal_bsp.a(alt_find_file.o) + .debug_aranges + 0x00000000000008e8 0x20 ../semafor_bsp/\libhal_bsp.a(alt_get_fd.o) + .debug_aranges + 0x0000000000000908 0x28 ../semafor_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) + .debug_aranges + 0x0000000000000930 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + .debug_aranges + 0x0000000000000950 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) + .debug_aranges + 0x0000000000000970 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) + .debug_aranges + 0x0000000000000990 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) + .debug_aranges + 0x00000000000009b0 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + .debug_aranges + 0x00000000000009d0 0x20 ../semafor_bsp/\libhal_bsp.a(alt_exit.o) + +.debug_pubnames + *(.debug_pubnames) + +.debug_info 0x0000000000000000 0x16367 + *(.debug_info .gnu.linkonce.wi.*) + .debug_info 0x0000000000000000 0x6b ../semafor_bsp//obj/HAL/src/crt0.o + .debug_info 0x000000000000006b 0x127 obj/default/sem.o + .debug_info 0x0000000000000192 0xa38 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) + .debug_info 0x0000000000000bca 0xe2 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) + .debug_info 0x0000000000000cac 0xd36 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + .debug_info 0x00000000000019e2 0xbb8 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + .debug_info 0x000000000000259a 0x9b0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + .debug_info 0x0000000000002f4a 0x8a1 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + .debug_info 0x00000000000037eb 0xd57 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + .debug_info 0x0000000000004542 0x132 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) + .debug_info 0x0000000000004674 0x144 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) + .debug_info 0x00000000000047b8 0x13a c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) + .debug_info 0x00000000000048f2 0x121 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) + .debug_info 0x0000000000004a13 0xc6b c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + .debug_info 0x000000000000567e 0x900 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) + .debug_info 0x0000000000005f7e 0xb32 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + .debug_info 0x0000000000006ab0 0x928 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) + .debug_info 0x00000000000073d8 0x936 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) + .debug_info 0x0000000000007d0e 0x8df c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(closer.o) + .debug_info 0x00000000000085ed 0xa23 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + .debug_info 0x0000000000009010 0xa93 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + .debug_info 0x0000000000009aa3 0xbf7 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + .debug_info 0x000000000000a69a 0x8a c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) + .debug_info 0x000000000000a724 0x90b c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) + .debug_info 0x000000000000b02f 0xad8 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) + .debug_info 0x000000000000bb07 0x921 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) + .debug_info 0x000000000000c428 0xa5d c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) + .debug_info 0x000000000000ce85 0x8df c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) + .debug_info 0x000000000000d764 0x2c6 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-divmod.o) + .debug_info 0x000000000000da2a 0xb4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-mul.o) + .debug_info 0x000000000000dade 0x321 ../semafor_bsp/\libhal_bsp.a(alt_close.o) + .debug_info 0x000000000000ddff 0xbc ../semafor_bsp/\libhal_bsp.a(alt_dcache_flush.o) + .debug_info 0x000000000000debb 0x49c ../semafor_bsp/\libhal_bsp.a(alt_dev.o) + .debug_info 0x000000000000e357 0xa6 ../semafor_bsp/\libhal_bsp.a(alt_errno.o) + .debug_info 0x000000000000e3fd 0x488 ../semafor_bsp/\libhal_bsp.a(alt_fstat.o) + .debug_info 0x000000000000e885 0x48b ../semafor_bsp/\libhal_bsp.a(alt_isatty.o) + .debug_info 0x000000000000ed10 0x352 ../semafor_bsp/\libhal_bsp.a(alt_lseek.o) + .debug_info 0x000000000000f062 0x131 ../semafor_bsp/\libhal_bsp.a(alt_main.o) + .debug_info 0x000000000000f193 0x8b6 ../semafor_bsp/\libhal_bsp.a(alt_malloc_lock.o) + .debug_info 0x000000000000fa49 0x4b0 ../semafor_bsp/\libhal_bsp.a(alt_read.o) + .debug_info 0x000000000000fef9 0x2b0 ../semafor_bsp/\libhal_bsp.a(alt_release_fd.o) + .debug_info 0x00000000000101a9 0x1ac ../semafor_bsp/\libhal_bsp.a(alt_sbrk.o) + .debug_info 0x0000000000010355 0x4b7 ../semafor_bsp/\libhal_bsp.a(alt_write.o) + .debug_info 0x000000000001080c 0x4d9 ../semafor_bsp/\libhal_bsp.a(alt_sys_init.o) + .debug_info 0x0000000000010ce5 0x52e ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .debug_info 0x0000000000011213 0x3a0 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_info 0x00000000000115b3 0x280 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .debug_info 0x0000000000011833 0x345 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_info 0x0000000000011b78 0x340 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .debug_info 0x0000000000011eb8 0x226 ../semafor_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) + .debug_info 0x00000000000120de 0x2cc ../semafor_bsp/\libhal_bsp.a(alt_alarm_start.o) + .debug_info 0x00000000000123aa 0x1a9 ../semafor_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + .debug_info 0x0000000000012553 0x83 ../semafor_bsp/\libhal_bsp.a(alt_do_ctors.o) + .debug_info 0x00000000000125d6 0x83 ../semafor_bsp/\libhal_bsp.a(alt_do_dtors.o) + .debug_info 0x0000000000012659 0x350 ../semafor_bsp/\libhal_bsp.a(alt_iic.o) + .debug_info 0x00000000000129a9 0x1ef ../semafor_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + .debug_info 0x0000000000012b98 0x4a5 ../semafor_bsp/\libhal_bsp.a(alt_io_redirect.o) + .debug_info 0x000000000001303d 0x74 ../semafor_bsp/\libhal_bsp.a(alt_irq_entry.o) + .debug_info 0x00000000000130b1 0x187 ../semafor_bsp/\libhal_bsp.a(alt_irq_handler.o) + .debug_info 0x0000000000013238 0x88 ../semafor_bsp/\libhal_bsp.a(alt_irq_vars.o) + .debug_info 0x00000000000132c0 0x3bb ../semafor_bsp/\libhal_bsp.a(alt_open.o) + .debug_info 0x000000000001367b 0x299 ../semafor_bsp/\libhal_bsp.a(alt_tick.o) + .debug_info 0x0000000000013914 0x92 ../semafor_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) + .debug_info 0x00000000000139a6 0x7a ../semafor_bsp/\libhal_bsp.a(alt_exception_entry.o) + .debug_info 0x0000000000013a20 0x2e9 ../semafor_bsp/\libhal_bsp.a(alt_find_dev.o) + .debug_info 0x0000000000013d09 0x2e6 ../semafor_bsp/\libhal_bsp.a(alt_find_file.o) + .debug_info 0x0000000000013fef 0x310 ../semafor_bsp/\libhal_bsp.a(alt_get_fd.o) + .debug_info 0x00000000000142ff 0x1e7 ../semafor_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) + .debug_info 0x00000000000144e6 0xfe c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + .debug_info 0x00000000000145e4 0x8e4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) + .debug_info 0x0000000000014ec8 0x11a c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) + .debug_info 0x0000000000014fe2 0x934 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) + .debug_info 0x0000000000015916 0x965 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + .debug_info 0x000000000001627b 0xec ../semafor_bsp/\libhal_bsp.a(alt_exit.o) + +.debug_abbrev 0x0000000000000000 0x5fe5 + *(.debug_abbrev) + .debug_abbrev 0x0000000000000000 0x12 ../semafor_bsp//obj/HAL/src/crt0.o + .debug_abbrev 0x0000000000000012 0xb0 obj/default/sem.o + .debug_abbrev 0x00000000000000c2 0x249 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) + .debug_abbrev 0x000000000000030b 0x90 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) + .debug_abbrev 0x000000000000039b 0x3ad c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + .debug_abbrev 0x0000000000000748 0x228 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + .debug_abbrev 0x0000000000000970 0x1d1 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + .debug_abbrev 0x0000000000000b41 0x18f c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + .debug_abbrev 0x0000000000000cd0 0x29d c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + .debug_abbrev 0x0000000000000f6d 0xab c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) + .debug_abbrev 0x0000000000001018 0xa3 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) + .debug_abbrev 0x00000000000010bb 0x9c c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) + .debug_abbrev 0x0000000000001157 0xae c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) + .debug_abbrev 0x0000000000001205 0x231 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + .debug_abbrev 0x0000000000001436 0x20a c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) + .debug_abbrev 0x0000000000001640 0x239 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + .debug_abbrev 0x0000000000001879 0x1eb c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) + .debug_abbrev 0x0000000000001a64 0x218 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) + .debug_abbrev 0x0000000000001c7c 0x1e6 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(closer.o) + .debug_abbrev 0x0000000000001e62 0x2a2 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + .debug_abbrev 0x0000000000002104 0x2a0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + .debug_abbrev 0x00000000000023a4 0x264 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + .debug_abbrev 0x0000000000002608 0x37 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) + .debug_abbrev 0x000000000000263f 0x1f5 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) + .debug_abbrev 0x0000000000002834 0x20f c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) + .debug_abbrev 0x0000000000002a43 0x1e6 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) + .debug_abbrev 0x0000000000002c29 0x1f5 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) + .debug_abbrev 0x0000000000002e1e 0x1e6 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) + .debug_abbrev 0x0000000000003004 0x10e c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-divmod.o) + .debug_abbrev 0x0000000000003112 0x6f c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-mul.o) + .debug_abbrev 0x0000000000003181 0x11f ../semafor_bsp/\libhal_bsp.a(alt_close.o) + .debug_abbrev 0x00000000000032a0 0x74 ../semafor_bsp/\libhal_bsp.a(alt_dcache_flush.o) + .debug_abbrev 0x0000000000003314 0xd9 ../semafor_bsp/\libhal_bsp.a(alt_dev.o) + .debug_abbrev 0x00000000000033ed 0x5a ../semafor_bsp/\libhal_bsp.a(alt_errno.o) + .debug_abbrev 0x0000000000003447 0x11f ../semafor_bsp/\libhal_bsp.a(alt_fstat.o) + .debug_abbrev 0x0000000000003566 0x11f ../semafor_bsp/\libhal_bsp.a(alt_isatty.o) + .debug_abbrev 0x0000000000003685 0x11f ../semafor_bsp/\libhal_bsp.a(alt_lseek.o) + .debug_abbrev 0x00000000000037a4 0xba ../semafor_bsp/\libhal_bsp.a(alt_main.o) + .debug_abbrev 0x000000000000385e 0x1b4 ../semafor_bsp/\libhal_bsp.a(alt_malloc_lock.o) + .debug_abbrev 0x0000000000003a12 0x12e ../semafor_bsp/\libhal_bsp.a(alt_read.o) + .debug_abbrev 0x0000000000003b40 0xde ../semafor_bsp/\libhal_bsp.a(alt_release_fd.o) + .debug_abbrev 0x0000000000003c1e 0x112 ../semafor_bsp/\libhal_bsp.a(alt_sbrk.o) + .debug_abbrev 0x0000000000003d30 0x133 ../semafor_bsp/\libhal_bsp.a(alt_write.o) + .debug_abbrev 0x0000000000003e63 0x187 ../semafor_bsp/\libhal_bsp.a(alt_sys_init.o) + .debug_abbrev 0x0000000000003fea 0x127 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .debug_abbrev 0x0000000000004111 0x19e ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_abbrev 0x00000000000042af 0x111 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .debug_abbrev 0x00000000000043c0 0x1aa ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_abbrev 0x000000000000456a 0x1a8 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .debug_abbrev 0x0000000000004712 0x155 ../semafor_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) + .debug_abbrev 0x0000000000004867 0x162 ../semafor_bsp/\libhal_bsp.a(alt_alarm_start.o) + .debug_abbrev 0x00000000000049c9 0x114 ../semafor_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + .debug_abbrev 0x0000000000004add 0x79 ../semafor_bsp/\libhal_bsp.a(alt_do_ctors.o) + .debug_abbrev 0x0000000000004b56 0x79 ../semafor_bsp/\libhal_bsp.a(alt_do_dtors.o) + .debug_abbrev 0x0000000000004bcf 0x163 ../semafor_bsp/\libhal_bsp.a(alt_iic.o) + .debug_abbrev 0x0000000000004d32 0x169 ../semafor_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + .debug_abbrev 0x0000000000004e9b 0x114 ../semafor_bsp/\libhal_bsp.a(alt_io_redirect.o) + .debug_abbrev 0x0000000000004faf 0x12 ../semafor_bsp/\libhal_bsp.a(alt_irq_entry.o) + .debug_abbrev 0x0000000000004fc1 0x122 ../semafor_bsp/\libhal_bsp.a(alt_irq_handler.o) + .debug_abbrev 0x00000000000050e3 0x40 ../semafor_bsp/\libhal_bsp.a(alt_irq_vars.o) + .debug_abbrev 0x0000000000005123 0x148 ../semafor_bsp/\libhal_bsp.a(alt_open.o) + .debug_abbrev 0x000000000000526b 0x15a ../semafor_bsp/\libhal_bsp.a(alt_tick.o) + .debug_abbrev 0x00000000000053c5 0x42 ../semafor_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) + .debug_abbrev 0x0000000000005407 0x12 ../semafor_bsp/\libhal_bsp.a(alt_exception_entry.o) + .debug_abbrev 0x0000000000005419 0xf0 ../semafor_bsp/\libhal_bsp.a(alt_find_dev.o) + .debug_abbrev 0x0000000000005509 0xf0 ../semafor_bsp/\libhal_bsp.a(alt_find_file.o) + .debug_abbrev 0x00000000000055f9 0x10d ../semafor_bsp/\libhal_bsp.a(alt_get_fd.o) + .debug_abbrev 0x0000000000005706 0xdc ../semafor_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) + .debug_abbrev 0x00000000000057e2 0xaa c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + .debug_abbrev 0x000000000000588c 0x1e5 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) + .debug_abbrev 0x0000000000005a71 0x7f c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) + .debug_abbrev 0x0000000000005af0 0x21e c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) + .debug_abbrev 0x0000000000005d0e 0x22e c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + .debug_abbrev 0x0000000000005f3c 0xa9 ../semafor_bsp/\libhal_bsp.a(alt_exit.o) + +.debug_line 0x0000000000000000 0x6941 + *(.debug_line) + .debug_line 0x0000000000000000 0x59 ../semafor_bsp//obj/HAL/src/crt0.o + .debug_line 0x0000000000000059 0x96 obj/default/sem.o + .debug_line 0x00000000000000ef 0x248 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) + .debug_line 0x0000000000000337 0x16f c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) + .debug_line 0x00000000000004a6 0x375 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + .debug_line 0x000000000000081b 0x346 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + .debug_line 0x0000000000000b61 0x23a c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + .debug_line 0x0000000000000d9b 0x160 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + .debug_line 0x0000000000000efb 0x3fc c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + .debug_line 0x00000000000012f7 0x18d c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) + .debug_line 0x0000000000001484 0x18c c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) + .debug_line 0x0000000000001610 0x18d c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) + .debug_line 0x000000000000179d 0x18f c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) + .debug_line 0x000000000000192c 0x2f5 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + .debug_line 0x0000000000001c21 0x1e9 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) + .debug_line 0x0000000000001e0a 0x26a c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + .debug_line 0x0000000000002074 0x1f6 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) + .debug_line 0x000000000000226a 0x266 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) + .debug_line 0x00000000000024d0 0x1f6 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(closer.o) + .debug_line 0x00000000000026c6 0x239 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + .debug_line 0x00000000000028ff 0x2ea c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + .debug_line 0x0000000000002be9 0x2f7 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + .debug_line 0x0000000000002ee0 0x5f c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) + .debug_line 0x0000000000002f3f 0x1f6 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) + .debug_line 0x0000000000003135 0x274 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) + .debug_line 0x00000000000033a9 0x1f5 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) + .debug_line 0x000000000000359e 0x1ff c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) + .debug_line 0x000000000000379d 0x1f7 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) + .debug_line 0x0000000000003994 0x105 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-divmod.o) + .debug_line 0x0000000000003a99 0x80 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-mul.o) + .debug_line 0x0000000000003b19 0x16e ../semafor_bsp/\libhal_bsp.a(alt_close.o) + .debug_line 0x0000000000003c87 0x67 ../semafor_bsp/\libhal_bsp.a(alt_dcache_flush.o) + .debug_line 0x0000000000003cee 0x101 ../semafor_bsp/\libhal_bsp.a(alt_dev.o) + .debug_line 0x0000000000003def 0x51 ../semafor_bsp/\libhal_bsp.a(alt_errno.o) + .debug_line 0x0000000000003e40 0x167 ../semafor_bsp/\libhal_bsp.a(alt_fstat.o) + .debug_line 0x0000000000003fa7 0x16b ../semafor_bsp/\libhal_bsp.a(alt_isatty.o) + .debug_line 0x0000000000004112 0x16c ../semafor_bsp/\libhal_bsp.a(alt_lseek.o) + .debug_line 0x000000000000427e 0xa4 ../semafor_bsp/\libhal_bsp.a(alt_main.o) + .debug_line 0x0000000000004322 0x134 ../semafor_bsp/\libhal_bsp.a(alt_malloc_lock.o) + .debug_line 0x0000000000004456 0x1ee ../semafor_bsp/\libhal_bsp.a(alt_read.o) + .debug_line 0x0000000000004644 0xb0 ../semafor_bsp/\libhal_bsp.a(alt_release_fd.o) + .debug_line 0x00000000000046f4 0xfc ../semafor_bsp/\libhal_bsp.a(alt_sbrk.o) + .debug_line 0x00000000000047f0 0x1ed ../semafor_bsp/\libhal_bsp.a(alt_write.o) + .debug_line 0x00000000000049dd 0x151 ../semafor_bsp/\libhal_bsp.a(alt_sys_init.o) + .debug_line 0x0000000000004b2e 0x12f ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .debug_line 0x0000000000004c5d 0x18b ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_line 0x0000000000004de8 0x131 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .debug_line 0x0000000000004f19 0x171 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_line 0x000000000000508a 0x170 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .debug_line 0x00000000000051fa 0xfd ../semafor_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) + .debug_line 0x00000000000052f7 0xf9 ../semafor_bsp/\libhal_bsp.a(alt_alarm_start.o) + .debug_line 0x00000000000053f0 0xcf ../semafor_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + .debug_line 0x00000000000054bf 0x59 ../semafor_bsp/\libhal_bsp.a(alt_do_ctors.o) + .debug_line 0x0000000000005518 0x59 ../semafor_bsp/\libhal_bsp.a(alt_do_dtors.o) + .debug_line 0x0000000000005571 0xeb ../semafor_bsp/\libhal_bsp.a(alt_iic.o) + .debug_line 0x000000000000565c 0xdb ../semafor_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + .debug_line 0x0000000000005737 0x13e ../semafor_bsp/\libhal_bsp.a(alt_io_redirect.o) + .debug_line 0x0000000000005875 0x6b ../semafor_bsp/\libhal_bsp.a(alt_irq_entry.o) + .debug_line 0x00000000000058e0 0xaa ../semafor_bsp/\libhal_bsp.a(alt_irq_handler.o) + .debug_line 0x000000000000598a 0x50 ../semafor_bsp/\libhal_bsp.a(alt_irq_vars.o) + .debug_line 0x00000000000059da 0x12b ../semafor_bsp/\libhal_bsp.a(alt_open.o) + .debug_line 0x0000000000005b05 0xff ../semafor_bsp/\libhal_bsp.a(alt_tick.o) + .debug_line 0x0000000000005c04 0x51 ../semafor_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) + .debug_line 0x0000000000005c55 0xb3 ../semafor_bsp/\libhal_bsp.a(alt_exception_entry.o) + .debug_line 0x0000000000005d08 0xb5 ../semafor_bsp/\libhal_bsp.a(alt_find_dev.o) + .debug_line 0x0000000000005dbd 0xd1 ../semafor_bsp/\libhal_bsp.a(alt_find_file.o) + .debug_line 0x0000000000005e8e 0xd7 ../semafor_bsp/\libhal_bsp.a(alt_get_fd.o) + .debug_line 0x0000000000005f65 0xb9 ../semafor_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) + .debug_line 0x000000000000601e 0xe7 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + .debug_line 0x0000000000006105 0x1fc c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) + .debug_line 0x0000000000006301 0x176 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) + .debug_line 0x0000000000006477 0x21f c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) + .debug_line 0x0000000000006696 0x23a c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + .debug_line 0x00000000000068d0 0x71 ../semafor_bsp/\libhal_bsp.a(alt_exit.o) + +.debug_frame 0x0000000000000000 0x1504 + *(.debug_frame) + .debug_frame 0x0000000000000000 0x2c obj/default/sem.o + .debug_frame 0x000000000000002c 0x48 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) + .debug_frame 0x0000000000000074 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) + .debug_frame 0x0000000000000094 0x160 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + .debug_frame 0x00000000000001f4 0x78 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + .debug_frame 0x000000000000026c 0x90 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + .debug_frame 0x00000000000002fc 0x54 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + .debug_frame 0x0000000000000350 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) + .debug_frame 0x0000000000000370 0x38 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) + .debug_frame 0x00000000000003a8 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) + .debug_frame 0x00000000000003c8 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) + .debug_frame 0x00000000000003e8 0x70 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + .debug_frame 0x0000000000000458 0x38 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) + .debug_frame 0x0000000000000490 0xb4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + .debug_frame 0x0000000000000544 0x38 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) + .debug_frame 0x000000000000057c 0x38 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) + .debug_frame 0x00000000000005b4 0x38 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(closer.o) + .debug_frame 0x00000000000005ec 0x54 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + .debug_frame 0x0000000000000640 0x7c c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + .debug_frame 0x00000000000006bc 0x70 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + .debug_frame 0x000000000000072c 0x38 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) + .debug_frame 0x0000000000000764 0x4c c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) + .debug_frame 0x00000000000007b0 0x38 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) + .debug_frame 0x00000000000007e8 0x38 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) + .debug_frame 0x0000000000000820 0x38 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) + .debug_frame 0x0000000000000858 0x50 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-divmod.o) + .debug_frame 0x00000000000008a8 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-mul.o) + .debug_frame 0x00000000000008c8 0x60 ../semafor_bsp/\libhal_bsp.a(alt_close.o) + .debug_frame 0x0000000000000928 0x34 ../semafor_bsp/\libhal_bsp.a(alt_dcache_flush.o) + .debug_frame 0x000000000000095c 0x34 ../semafor_bsp/\libhal_bsp.a(alt_dev.o) + .debug_frame 0x0000000000000990 0x60 ../semafor_bsp/\libhal_bsp.a(alt_fstat.o) + .debug_frame 0x00000000000009f0 0x60 ../semafor_bsp/\libhal_bsp.a(alt_isatty.o) + .debug_frame 0x0000000000000a50 0x60 ../semafor_bsp/\libhal_bsp.a(alt_lseek.o) + .debug_frame 0x0000000000000ab0 0x2c ../semafor_bsp/\libhal_bsp.a(alt_main.o) + .debug_frame 0x0000000000000adc 0x58 ../semafor_bsp/\libhal_bsp.a(alt_malloc_lock.o) + .debug_frame 0x0000000000000b34 0x60 ../semafor_bsp/\libhal_bsp.a(alt_read.o) + .debug_frame 0x0000000000000b94 0x3c ../semafor_bsp/\libhal_bsp.a(alt_release_fd.o) + .debug_frame 0x0000000000000bd0 0x34 ../semafor_bsp/\libhal_bsp.a(alt_sbrk.o) + .debug_frame 0x0000000000000c04 0x60 ../semafor_bsp/\libhal_bsp.a(alt_write.o) + .debug_frame 0x0000000000000c64 0x88 ../semafor_bsp/\libhal_bsp.a(alt_sys_init.o) + .debug_frame 0x0000000000000cec 0xb0 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .debug_frame 0x0000000000000d9c 0xa4 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_frame 0x0000000000000e40 0x34 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .debug_frame 0x0000000000000e74 0x38 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_frame 0x0000000000000eac 0x38 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .debug_frame 0x0000000000000ee4 0x60 ../semafor_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) + .debug_frame 0x0000000000000f44 0x34 ../semafor_bsp/\libhal_bsp.a(alt_alarm_start.o) + .debug_frame 0x0000000000000f78 0x60 ../semafor_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + .debug_frame 0x0000000000000fd8 0x38 ../semafor_bsp/\libhal_bsp.a(alt_do_ctors.o) + .debug_frame 0x0000000000001010 0x38 ../semafor_bsp/\libhal_bsp.a(alt_do_dtors.o) + .debug_frame 0x0000000000001048 0xa4 ../semafor_bsp/\libhal_bsp.a(alt_iic.o) + .debug_frame 0x00000000000010ec 0x38 ../semafor_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + .debug_frame 0x0000000000001124 0x64 ../semafor_bsp/\libhal_bsp.a(alt_io_redirect.o) + .debug_frame 0x0000000000001188 0x38 ../semafor_bsp/\libhal_bsp.a(alt_irq_handler.o) + .debug_frame 0x00000000000011c0 0x8c ../semafor_bsp/\libhal_bsp.a(alt_open.o) + .debug_frame 0x000000000000124c 0x5c ../semafor_bsp/\libhal_bsp.a(alt_tick.o) + .debug_frame 0x00000000000012a8 0x34 ../semafor_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) + .debug_frame 0x00000000000012dc 0x38 ../semafor_bsp/\libhal_bsp.a(alt_find_dev.o) + .debug_frame 0x0000000000001314 0x38 ../semafor_bsp/\libhal_bsp.a(alt_find_file.o) + .debug_frame 0x000000000000134c 0x3c ../semafor_bsp/\libhal_bsp.a(alt_get_fd.o) + .debug_frame 0x0000000000001388 0x5c ../semafor_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) + .debug_frame 0x00000000000013e4 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + .debug_frame 0x0000000000001404 0x28 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) + .debug_frame 0x000000000000142c 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) + .debug_frame 0x000000000000144c 0x38 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) + .debug_frame 0x0000000000001484 0x54 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + .debug_frame 0x00000000000014d8 0x2c ../semafor_bsp/\libhal_bsp.a(alt_exit.o) + +.debug_str 0x0000000000000000 0x2692 + *(.debug_str) + .debug_str 0x0000000000000000 0x110 obj/default/sem.o + 0x14e (size before relaxing) + .debug_str 0x0000000000000110 0x4f9 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) + 0x61c (size before relaxing) + .debug_str 0x0000000000000609 0xd9 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) + 0x1f7 (size before relaxing) + .debug_str 0x00000000000006e2 0x146 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + 0x6cb (size before relaxing) + .debug_str 0x0000000000000828 0x9a c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + 0x647 (size before relaxing) + .debug_str 0x00000000000008c2 0x4a c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + 0x5ba (size before relaxing) + .debug_str 0x000000000000090c 0xd6 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + 0x5b5 (size before relaxing) + .debug_str 0x00000000000009e2 0x2bb c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + 0x7ba (size before relaxing) + .debug_str 0x0000000000000c9d 0x52 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) + 0x1fe (size before relaxing) + .debug_str 0x0000000000000cef 0x64 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) + 0x20b (size before relaxing) + .debug_str 0x0000000000000d53 0x47 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) + 0x217 (size before relaxing) + .debug_str 0x0000000000000d9a 0x44 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) + 0x1f8 (size before relaxing) + .debug_str 0x0000000000000dde 0x4e c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + 0x696 (size before relaxing) + .debug_str 0x0000000000000e2c 0x4f c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) + 0x5ab (size before relaxing) + .debug_str 0x0000000000000e7b 0x9b c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + 0x609 (size before relaxing) + .debug_str 0x0000000000000f16 0x3c c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) + 0x5af (size before relaxing) + .debug_str 0x0000000000000f52 0x49 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) + 0x5d4 (size before relaxing) + .debug_str 0x0000000000000f9b 0x3c c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(closer.o) + 0x59f (size before relaxing) + .debug_str 0x0000000000000fd7 0x5d c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + 0x602 (size before relaxing) + .debug_str 0x0000000000001034 0x54 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + 0x615 (size before relaxing) + .debug_str 0x0000000000001088 0x34 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + 0x704 (size before relaxing) + .debug_str 0x00000000000010bc 0x3f c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) + 0x1dd (size before relaxing) + .debug_str 0x00000000000010fb 0x42 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) + 0x5a6 (size before relaxing) + .debug_str 0x000000000000113d 0x124 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) + 0x6b7 (size before relaxing) + .debug_str 0x0000000000001261 0x3b c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) + 0x5ac (size before relaxing) + .debug_str 0x000000000000129c 0x48 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) + 0x68b (size before relaxing) + .debug_str 0x00000000000012e4 0x44 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) + 0x5a2 (size before relaxing) + .debug_str 0x0000000000001328 0x187 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-divmod.o) + 0x1d2 (size before relaxing) + .debug_str 0x00000000000014af 0x40 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-mul.o) + 0x193 (size before relaxing) + .debug_str 0x00000000000014ef 0xe1 ../semafor_bsp/\libhal_bsp.a(alt_close.o) + 0x208 (size before relaxing) + .debug_str 0x00000000000015d0 0x1b ../semafor_bsp/\libhal_bsp.a(alt_dcache_flush.o) + 0x158 (size before relaxing) + .debug_str 0x00000000000015eb 0x50 ../semafor_bsp/\libhal_bsp.a(alt_dev.o) + 0x302 (size before relaxing) + .debug_str 0x000000000000163b 0x14 ../semafor_bsp/\libhal_bsp.a(alt_errno.o) + 0x142 (size before relaxing) + .debug_str 0x000000000000164f 0x14 ../semafor_bsp/\libhal_bsp.a(alt_fstat.o) + 0x2e9 (size before relaxing) + .debug_str 0x0000000000001663 0x15 ../semafor_bsp/\libhal_bsp.a(alt_isatty.o) + 0x2f1 (size before relaxing) + .debug_str 0x0000000000001678 0x14 ../semafor_bsp/\libhal_bsp.a(alt_lseek.o) + 0x20e (size before relaxing) + .debug_str 0x000000000000168c 0x44 ../semafor_bsp/\libhal_bsp.a(alt_main.o) + 0x19a (size before relaxing) + .debug_str 0x00000000000016d0 0x1a ../semafor_bsp/\libhal_bsp.a(alt_malloc_lock.o) + 0x50c (size before relaxing) + .debug_str 0x00000000000016ea 0x13 ../semafor_bsp/\libhal_bsp.a(alt_read.o) + 0x2f4 (size before relaxing) + .debug_str 0x00000000000016fd 0x28 ../semafor_bsp/\libhal_bsp.a(alt_release_fd.o) + 0x1d0 (size before relaxing) + .debug_str 0x0000000000001725 0x98 ../semafor_bsp/\libhal_bsp.a(alt_sbrk.o) + 0x1d1 (size before relaxing) + .debug_str 0x00000000000017bd 0x14 ../semafor_bsp/\libhal_bsp.a(alt_write.o) + 0x2f5 (size before relaxing) + .debug_str 0x00000000000017d1 0x18b ../semafor_bsp/\libhal_bsp.a(alt_sys_init.o) + 0x38b (size before relaxing) + .debug_str 0x000000000000195c 0xb2 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + 0x3a1 (size before relaxing) + .debug_str 0x0000000000001a0e 0xd1 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + 0x335 (size before relaxing) + .debug_str 0x0000000000001adf 0x4a ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + 0x290 (size before relaxing) + .debug_str 0x0000000000001b29 0x48 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + 0x2e5 (size before relaxing) + .debug_str 0x0000000000001b71 0x4a ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + 0x2e6 (size before relaxing) + .debug_str 0x0000000000001bbb 0x6d ../semafor_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) + 0x248 (size before relaxing) + .debug_str 0x0000000000001c28 0x59 ../semafor_bsp/\libhal_bsp.a(alt_alarm_start.o) + 0x27b (size before relaxing) + .debug_str 0x0000000000001c81 0x34 ../semafor_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + 0x1c9 (size before relaxing) + .debug_str 0x0000000000001cb5 0x48 ../semafor_bsp/\libhal_bsp.a(alt_do_ctors.o) + 0xcd (size before relaxing) + .debug_str 0x0000000000001cfd 0x4c ../semafor_bsp/\libhal_bsp.a(alt_do_dtors.o) + 0xcc (size before relaxing) + .debug_str 0x0000000000001d49 0xc4 ../semafor_bsp/\libhal_bsp.a(alt_iic.o) + 0x23b (size before relaxing) + .debug_str 0x0000000000001e0d 0x4c ../semafor_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + 0x1e5 (size before relaxing) + .debug_str 0x0000000000001e59 0x56 ../semafor_bsp/\libhal_bsp.a(alt_io_redirect.o) + 0x313 (size before relaxing) + .debug_str 0x0000000000001eaf 0x3a ../semafor_bsp/\libhal_bsp.a(alt_irq_handler.o) + 0x194 (size before relaxing) + .debug_str 0x0000000000001ee9 0x17 ../semafor_bsp/\libhal_bsp.a(alt_irq_vars.o) + 0x137 (size before relaxing) + .debug_str 0x0000000000001f00 0x29 ../semafor_bsp/\libhal_bsp.a(alt_open.o) + 0x248 (size before relaxing) + .debug_str 0x0000000000001f29 0x4a ../semafor_bsp/\libhal_bsp.a(alt_tick.o) + 0x24f (size before relaxing) + .debug_str 0x0000000000001f73 0x3b ../semafor_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) + 0x159 (size before relaxing) + .debug_str 0x0000000000001fae 0x24 ../semafor_bsp/\libhal_bsp.a(alt_find_dev.o) + 0x1e9 (size before relaxing) + .debug_str 0x0000000000001fd2 0x26 ../semafor_bsp/\libhal_bsp.a(alt_find_file.o) + 0x1f7 (size before relaxing) + .debug_str 0x0000000000001ff8 0x30 ../semafor_bsp/\libhal_bsp.a(alt_get_fd.o) + 0x219 (size before relaxing) + .debug_str 0x0000000000002028 0x48b ../semafor_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) + 0x59d (size before relaxing) + .debug_str 0x00000000000024b3 0x81 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + 0x221 (size before relaxing) + .debug_str 0x0000000000002534 0x4c c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) + 0x5be (size before relaxing) + .debug_str 0x0000000000002580 0x44 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) + 0x1e4 (size before relaxing) + .debug_str 0x00000000000025c4 0x3f c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) + 0x5f6 (size before relaxing) + .debug_str 0x0000000000002603 0x65 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + 0x5e2 (size before relaxing) + .debug_str 0x0000000000002668 0x2a ../semafor_bsp/\libhal_bsp.a(alt_exit.o) + 0x14e (size before relaxing) + +.debug_loc 0x0000000000000000 0x4ada + *(.debug_loc) + .debug_loc 0x0000000000000000 0x117 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) + .debug_loc 0x0000000000000117 0x47 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) + .debug_loc 0x000000000000015e 0x2f9 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + .debug_loc 0x0000000000000457 0x5c7 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + .debug_loc 0x0000000000000a1e 0x25b c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + .debug_loc 0x0000000000000c79 0xb1b c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + .debug_loc 0x0000000000001794 0x1a1 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) + .debug_loc 0x0000000000001935 0x266 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) + .debug_loc 0x0000000000001b9b 0x308 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) + .debug_loc 0x0000000000001ea3 0x1a6 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) + .debug_loc 0x0000000000002049 0xde4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + .debug_loc 0x0000000000002e2d 0x58 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) + .debug_loc 0x0000000000002e85 0x33a c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + .debug_loc 0x00000000000031bf 0xb0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) + .debug_loc 0x000000000000326f 0xa2 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) + .debug_loc 0x0000000000003311 0x58 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(closer.o) + .debug_loc 0x0000000000003369 0x199 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + .debug_loc 0x0000000000003502 0x36f c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + .debug_loc 0x0000000000003871 0x4e7 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + .debug_loc 0x0000000000003d58 0xb0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) + .debug_loc 0x0000000000003e08 0x122 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) + .debug_loc 0x0000000000003f2a 0xb0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) + .debug_loc 0x0000000000003fda 0x84 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) + .debug_loc 0x000000000000405e 0x58 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) + .debug_loc 0x00000000000040b6 0x4ce c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-divmod.o) + .debug_loc 0x0000000000004584 0x93 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-mul.o) + .debug_loc 0x0000000000004617 0x2c c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + .debug_loc 0x0000000000004643 0x1e c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) + .debug_loc 0x0000000000004661 0x126 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) + .debug_loc 0x0000000000004787 0x185 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) + .debug_loc 0x000000000000490c 0x1ce c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + +.debug_macinfo + *(.debug_macinfo) + +.debug_weaknames + *(.debug_weaknames) + +.debug_funcnames + *(.debug_funcnames) + +.debug_typenames + *(.debug_typenames) + +.debug_varnames + *(.debug_varnames) + +.debug_alt_sim_info + *(.debug_alt_sim_info) + 0x0000000000020000 __alt_data_end = 0x20000 + 0x0000000000020000 PROVIDE (__alt_stack_pointer, __alt_data_end) + [!provide] PROVIDE (__alt_stack_limit, __alt_stack_base) + 0x00000000000073e4 PROVIDE (__alt_heap_start, end) + 0x0000000000020000 PROVIDE (__alt_heap_limit, 0x20000) +OUTPUT(RUN_ON_HDL_SIMULATOR_ONLY_semafor.elf elf32-littlenios2) + +.debug_ranges 0x0000000000000000 0x680 + .debug_ranges 0x0000000000000000 0x20 ../semafor_bsp//obj/HAL/src/crt0.o + .debug_ranges 0x0000000000000020 0x30 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) + .debug_ranges 0x0000000000000050 0x10 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) + .debug_ranges 0x0000000000000060 0xc8 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + .debug_ranges 0x0000000000000128 0x30 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + .debug_ranges 0x0000000000000158 0x18 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + .debug_ranges 0x0000000000000170 0x48 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + .debug_ranges 0x00000000000001b8 0x10 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) + .debug_ranges 0x00000000000001c8 0x10 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) + .debug_ranges 0x00000000000001d8 0x10 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) + .debug_ranges 0x00000000000001e8 0x10 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) + .debug_ranges 0x00000000000001f8 0x148 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + .debug_ranges 0x0000000000000340 0x10 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) + .debug_ranges 0x0000000000000350 0x30 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + .debug_ranges 0x0000000000000380 0x10 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) + .debug_ranges 0x0000000000000390 0x40 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) + .debug_ranges 0x00000000000003d0 0x10 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(closer.o) + .debug_ranges 0x00000000000003e0 0x48 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + .debug_ranges 0x0000000000000428 0x58 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + .debug_ranges 0x0000000000000480 0x18 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + .debug_ranges 0x0000000000000498 0x10 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) + .debug_ranges 0x00000000000004a8 0x10 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) + .debug_ranges 0x00000000000004b8 0x10 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) + .debug_ranges 0x00000000000004c8 0x10 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) + .debug_ranges 0x00000000000004d8 0x10 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) + .debug_ranges 0x00000000000004e8 0x60 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-divmod.o) + .debug_ranges 0x0000000000000548 0x30 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_ranges 0x0000000000000578 0x18 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_ranges 0x0000000000000590 0x28 ../semafor_bsp/\libhal_bsp.a(alt_irq_entry.o) + .debug_ranges 0x00000000000005b8 0x10 ../semafor_bsp/\libhal_bsp.a(alt_irq_handler.o) + .debug_ranges 0x00000000000005c8 0x30 ../semafor_bsp/\libhal_bsp.a(alt_exception_entry.o) + .debug_ranges 0x00000000000005f8 0x18 ../semafor_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) + .debug_ranges 0x0000000000000610 0x10 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + .debug_ranges 0x0000000000000620 0x10 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) + .debug_ranges 0x0000000000000630 0x10 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) + .debug_ranges 0x0000000000000640 0x10 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) + .debug_ranges 0x0000000000000650 0x30 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) diff --git a/Top/software/semafor/RUN_ON_HDL_SIMULATOR_ONLY_semafor.objdump b/Top/software/semafor/RUN_ON_HDL_SIMULATOR_ONLY_semafor.objdump new file mode 100644 index 0000000..c083ff6 --- /dev/null +++ b/Top/software/semafor/RUN_ON_HDL_SIMULATOR_ONLY_semafor.objdump @@ -0,0 +1,8377 @@ + +RUN_ON_HDL_SIMULATOR_ONLY_semafor.elf: file format elf32-littlenios2 +RUN_ON_HDL_SIMULATOR_ONLY_semafor.elf +architecture: nios2:r1, flags 0x00000112: +EXEC_P, HAS_SYMS, D_PAGED +start address 0x00000230 + +Program Header: + LOAD off 0x00001000 vaddr 0x00000000 paddr 0x00000000 align 2**12 + filesz 0x00000020 memsz 0x00000020 flags r-x + LOAD off 0x00001020 vaddr 0x00000020 paddr 0x00000020 align 2**12 + filesz 0x00007270 memsz 0x000073c4 flags rwx + +Sections: +Idx Name Size VMA LMA File off Algn + 0 .entry 00000020 00000000 00000000 00001000 2**5 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 1 .exceptions 00000210 00000020 00000020 00001020 2**2 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 2 .text 000055a8 00000230 00000230 00001230 2**2 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 3 .rodata 0000004c 000057d8 000057d8 000067d8 2**2 + CONTENTS, ALLOC, LOAD, READONLY, DATA + 4 .rwdata 00001a6c 00005824 00005824 00006824 2**2 + CONTENTS, ALLOC, LOAD, DATA, SMALL_DATA + 5 .bss 00000154 00007290 00007290 00008290 2**2 + ALLOC, SMALL_DATA + 6 .mem 00000000 000073e4 000073e4 00008290 2**0 + CONTENTS + 7 .comment 00000023 00000000 00000000 00008290 2**0 + CONTENTS, READONLY + 8 .debug_aranges 000009f0 00000000 00000000 000082b8 2**3 + CONTENTS, READONLY, DEBUGGING + 9 .debug_info 00016367 00000000 00000000 00008ca8 2**0 + CONTENTS, READONLY, DEBUGGING + 10 .debug_abbrev 00005fe5 00000000 00000000 0001f00f 2**0 + CONTENTS, READONLY, DEBUGGING + 11 .debug_line 00006941 00000000 00000000 00024ff4 2**0 + CONTENTS, READONLY, DEBUGGING + 12 .debug_frame 00001504 00000000 00000000 0002b938 2**2 + CONTENTS, READONLY, DEBUGGING + 13 .debug_str 00002692 00000000 00000000 0002ce3c 2**0 + CONTENTS, READONLY, DEBUGGING + 14 .debug_loc 00004ada 00000000 00000000 0002f4ce 2**0 + CONTENTS, READONLY, DEBUGGING + 15 .debug_ranges 00000680 00000000 00000000 00033fa8 2**3 + CONTENTS, READONLY, DEBUGGING + 16 .thread_model 00000003 00000000 00000000 000368be 2**0 + CONTENTS, READONLY + 17 .cpu 00000003 00000000 00000000 000368c1 2**0 + CONTENTS, READONLY + 18 .qsys 00000001 00000000 00000000 000368c4 2**0 + CONTENTS, READONLY + 19 .simulation_enabled 00000001 00000000 00000000 000368c5 2**0 + CONTENTS, READONLY + 20 .stderr_dev 00000009 00000000 00000000 000368c6 2**0 + CONTENTS, READONLY + 21 .stdin_dev 00000009 00000000 00000000 000368cf 2**0 + CONTENTS, READONLY + 22 .stdout_dev 00000009 00000000 00000000 000368d8 2**0 + CONTENTS, READONLY + 23 .sopc_system_name 00000006 00000000 00000000 000368e1 2**0 + CONTENTS, READONLY + 24 .quartus_project_dir 00000021 00000000 00000000 000368e7 2**0 + CONTENTS, READONLY + 25 .jdi 0000438e 00000000 00000000 00036908 2**0 + CONTENTS, READONLY + 26 .sopcinfo 0003cf5b 00000000 00000000 0003ac96 2**0 + CONTENTS, READONLY +SYMBOL TABLE: +00000000 l d .entry 00000000 .entry +00000020 l d .exceptions 00000000 .exceptions +00000230 l d .text 00000000 .text +000057d8 l d .rodata 00000000 .rodata +00005824 l d .rwdata 00000000 .rwdata +00007290 l d .bss 00000000 .bss +000073e4 l d .mem 00000000 .mem +00000000 l d .comment 00000000 .comment +00000000 l d .debug_aranges 00000000 .debug_aranges +00000000 l d .debug_info 00000000 .debug_info +00000000 l d .debug_abbrev 00000000 .debug_abbrev +00000000 l d .debug_line 00000000 .debug_line +00000000 l d .debug_frame 00000000 .debug_frame +00000000 l d .debug_str 00000000 .debug_str +00000000 l d .debug_loc 00000000 .debug_loc +00000000 l d .debug_ranges 00000000 .debug_ranges +00000000 l df *ABS* 00000000 ../semafor_bsp//obj/HAL/src/crt0.o +00000244 l .text 00000000 alt_after_alt_main +00000000 l df *ABS* 00000000 alt_irq_handler.c +00000000 l df *ABS* 00000000 alt_instruction_exception_entry.c +00000000 l df *ABS* 00000000 sem.c +00000000 l df *ABS* 00000000 puts.c +00000000 l df *ABS* 00000000 strlen.c +00000000 l df *ABS* 00000000 findfp.c +0000049c l F .text 00000008 __fp_unlock +000004b0 l F .text 0000019c __sinit.part.1 +0000064c l F .text 00000008 __fp_lock +00000000 l df *ABS* 00000000 fvwrite.c +00000000 l df *ABS* 00000000 fwalk.c +00000000 l df *ABS* 00000000 impure.c +00005824 l O .rwdata 00000424 impure_data +00000000 l df *ABS* 00000000 mallocr.c +00000000 l df *ABS* 00000000 memchr.c +00000000 l df *ABS* 00000000 memcpy.c +00000000 l df *ABS* 00000000 memmove.c +00000000 l df *ABS* 00000000 memset.c +00000000 l df *ABS* 00000000 mallocr.c +00000000 l df *ABS* 00000000 sbrkr.c +00000000 l df *ABS* 00000000 stdio.c +00000000 l df *ABS* 00000000 writer.c +00000000 l df *ABS* 00000000 wsetup.c +00000000 l df *ABS* 00000000 closer.c +00000000 l df *ABS* 00000000 fclose.c +00000000 l df *ABS* 00000000 fflush.c +00000000 l df *ABS* 00000000 mallocr.c +00000000 l df *ABS* 00000000 int_errno.c +00000000 l df *ABS* 00000000 lseekr.c +00000000 l df *ABS* 00000000 makebuf.c +00000000 l df *ABS* 00000000 readr.c +00000000 l df *ABS* 00000000 fstatr.c +00000000 l df *ABS* 00000000 isattyr.c +00000000 l df *ABS* 00000000 lib2-divmod.c +00000000 l df *ABS* 00000000 lib2-mul.c +00000000 l df *ABS* 00000000 alt_close.c +0000313c l F .text 0000003c alt_get_errno +00000000 l df *ABS* 00000000 alt_dcache_flush.c +00000000 l df *ABS* 00000000 alt_dev.c +00003278 l F .text 0000002c alt_dev_null_write +00000000 l df *ABS* 00000000 alt_errno.c +00000000 l df *ABS* 00000000 alt_fstat.c +000032a4 l F .text 0000003c alt_get_errno +00000000 l df *ABS* 00000000 alt_isatty.c +00003398 l F .text 0000003c alt_get_errno +00000000 l df *ABS* 00000000 alt_lseek.c +00003480 l F .text 0000003c alt_get_errno +00000000 l df *ABS* 00000000 alt_main.c +00000000 l df *ABS* 00000000 alt_malloc_lock.c +00000000 l df *ABS* 00000000 alt_read.c +0000365c l F .text 0000003c alt_get_errno +00000000 l df *ABS* 00000000 alt_release_fd.c +00000000 l df *ABS* 00000000 alt_sbrk.c +00007280 l O .rwdata 00000004 heap_end +00000000 l df *ABS* 00000000 alt_write.c +000038d0 l F .text 0000003c alt_get_errno +00000000 l df *ABS* 00000000 alt_sys_init.c +00003a0c l F .text 00000034 alt_dev_reg +000061f8 l O .rwdata 00001060 jtag_uart +00000000 l df *ABS* 00000000 altera_avalon_jtag_uart_fd.c +00000000 l df *ABS* 00000000 altera_avalon_jtag_uart_init.c +00003cfc l F .text 0000020c altera_avalon_jtag_uart_irq +00003f08 l F .text 000000a0 altera_avalon_jtag_uart_timeout +00000000 l df *ABS* 00000000 altera_avalon_jtag_uart_ioctl.c +00000000 l df *ABS* 00000000 altera_avalon_jtag_uart_read.c +00000000 l df *ABS* 00000000 altera_avalon_jtag_uart_write.c +00000000 l df *ABS* 00000000 altera_avalon_timer_sc.c +00004540 l F .text 00000078 alt_avalon_timer_sc_irq +00000000 l df *ABS* 00000000 alt_alarm_start.c +00000000 l df *ABS* 00000000 alt_dev_llist_insert.c +00004760 l F .text 0000003c alt_get_errno +00000000 l df *ABS* 00000000 alt_do_ctors.c +00000000 l df *ABS* 00000000 alt_do_dtors.c +00000000 l df *ABS* 00000000 alt_iic.c +00000000 l df *ABS* 00000000 alt_iic_isr_register.c +00000000 l df *ABS* 00000000 alt_io_redirect.c +00004ba0 l F .text 000000e4 alt_open_fd +00000000 l df *ABS* 00000000 alt_irq_vars.c +00000000 l df *ABS* 00000000 alt_open.c +00004d00 l F .text 0000003c alt_get_errno +00004d3c l F .text 000000ec alt_file_locked +00000000 l df *ABS* 00000000 alt_tick.c +00000000 l df *ABS* 00000000 altera_nios2_gen2_irq.c +00000000 l df *ABS* 00000000 alt_find_dev.c +00000000 l df *ABS* 00000000 alt_find_file.c +00000000 l df *ABS* 00000000 alt_get_fd.c +00000000 l df *ABS* 00000000 atexit.c +00000000 l df *ABS* 00000000 exit.c +00000000 l df *ABS* 00000000 memcmp.c +00000000 l df *ABS* 00000000 __atexit.c +00000000 l df *ABS* 00000000 __call_atexit.c +00000000 l df *ABS* 00000000 alt_exit.c +000072b8 g O .bss 00000004 alt_instruction_exception_handler +00002f0c g F .text 00000054 _isatty_r +00003598 g F .text 0000007c alt_main +00000330 g F .text 000000c0 _puts_r +000072e4 g O .bss 00000100 alt_irq +00002c34 g F .text 00000060 _lseek_r +000073e4 g *ABS* 00000000 __alt_heap_start +000021e8 g F .text 0000005c __sseek +000007fc g F .text 00000010 __sinit +00000654 g F .text 00000078 __sfmoreglue +00003638 g F .text 00000024 __malloc_unlock +000057d8 g O .rodata 00000010 divisors +000018d4 g F .text 0000015c memmove +000007e4 g F .text 00000018 _cleanup +00005128 g F .text 00000024 altera_nios2_gen2_irq_init +00000000 g F .entry 0000000c __reset +00002eb0 g F .text 0000005c _fstat_r +0000729c g O .bss 00000004 errno +00002164 g F .text 00000008 __seofread +000072a4 g O .bss 00000004 alt_argv +0000f258 g *ABS* 00000000 _gp +00006078 g O .rwdata 00000180 alt_fd_list +0000514c g F .text 00000090 alt_find_dev +0000178c g F .text 00000148 memcpy +000004a4 g F .text 0000000c _cleanup_r +00004c84 g F .text 0000007c alt_io_redirect +000057d8 g *ABS* 00000000 __DTOR_END__ +000003f0 g F .text 00000014 puts +000053a8 g F .text 0000009c alt_exception_cause_generated_bad_addr +00004100 g F .text 0000021c altera_avalon_jtag_uart_read +00003058 g F .text 00000064 .hidden __udivsi3 +000033d4 g F .text 000000ac isatty +00007298 g O .bss 00000004 __malloc_top_pad +0000084c g F .text 000004c8 __sfvwrite_r +000020bc g F .text 00000054 _sbrk_r +00002e50 g F .text 00000060 _read_r +00003250 g F .text 00000028 alt_dcache_flush +00007278 g O .rwdata 00000004 alt_max_fd +00002454 g F .text 000000f0 _fclose_r +000027d0 g F .text 00000030 fflush +00007294 g O .bss 00000004 __malloc_max_sbrked_mem +000034bc g F .text 000000dc lseek +00007258 g O .rwdata 00000004 _global_impure_ptr +00001b58 g F .text 00000564 _realloc_r +000073e4 g *ABS* 00000000 __bss_end +00004ab0 g F .text 000000f0 alt_iic_isr_register +00005020 g F .text 00000108 alt_tick +0000081c g F .text 00000018 __fp_lock_all +00004a64 g F .text 0000004c alt_ic_irq_enabled +00000000 g *ABS* 00000000 __alt_mem_mem +00004f84 g F .text 0000009c alt_alarm_stop +000072ac g O .bss 00000004 alt_irq_active +000000fc g F .exceptions 000000d4 alt_irq_handler +00006050 g O .rwdata 00000028 alt_dev_null +00007270 g O .rwdata 00000008 alt_dev_list +0000390c g F .text 00000100 write +000032e0 g F .text 000000b8 fstat +000030bc g F .text 00000058 .hidden __umodsi3 +000073e4 g *ABS* 00000000 end +00003c3c g F .text 000000c0 altera_avalon_jtag_uart_init +000001d0 g F .exceptions 00000060 alt_instruction_exception_entry +000057d8 g *ABS* 00000000 __CTOR_LIST__ +00020000 g *ABS* 00000000 __alt_stack_pointer +000045b8 g F .text 0000007c alt_avalon_timer_sc_init +0000431c g F .text 00000224 altera_avalon_jtag_uart_write +0000080c g F .text 00000004 __sfp_lock_acquire +000016a8 g F .text 000000e4 memchr +00002924 g F .text 00000310 _free_r +00005624 g F .text 00000180 __call_exitprocs +00007260 g O .rwdata 00000004 __malloc_sbrk_base +00000230 g F .text 00000018 _start +000072b0 g O .bss 00000004 _alt_tick_rate +000072b4 g O .bss 00000004 _alt_nticks +00003698 g F .text 00000104 read +00003a78 g F .text 00000060 alt_sys_init +0000550c g F .text 00000118 __register_exitproc +00003fa8 g F .text 00000068 altera_avalon_jtag_uart_close +00003114 g F .text 00000028 .hidden __mulsi3 +000072bc g O .bss 00000028 __malloc_current_mallinfo +00003ad8 g F .text 00000060 altera_avalon_jtag_uart_read_fd +000052e4 g F .text 000000c4 alt_get_fd +00002400 g F .text 00000054 _close_r +00005490 g F .text 0000007c memcmp +00003b98 g F .text 00000050 altera_avalon_jtag_uart_close_fd +000073e4 g *ABS* 00000000 __alt_stack_base +00003be8 g F .text 00000054 altera_avalon_jtag_uart_ioctl_fd +000022ac g F .text 00000154 __swsetup_r +000006cc g F .text 00000118 __sfp +00005c48 g O .rwdata 00000408 __malloc_av_ +00000818 g F .text 00000004 __sinit_lock_release +00002110 g F .text 00000054 __sread +000051dc g F .text 00000108 alt_find_file +0000479c g F .text 000000a4 alt_dev_llist_insert +00003614 g F .text 00000024 __malloc_lock +00003820 g F .text 000000b0 sbrk +00002774 g F .text 0000005c _fflush_r +00007290 g *ABS* 00000000 __bss_start +00001a30 g F .text 00000128 memset +00000248 g F .text 000000e8 main +000072a8 g O .bss 00000004 alt_envp +00007290 g O .bss 00000004 __malloc_max_total_mem +00003b38 g F .text 00000060 altera_avalon_jtag_uart_write_fd +00002244 g F .text 00000008 __sclose +00020000 g *ABS* 00000000 __alt_heap_limit +00002544 g F .text 00000014 fclose +00000e9c g F .text 0000080c _malloc_r +0000727c g O .rwdata 00000004 alt_errno +00000d14 g F .text 000000c4 _fwalk +00002f60 g F .text 00000084 .hidden __divsi3 +00002800 g F .text 00000124 _malloc_trim_r +000057d8 g *ABS* 00000000 __CTOR_END__ +000057d8 g *ABS* 00000000 __DTOR_LIST__ +00003a40 g F .text 00000038 alt_irq_init +0000379c g F .text 00000084 alt_release_fd +00005444 g F .text 00000014 atexit +0000224c g F .text 00000060 _write_r +0000725c g O .rwdata 00000004 _impure_ptr +000072a0 g O .bss 00000004 alt_argc +00002558 g F .text 0000021c __sflush_r +000048a0 g F .text 00000060 _do_dtors +00000020 g .exceptions 00000000 alt_irq_entry +00000834 g F .text 00000018 __fp_unlock_all +00007268 g O .rwdata 00000008 alt_fs_list +00004900 g F .text 00000050 alt_ic_isr_register +00007290 g *ABS* 00000000 _edata +000073e4 g *ABS* 00000000 _end +00004010 g F .text 000000f0 altera_avalon_jtag_uart_ioctl +000049d8 g F .text 0000008c alt_ic_irq_disable +0000216c g F .text 0000007c __swrite +00007264 g O .rwdata 00000004 __malloc_trim_threshold +00005458 g F .text 00000038 exit +00000dd8 g F .text 000000c4 _fwalk_reent +00002fe4 g F .text 00000074 .hidden __modsi3 +00020000 g *ABS* 00000000 __alt_data_end +00000020 g F .exceptions 00000000 alt_exception +00000810 g F .text 00000004 __sfp_lock_release +000057a4 g F .text 00000034 _exit +00004634 g F .text 0000012c alt_alarm_start +00002c94 g F .text 000001bc __smakebuf_r +00000404 g F .text 00000098 strlen +00004e28 g F .text 0000015c open +00007284 g O .rwdata 00000004 alt_priority_mask +00004950 g F .text 00000088 alt_ic_irq_enable +00007288 g O .rwdata 00000008 alt_alarm_list +00004840 g F .text 00000060 _do_ctors +00003178 g F .text 000000d8 close +00000814 g F .text 00000004 __sinit_lock_acquire + + + +Disassembly of section .entry: + +00000000 <__reset>: + * Jump to the _start entry point in the .text section if reset code + * is allowed or if optimizing for RTL simulation. + */ +#if defined(ALT_ALLOW_CODE_AT_RESET) || defined(ALT_SIM_OPTIMIZE) + /* Jump to the _start entry point in the .text section. */ + movhi r1, %hi(_start) + 0: 00400034 movhi at,0 + ori r1, r1, %lo(_start) + 4: 08408c14 ori at,at,560 + jmp r1 + 8: 0800683a jmp at + ... + +Disassembly of section .exceptions: + +00000020 : + +#else /* ALT_EXCEPTION_STACK disabled */ + /* + * Reserve space on normal stack for registers about to be pushed. + */ + addi sp, sp, -76 + 20: deffed04 addi sp,sp,-76 + * documentation for details). + * + * Leave a gap in the stack frame at 4(sp) for the muldiv handler to + * store zero into. + */ + stw ra, 0(sp) + 24: dfc00015 stw ra,0(sp) + stw r1, 8(sp) + 28: d8400215 stw at,8(sp) + stw r2, 12(sp) + 2c: d8800315 stw r2,12(sp) + stw r3, 16(sp) + 30: d8c00415 stw r3,16(sp) + stw r4, 20(sp) + 34: d9000515 stw r4,20(sp) + stw r5, 24(sp) + 38: d9400615 stw r5,24(sp) + stw r6, 28(sp) + 3c: d9800715 stw r6,28(sp) + stw r7, 32(sp) + 40: d9c00815 stw r7,32(sp) + rdctl r5, estatus /* Read early to avoid usage stall */ + 44: 000b307a rdctl r5,estatus + stw r8, 36(sp) + 48: da000915 stw r8,36(sp) + stw r9, 40(sp) + 4c: da400a15 stw r9,40(sp) + stw r10, 44(sp) + 50: da800b15 stw r10,44(sp) + stw r11, 48(sp) + 54: dac00c15 stw r11,48(sp) + stw r12, 52(sp) + 58: db000d15 stw r12,52(sp) + stw r13, 56(sp) + 5c: db400e15 stw r13,56(sp) + stw r14, 60(sp) + 60: db800f15 stw r14,60(sp) + stw r15, 64(sp) + 64: dbc01015 stw r15,64(sp) + /* + * ea-4 contains the address of the instruction being executed + * when the exception occured. For interrupt exceptions, we will + * will be re-issue the isntruction. Store it in 72(sp) + */ + stw r5, 68(sp) /* estatus */ + 68: d9401115 stw r5,68(sp) + addi r15, ea, -4 /* instruction that caused exception */ + 6c: ebffff04 addi r15,ea,-4 + stw r15, 72(sp) + 70: dbc01215 stw r15,72(sp) +#else + /* + * Test to see if the exception was a software exception or caused + * by an external interrupt, and vector accordingly. + */ + rdctl r4, ipending + 74: 0009313a rdctl r4,ipending + andi r2, r5, 1 + 78: 2880004c andi r2,r5,1 + beq r2, zero, .Lnot_irq + 7c: 10000326 beq r2,zero,8c + beq r4, zero, .Lnot_irq + 80: 20000226 beq r4,zero,8c + /* + * Now that all necessary registers have been preserved, call + * alt_irq_handler() to process the interrupts. + */ + + call alt_irq_handler + 84: 00000fc0 call fc + + .section .exceptions.irqreturn, "xa" + + br .Lexception_exit + 88: 00000706 br a8 + * upon completion, so we write ea (address of instruction *after* + * the one where the exception occured) into 72(sp). The actual + * instruction that caused the exception is written in r2, which these + * handlers will utilize. + */ + stw ea, 72(sp) /* EA is PC+4 so will skip over instruction causing exception */ + 8c: df401215 stw ea,72(sp) +.Lunknown_16bit: + addi.n r4, r4, 2 /* Need PC+2 to skip over instruction causing exception */ + stw r4, 72(sp) + +#else /* CDX is not Enabled and all instructions are 32bits */ + ldw r2, -4(ea) /* Instruction value that caused exception */ + 90: e8bfff17 ldw r2,-4(ea) + * debugger is present) or go into an infinite loop since the + * handling behavior is undefined; in that case we will not return here. + */ + + /* Load exception-causing address as first argument (r4) */ + addi r4, ea, -4 + 94: e93fff04 addi r4,ea,-4 + + /* Call the instruction-exception entry */ + call alt_instruction_exception_entry + 98: 00001d00 call 1d0 + * instruction + * + * Return code was 0: Skip. The instruction after the exception is + * already stored in 72(sp). + */ + bne r2, r0, .Lexception_exit + 9c: 1000021e bne r2,zero,a8 + + /* + * Otherwise, modify 72(sp) to re-issue the instruction that caused the + * exception. + */ + addi r15, ea, -4 /* instruction that caused exception */ + a0: ebffff04 addi r15,ea,-4 + stw r15, 72(sp) + a4: dbc01215 stw r15,72(sp) + /* + * Restore the saved registers, so that all general purpose registers + * have been restored to their state at the time the interrupt occured. + */ + + ldw r5, 68(sp) + a8: d9401117 ldw r5,68(sp) + ldw ea, 72(sp) /* This becomes the PC once eret is executed */ + ac: df401217 ldw ea,72(sp) + ldw ra, 0(sp) + b0: dfc00017 ldw ra,0(sp) + + wrctl estatus, r5 + b4: 2801707a wrctl estatus,r5 + + ldw r1, 8(sp) + b8: d8400217 ldw at,8(sp) + ldw r2, 12(sp) + bc: d8800317 ldw r2,12(sp) + ldw r3, 16(sp) + c0: d8c00417 ldw r3,16(sp) + ldw r4, 20(sp) + c4: d9000517 ldw r4,20(sp) + ldw r5, 24(sp) + c8: d9400617 ldw r5,24(sp) + ldw r6, 28(sp) + cc: d9800717 ldw r6,28(sp) + ldw r7, 32(sp) + d0: d9c00817 ldw r7,32(sp) + +#if defined(ALT_EXCEPTION_STACK) && defined(ALT_STACK_CHECK) + ldw et, %gprel(alt_exception_old_stack_limit)(gp) +#endif + + ldw r8, 36(sp) + d4: da000917 ldw r8,36(sp) + ldw r9, 40(sp) + d8: da400a17 ldw r9,40(sp) + ldw r10, 44(sp) + dc: da800b17 ldw r10,44(sp) + ldw r11, 48(sp) + e0: dac00c17 ldw r11,48(sp) + ldw r12, 52(sp) + e4: db000d17 ldw r12,52(sp) + ldw r13, 56(sp) + e8: db400e17 ldw r13,56(sp) + ldw r14, 60(sp) + ec: db800f17 ldw r14,60(sp) + ldw r15, 64(sp) + f0: dbc01017 ldw r15,64(sp) + stw et, %gprel(alt_stack_limit_value)(gp) + stw zero, %gprel(alt_exception_old_stack_limit)(gp) +#endif /* ALT_STACK_CHECK */ + ldw sp, 76(sp) +#else /* ALT_EXCEPTION_STACK disabled */ + addi sp, sp, 76 + f4: dec01304 addi sp,sp,76 + + /* + * Return to the interrupted instruction. + */ + + eret + f8: ef80083a eret + +000000fc : + * instruction is present if the macro ALT_CI_INTERRUPT_VECTOR defined. + */ + +void alt_irq_handler (void) __attribute__ ((section (".exceptions"))); +void alt_irq_handler (void) +{ + fc: defff904 addi sp,sp,-28 + 100: dfc00615 stw ra,24(sp) + 104: df000515 stw fp,20(sp) + 108: df000504 addi fp,sp,20 + + /* + * Notify the operating system that we are at interrupt level. + */ + + ALT_OS_INT_ENTER(); + 10c: 0001883a nop +#ifndef NIOS2_EIC_PRESENT +static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_irq_pending (void) +{ + alt_u32 active; + + NIOS2_READ_IPENDING (active); + 110: 0005313a rdctl r2,ipending + 114: e0bffe15 stw r2,-8(fp) + + return active; + 118: e0bffe17 ldw r2,-8(fp) + * Consider the case where the high priority interupt is asserted during + * the interrupt entry sequence for a lower priority interrupt to see why + * this is the case. + */ + + active = alt_irq_pending (); + 11c: e0bffb15 stw r2,-20(fp) + + do + { + i = 0; + 120: e03ffd15 stw zero,-12(fp) + mask = 1; + 124: 00800044 movi r2,1 + 128: e0bffc15 stw r2,-16(fp) + * called to clear the interrupt condition. + */ + + do + { + if (active & mask) + 12c: e0fffb17 ldw r3,-20(fp) + 130: e0bffc17 ldw r2,-16(fp) + 134: 1884703a and r2,r3,r2 + 138: 10001426 beq r2,zero,18c + { +#ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT + alt_irq[i].handler(alt_irq[i].context); + 13c: 00800034 movhi r2,0 + 140: 109cb904 addi r2,r2,29412 + 144: e0fffd17 ldw r3,-12(fp) + 148: 180690fa slli r3,r3,3 + 14c: 10c5883a add r2,r2,r3 + 150: 10c00017 ldw r3,0(r2) + 154: 00800034 movhi r2,0 + 158: 109cb904 addi r2,r2,29412 + 15c: e13ffd17 ldw r4,-12(fp) + 160: 200890fa slli r4,r4,3 + 164: 1105883a add r2,r2,r4 + 168: 10800104 addi r2,r2,4 + 16c: 10800017 ldw r2,0(r2) + 170: 1009883a mov r4,r2 + 174: 183ee83a callr r3 +#else + alt_irq[i].handler(alt_irq[i].context, i); +#endif + break; + 178: 0001883a nop +#ifndef NIOS2_EIC_PRESENT +static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_irq_pending (void) +{ + alt_u32 active; + + NIOS2_READ_IPENDING (active); + 17c: 0005313a rdctl r2,ipending + 180: e0bfff15 stw r2,-4(fp) + + return active; + 184: e0bfff17 ldw r2,-4(fp) + 188: 00000706 br 1a8 + } + mask <<= 1; + 18c: e0bffc17 ldw r2,-16(fp) + 190: 1085883a add r2,r2,r2 + 194: e0bffc15 stw r2,-16(fp) + i++; + 198: e0bffd17 ldw r2,-12(fp) + 19c: 10800044 addi r2,r2,1 + 1a0: e0bffd15 stw r2,-12(fp) + + } while (1); + 1a4: 003fe106 br 12c <__alt_data_end+0xfffe012c> + + active = alt_irq_pending (); + 1a8: e0bffb15 stw r2,-20(fp) + + } while (active); + 1ac: e0bffb17 ldw r2,-20(fp) + 1b0: 103fdb1e bne r2,zero,120 <__alt_data_end+0xfffe0120> + + /* + * Notify the operating system that interrupt processing is complete. + */ + + ALT_OS_INT_EXIT(); + 1b4: 0001883a nop +} + 1b8: 0001883a nop + 1bc: e037883a mov sp,fp + 1c0: dfc00117 ldw ra,4(sp) + 1c4: df000017 ldw fp,0(sp) + 1c8: dec00204 addi sp,sp,8 + 1cc: f800283a ret + +000001d0 : + * that handler if it has been registered. Absent a handler, it will + * break break or hang as discussed below. + */ +int +alt_instruction_exception_entry (alt_u32 exception_pc) +{ + 1d0: defffb04 addi sp,sp,-20 + 1d4: dfc00415 stw ra,16(sp) + 1d8: df000315 stw fp,12(sp) + 1dc: df000304 addi fp,sp,12 + 1e0: e13fff15 stw r4,-4(fp) + cause = ( (cause & NIOS2_EXCEPTION_REG_CAUSE_MASK) >> + NIOS2_EXCEPTION_REG_CAUSE_OFST ); + + NIOS2_READ_BADADDR(badaddr); +#else + cause = NIOS2_EXCEPTION_CAUSE_NOT_PRESENT; + 1e4: 00bfffc4 movi r2,-1 + 1e8: e0bffd15 stw r2,-12(fp) + badaddr = 0; + 1ec: e03ffe15 stw zero,-8(fp) +#endif /* NIOS2_HAS_EXTRA_EXCEPTION_INFO */ + + if(alt_instruction_exception_handler) { + 1f0: d0a01817 ldw r2,-32672(gp) + 1f4: 10000726 beq r2,zero,214 + * Call handler. Its return value indicates whether the exception-causing + * instruction should be re-issued. The code that called us, + * alt_eceptions_entry.S, will look at this value and adjust the ea + * register as necessary + */ + return alt_instruction_exception_handler(cause, exception_pc, badaddr); + 1f8: d0a01817 ldw r2,-32672(gp) + 1fc: e0fffd17 ldw r3,-12(fp) + 200: e1bffe17 ldw r6,-8(fp) + 204: e17fff17 ldw r5,-4(fp) + 208: 1809883a mov r4,r3 + 20c: 103ee83a callr r2 + 210: 00000206 br 21c + * (a peripheral which negates its interrupt output before its + * interrupt handler has been executed will cause spurious interrupts) + */ + else { +#ifdef NIOS2_HAS_DEBUG_STUB + NIOS2_BREAK(); + 214: 003da03a break 0 + ; +#endif /* NIOS2_HAS_DEBUG_STUB */ + } + + /* We should not get here. Remove compiler warning. */ + return NIOS2_EXCEPTION_RETURN_REISSUE_INST; + 218: 0005883a mov r2,zero +} + 21c: e037883a mov sp,fp + 220: dfc00117 ldw ra,4(sp) + 224: df000017 ldw fp,0(sp) + 228: dec00204 addi sp,sp,8 + 22c: f800283a ret + +Disassembly of section .text: + +00000230 <_start>: + + /* + * Now that the caches are initialized, set up the stack pointer and global pointer. + * The values provided by the linker are assumed to be correctly aligned. + */ + movhi sp, %hi(__alt_stack_pointer) + 230: 06c000b4 movhi sp,2 + ori sp, sp, %lo(__alt_stack_pointer) + 234: dec00014 ori sp,sp,0 + movhi gp, %hi(_gp) + 238: 06800034 movhi gp,0 + ori gp, gp, %lo(_gp) + 23c: d6bc9614 ori gp,gp,62040 + + /* Log that alt_main is about to be called. */ + ALT_LOG_PUTS(alt_log_msg_alt_main) + + /* Call the C entry point. It should never return. */ + call alt_main + 240: 00035980 call 3598 + +00000244 : + + /* Wait in infinite loop in case alt_main does return. */ +alt_after_alt_main: + br alt_after_alt_main + 244: 003fff06 br 244 <__alt_data_end+0xfffe0244> + +00000248
: + {150,250,200,10}, + {250,250,250,10} +}; + +int main() +{ + 248: defffa04 addi sp,sp,-24 + 24c: dfc00515 stw ra,20(sp) + 250: df000415 stw fp,16(sp) + 254: df000404 addi fp,sp,16 + int i,j; + volatile alt_u32 *p; + alt_u32 tmp; + + //program divisors + p = (alt_u32*) SEM_RAM_SLAVE_BASE; + 258: 008000b4 movhi r2,2 + 25c: 10840804 addi r2,r2,4128 + 260: e0bffe15 stw r2,-8(fp) + for (i=0; i + { + tmp = 0; + 26c: e03fff15 stw zero,-4(fp) + for (j=TIME_STATES; j>0; j--) + 270: 00800104 movi r2,4 + 274: e0bffd15 stw r2,-12(fp) + 278: 00001206 br 2c4 + { + tmp = (tmp << 8) | divisors[i][j-1]; + 27c: e0bfff17 ldw r2,-4(fp) + 280: 1008923a slli r4,r2,8 + 284: e0bffd17 ldw r2,-12(fp) + 288: 117fffc4 addi r5,r2,-1 + 28c: 00800034 movhi r2,0 + 290: 1095f604 addi r2,r2,22488 + 294: e0fffc17 ldw r3,-16(fp) + 298: 18c7883a add r3,r3,r3 + 29c: 18c7883a add r3,r3,r3 + 2a0: 10c5883a add r2,r2,r3 + 2a4: 1145883a add r2,r2,r5 + 2a8: 10800003 ldbu r2,0(r2) + 2ac: 10803fcc andi r2,r2,255 + 2b0: 2084b03a or r2,r4,r2 + 2b4: e0bfff15 stw r2,-4(fp) + //program divisors + p = (alt_u32*) SEM_RAM_SLAVE_BASE; + for (i=0; i0; j--) + 2b8: e0bffd17 ldw r2,-12(fp) + 2bc: 10bfffc4 addi r2,r2,-1 + 2c0: e0bffd15 stw r2,-12(fp) + 2c4: e0bffd17 ldw r2,-12(fp) + 2c8: 00bfec16 blt zero,r2,27c <__alt_data_end+0xfffe027c> + { + tmp = (tmp << 8) | divisors[i][j-1]; + } + *p=tmp; + 2cc: e0bffe17 ldw r2,-8(fp) + 2d0: e0ffff17 ldw r3,-4(fp) + 2d4: 10c00015 stw r3,0(r2) + p++; + 2d8: e0bffe17 ldw r2,-8(fp) + 2dc: 10800104 addi r2,r2,4 + 2e0: e0bffe15 stw r2,-8(fp) + volatile alt_u32 *p; + alt_u32 tmp; + + //program divisors + p = (alt_u32*) SEM_RAM_SLAVE_BASE; + for (i=0; i + *p=tmp; + p++; + } + //since we use pointers (cached data access) to write divisor RAM, + //and not direct i/o access with IOWR, we need to flush cache + alt_dcache_flush(); + 2fc: 00032500 call 3250 + + //select timeset and run semafor + IOWR_ALTERA_AVALON_SEM_DIVSET(SEM_CTL_SLAVE_BASE,0x00); + 300: 0007883a mov r3,zero + 304: 008000b4 movhi r2,2 + 308: 10840d04 addi r2,r2,4148 + 30c: 10c00035 stwio r3,0(r2) + IOWR_ALTERA_AVALON_SEM_CTL(SEM_CTL_SLAVE_BASE,0x01); + 310: 00c00044 movi r3,1 + 314: 008000b4 movhi r2,2 + 318: 10840c04 addi r2,r2,4144 + 31c: 10c00035 stwio r3,0(r2) + + printf("Ready\n"); + 320: 01000034 movhi r4,0 + 324: 2115fa04 addi r4,r4,22504 + 328: 00003f00 call 3f0 + + while (1) + { + ; + } + 32c: 003fff06 br 32c <__alt_data_end+0xfffe032c> + +00000330 <_puts_r>: + 330: defff604 addi sp,sp,-40 + 334: dc000715 stw r16,28(sp) + 338: 2021883a mov r16,r4 + 33c: 2809883a mov r4,r5 + 340: dc400815 stw r17,32(sp) + 344: dfc00915 stw ra,36(sp) + 348: 2823883a mov r17,r5 + 34c: 00004040 call 404 + 350: 10c00044 addi r3,r2,1 + 354: d8800115 stw r2,4(sp) + 358: 00800034 movhi r2,0 + 35c: 1095fc04 addi r2,r2,22512 + 360: d8800215 stw r2,8(sp) + 364: 00800044 movi r2,1 + 368: d8800315 stw r2,12(sp) + 36c: 00800084 movi r2,2 + 370: dc400015 stw r17,0(sp) + 374: d8c00615 stw r3,24(sp) + 378: dec00415 stw sp,16(sp) + 37c: d8800515 stw r2,20(sp) + 380: 80000226 beq r16,zero,38c <_puts_r+0x5c> + 384: 80800e17 ldw r2,56(r16) + 388: 10001426 beq r2,zero,3dc <_puts_r+0xac> + 38c: 81400217 ldw r5,8(r16) + 390: 2880030b ldhu r2,12(r5) + 394: 10c8000c andi r3,r2,8192 + 398: 1800061e bne r3,zero,3b4 <_puts_r+0x84> + 39c: 29001917 ldw r4,100(r5) + 3a0: 00f7ffc4 movi r3,-8193 + 3a4: 10880014 ori r2,r2,8192 + 3a8: 20c6703a and r3,r4,r3 + 3ac: 2880030d sth r2,12(r5) + 3b0: 28c01915 stw r3,100(r5) + 3b4: d9800404 addi r6,sp,16 + 3b8: 8009883a mov r4,r16 + 3bc: 000084c0 call 84c <__sfvwrite_r> + 3c0: 1000091e bne r2,zero,3e8 <_puts_r+0xb8> + 3c4: 00800284 movi r2,10 + 3c8: dfc00917 ldw ra,36(sp) + 3cc: dc400817 ldw r17,32(sp) + 3d0: dc000717 ldw r16,28(sp) + 3d4: dec00a04 addi sp,sp,40 + 3d8: f800283a ret + 3dc: 8009883a mov r4,r16 + 3e0: 00007fc0 call 7fc <__sinit> + 3e4: 003fe906 br 38c <__alt_data_end+0xfffe038c> + 3e8: 00bfffc4 movi r2,-1 + 3ec: 003ff606 br 3c8 <__alt_data_end+0xfffe03c8> + +000003f0 : + 3f0: 00800034 movhi r2,0 + 3f4: 109c9704 addi r2,r2,29276 + 3f8: 200b883a mov r5,r4 + 3fc: 11000017 ldw r4,0(r2) + 400: 00003301 jmpi 330 <_puts_r> + +00000404 : + 404: 208000cc andi r2,r4,3 + 408: 10002026 beq r2,zero,48c + 40c: 20800007 ldb r2,0(r4) + 410: 10002026 beq r2,zero,494 + 414: 2005883a mov r2,r4 + 418: 00000206 br 424 + 41c: 10c00007 ldb r3,0(r2) + 420: 18001826 beq r3,zero,484 + 424: 10800044 addi r2,r2,1 + 428: 10c000cc andi r3,r2,3 + 42c: 183ffb1e bne r3,zero,41c <__alt_data_end+0xfffe041c> + 430: 10c00017 ldw r3,0(r2) + 434: 01ffbff4 movhi r7,65279 + 438: 39ffbfc4 addi r7,r7,-257 + 43c: 00ca303a nor r5,zero,r3 + 440: 01a02074 movhi r6,32897 + 444: 19c7883a add r3,r3,r7 + 448: 31a02004 addi r6,r6,-32640 + 44c: 1946703a and r3,r3,r5 + 450: 1986703a and r3,r3,r6 + 454: 1800091e bne r3,zero,47c + 458: 10800104 addi r2,r2,4 + 45c: 10c00017 ldw r3,0(r2) + 460: 19cb883a add r5,r3,r7 + 464: 00c6303a nor r3,zero,r3 + 468: 28c6703a and r3,r5,r3 + 46c: 1986703a and r3,r3,r6 + 470: 183ff926 beq r3,zero,458 <__alt_data_end+0xfffe0458> + 474: 00000106 br 47c + 478: 10800044 addi r2,r2,1 + 47c: 10c00007 ldb r3,0(r2) + 480: 183ffd1e bne r3,zero,478 <__alt_data_end+0xfffe0478> + 484: 1105c83a sub r2,r2,r4 + 488: f800283a ret + 48c: 2005883a mov r2,r4 + 490: 003fe706 br 430 <__alt_data_end+0xfffe0430> + 494: 0005883a mov r2,zero + 498: f800283a ret + +0000049c <__fp_unlock>: + 49c: 0005883a mov r2,zero + 4a0: f800283a ret + +000004a4 <_cleanup_r>: + 4a4: 01400034 movhi r5,0 + 4a8: 29491504 addi r5,r5,9300 + 4ac: 0000dd81 jmpi dd8 <_fwalk_reent> + +000004b0 <__sinit.part.1>: + 4b0: defff704 addi sp,sp,-36 + 4b4: 00c00034 movhi r3,0 + 4b8: dfc00815 stw ra,32(sp) + 4bc: ddc00715 stw r23,28(sp) + 4c0: dd800615 stw r22,24(sp) + 4c4: dd400515 stw r21,20(sp) + 4c8: dd000415 stw r20,16(sp) + 4cc: dcc00315 stw r19,12(sp) + 4d0: dc800215 stw r18,8(sp) + 4d4: dc400115 stw r17,4(sp) + 4d8: dc000015 stw r16,0(sp) + 4dc: 18c12904 addi r3,r3,1188 + 4e0: 24000117 ldw r16,4(r4) + 4e4: 20c00f15 stw r3,60(r4) + 4e8: 2080bb04 addi r2,r4,748 + 4ec: 00c000c4 movi r3,3 + 4f0: 20c0b915 stw r3,740(r4) + 4f4: 2080ba15 stw r2,744(r4) + 4f8: 2000b815 stw zero,736(r4) + 4fc: 05c00204 movi r23,8 + 500: 00800104 movi r2,4 + 504: 2025883a mov r18,r4 + 508: b80d883a mov r6,r23 + 50c: 81001704 addi r4,r16,92 + 510: 000b883a mov r5,zero + 514: 80000015 stw zero,0(r16) + 518: 80000115 stw zero,4(r16) + 51c: 80000215 stw zero,8(r16) + 520: 8080030d sth r2,12(r16) + 524: 80001915 stw zero,100(r16) + 528: 8000038d sth zero,14(r16) + 52c: 80000415 stw zero,16(r16) + 530: 80000515 stw zero,20(r16) + 534: 80000615 stw zero,24(r16) + 538: 0001a300 call 1a30 + 53c: 05800034 movhi r22,0 + 540: 94400217 ldw r17,8(r18) + 544: 05400034 movhi r21,0 + 548: 05000034 movhi r20,0 + 54c: 04c00034 movhi r19,0 + 550: b5884404 addi r22,r22,8464 + 554: ad485b04 addi r21,r21,8556 + 558: a5087a04 addi r20,r20,8680 + 55c: 9cc89104 addi r19,r19,8772 + 560: 85800815 stw r22,32(r16) + 564: 85400915 stw r21,36(r16) + 568: 85000a15 stw r20,40(r16) + 56c: 84c00b15 stw r19,44(r16) + 570: 84000715 stw r16,28(r16) + 574: 00800284 movi r2,10 + 578: 8880030d sth r2,12(r17) + 57c: 00800044 movi r2,1 + 580: b80d883a mov r6,r23 + 584: 89001704 addi r4,r17,92 + 588: 000b883a mov r5,zero + 58c: 88000015 stw zero,0(r17) + 590: 88000115 stw zero,4(r17) + 594: 88000215 stw zero,8(r17) + 598: 88001915 stw zero,100(r17) + 59c: 8880038d sth r2,14(r17) + 5a0: 88000415 stw zero,16(r17) + 5a4: 88000515 stw zero,20(r17) + 5a8: 88000615 stw zero,24(r17) + 5ac: 0001a300 call 1a30 + 5b0: 94000317 ldw r16,12(r18) + 5b4: 00800484 movi r2,18 + 5b8: 8c400715 stw r17,28(r17) + 5bc: 8d800815 stw r22,32(r17) + 5c0: 8d400915 stw r21,36(r17) + 5c4: 8d000a15 stw r20,40(r17) + 5c8: 8cc00b15 stw r19,44(r17) + 5cc: 8080030d sth r2,12(r16) + 5d0: 00800084 movi r2,2 + 5d4: 80000015 stw zero,0(r16) + 5d8: 80000115 stw zero,4(r16) + 5dc: 80000215 stw zero,8(r16) + 5e0: 80001915 stw zero,100(r16) + 5e4: 8080038d sth r2,14(r16) + 5e8: 80000415 stw zero,16(r16) + 5ec: 80000515 stw zero,20(r16) + 5f0: 80000615 stw zero,24(r16) + 5f4: b80d883a mov r6,r23 + 5f8: 000b883a mov r5,zero + 5fc: 81001704 addi r4,r16,92 + 600: 0001a300 call 1a30 + 604: 00800044 movi r2,1 + 608: 84000715 stw r16,28(r16) + 60c: 85800815 stw r22,32(r16) + 610: 85400915 stw r21,36(r16) + 614: 85000a15 stw r20,40(r16) + 618: 84c00b15 stw r19,44(r16) + 61c: 90800e15 stw r2,56(r18) + 620: dfc00817 ldw ra,32(sp) + 624: ddc00717 ldw r23,28(sp) + 628: dd800617 ldw r22,24(sp) + 62c: dd400517 ldw r21,20(sp) + 630: dd000417 ldw r20,16(sp) + 634: dcc00317 ldw r19,12(sp) + 638: dc800217 ldw r18,8(sp) + 63c: dc400117 ldw r17,4(sp) + 640: dc000017 ldw r16,0(sp) + 644: dec00904 addi sp,sp,36 + 648: f800283a ret + +0000064c <__fp_lock>: + 64c: 0005883a mov r2,zero + 650: f800283a ret + +00000654 <__sfmoreglue>: + 654: defffc04 addi sp,sp,-16 + 658: dc800215 stw r18,8(sp) + 65c: 2825883a mov r18,r5 + 660: dc000015 stw r16,0(sp) + 664: 01401a04 movi r5,104 + 668: 2021883a mov r16,r4 + 66c: 913fffc4 addi r4,r18,-1 + 670: dfc00315 stw ra,12(sp) + 674: dc400115 stw r17,4(sp) + 678: 00031140 call 3114 <__mulsi3> + 67c: 8009883a mov r4,r16 + 680: 11401d04 addi r5,r2,116 + 684: 1023883a mov r17,r2 + 688: 0000e9c0 call e9c <_malloc_r> + 68c: 1021883a mov r16,r2 + 690: 10000726 beq r2,zero,6b0 <__sfmoreglue+0x5c> + 694: 11000304 addi r4,r2,12 + 698: 10000015 stw zero,0(r2) + 69c: 14800115 stw r18,4(r2) + 6a0: 11000215 stw r4,8(r2) + 6a4: 89801a04 addi r6,r17,104 + 6a8: 000b883a mov r5,zero + 6ac: 0001a300 call 1a30 + 6b0: 8005883a mov r2,r16 + 6b4: dfc00317 ldw ra,12(sp) + 6b8: dc800217 ldw r18,8(sp) + 6bc: dc400117 ldw r17,4(sp) + 6c0: dc000017 ldw r16,0(sp) + 6c4: dec00404 addi sp,sp,16 + 6c8: f800283a ret + +000006cc <__sfp>: + 6cc: defffb04 addi sp,sp,-20 + 6d0: dc000015 stw r16,0(sp) + 6d4: 04000034 movhi r16,0 + 6d8: 841c9604 addi r16,r16,29272 + 6dc: dcc00315 stw r19,12(sp) + 6e0: 2027883a mov r19,r4 + 6e4: 81000017 ldw r4,0(r16) + 6e8: dfc00415 stw ra,16(sp) + 6ec: dc800215 stw r18,8(sp) + 6f0: 20800e17 ldw r2,56(r4) + 6f4: dc400115 stw r17,4(sp) + 6f8: 1000021e bne r2,zero,704 <__sfp+0x38> + 6fc: 00004b00 call 4b0 <__sinit.part.1> + 700: 81000017 ldw r4,0(r16) + 704: 2480b804 addi r18,r4,736 + 708: 047fffc4 movi r17,-1 + 70c: 91000117 ldw r4,4(r18) + 710: 94000217 ldw r16,8(r18) + 714: 213fffc4 addi r4,r4,-1 + 718: 20000a16 blt r4,zero,744 <__sfp+0x78> + 71c: 8080030f ldh r2,12(r16) + 720: 10000c26 beq r2,zero,754 <__sfp+0x88> + 724: 80c01d04 addi r3,r16,116 + 728: 00000206 br 734 <__sfp+0x68> + 72c: 18bfe60f ldh r2,-104(r3) + 730: 10000826 beq r2,zero,754 <__sfp+0x88> + 734: 213fffc4 addi r4,r4,-1 + 738: 1c3ffd04 addi r16,r3,-12 + 73c: 18c01a04 addi r3,r3,104 + 740: 247ffa1e bne r4,r17,72c <__alt_data_end+0xfffe072c> + 744: 90800017 ldw r2,0(r18) + 748: 10001d26 beq r2,zero,7c0 <__sfp+0xf4> + 74c: 1025883a mov r18,r2 + 750: 003fee06 br 70c <__alt_data_end+0xfffe070c> + 754: 00bfffc4 movi r2,-1 + 758: 8080038d sth r2,14(r16) + 75c: 00800044 movi r2,1 + 760: 8080030d sth r2,12(r16) + 764: 80001915 stw zero,100(r16) + 768: 80000015 stw zero,0(r16) + 76c: 80000215 stw zero,8(r16) + 770: 80000115 stw zero,4(r16) + 774: 80000415 stw zero,16(r16) + 778: 80000515 stw zero,20(r16) + 77c: 80000615 stw zero,24(r16) + 780: 01800204 movi r6,8 + 784: 000b883a mov r5,zero + 788: 81001704 addi r4,r16,92 + 78c: 0001a300 call 1a30 + 790: 8005883a mov r2,r16 + 794: 80000c15 stw zero,48(r16) + 798: 80000d15 stw zero,52(r16) + 79c: 80001115 stw zero,68(r16) + 7a0: 80001215 stw zero,72(r16) + 7a4: dfc00417 ldw ra,16(sp) + 7a8: dcc00317 ldw r19,12(sp) + 7ac: dc800217 ldw r18,8(sp) + 7b0: dc400117 ldw r17,4(sp) + 7b4: dc000017 ldw r16,0(sp) + 7b8: dec00504 addi sp,sp,20 + 7bc: f800283a ret + 7c0: 01400104 movi r5,4 + 7c4: 9809883a mov r4,r19 + 7c8: 00006540 call 654 <__sfmoreglue> + 7cc: 90800015 stw r2,0(r18) + 7d0: 103fde1e bne r2,zero,74c <__alt_data_end+0xfffe074c> + 7d4: 00800304 movi r2,12 + 7d8: 98800015 stw r2,0(r19) + 7dc: 0005883a mov r2,zero + 7e0: 003ff006 br 7a4 <__alt_data_end+0xfffe07a4> + +000007e4 <_cleanup>: + 7e4: 00800034 movhi r2,0 + 7e8: 109c9604 addi r2,r2,29272 + 7ec: 11000017 ldw r4,0(r2) + 7f0: 01400034 movhi r5,0 + 7f4: 29491504 addi r5,r5,9300 + 7f8: 0000dd81 jmpi dd8 <_fwalk_reent> + +000007fc <__sinit>: + 7fc: 20800e17 ldw r2,56(r4) + 800: 10000126 beq r2,zero,808 <__sinit+0xc> + 804: f800283a ret + 808: 00004b01 jmpi 4b0 <__sinit.part.1> + +0000080c <__sfp_lock_acquire>: + 80c: f800283a ret + +00000810 <__sfp_lock_release>: + 810: f800283a ret + +00000814 <__sinit_lock_acquire>: + 814: f800283a ret + +00000818 <__sinit_lock_release>: + 818: f800283a ret + +0000081c <__fp_lock_all>: + 81c: 00800034 movhi r2,0 + 820: 109c9704 addi r2,r2,29276 + 824: 11000017 ldw r4,0(r2) + 828: 01400034 movhi r5,0 + 82c: 29419304 addi r5,r5,1612 + 830: 0000d141 jmpi d14 <_fwalk> + +00000834 <__fp_unlock_all>: + 834: 00800034 movhi r2,0 + 838: 109c9704 addi r2,r2,29276 + 83c: 11000017 ldw r4,0(r2) + 840: 01400034 movhi r5,0 + 844: 29412704 addi r5,r5,1180 + 848: 0000d141 jmpi d14 <_fwalk> + +0000084c <__sfvwrite_r>: + 84c: 30800217 ldw r2,8(r6) + 850: 10006726 beq r2,zero,9f0 <__sfvwrite_r+0x1a4> + 854: 28c0030b ldhu r3,12(r5) + 858: defff404 addi sp,sp,-48 + 85c: dd400715 stw r21,28(sp) + 860: dd000615 stw r20,24(sp) + 864: dc000215 stw r16,8(sp) + 868: dfc00b15 stw ra,44(sp) + 86c: df000a15 stw fp,40(sp) + 870: ddc00915 stw r23,36(sp) + 874: dd800815 stw r22,32(sp) + 878: dcc00515 stw r19,20(sp) + 87c: dc800415 stw r18,16(sp) + 880: dc400315 stw r17,12(sp) + 884: 1880020c andi r2,r3,8 + 888: 2821883a mov r16,r5 + 88c: 202b883a mov r21,r4 + 890: 3029883a mov r20,r6 + 894: 10002726 beq r2,zero,934 <__sfvwrite_r+0xe8> + 898: 28800417 ldw r2,16(r5) + 89c: 10002526 beq r2,zero,934 <__sfvwrite_r+0xe8> + 8a0: 1880008c andi r2,r3,2 + 8a4: a4400017 ldw r17,0(r20) + 8a8: 10002a26 beq r2,zero,954 <__sfvwrite_r+0x108> + 8ac: 05a00034 movhi r22,32768 + 8b0: 0027883a mov r19,zero + 8b4: 0025883a mov r18,zero + 8b8: b5bf0004 addi r22,r22,-1024 + 8bc: 980d883a mov r6,r19 + 8c0: a809883a mov r4,r21 + 8c4: 90004626 beq r18,zero,9e0 <__sfvwrite_r+0x194> + 8c8: 900f883a mov r7,r18 + 8cc: b480022e bgeu r22,r18,8d8 <__sfvwrite_r+0x8c> + 8d0: 01e00034 movhi r7,32768 + 8d4: 39ff0004 addi r7,r7,-1024 + 8d8: 80800917 ldw r2,36(r16) + 8dc: 81400717 ldw r5,28(r16) + 8e0: 103ee83a callr r2 + 8e4: 00805a0e bge zero,r2,a50 <__sfvwrite_r+0x204> + 8e8: a0c00217 ldw r3,8(r20) + 8ec: 98a7883a add r19,r19,r2 + 8f0: 90a5c83a sub r18,r18,r2 + 8f4: 1885c83a sub r2,r3,r2 + 8f8: a0800215 stw r2,8(r20) + 8fc: 103fef1e bne r2,zero,8bc <__alt_data_end+0xfffe08bc> + 900: 0005883a mov r2,zero + 904: dfc00b17 ldw ra,44(sp) + 908: df000a17 ldw fp,40(sp) + 90c: ddc00917 ldw r23,36(sp) + 910: dd800817 ldw r22,32(sp) + 914: dd400717 ldw r21,28(sp) + 918: dd000617 ldw r20,24(sp) + 91c: dcc00517 ldw r19,20(sp) + 920: dc800417 ldw r18,16(sp) + 924: dc400317 ldw r17,12(sp) + 928: dc000217 ldw r16,8(sp) + 92c: dec00c04 addi sp,sp,48 + 930: f800283a ret + 934: 800b883a mov r5,r16 + 938: a809883a mov r4,r21 + 93c: 00022ac0 call 22ac <__swsetup_r> + 940: 1000ee1e bne r2,zero,cfc <__sfvwrite_r+0x4b0> + 944: 80c0030b ldhu r3,12(r16) + 948: a4400017 ldw r17,0(r20) + 94c: 1880008c andi r2,r3,2 + 950: 103fd61e bne r2,zero,8ac <__alt_data_end+0xfffe08ac> + 954: 1880004c andi r2,r3,1 + 958: 1000421e bne r2,zero,a64 <__sfvwrite_r+0x218> + 95c: 0039883a mov fp,zero + 960: 0025883a mov r18,zero + 964: 90001a26 beq r18,zero,9d0 <__sfvwrite_r+0x184> + 968: 1880800c andi r2,r3,512 + 96c: 84c00217 ldw r19,8(r16) + 970: 10002126 beq r2,zero,9f8 <__sfvwrite_r+0x1ac> + 974: 982f883a mov r23,r19 + 978: 94c09636 bltu r18,r19,bd4 <__sfvwrite_r+0x388> + 97c: 1881200c andi r2,r3,1152 + 980: 1000a11e bne r2,zero,c08 <__sfvwrite_r+0x3bc> + 984: 81000017 ldw r4,0(r16) + 988: b80d883a mov r6,r23 + 98c: e00b883a mov r5,fp + 990: 00018d40 call 18d4 + 994: 80c00217 ldw r3,8(r16) + 998: 81000017 ldw r4,0(r16) + 99c: 9005883a mov r2,r18 + 9a0: 1ce7c83a sub r19,r3,r19 + 9a4: 25cf883a add r7,r4,r23 + 9a8: 84c00215 stw r19,8(r16) + 9ac: 81c00015 stw r7,0(r16) + 9b0: a0c00217 ldw r3,8(r20) + 9b4: e0b9883a add fp,fp,r2 + 9b8: 90a5c83a sub r18,r18,r2 + 9bc: 18a7c83a sub r19,r3,r2 + 9c0: a4c00215 stw r19,8(r20) + 9c4: 983fce26 beq r19,zero,900 <__alt_data_end+0xfffe0900> + 9c8: 80c0030b ldhu r3,12(r16) + 9cc: 903fe61e bne r18,zero,968 <__alt_data_end+0xfffe0968> + 9d0: 8f000017 ldw fp,0(r17) + 9d4: 8c800117 ldw r18,4(r17) + 9d8: 8c400204 addi r17,r17,8 + 9dc: 003fe106 br 964 <__alt_data_end+0xfffe0964> + 9e0: 8cc00017 ldw r19,0(r17) + 9e4: 8c800117 ldw r18,4(r17) + 9e8: 8c400204 addi r17,r17,8 + 9ec: 003fb306 br 8bc <__alt_data_end+0xfffe08bc> + 9f0: 0005883a mov r2,zero + 9f4: f800283a ret + 9f8: 81000017 ldw r4,0(r16) + 9fc: 80800417 ldw r2,16(r16) + a00: 11005a36 bltu r2,r4,b6c <__sfvwrite_r+0x320> + a04: 85c00517 ldw r23,20(r16) + a08: 95c05836 bltu r18,r23,b6c <__sfvwrite_r+0x320> + a0c: 00a00034 movhi r2,32768 + a10: 10bfffc4 addi r2,r2,-1 + a14: 9009883a mov r4,r18 + a18: 1480012e bgeu r2,r18,a20 <__sfvwrite_r+0x1d4> + a1c: 1009883a mov r4,r2 + a20: b80b883a mov r5,r23 + a24: 0002f600 call 2f60 <__divsi3> + a28: b80b883a mov r5,r23 + a2c: 1009883a mov r4,r2 + a30: 00031140 call 3114 <__mulsi3> + a34: 81400717 ldw r5,28(r16) + a38: 80c00917 ldw r3,36(r16) + a3c: 100f883a mov r7,r2 + a40: e00d883a mov r6,fp + a44: a809883a mov r4,r21 + a48: 183ee83a callr r3 + a4c: 00bfd816 blt zero,r2,9b0 <__alt_data_end+0xfffe09b0> + a50: 8080030b ldhu r2,12(r16) + a54: 10801014 ori r2,r2,64 + a58: 8080030d sth r2,12(r16) + a5c: 00bfffc4 movi r2,-1 + a60: 003fa806 br 904 <__alt_data_end+0xfffe0904> + a64: 0027883a mov r19,zero + a68: 0011883a mov r8,zero + a6c: 0039883a mov fp,zero + a70: 0025883a mov r18,zero + a74: 90001f26 beq r18,zero,af4 <__sfvwrite_r+0x2a8> + a78: 40005a26 beq r8,zero,be4 <__sfvwrite_r+0x398> + a7c: 982d883a mov r22,r19 + a80: 94c0012e bgeu r18,r19,a88 <__sfvwrite_r+0x23c> + a84: 902d883a mov r22,r18 + a88: 81000017 ldw r4,0(r16) + a8c: 80800417 ldw r2,16(r16) + a90: b02f883a mov r23,r22 + a94: 81c00517 ldw r7,20(r16) + a98: 1100032e bgeu r2,r4,aa8 <__sfvwrite_r+0x25c> + a9c: 80c00217 ldw r3,8(r16) + aa0: 38c7883a add r3,r7,r3 + aa4: 1d801816 blt r3,r22,b08 <__sfvwrite_r+0x2bc> + aa8: b1c03e16 blt r22,r7,ba4 <__sfvwrite_r+0x358> + aac: 80800917 ldw r2,36(r16) + ab0: 81400717 ldw r5,28(r16) + ab4: e00d883a mov r6,fp + ab8: da000115 stw r8,4(sp) + abc: a809883a mov r4,r21 + ac0: 103ee83a callr r2 + ac4: 102f883a mov r23,r2 + ac8: da000117 ldw r8,4(sp) + acc: 00bfe00e bge zero,r2,a50 <__alt_data_end+0xfffe0a50> + ad0: 9de7c83a sub r19,r19,r23 + ad4: 98001f26 beq r19,zero,b54 <__sfvwrite_r+0x308> + ad8: a0800217 ldw r2,8(r20) + adc: e5f9883a add fp,fp,r23 + ae0: 95e5c83a sub r18,r18,r23 + ae4: 15efc83a sub r23,r2,r23 + ae8: a5c00215 stw r23,8(r20) + aec: b83f8426 beq r23,zero,900 <__alt_data_end+0xfffe0900> + af0: 903fe11e bne r18,zero,a78 <__alt_data_end+0xfffe0a78> + af4: 8f000017 ldw fp,0(r17) + af8: 8c800117 ldw r18,4(r17) + afc: 0011883a mov r8,zero + b00: 8c400204 addi r17,r17,8 + b04: 003fdb06 br a74 <__alt_data_end+0xfffe0a74> + b08: 180d883a mov r6,r3 + b0c: e00b883a mov r5,fp + b10: da000115 stw r8,4(sp) + b14: d8c00015 stw r3,0(sp) + b18: 00018d40 call 18d4 + b1c: d8c00017 ldw r3,0(sp) + b20: 80800017 ldw r2,0(r16) + b24: 800b883a mov r5,r16 + b28: a809883a mov r4,r21 + b2c: 10c5883a add r2,r2,r3 + b30: 80800015 stw r2,0(r16) + b34: d8c00015 stw r3,0(sp) + b38: 00027740 call 2774 <_fflush_r> + b3c: d8c00017 ldw r3,0(sp) + b40: da000117 ldw r8,4(sp) + b44: 103fc21e bne r2,zero,a50 <__alt_data_end+0xfffe0a50> + b48: 182f883a mov r23,r3 + b4c: 9de7c83a sub r19,r19,r23 + b50: 983fe11e bne r19,zero,ad8 <__alt_data_end+0xfffe0ad8> + b54: 800b883a mov r5,r16 + b58: a809883a mov r4,r21 + b5c: 00027740 call 2774 <_fflush_r> + b60: 103fbb1e bne r2,zero,a50 <__alt_data_end+0xfffe0a50> + b64: 0011883a mov r8,zero + b68: 003fdb06 br ad8 <__alt_data_end+0xfffe0ad8> + b6c: 94c0012e bgeu r18,r19,b74 <__sfvwrite_r+0x328> + b70: 9027883a mov r19,r18 + b74: 980d883a mov r6,r19 + b78: e00b883a mov r5,fp + b7c: 00018d40 call 18d4 + b80: 80800217 ldw r2,8(r16) + b84: 80c00017 ldw r3,0(r16) + b88: 14c5c83a sub r2,r2,r19 + b8c: 1cc7883a add r3,r3,r19 + b90: 80800215 stw r2,8(r16) + b94: 80c00015 stw r3,0(r16) + b98: 10004326 beq r2,zero,ca8 <__sfvwrite_r+0x45c> + b9c: 9805883a mov r2,r19 + ba0: 003f8306 br 9b0 <__alt_data_end+0xfffe09b0> + ba4: b00d883a mov r6,r22 + ba8: e00b883a mov r5,fp + bac: da000115 stw r8,4(sp) + bb0: 00018d40 call 18d4 + bb4: 80800217 ldw r2,8(r16) + bb8: 80c00017 ldw r3,0(r16) + bbc: da000117 ldw r8,4(sp) + bc0: 1585c83a sub r2,r2,r22 + bc4: 1dad883a add r22,r3,r22 + bc8: 80800215 stw r2,8(r16) + bcc: 85800015 stw r22,0(r16) + bd0: 003fbf06 br ad0 <__alt_data_end+0xfffe0ad0> + bd4: 81000017 ldw r4,0(r16) + bd8: 9027883a mov r19,r18 + bdc: 902f883a mov r23,r18 + be0: 003f6906 br 988 <__alt_data_end+0xfffe0988> + be4: 900d883a mov r6,r18 + be8: 01400284 movi r5,10 + bec: e009883a mov r4,fp + bf0: 00016a80 call 16a8 + bf4: 10003e26 beq r2,zero,cf0 <__sfvwrite_r+0x4a4> + bf8: 10800044 addi r2,r2,1 + bfc: 1727c83a sub r19,r2,fp + c00: 02000044 movi r8,1 + c04: 003f9d06 br a7c <__alt_data_end+0xfffe0a7c> + c08: 80800517 ldw r2,20(r16) + c0c: 81400417 ldw r5,16(r16) + c10: 81c00017 ldw r7,0(r16) + c14: 10a7883a add r19,r2,r2 + c18: 9885883a add r2,r19,r2 + c1c: 1026d7fa srli r19,r2,31 + c20: 396dc83a sub r22,r7,r5 + c24: b1000044 addi r4,r22,1 + c28: 9885883a add r2,r19,r2 + c2c: 1027d07a srai r19,r2,1 + c30: 2485883a add r2,r4,r18 + c34: 980d883a mov r6,r19 + c38: 9880022e bgeu r19,r2,c44 <__sfvwrite_r+0x3f8> + c3c: 1027883a mov r19,r2 + c40: 100d883a mov r6,r2 + c44: 18c1000c andi r3,r3,1024 + c48: 18001c26 beq r3,zero,cbc <__sfvwrite_r+0x470> + c4c: 300b883a mov r5,r6 + c50: a809883a mov r4,r21 + c54: 0000e9c0 call e9c <_malloc_r> + c58: 102f883a mov r23,r2 + c5c: 10002926 beq r2,zero,d04 <__sfvwrite_r+0x4b8> + c60: 81400417 ldw r5,16(r16) + c64: b00d883a mov r6,r22 + c68: 1009883a mov r4,r2 + c6c: 000178c0 call 178c + c70: 8080030b ldhu r2,12(r16) + c74: 00fedfc4 movi r3,-1153 + c78: 10c4703a and r2,r2,r3 + c7c: 10802014 ori r2,r2,128 + c80: 8080030d sth r2,12(r16) + c84: bd89883a add r4,r23,r22 + c88: 9d8fc83a sub r7,r19,r22 + c8c: 85c00415 stw r23,16(r16) + c90: 84c00515 stw r19,20(r16) + c94: 81000015 stw r4,0(r16) + c98: 9027883a mov r19,r18 + c9c: 81c00215 stw r7,8(r16) + ca0: 902f883a mov r23,r18 + ca4: 003f3806 br 988 <__alt_data_end+0xfffe0988> + ca8: 800b883a mov r5,r16 + cac: a809883a mov r4,r21 + cb0: 00027740 call 2774 <_fflush_r> + cb4: 103fb926 beq r2,zero,b9c <__alt_data_end+0xfffe0b9c> + cb8: 003f6506 br a50 <__alt_data_end+0xfffe0a50> + cbc: a809883a mov r4,r21 + cc0: 0001b580 call 1b58 <_realloc_r> + cc4: 102f883a mov r23,r2 + cc8: 103fee1e bne r2,zero,c84 <__alt_data_end+0xfffe0c84> + ccc: 81400417 ldw r5,16(r16) + cd0: a809883a mov r4,r21 + cd4: 00029240 call 2924 <_free_r> + cd8: 8080030b ldhu r2,12(r16) + cdc: 00ffdfc4 movi r3,-129 + ce0: 1884703a and r2,r3,r2 + ce4: 00c00304 movi r3,12 + ce8: a8c00015 stw r3,0(r21) + cec: 003f5906 br a54 <__alt_data_end+0xfffe0a54> + cf0: 94c00044 addi r19,r18,1 + cf4: 02000044 movi r8,1 + cf8: 003f6006 br a7c <__alt_data_end+0xfffe0a7c> + cfc: 00bfffc4 movi r2,-1 + d00: 003f0006 br 904 <__alt_data_end+0xfffe0904> + d04: 00800304 movi r2,12 + d08: a8800015 stw r2,0(r21) + d0c: 8080030b ldhu r2,12(r16) + d10: 003f5006 br a54 <__alt_data_end+0xfffe0a54> + +00000d14 <_fwalk>: + d14: defff704 addi sp,sp,-36 + d18: dd000415 stw r20,16(sp) + d1c: dfc00815 stw ra,32(sp) + d20: ddc00715 stw r23,28(sp) + d24: dd800615 stw r22,24(sp) + d28: dd400515 stw r21,20(sp) + d2c: dcc00315 stw r19,12(sp) + d30: dc800215 stw r18,8(sp) + d34: dc400115 stw r17,4(sp) + d38: dc000015 stw r16,0(sp) + d3c: 2500b804 addi r20,r4,736 + d40: a0002326 beq r20,zero,dd0 <_fwalk+0xbc> + d44: 282b883a mov r21,r5 + d48: 002f883a mov r23,zero + d4c: 05800044 movi r22,1 + d50: 04ffffc4 movi r19,-1 + d54: a4400117 ldw r17,4(r20) + d58: a4800217 ldw r18,8(r20) + d5c: 8c7fffc4 addi r17,r17,-1 + d60: 88000d16 blt r17,zero,d98 <_fwalk+0x84> + d64: 94000304 addi r16,r18,12 + d68: 94800384 addi r18,r18,14 + d6c: 8080000b ldhu r2,0(r16) + d70: 8c7fffc4 addi r17,r17,-1 + d74: 813ffd04 addi r4,r16,-12 + d78: b080042e bgeu r22,r2,d8c <_fwalk+0x78> + d7c: 9080000f ldh r2,0(r18) + d80: 14c00226 beq r2,r19,d8c <_fwalk+0x78> + d84: a83ee83a callr r21 + d88: b8aeb03a or r23,r23,r2 + d8c: 84001a04 addi r16,r16,104 + d90: 94801a04 addi r18,r18,104 + d94: 8cfff51e bne r17,r19,d6c <__alt_data_end+0xfffe0d6c> + d98: a5000017 ldw r20,0(r20) + d9c: a03fed1e bne r20,zero,d54 <__alt_data_end+0xfffe0d54> + da0: b805883a mov r2,r23 + da4: dfc00817 ldw ra,32(sp) + da8: ddc00717 ldw r23,28(sp) + dac: dd800617 ldw r22,24(sp) + db0: dd400517 ldw r21,20(sp) + db4: dd000417 ldw r20,16(sp) + db8: dcc00317 ldw r19,12(sp) + dbc: dc800217 ldw r18,8(sp) + dc0: dc400117 ldw r17,4(sp) + dc4: dc000017 ldw r16,0(sp) + dc8: dec00904 addi sp,sp,36 + dcc: f800283a ret + dd0: 002f883a mov r23,zero + dd4: 003ff206 br da0 <__alt_data_end+0xfffe0da0> + +00000dd8 <_fwalk_reent>: + dd8: defff704 addi sp,sp,-36 + ddc: dd000415 stw r20,16(sp) + de0: dfc00815 stw ra,32(sp) + de4: ddc00715 stw r23,28(sp) + de8: dd800615 stw r22,24(sp) + dec: dd400515 stw r21,20(sp) + df0: dcc00315 stw r19,12(sp) + df4: dc800215 stw r18,8(sp) + df8: dc400115 stw r17,4(sp) + dfc: dc000015 stw r16,0(sp) + e00: 2500b804 addi r20,r4,736 + e04: a0002326 beq r20,zero,e94 <_fwalk_reent+0xbc> + e08: 282b883a mov r21,r5 + e0c: 2027883a mov r19,r4 + e10: 002f883a mov r23,zero + e14: 05800044 movi r22,1 + e18: 04bfffc4 movi r18,-1 + e1c: a4400117 ldw r17,4(r20) + e20: a4000217 ldw r16,8(r20) + e24: 8c7fffc4 addi r17,r17,-1 + e28: 88000c16 blt r17,zero,e5c <_fwalk_reent+0x84> + e2c: 84000304 addi r16,r16,12 + e30: 8080000b ldhu r2,0(r16) + e34: 8c7fffc4 addi r17,r17,-1 + e38: 817ffd04 addi r5,r16,-12 + e3c: b080052e bgeu r22,r2,e54 <_fwalk_reent+0x7c> + e40: 8080008f ldh r2,2(r16) + e44: 9809883a mov r4,r19 + e48: 14800226 beq r2,r18,e54 <_fwalk_reent+0x7c> + e4c: a83ee83a callr r21 + e50: b8aeb03a or r23,r23,r2 + e54: 84001a04 addi r16,r16,104 + e58: 8cbff51e bne r17,r18,e30 <__alt_data_end+0xfffe0e30> + e5c: a5000017 ldw r20,0(r20) + e60: a03fee1e bne r20,zero,e1c <__alt_data_end+0xfffe0e1c> + e64: b805883a mov r2,r23 + e68: dfc00817 ldw ra,32(sp) + e6c: ddc00717 ldw r23,28(sp) + e70: dd800617 ldw r22,24(sp) + e74: dd400517 ldw r21,20(sp) + e78: dd000417 ldw r20,16(sp) + e7c: dcc00317 ldw r19,12(sp) + e80: dc800217 ldw r18,8(sp) + e84: dc400117 ldw r17,4(sp) + e88: dc000017 ldw r16,0(sp) + e8c: dec00904 addi sp,sp,36 + e90: f800283a ret + e94: 002f883a mov r23,zero + e98: 003ff206 br e64 <__alt_data_end+0xfffe0e64> + +00000e9c <_malloc_r>: + e9c: defff504 addi sp,sp,-44 + ea0: dc800315 stw r18,12(sp) + ea4: dfc00a15 stw ra,40(sp) + ea8: df000915 stw fp,36(sp) + eac: ddc00815 stw r23,32(sp) + eb0: dd800715 stw r22,28(sp) + eb4: dd400615 stw r21,24(sp) + eb8: dd000515 stw r20,20(sp) + ebc: dcc00415 stw r19,16(sp) + ec0: dc400215 stw r17,8(sp) + ec4: dc000115 stw r16,4(sp) + ec8: 288002c4 addi r2,r5,11 + ecc: 00c00584 movi r3,22 + ed0: 2025883a mov r18,r4 + ed4: 18807f2e bgeu r3,r2,10d4 <_malloc_r+0x238> + ed8: 047ffe04 movi r17,-8 + edc: 1462703a and r17,r2,r17 + ee0: 8800a316 blt r17,zero,1170 <_malloc_r+0x2d4> + ee4: 8940a236 bltu r17,r5,1170 <_malloc_r+0x2d4> + ee8: 00036140 call 3614 <__malloc_lock> + eec: 00807dc4 movi r2,503 + ef0: 1441e92e bgeu r2,r17,1698 <_malloc_r+0x7fc> + ef4: 8804d27a srli r2,r17,9 + ef8: 1000a126 beq r2,zero,1180 <_malloc_r+0x2e4> + efc: 00c00104 movi r3,4 + f00: 18811e36 bltu r3,r2,137c <_malloc_r+0x4e0> + f04: 8804d1ba srli r2,r17,6 + f08: 12000e44 addi r8,r2,57 + f0c: 11c00e04 addi r7,r2,56 + f10: 4209883a add r4,r8,r8 + f14: 04c00034 movhi r19,0 + f18: 2109883a add r4,r4,r4 + f1c: 9cd71204 addi r19,r19,23624 + f20: 2109883a add r4,r4,r4 + f24: 9909883a add r4,r19,r4 + f28: 24000117 ldw r16,4(r4) + f2c: 213ffe04 addi r4,r4,-8 + f30: 24009726 beq r4,r16,1190 <_malloc_r+0x2f4> + f34: 80800117 ldw r2,4(r16) + f38: 01bfff04 movi r6,-4 + f3c: 014003c4 movi r5,15 + f40: 1184703a and r2,r2,r6 + f44: 1447c83a sub r3,r2,r17 + f48: 28c00716 blt r5,r3,f68 <_malloc_r+0xcc> + f4c: 1800920e bge r3,zero,1198 <_malloc_r+0x2fc> + f50: 84000317 ldw r16,12(r16) + f54: 24008e26 beq r4,r16,1190 <_malloc_r+0x2f4> + f58: 80800117 ldw r2,4(r16) + f5c: 1184703a and r2,r2,r6 + f60: 1447c83a sub r3,r2,r17 + f64: 28fff90e bge r5,r3,f4c <__alt_data_end+0xfffe0f4c> + f68: 3809883a mov r4,r7 + f6c: 01800034 movhi r6,0 + f70: 9c000417 ldw r16,16(r19) + f74: 31971204 addi r6,r6,23624 + f78: 32000204 addi r8,r6,8 + f7c: 82013426 beq r16,r8,1450 <_malloc_r+0x5b4> + f80: 80c00117 ldw r3,4(r16) + f84: 00bfff04 movi r2,-4 + f88: 188e703a and r7,r3,r2 + f8c: 3c45c83a sub r2,r7,r17 + f90: 00c003c4 movi r3,15 + f94: 18811f16 blt r3,r2,1414 <_malloc_r+0x578> + f98: 32000515 stw r8,20(r6) + f9c: 32000415 stw r8,16(r6) + fa0: 10007f0e bge r2,zero,11a0 <_malloc_r+0x304> + fa4: 00807fc4 movi r2,511 + fa8: 11c0fd36 bltu r2,r7,13a0 <_malloc_r+0x504> + fac: 3806d0fa srli r3,r7,3 + fb0: 01c00044 movi r7,1 + fb4: 30800117 ldw r2,4(r6) + fb8: 19400044 addi r5,r3,1 + fbc: 294b883a add r5,r5,r5 + fc0: 1807d0ba srai r3,r3,2 + fc4: 294b883a add r5,r5,r5 + fc8: 294b883a add r5,r5,r5 + fcc: 298b883a add r5,r5,r6 + fd0: 38c6983a sll r3,r7,r3 + fd4: 29c00017 ldw r7,0(r5) + fd8: 2a7ffe04 addi r9,r5,-8 + fdc: 1886b03a or r3,r3,r2 + fe0: 82400315 stw r9,12(r16) + fe4: 81c00215 stw r7,8(r16) + fe8: 30c00115 stw r3,4(r6) + fec: 2c000015 stw r16,0(r5) + ff0: 3c000315 stw r16,12(r7) + ff4: 2005d0ba srai r2,r4,2 + ff8: 01400044 movi r5,1 + ffc: 288a983a sll r5,r5,r2 + 1000: 19406f36 bltu r3,r5,11c0 <_malloc_r+0x324> + 1004: 28c4703a and r2,r5,r3 + 1008: 10000a1e bne r2,zero,1034 <_malloc_r+0x198> + 100c: 00bfff04 movi r2,-4 + 1010: 294b883a add r5,r5,r5 + 1014: 2088703a and r4,r4,r2 + 1018: 28c4703a and r2,r5,r3 + 101c: 21000104 addi r4,r4,4 + 1020: 1000041e bne r2,zero,1034 <_malloc_r+0x198> + 1024: 294b883a add r5,r5,r5 + 1028: 28c4703a and r2,r5,r3 + 102c: 21000104 addi r4,r4,4 + 1030: 103ffc26 beq r2,zero,1024 <__alt_data_end+0xfffe1024> + 1034: 02bfff04 movi r10,-4 + 1038: 024003c4 movi r9,15 + 103c: 21800044 addi r6,r4,1 + 1040: 318d883a add r6,r6,r6 + 1044: 318d883a add r6,r6,r6 + 1048: 318d883a add r6,r6,r6 + 104c: 998d883a add r6,r19,r6 + 1050: 333ffe04 addi r12,r6,-8 + 1054: 2017883a mov r11,r4 + 1058: 31800104 addi r6,r6,4 + 105c: 34000017 ldw r16,0(r6) + 1060: 31fffd04 addi r7,r6,-12 + 1064: 81c0041e bne r16,r7,1078 <_malloc_r+0x1dc> + 1068: 0000fb06 br 1458 <_malloc_r+0x5bc> + 106c: 1801030e bge r3,zero,147c <_malloc_r+0x5e0> + 1070: 84000317 ldw r16,12(r16) + 1074: 81c0f826 beq r16,r7,1458 <_malloc_r+0x5bc> + 1078: 80800117 ldw r2,4(r16) + 107c: 1284703a and r2,r2,r10 + 1080: 1447c83a sub r3,r2,r17 + 1084: 48fff90e bge r9,r3,106c <__alt_data_end+0xfffe106c> + 1088: 80800317 ldw r2,12(r16) + 108c: 81000217 ldw r4,8(r16) + 1090: 89400054 ori r5,r17,1 + 1094: 81400115 stw r5,4(r16) + 1098: 20800315 stw r2,12(r4) + 109c: 11000215 stw r4,8(r2) + 10a0: 8463883a add r17,r16,r17 + 10a4: 9c400515 stw r17,20(r19) + 10a8: 9c400415 stw r17,16(r19) + 10ac: 18800054 ori r2,r3,1 + 10b0: 88800115 stw r2,4(r17) + 10b4: 8a000315 stw r8,12(r17) + 10b8: 8a000215 stw r8,8(r17) + 10bc: 88e3883a add r17,r17,r3 + 10c0: 88c00015 stw r3,0(r17) + 10c4: 9009883a mov r4,r18 + 10c8: 00036380 call 3638 <__malloc_unlock> + 10cc: 80800204 addi r2,r16,8 + 10d0: 00001b06 br 1140 <_malloc_r+0x2a4> + 10d4: 04400404 movi r17,16 + 10d8: 89402536 bltu r17,r5,1170 <_malloc_r+0x2d4> + 10dc: 00036140 call 3614 <__malloc_lock> + 10e0: 00800184 movi r2,6 + 10e4: 01000084 movi r4,2 + 10e8: 04c00034 movhi r19,0 + 10ec: 1085883a add r2,r2,r2 + 10f0: 9cd71204 addi r19,r19,23624 + 10f4: 1085883a add r2,r2,r2 + 10f8: 9885883a add r2,r19,r2 + 10fc: 14000117 ldw r16,4(r2) + 1100: 10fffe04 addi r3,r2,-8 + 1104: 80c0d926 beq r16,r3,146c <_malloc_r+0x5d0> + 1108: 80c00117 ldw r3,4(r16) + 110c: 81000317 ldw r4,12(r16) + 1110: 00bfff04 movi r2,-4 + 1114: 1884703a and r2,r3,r2 + 1118: 81400217 ldw r5,8(r16) + 111c: 8085883a add r2,r16,r2 + 1120: 10c00117 ldw r3,4(r2) + 1124: 29000315 stw r4,12(r5) + 1128: 21400215 stw r5,8(r4) + 112c: 18c00054 ori r3,r3,1 + 1130: 10c00115 stw r3,4(r2) + 1134: 9009883a mov r4,r18 + 1138: 00036380 call 3638 <__malloc_unlock> + 113c: 80800204 addi r2,r16,8 + 1140: dfc00a17 ldw ra,40(sp) + 1144: df000917 ldw fp,36(sp) + 1148: ddc00817 ldw r23,32(sp) + 114c: dd800717 ldw r22,28(sp) + 1150: dd400617 ldw r21,24(sp) + 1154: dd000517 ldw r20,20(sp) + 1158: dcc00417 ldw r19,16(sp) + 115c: dc800317 ldw r18,12(sp) + 1160: dc400217 ldw r17,8(sp) + 1164: dc000117 ldw r16,4(sp) + 1168: dec00b04 addi sp,sp,44 + 116c: f800283a ret + 1170: 00800304 movi r2,12 + 1174: 90800015 stw r2,0(r18) + 1178: 0005883a mov r2,zero + 117c: 003ff006 br 1140 <__alt_data_end+0xfffe1140> + 1180: 01002004 movi r4,128 + 1184: 02001004 movi r8,64 + 1188: 01c00fc4 movi r7,63 + 118c: 003f6106 br f14 <__alt_data_end+0xfffe0f14> + 1190: 4009883a mov r4,r8 + 1194: 003f7506 br f6c <__alt_data_end+0xfffe0f6c> + 1198: 81000317 ldw r4,12(r16) + 119c: 003fde06 br 1118 <__alt_data_end+0xfffe1118> + 11a0: 81c5883a add r2,r16,r7 + 11a4: 11400117 ldw r5,4(r2) + 11a8: 9009883a mov r4,r18 + 11ac: 29400054 ori r5,r5,1 + 11b0: 11400115 stw r5,4(r2) + 11b4: 00036380 call 3638 <__malloc_unlock> + 11b8: 80800204 addi r2,r16,8 + 11bc: 003fe006 br 1140 <__alt_data_end+0xfffe1140> + 11c0: 9c000217 ldw r16,8(r19) + 11c4: 00bfff04 movi r2,-4 + 11c8: 85800117 ldw r22,4(r16) + 11cc: b0ac703a and r22,r22,r2 + 11d0: b4400336 bltu r22,r17,11e0 <_malloc_r+0x344> + 11d4: b445c83a sub r2,r22,r17 + 11d8: 00c003c4 movi r3,15 + 11dc: 18805d16 blt r3,r2,1354 <_malloc_r+0x4b8> + 11e0: 05c00034 movhi r23,0 + 11e4: 00800034 movhi r2,0 + 11e8: 109ca604 addi r2,r2,29336 + 11ec: bddc9804 addi r23,r23,29280 + 11f0: 15400017 ldw r21,0(r2) + 11f4: b8c00017 ldw r3,0(r23) + 11f8: 00bfffc4 movi r2,-1 + 11fc: 858d883a add r6,r16,r22 + 1200: 8d6b883a add r21,r17,r21 + 1204: 1880ea26 beq r3,r2,15b0 <_malloc_r+0x714> + 1208: ad4403c4 addi r21,r21,4111 + 120c: 00bc0004 movi r2,-4096 + 1210: a8aa703a and r21,r21,r2 + 1214: a80b883a mov r5,r21 + 1218: 9009883a mov r4,r18 + 121c: d9800015 stw r6,0(sp) + 1220: 00020bc0 call 20bc <_sbrk_r> + 1224: 1029883a mov r20,r2 + 1228: 00bfffc4 movi r2,-1 + 122c: d9800017 ldw r6,0(sp) + 1230: a080e826 beq r20,r2,15d4 <_malloc_r+0x738> + 1234: a180a636 bltu r20,r6,14d0 <_malloc_r+0x634> + 1238: 07000034 movhi fp,0 + 123c: e71caf04 addi fp,fp,29372 + 1240: e0800017 ldw r2,0(fp) + 1244: a887883a add r3,r21,r2 + 1248: e0c00015 stw r3,0(fp) + 124c: 3500e626 beq r6,r20,15e8 <_malloc_r+0x74c> + 1250: b9000017 ldw r4,0(r23) + 1254: 00bfffc4 movi r2,-1 + 1258: 2080ee26 beq r4,r2,1614 <_malloc_r+0x778> + 125c: a185c83a sub r2,r20,r6 + 1260: 10c5883a add r2,r2,r3 + 1264: e0800015 stw r2,0(fp) + 1268: a0c001cc andi r3,r20,7 + 126c: 1800bc26 beq r3,zero,1560 <_malloc_r+0x6c4> + 1270: a0e9c83a sub r20,r20,r3 + 1274: 00840204 movi r2,4104 + 1278: a5000204 addi r20,r20,8 + 127c: 10c7c83a sub r3,r2,r3 + 1280: a545883a add r2,r20,r21 + 1284: 1083ffcc andi r2,r2,4095 + 1288: 18abc83a sub r21,r3,r2 + 128c: a80b883a mov r5,r21 + 1290: 9009883a mov r4,r18 + 1294: 00020bc0 call 20bc <_sbrk_r> + 1298: 00ffffc4 movi r3,-1 + 129c: 10c0e126 beq r2,r3,1624 <_malloc_r+0x788> + 12a0: 1505c83a sub r2,r2,r20 + 12a4: 1545883a add r2,r2,r21 + 12a8: 10800054 ori r2,r2,1 + 12ac: e0c00017 ldw r3,0(fp) + 12b0: 9d000215 stw r20,8(r19) + 12b4: a0800115 stw r2,4(r20) + 12b8: a8c7883a add r3,r21,r3 + 12bc: e0c00015 stw r3,0(fp) + 12c0: 84c00e26 beq r16,r19,12fc <_malloc_r+0x460> + 12c4: 018003c4 movi r6,15 + 12c8: 3580a72e bgeu r6,r22,1568 <_malloc_r+0x6cc> + 12cc: 81400117 ldw r5,4(r16) + 12d0: 013ffe04 movi r4,-8 + 12d4: b0bffd04 addi r2,r22,-12 + 12d8: 1104703a and r2,r2,r4 + 12dc: 2900004c andi r4,r5,1 + 12e0: 2088b03a or r4,r4,r2 + 12e4: 81000115 stw r4,4(r16) + 12e8: 01400144 movi r5,5 + 12ec: 8089883a add r4,r16,r2 + 12f0: 21400115 stw r5,4(r4) + 12f4: 21400215 stw r5,8(r4) + 12f8: 3080cd36 bltu r6,r2,1630 <_malloc_r+0x794> + 12fc: 00800034 movhi r2,0 + 1300: 109ca504 addi r2,r2,29332 + 1304: 11000017 ldw r4,0(r2) + 1308: 20c0012e bgeu r4,r3,1310 <_malloc_r+0x474> + 130c: 10c00015 stw r3,0(r2) + 1310: 00800034 movhi r2,0 + 1314: 109ca404 addi r2,r2,29328 + 1318: 11000017 ldw r4,0(r2) + 131c: 9c000217 ldw r16,8(r19) + 1320: 20c0012e bgeu r4,r3,1328 <_malloc_r+0x48c> + 1324: 10c00015 stw r3,0(r2) + 1328: 80c00117 ldw r3,4(r16) + 132c: 00bfff04 movi r2,-4 + 1330: 1886703a and r3,r3,r2 + 1334: 1c45c83a sub r2,r3,r17 + 1338: 1c400236 bltu r3,r17,1344 <_malloc_r+0x4a8> + 133c: 00c003c4 movi r3,15 + 1340: 18800416 blt r3,r2,1354 <_malloc_r+0x4b8> + 1344: 9009883a mov r4,r18 + 1348: 00036380 call 3638 <__malloc_unlock> + 134c: 0005883a mov r2,zero + 1350: 003f7b06 br 1140 <__alt_data_end+0xfffe1140> + 1354: 88c00054 ori r3,r17,1 + 1358: 80c00115 stw r3,4(r16) + 135c: 8463883a add r17,r16,r17 + 1360: 10800054 ori r2,r2,1 + 1364: 9c400215 stw r17,8(r19) + 1368: 88800115 stw r2,4(r17) + 136c: 9009883a mov r4,r18 + 1370: 00036380 call 3638 <__malloc_unlock> + 1374: 80800204 addi r2,r16,8 + 1378: 003f7106 br 1140 <__alt_data_end+0xfffe1140> + 137c: 00c00504 movi r3,20 + 1380: 18804a2e bgeu r3,r2,14ac <_malloc_r+0x610> + 1384: 00c01504 movi r3,84 + 1388: 18806e36 bltu r3,r2,1544 <_malloc_r+0x6a8> + 138c: 8804d33a srli r2,r17,12 + 1390: 12001bc4 addi r8,r2,111 + 1394: 11c01b84 addi r7,r2,110 + 1398: 4209883a add r4,r8,r8 + 139c: 003edd06 br f14 <__alt_data_end+0xfffe0f14> + 13a0: 3804d27a srli r2,r7,9 + 13a4: 00c00104 movi r3,4 + 13a8: 1880442e bgeu r3,r2,14bc <_malloc_r+0x620> + 13ac: 00c00504 movi r3,20 + 13b0: 18808136 bltu r3,r2,15b8 <_malloc_r+0x71c> + 13b4: 11401704 addi r5,r2,92 + 13b8: 10c016c4 addi r3,r2,91 + 13bc: 294b883a add r5,r5,r5 + 13c0: 294b883a add r5,r5,r5 + 13c4: 294b883a add r5,r5,r5 + 13c8: 994b883a add r5,r19,r5 + 13cc: 28800017 ldw r2,0(r5) + 13d0: 01800034 movhi r6,0 + 13d4: 297ffe04 addi r5,r5,-8 + 13d8: 31971204 addi r6,r6,23624 + 13dc: 28806526 beq r5,r2,1574 <_malloc_r+0x6d8> + 13e0: 01bfff04 movi r6,-4 + 13e4: 10c00117 ldw r3,4(r2) + 13e8: 1986703a and r3,r3,r6 + 13ec: 38c0022e bgeu r7,r3,13f8 <_malloc_r+0x55c> + 13f0: 10800217 ldw r2,8(r2) + 13f4: 28bffb1e bne r5,r2,13e4 <__alt_data_end+0xfffe13e4> + 13f8: 11400317 ldw r5,12(r2) + 13fc: 98c00117 ldw r3,4(r19) + 1400: 81400315 stw r5,12(r16) + 1404: 80800215 stw r2,8(r16) + 1408: 2c000215 stw r16,8(r5) + 140c: 14000315 stw r16,12(r2) + 1410: 003ef806 br ff4 <__alt_data_end+0xfffe0ff4> + 1414: 88c00054 ori r3,r17,1 + 1418: 80c00115 stw r3,4(r16) + 141c: 8463883a add r17,r16,r17 + 1420: 34400515 stw r17,20(r6) + 1424: 34400415 stw r17,16(r6) + 1428: 10c00054 ori r3,r2,1 + 142c: 8a000315 stw r8,12(r17) + 1430: 8a000215 stw r8,8(r17) + 1434: 88c00115 stw r3,4(r17) + 1438: 88a3883a add r17,r17,r2 + 143c: 88800015 stw r2,0(r17) + 1440: 9009883a mov r4,r18 + 1444: 00036380 call 3638 <__malloc_unlock> + 1448: 80800204 addi r2,r16,8 + 144c: 003f3c06 br 1140 <__alt_data_end+0xfffe1140> + 1450: 30c00117 ldw r3,4(r6) + 1454: 003ee706 br ff4 <__alt_data_end+0xfffe0ff4> + 1458: 5ac00044 addi r11,r11,1 + 145c: 588000cc andi r2,r11,3 + 1460: 31800204 addi r6,r6,8 + 1464: 103efd1e bne r2,zero,105c <__alt_data_end+0xfffe105c> + 1468: 00002406 br 14fc <_malloc_r+0x660> + 146c: 14000317 ldw r16,12(r2) + 1470: 143f251e bne r2,r16,1108 <__alt_data_end+0xfffe1108> + 1474: 21000084 addi r4,r4,2 + 1478: 003ebc06 br f6c <__alt_data_end+0xfffe0f6c> + 147c: 8085883a add r2,r16,r2 + 1480: 10c00117 ldw r3,4(r2) + 1484: 81000317 ldw r4,12(r16) + 1488: 81400217 ldw r5,8(r16) + 148c: 18c00054 ori r3,r3,1 + 1490: 10c00115 stw r3,4(r2) + 1494: 29000315 stw r4,12(r5) + 1498: 21400215 stw r5,8(r4) + 149c: 9009883a mov r4,r18 + 14a0: 00036380 call 3638 <__malloc_unlock> + 14a4: 80800204 addi r2,r16,8 + 14a8: 003f2506 br 1140 <__alt_data_end+0xfffe1140> + 14ac: 12001704 addi r8,r2,92 + 14b0: 11c016c4 addi r7,r2,91 + 14b4: 4209883a add r4,r8,r8 + 14b8: 003e9606 br f14 <__alt_data_end+0xfffe0f14> + 14bc: 3804d1ba srli r2,r7,6 + 14c0: 11400e44 addi r5,r2,57 + 14c4: 10c00e04 addi r3,r2,56 + 14c8: 294b883a add r5,r5,r5 + 14cc: 003fbc06 br 13c0 <__alt_data_end+0xfffe13c0> + 14d0: 84ff5926 beq r16,r19,1238 <__alt_data_end+0xfffe1238> + 14d4: 00800034 movhi r2,0 + 14d8: 10971204 addi r2,r2,23624 + 14dc: 14000217 ldw r16,8(r2) + 14e0: 00bfff04 movi r2,-4 + 14e4: 80c00117 ldw r3,4(r16) + 14e8: 1886703a and r3,r3,r2 + 14ec: 003f9106 br 1334 <__alt_data_end+0xfffe1334> + 14f0: 60800217 ldw r2,8(r12) + 14f4: 213fffc4 addi r4,r4,-1 + 14f8: 1300651e bne r2,r12,1690 <_malloc_r+0x7f4> + 14fc: 208000cc andi r2,r4,3 + 1500: 633ffe04 addi r12,r12,-8 + 1504: 103ffa1e bne r2,zero,14f0 <__alt_data_end+0xfffe14f0> + 1508: 98800117 ldw r2,4(r19) + 150c: 0146303a nor r3,zero,r5 + 1510: 1884703a and r2,r3,r2 + 1514: 98800115 stw r2,4(r19) + 1518: 294b883a add r5,r5,r5 + 151c: 117f2836 bltu r2,r5,11c0 <__alt_data_end+0xfffe11c0> + 1520: 283f2726 beq r5,zero,11c0 <__alt_data_end+0xfffe11c0> + 1524: 2886703a and r3,r5,r2 + 1528: 5809883a mov r4,r11 + 152c: 183ec31e bne r3,zero,103c <__alt_data_end+0xfffe103c> + 1530: 294b883a add r5,r5,r5 + 1534: 2886703a and r3,r5,r2 + 1538: 21000104 addi r4,r4,4 + 153c: 183ffc26 beq r3,zero,1530 <__alt_data_end+0xfffe1530> + 1540: 003ebe06 br 103c <__alt_data_end+0xfffe103c> + 1544: 00c05504 movi r3,340 + 1548: 18801236 bltu r3,r2,1594 <_malloc_r+0x6f8> + 154c: 8804d3fa srli r2,r17,15 + 1550: 12001e04 addi r8,r2,120 + 1554: 11c01dc4 addi r7,r2,119 + 1558: 4209883a add r4,r8,r8 + 155c: 003e6d06 br f14 <__alt_data_end+0xfffe0f14> + 1560: 00c40004 movi r3,4096 + 1564: 003f4606 br 1280 <__alt_data_end+0xfffe1280> + 1568: 00800044 movi r2,1 + 156c: a0800115 stw r2,4(r20) + 1570: 003f7406 br 1344 <__alt_data_end+0xfffe1344> + 1574: 1805d0ba srai r2,r3,2 + 1578: 01c00044 movi r7,1 + 157c: 30c00117 ldw r3,4(r6) + 1580: 388e983a sll r7,r7,r2 + 1584: 2805883a mov r2,r5 + 1588: 38c6b03a or r3,r7,r3 + 158c: 30c00115 stw r3,4(r6) + 1590: 003f9b06 br 1400 <__alt_data_end+0xfffe1400> + 1594: 00c15504 movi r3,1364 + 1598: 18801a36 bltu r3,r2,1604 <_malloc_r+0x768> + 159c: 8804d4ba srli r2,r17,18 + 15a0: 12001f44 addi r8,r2,125 + 15a4: 11c01f04 addi r7,r2,124 + 15a8: 4209883a add r4,r8,r8 + 15ac: 003e5906 br f14 <__alt_data_end+0xfffe0f14> + 15b0: ad400404 addi r21,r21,16 + 15b4: 003f1706 br 1214 <__alt_data_end+0xfffe1214> + 15b8: 00c01504 movi r3,84 + 15bc: 18802336 bltu r3,r2,164c <_malloc_r+0x7b0> + 15c0: 3804d33a srli r2,r7,12 + 15c4: 11401bc4 addi r5,r2,111 + 15c8: 10c01b84 addi r3,r2,110 + 15cc: 294b883a add r5,r5,r5 + 15d0: 003f7b06 br 13c0 <__alt_data_end+0xfffe13c0> + 15d4: 9c000217 ldw r16,8(r19) + 15d8: 00bfff04 movi r2,-4 + 15dc: 80c00117 ldw r3,4(r16) + 15e0: 1886703a and r3,r3,r2 + 15e4: 003f5306 br 1334 <__alt_data_end+0xfffe1334> + 15e8: 3083ffcc andi r2,r6,4095 + 15ec: 103f181e bne r2,zero,1250 <__alt_data_end+0xfffe1250> + 15f0: 99000217 ldw r4,8(r19) + 15f4: b545883a add r2,r22,r21 + 15f8: 10800054 ori r2,r2,1 + 15fc: 20800115 stw r2,4(r4) + 1600: 003f3e06 br 12fc <__alt_data_end+0xfffe12fc> + 1604: 01003f84 movi r4,254 + 1608: 02001fc4 movi r8,127 + 160c: 01c01f84 movi r7,126 + 1610: 003e4006 br f14 <__alt_data_end+0xfffe0f14> + 1614: 00800034 movhi r2,0 + 1618: 109c9804 addi r2,r2,29280 + 161c: 15000015 stw r20,0(r2) + 1620: 003f1106 br 1268 <__alt_data_end+0xfffe1268> + 1624: 00800044 movi r2,1 + 1628: 002b883a mov r21,zero + 162c: 003f1f06 br 12ac <__alt_data_end+0xfffe12ac> + 1630: 81400204 addi r5,r16,8 + 1634: 9009883a mov r4,r18 + 1638: 00029240 call 2924 <_free_r> + 163c: 00800034 movhi r2,0 + 1640: 109caf04 addi r2,r2,29372 + 1644: 10c00017 ldw r3,0(r2) + 1648: 003f2c06 br 12fc <__alt_data_end+0xfffe12fc> + 164c: 00c05504 movi r3,340 + 1650: 18800536 bltu r3,r2,1668 <_malloc_r+0x7cc> + 1654: 3804d3fa srli r2,r7,15 + 1658: 11401e04 addi r5,r2,120 + 165c: 10c01dc4 addi r3,r2,119 + 1660: 294b883a add r5,r5,r5 + 1664: 003f5606 br 13c0 <__alt_data_end+0xfffe13c0> + 1668: 00c15504 movi r3,1364 + 166c: 18800536 bltu r3,r2,1684 <_malloc_r+0x7e8> + 1670: 3804d4ba srli r2,r7,18 + 1674: 11401f44 addi r5,r2,125 + 1678: 10c01f04 addi r3,r2,124 + 167c: 294b883a add r5,r5,r5 + 1680: 003f4f06 br 13c0 <__alt_data_end+0xfffe13c0> + 1684: 01403f84 movi r5,254 + 1688: 00c01f84 movi r3,126 + 168c: 003f4c06 br 13c0 <__alt_data_end+0xfffe13c0> + 1690: 98800117 ldw r2,4(r19) + 1694: 003fa006 br 1518 <__alt_data_end+0xfffe1518> + 1698: 8808d0fa srli r4,r17,3 + 169c: 20800044 addi r2,r4,1 + 16a0: 1085883a add r2,r2,r2 + 16a4: 003e9006 br 10e8 <__alt_data_end+0xfffe10e8> + +000016a8 : + 16a8: 208000cc andi r2,r4,3 + 16ac: 280f883a mov r7,r5 + 16b0: 10003426 beq r2,zero,1784 + 16b4: 30bfffc4 addi r2,r6,-1 + 16b8: 30001a26 beq r6,zero,1724 + 16bc: 20c00003 ldbu r3,0(r4) + 16c0: 29803fcc andi r6,r5,255 + 16c4: 30c0051e bne r6,r3,16dc + 16c8: 00001806 br 172c + 16cc: 10001526 beq r2,zero,1724 + 16d0: 20c00003 ldbu r3,0(r4) + 16d4: 10bfffc4 addi r2,r2,-1 + 16d8: 30c01426 beq r6,r3,172c + 16dc: 21000044 addi r4,r4,1 + 16e0: 20c000cc andi r3,r4,3 + 16e4: 183ff91e bne r3,zero,16cc <__alt_data_end+0xfffe16cc> + 16e8: 020000c4 movi r8,3 + 16ec: 40801136 bltu r8,r2,1734 + 16f0: 10000c26 beq r2,zero,1724 + 16f4: 20c00003 ldbu r3,0(r4) + 16f8: 29403fcc andi r5,r5,255 + 16fc: 28c00b26 beq r5,r3,172c + 1700: 20c00044 addi r3,r4,1 + 1704: 39803fcc andi r6,r7,255 + 1708: 2089883a add r4,r4,r2 + 170c: 00000306 br 171c + 1710: 18c00044 addi r3,r3,1 + 1714: 197fffc3 ldbu r5,-1(r3) + 1718: 31400526 beq r6,r5,1730 + 171c: 1805883a mov r2,r3 + 1720: 20fffb1e bne r4,r3,1710 <__alt_data_end+0xfffe1710> + 1724: 0005883a mov r2,zero + 1728: f800283a ret + 172c: 2005883a mov r2,r4 + 1730: f800283a ret + 1734: 28c03fcc andi r3,r5,255 + 1738: 1812923a slli r9,r3,8 + 173c: 02ffbff4 movhi r11,65279 + 1740: 02a02074 movhi r10,32897 + 1744: 48d2b03a or r9,r9,r3 + 1748: 4806943a slli r3,r9,16 + 174c: 5affbfc4 addi r11,r11,-257 + 1750: 52a02004 addi r10,r10,-32640 + 1754: 48d2b03a or r9,r9,r3 + 1758: 20c00017 ldw r3,0(r4) + 175c: 48c6f03a xor r3,r9,r3 + 1760: 1acd883a add r6,r3,r11 + 1764: 00c6303a nor r3,zero,r3 + 1768: 30c6703a and r3,r6,r3 + 176c: 1a86703a and r3,r3,r10 + 1770: 183fe01e bne r3,zero,16f4 <__alt_data_end+0xfffe16f4> + 1774: 10bfff04 addi r2,r2,-4 + 1778: 21000104 addi r4,r4,4 + 177c: 40bff636 bltu r8,r2,1758 <__alt_data_end+0xfffe1758> + 1780: 003fdb06 br 16f0 <__alt_data_end+0xfffe16f0> + 1784: 3005883a mov r2,r6 + 1788: 003fd706 br 16e8 <__alt_data_end+0xfffe16e8> + +0000178c : + 178c: defffd04 addi sp,sp,-12 + 1790: dfc00215 stw ra,8(sp) + 1794: dc400115 stw r17,4(sp) + 1798: dc000015 stw r16,0(sp) + 179c: 00c003c4 movi r3,15 + 17a0: 2005883a mov r2,r4 + 17a4: 1980452e bgeu r3,r6,18bc + 17a8: 2906b03a or r3,r5,r4 + 17ac: 18c000cc andi r3,r3,3 + 17b0: 1800441e bne r3,zero,18c4 + 17b4: 347ffc04 addi r17,r6,-16 + 17b8: 8822d13a srli r17,r17,4 + 17bc: 28c00104 addi r3,r5,4 + 17c0: 23400104 addi r13,r4,4 + 17c4: 8820913a slli r16,r17,4 + 17c8: 2b000204 addi r12,r5,8 + 17cc: 22c00204 addi r11,r4,8 + 17d0: 84000504 addi r16,r16,20 + 17d4: 2a800304 addi r10,r5,12 + 17d8: 22400304 addi r9,r4,12 + 17dc: 2c21883a add r16,r5,r16 + 17e0: 2811883a mov r8,r5 + 17e4: 200f883a mov r7,r4 + 17e8: 41000017 ldw r4,0(r8) + 17ec: 1fc00017 ldw ra,0(r3) + 17f0: 63c00017 ldw r15,0(r12) + 17f4: 39000015 stw r4,0(r7) + 17f8: 53800017 ldw r14,0(r10) + 17fc: 6fc00015 stw ra,0(r13) + 1800: 5bc00015 stw r15,0(r11) + 1804: 4b800015 stw r14,0(r9) + 1808: 18c00404 addi r3,r3,16 + 180c: 39c00404 addi r7,r7,16 + 1810: 42000404 addi r8,r8,16 + 1814: 6b400404 addi r13,r13,16 + 1818: 63000404 addi r12,r12,16 + 181c: 5ac00404 addi r11,r11,16 + 1820: 52800404 addi r10,r10,16 + 1824: 4a400404 addi r9,r9,16 + 1828: 1c3fef1e bne r3,r16,17e8 <__alt_data_end+0xfffe17e8> + 182c: 89c00044 addi r7,r17,1 + 1830: 380e913a slli r7,r7,4 + 1834: 310003cc andi r4,r6,15 + 1838: 02c000c4 movi r11,3 + 183c: 11c7883a add r3,r2,r7 + 1840: 29cb883a add r5,r5,r7 + 1844: 5900212e bgeu r11,r4,18cc + 1848: 1813883a mov r9,r3 + 184c: 2811883a mov r8,r5 + 1850: 200f883a mov r7,r4 + 1854: 42800017 ldw r10,0(r8) + 1858: 4a400104 addi r9,r9,4 + 185c: 39ffff04 addi r7,r7,-4 + 1860: 4abfff15 stw r10,-4(r9) + 1864: 42000104 addi r8,r8,4 + 1868: 59fffa36 bltu r11,r7,1854 <__alt_data_end+0xfffe1854> + 186c: 213fff04 addi r4,r4,-4 + 1870: 2008d0ba srli r4,r4,2 + 1874: 318000cc andi r6,r6,3 + 1878: 21000044 addi r4,r4,1 + 187c: 2109883a add r4,r4,r4 + 1880: 2109883a add r4,r4,r4 + 1884: 1907883a add r3,r3,r4 + 1888: 290b883a add r5,r5,r4 + 188c: 30000626 beq r6,zero,18a8 + 1890: 198d883a add r6,r3,r6 + 1894: 29c00003 ldbu r7,0(r5) + 1898: 18c00044 addi r3,r3,1 + 189c: 29400044 addi r5,r5,1 + 18a0: 19ffffc5 stb r7,-1(r3) + 18a4: 19bffb1e bne r3,r6,1894 <__alt_data_end+0xfffe1894> + 18a8: dfc00217 ldw ra,8(sp) + 18ac: dc400117 ldw r17,4(sp) + 18b0: dc000017 ldw r16,0(sp) + 18b4: dec00304 addi sp,sp,12 + 18b8: f800283a ret + 18bc: 2007883a mov r3,r4 + 18c0: 003ff206 br 188c <__alt_data_end+0xfffe188c> + 18c4: 2007883a mov r3,r4 + 18c8: 003ff106 br 1890 <__alt_data_end+0xfffe1890> + 18cc: 200d883a mov r6,r4 + 18d0: 003fee06 br 188c <__alt_data_end+0xfffe188c> + +000018d4 : + 18d4: 2005883a mov r2,r4 + 18d8: 29000b2e bgeu r5,r4,1908 + 18dc: 298f883a add r7,r5,r6 + 18e0: 21c0092e bgeu r4,r7,1908 + 18e4: 2187883a add r3,r4,r6 + 18e8: 198bc83a sub r5,r3,r6 + 18ec: 30004826 beq r6,zero,1a10 + 18f0: 39ffffc4 addi r7,r7,-1 + 18f4: 39000003 ldbu r4,0(r7) + 18f8: 18ffffc4 addi r3,r3,-1 + 18fc: 19000005 stb r4,0(r3) + 1900: 28fffb1e bne r5,r3,18f0 <__alt_data_end+0xfffe18f0> + 1904: f800283a ret + 1908: 00c003c4 movi r3,15 + 190c: 1980412e bgeu r3,r6,1a14 + 1910: 2886b03a or r3,r5,r2 + 1914: 18c000cc andi r3,r3,3 + 1918: 1800401e bne r3,zero,1a1c + 191c: 33fffc04 addi r15,r6,-16 + 1920: 781ed13a srli r15,r15,4 + 1924: 28c00104 addi r3,r5,4 + 1928: 13400104 addi r13,r2,4 + 192c: 781c913a slli r14,r15,4 + 1930: 2b000204 addi r12,r5,8 + 1934: 12c00204 addi r11,r2,8 + 1938: 73800504 addi r14,r14,20 + 193c: 2a800304 addi r10,r5,12 + 1940: 12400304 addi r9,r2,12 + 1944: 2b9d883a add r14,r5,r14 + 1948: 2811883a mov r8,r5 + 194c: 100f883a mov r7,r2 + 1950: 41000017 ldw r4,0(r8) + 1954: 39c00404 addi r7,r7,16 + 1958: 18c00404 addi r3,r3,16 + 195c: 393ffc15 stw r4,-16(r7) + 1960: 193ffc17 ldw r4,-16(r3) + 1964: 6b400404 addi r13,r13,16 + 1968: 5ac00404 addi r11,r11,16 + 196c: 693ffc15 stw r4,-16(r13) + 1970: 61000017 ldw r4,0(r12) + 1974: 4a400404 addi r9,r9,16 + 1978: 42000404 addi r8,r8,16 + 197c: 593ffc15 stw r4,-16(r11) + 1980: 51000017 ldw r4,0(r10) + 1984: 63000404 addi r12,r12,16 + 1988: 52800404 addi r10,r10,16 + 198c: 493ffc15 stw r4,-16(r9) + 1990: 1bbfef1e bne r3,r14,1950 <__alt_data_end+0xfffe1950> + 1994: 79000044 addi r4,r15,1 + 1998: 2008913a slli r4,r4,4 + 199c: 328003cc andi r10,r6,15 + 19a0: 02c000c4 movi r11,3 + 19a4: 1107883a add r3,r2,r4 + 19a8: 290b883a add r5,r5,r4 + 19ac: 5a801e2e bgeu r11,r10,1a28 + 19b0: 1813883a mov r9,r3 + 19b4: 2811883a mov r8,r5 + 19b8: 500f883a mov r7,r10 + 19bc: 41000017 ldw r4,0(r8) + 19c0: 4a400104 addi r9,r9,4 + 19c4: 39ffff04 addi r7,r7,-4 + 19c8: 493fff15 stw r4,-4(r9) + 19cc: 42000104 addi r8,r8,4 + 19d0: 59fffa36 bltu r11,r7,19bc <__alt_data_end+0xfffe19bc> + 19d4: 513fff04 addi r4,r10,-4 + 19d8: 2008d0ba srli r4,r4,2 + 19dc: 318000cc andi r6,r6,3 + 19e0: 21000044 addi r4,r4,1 + 19e4: 2109883a add r4,r4,r4 + 19e8: 2109883a add r4,r4,r4 + 19ec: 1907883a add r3,r3,r4 + 19f0: 290b883a add r5,r5,r4 + 19f4: 30000b26 beq r6,zero,1a24 + 19f8: 198d883a add r6,r3,r6 + 19fc: 29c00003 ldbu r7,0(r5) + 1a00: 18c00044 addi r3,r3,1 + 1a04: 29400044 addi r5,r5,1 + 1a08: 19ffffc5 stb r7,-1(r3) + 1a0c: 19bffb1e bne r3,r6,19fc <__alt_data_end+0xfffe19fc> + 1a10: f800283a ret + 1a14: 1007883a mov r3,r2 + 1a18: 003ff606 br 19f4 <__alt_data_end+0xfffe19f4> + 1a1c: 1007883a mov r3,r2 + 1a20: 003ff506 br 19f8 <__alt_data_end+0xfffe19f8> + 1a24: f800283a ret + 1a28: 500d883a mov r6,r10 + 1a2c: 003ff106 br 19f4 <__alt_data_end+0xfffe19f4> + +00001a30 : + 1a30: 20c000cc andi r3,r4,3 + 1a34: 2005883a mov r2,r4 + 1a38: 18004426 beq r3,zero,1b4c + 1a3c: 31ffffc4 addi r7,r6,-1 + 1a40: 30004026 beq r6,zero,1b44 + 1a44: 2813883a mov r9,r5 + 1a48: 200d883a mov r6,r4 + 1a4c: 2007883a mov r3,r4 + 1a50: 00000406 br 1a64 + 1a54: 3a3fffc4 addi r8,r7,-1 + 1a58: 31800044 addi r6,r6,1 + 1a5c: 38003926 beq r7,zero,1b44 + 1a60: 400f883a mov r7,r8 + 1a64: 18c00044 addi r3,r3,1 + 1a68: 32400005 stb r9,0(r6) + 1a6c: 1a0000cc andi r8,r3,3 + 1a70: 403ff81e bne r8,zero,1a54 <__alt_data_end+0xfffe1a54> + 1a74: 010000c4 movi r4,3 + 1a78: 21c02d2e bgeu r4,r7,1b30 + 1a7c: 29003fcc andi r4,r5,255 + 1a80: 200c923a slli r6,r4,8 + 1a84: 3108b03a or r4,r6,r4 + 1a88: 200c943a slli r6,r4,16 + 1a8c: 218cb03a or r6,r4,r6 + 1a90: 010003c4 movi r4,15 + 1a94: 21c0182e bgeu r4,r7,1af8 + 1a98: 3b3ffc04 addi r12,r7,-16 + 1a9c: 6018d13a srli r12,r12,4 + 1aa0: 1a000104 addi r8,r3,4 + 1aa4: 1ac00204 addi r11,r3,8 + 1aa8: 6008913a slli r4,r12,4 + 1aac: 1a800304 addi r10,r3,12 + 1ab0: 1813883a mov r9,r3 + 1ab4: 21000504 addi r4,r4,20 + 1ab8: 1909883a add r4,r3,r4 + 1abc: 49800015 stw r6,0(r9) + 1ac0: 41800015 stw r6,0(r8) + 1ac4: 59800015 stw r6,0(r11) + 1ac8: 51800015 stw r6,0(r10) + 1acc: 42000404 addi r8,r8,16 + 1ad0: 4a400404 addi r9,r9,16 + 1ad4: 5ac00404 addi r11,r11,16 + 1ad8: 52800404 addi r10,r10,16 + 1adc: 413ff71e bne r8,r4,1abc <__alt_data_end+0xfffe1abc> + 1ae0: 63000044 addi r12,r12,1 + 1ae4: 6018913a slli r12,r12,4 + 1ae8: 39c003cc andi r7,r7,15 + 1aec: 010000c4 movi r4,3 + 1af0: 1b07883a add r3,r3,r12 + 1af4: 21c00e2e bgeu r4,r7,1b30 + 1af8: 1813883a mov r9,r3 + 1afc: 3811883a mov r8,r7 + 1b00: 010000c4 movi r4,3 + 1b04: 49800015 stw r6,0(r9) + 1b08: 423fff04 addi r8,r8,-4 + 1b0c: 4a400104 addi r9,r9,4 + 1b10: 223ffc36 bltu r4,r8,1b04 <__alt_data_end+0xfffe1b04> + 1b14: 393fff04 addi r4,r7,-4 + 1b18: 2008d0ba srli r4,r4,2 + 1b1c: 39c000cc andi r7,r7,3 + 1b20: 21000044 addi r4,r4,1 + 1b24: 2109883a add r4,r4,r4 + 1b28: 2109883a add r4,r4,r4 + 1b2c: 1907883a add r3,r3,r4 + 1b30: 38000526 beq r7,zero,1b48 + 1b34: 19cf883a add r7,r3,r7 + 1b38: 19400005 stb r5,0(r3) + 1b3c: 18c00044 addi r3,r3,1 + 1b40: 38fffd1e bne r7,r3,1b38 <__alt_data_end+0xfffe1b38> + 1b44: f800283a ret + 1b48: f800283a ret + 1b4c: 2007883a mov r3,r4 + 1b50: 300f883a mov r7,r6 + 1b54: 003fc706 br 1a74 <__alt_data_end+0xfffe1a74> + +00001b58 <_realloc_r>: + 1b58: defff604 addi sp,sp,-40 + 1b5c: dc800215 stw r18,8(sp) + 1b60: dfc00915 stw ra,36(sp) + 1b64: df000815 stw fp,32(sp) + 1b68: ddc00715 stw r23,28(sp) + 1b6c: dd800615 stw r22,24(sp) + 1b70: dd400515 stw r21,20(sp) + 1b74: dd000415 stw r20,16(sp) + 1b78: dcc00315 stw r19,12(sp) + 1b7c: dc400115 stw r17,4(sp) + 1b80: dc000015 stw r16,0(sp) + 1b84: 3025883a mov r18,r6 + 1b88: 2800b726 beq r5,zero,1e68 <_realloc_r+0x310> + 1b8c: 282b883a mov r21,r5 + 1b90: 2029883a mov r20,r4 + 1b94: 00036140 call 3614 <__malloc_lock> + 1b98: a8bfff17 ldw r2,-4(r21) + 1b9c: 043fff04 movi r16,-4 + 1ba0: 90c002c4 addi r3,r18,11 + 1ba4: 01000584 movi r4,22 + 1ba8: acfffe04 addi r19,r21,-8 + 1bac: 1420703a and r16,r2,r16 + 1bb0: 20c0332e bgeu r4,r3,1c80 <_realloc_r+0x128> + 1bb4: 047ffe04 movi r17,-8 + 1bb8: 1c62703a and r17,r3,r17 + 1bbc: 8807883a mov r3,r17 + 1bc0: 88005816 blt r17,zero,1d24 <_realloc_r+0x1cc> + 1bc4: 8c805736 bltu r17,r18,1d24 <_realloc_r+0x1cc> + 1bc8: 80c0300e bge r16,r3,1c8c <_realloc_r+0x134> + 1bcc: 07000034 movhi fp,0 + 1bd0: e7171204 addi fp,fp,23624 + 1bd4: e1c00217 ldw r7,8(fp) + 1bd8: 9c09883a add r4,r19,r16 + 1bdc: 22000117 ldw r8,4(r4) + 1be0: 21c06326 beq r4,r7,1d70 <_realloc_r+0x218> + 1be4: 017fff84 movi r5,-2 + 1be8: 414a703a and r5,r8,r5 + 1bec: 214b883a add r5,r4,r5 + 1bf0: 29800117 ldw r6,4(r5) + 1bf4: 3180004c andi r6,r6,1 + 1bf8: 30003f26 beq r6,zero,1cf8 <_realloc_r+0x1a0> + 1bfc: 1080004c andi r2,r2,1 + 1c00: 10008326 beq r2,zero,1e10 <_realloc_r+0x2b8> + 1c04: 900b883a mov r5,r18 + 1c08: a009883a mov r4,r20 + 1c0c: 0000e9c0 call e9c <_malloc_r> + 1c10: 1025883a mov r18,r2 + 1c14: 10011e26 beq r2,zero,2090 <_realloc_r+0x538> + 1c18: a93fff17 ldw r4,-4(r21) + 1c1c: 10fffe04 addi r3,r2,-8 + 1c20: 00bfff84 movi r2,-2 + 1c24: 2084703a and r2,r4,r2 + 1c28: 9885883a add r2,r19,r2 + 1c2c: 1880ee26 beq r3,r2,1fe8 <_realloc_r+0x490> + 1c30: 81bfff04 addi r6,r16,-4 + 1c34: 00800904 movi r2,36 + 1c38: 1180b836 bltu r2,r6,1f1c <_realloc_r+0x3c4> + 1c3c: 00c004c4 movi r3,19 + 1c40: 19809636 bltu r3,r6,1e9c <_realloc_r+0x344> + 1c44: 9005883a mov r2,r18 + 1c48: a807883a mov r3,r21 + 1c4c: 19000017 ldw r4,0(r3) + 1c50: 11000015 stw r4,0(r2) + 1c54: 19000117 ldw r4,4(r3) + 1c58: 11000115 stw r4,4(r2) + 1c5c: 18c00217 ldw r3,8(r3) + 1c60: 10c00215 stw r3,8(r2) + 1c64: a80b883a mov r5,r21 + 1c68: a009883a mov r4,r20 + 1c6c: 00029240 call 2924 <_free_r> + 1c70: a009883a mov r4,r20 + 1c74: 00036380 call 3638 <__malloc_unlock> + 1c78: 9005883a mov r2,r18 + 1c7c: 00001206 br 1cc8 <_realloc_r+0x170> + 1c80: 00c00404 movi r3,16 + 1c84: 1823883a mov r17,r3 + 1c88: 003fce06 br 1bc4 <__alt_data_end+0xfffe1bc4> + 1c8c: a825883a mov r18,r21 + 1c90: 8445c83a sub r2,r16,r17 + 1c94: 00c003c4 movi r3,15 + 1c98: 18802636 bltu r3,r2,1d34 <_realloc_r+0x1dc> + 1c9c: 99800117 ldw r6,4(r19) + 1ca0: 9c07883a add r3,r19,r16 + 1ca4: 3180004c andi r6,r6,1 + 1ca8: 3420b03a or r16,r6,r16 + 1cac: 9c000115 stw r16,4(r19) + 1cb0: 18800117 ldw r2,4(r3) + 1cb4: 10800054 ori r2,r2,1 + 1cb8: 18800115 stw r2,4(r3) + 1cbc: a009883a mov r4,r20 + 1cc0: 00036380 call 3638 <__malloc_unlock> + 1cc4: 9005883a mov r2,r18 + 1cc8: dfc00917 ldw ra,36(sp) + 1ccc: df000817 ldw fp,32(sp) + 1cd0: ddc00717 ldw r23,28(sp) + 1cd4: dd800617 ldw r22,24(sp) + 1cd8: dd400517 ldw r21,20(sp) + 1cdc: dd000417 ldw r20,16(sp) + 1ce0: dcc00317 ldw r19,12(sp) + 1ce4: dc800217 ldw r18,8(sp) + 1ce8: dc400117 ldw r17,4(sp) + 1cec: dc000017 ldw r16,0(sp) + 1cf0: dec00a04 addi sp,sp,40 + 1cf4: f800283a ret + 1cf8: 017fff04 movi r5,-4 + 1cfc: 414a703a and r5,r8,r5 + 1d00: 814d883a add r6,r16,r5 + 1d04: 30c01f16 blt r6,r3,1d84 <_realloc_r+0x22c> + 1d08: 20800317 ldw r2,12(r4) + 1d0c: 20c00217 ldw r3,8(r4) + 1d10: a825883a mov r18,r21 + 1d14: 3021883a mov r16,r6 + 1d18: 18800315 stw r2,12(r3) + 1d1c: 10c00215 stw r3,8(r2) + 1d20: 003fdb06 br 1c90 <__alt_data_end+0xfffe1c90> + 1d24: 00800304 movi r2,12 + 1d28: a0800015 stw r2,0(r20) + 1d2c: 0005883a mov r2,zero + 1d30: 003fe506 br 1cc8 <__alt_data_end+0xfffe1cc8> + 1d34: 98c00117 ldw r3,4(r19) + 1d38: 9c4b883a add r5,r19,r17 + 1d3c: 11000054 ori r4,r2,1 + 1d40: 18c0004c andi r3,r3,1 + 1d44: 1c62b03a or r17,r3,r17 + 1d48: 9c400115 stw r17,4(r19) + 1d4c: 29000115 stw r4,4(r5) + 1d50: 2885883a add r2,r5,r2 + 1d54: 10c00117 ldw r3,4(r2) + 1d58: 29400204 addi r5,r5,8 + 1d5c: a009883a mov r4,r20 + 1d60: 18c00054 ori r3,r3,1 + 1d64: 10c00115 stw r3,4(r2) + 1d68: 00029240 call 2924 <_free_r> + 1d6c: 003fd306 br 1cbc <__alt_data_end+0xfffe1cbc> + 1d70: 017fff04 movi r5,-4 + 1d74: 414a703a and r5,r8,r5 + 1d78: 89800404 addi r6,r17,16 + 1d7c: 8151883a add r8,r16,r5 + 1d80: 4180590e bge r8,r6,1ee8 <_realloc_r+0x390> + 1d84: 1080004c andi r2,r2,1 + 1d88: 103f9e1e bne r2,zero,1c04 <__alt_data_end+0xfffe1c04> + 1d8c: adbffe17 ldw r22,-8(r21) + 1d90: 00bfff04 movi r2,-4 + 1d94: 9dadc83a sub r22,r19,r22 + 1d98: b1800117 ldw r6,4(r22) + 1d9c: 3084703a and r2,r6,r2 + 1da0: 20002026 beq r4,zero,1e24 <_realloc_r+0x2cc> + 1da4: 80af883a add r23,r16,r2 + 1da8: b96f883a add r23,r23,r5 + 1dac: 21c05f26 beq r4,r7,1f2c <_realloc_r+0x3d4> + 1db0: b8c01c16 blt r23,r3,1e24 <_realloc_r+0x2cc> + 1db4: 20800317 ldw r2,12(r4) + 1db8: 20c00217 ldw r3,8(r4) + 1dbc: 81bfff04 addi r6,r16,-4 + 1dc0: 01000904 movi r4,36 + 1dc4: 18800315 stw r2,12(r3) + 1dc8: 10c00215 stw r3,8(r2) + 1dcc: b0c00217 ldw r3,8(r22) + 1dd0: b0800317 ldw r2,12(r22) + 1dd4: b4800204 addi r18,r22,8 + 1dd8: 18800315 stw r2,12(r3) + 1ddc: 10c00215 stw r3,8(r2) + 1de0: 21801b36 bltu r4,r6,1e50 <_realloc_r+0x2f8> + 1de4: 008004c4 movi r2,19 + 1de8: 1180352e bgeu r2,r6,1ec0 <_realloc_r+0x368> + 1dec: a8800017 ldw r2,0(r21) + 1df0: b0800215 stw r2,8(r22) + 1df4: a8800117 ldw r2,4(r21) + 1df8: b0800315 stw r2,12(r22) + 1dfc: 008006c4 movi r2,27 + 1e00: 11807f36 bltu r2,r6,2000 <_realloc_r+0x4a8> + 1e04: b0800404 addi r2,r22,16 + 1e08: ad400204 addi r21,r21,8 + 1e0c: 00002d06 br 1ec4 <_realloc_r+0x36c> + 1e10: adbffe17 ldw r22,-8(r21) + 1e14: 00bfff04 movi r2,-4 + 1e18: 9dadc83a sub r22,r19,r22 + 1e1c: b1000117 ldw r4,4(r22) + 1e20: 2084703a and r2,r4,r2 + 1e24: b03f7726 beq r22,zero,1c04 <__alt_data_end+0xfffe1c04> + 1e28: 80af883a add r23,r16,r2 + 1e2c: b8ff7516 blt r23,r3,1c04 <__alt_data_end+0xfffe1c04> + 1e30: b0800317 ldw r2,12(r22) + 1e34: b0c00217 ldw r3,8(r22) + 1e38: 81bfff04 addi r6,r16,-4 + 1e3c: 01000904 movi r4,36 + 1e40: 18800315 stw r2,12(r3) + 1e44: 10c00215 stw r3,8(r2) + 1e48: b4800204 addi r18,r22,8 + 1e4c: 21bfe52e bgeu r4,r6,1de4 <__alt_data_end+0xfffe1de4> + 1e50: a80b883a mov r5,r21 + 1e54: 9009883a mov r4,r18 + 1e58: 00018d40 call 18d4 + 1e5c: b821883a mov r16,r23 + 1e60: b027883a mov r19,r22 + 1e64: 003f8a06 br 1c90 <__alt_data_end+0xfffe1c90> + 1e68: 300b883a mov r5,r6 + 1e6c: dfc00917 ldw ra,36(sp) + 1e70: df000817 ldw fp,32(sp) + 1e74: ddc00717 ldw r23,28(sp) + 1e78: dd800617 ldw r22,24(sp) + 1e7c: dd400517 ldw r21,20(sp) + 1e80: dd000417 ldw r20,16(sp) + 1e84: dcc00317 ldw r19,12(sp) + 1e88: dc800217 ldw r18,8(sp) + 1e8c: dc400117 ldw r17,4(sp) + 1e90: dc000017 ldw r16,0(sp) + 1e94: dec00a04 addi sp,sp,40 + 1e98: 0000e9c1 jmpi e9c <_malloc_r> + 1e9c: a8c00017 ldw r3,0(r21) + 1ea0: 90c00015 stw r3,0(r18) + 1ea4: a8c00117 ldw r3,4(r21) + 1ea8: 90c00115 stw r3,4(r18) + 1eac: 00c006c4 movi r3,27 + 1eb0: 19804536 bltu r3,r6,1fc8 <_realloc_r+0x470> + 1eb4: 90800204 addi r2,r18,8 + 1eb8: a8c00204 addi r3,r21,8 + 1ebc: 003f6306 br 1c4c <__alt_data_end+0xfffe1c4c> + 1ec0: 9005883a mov r2,r18 + 1ec4: a8c00017 ldw r3,0(r21) + 1ec8: b821883a mov r16,r23 + 1ecc: b027883a mov r19,r22 + 1ed0: 10c00015 stw r3,0(r2) + 1ed4: a8c00117 ldw r3,4(r21) + 1ed8: 10c00115 stw r3,4(r2) + 1edc: a8c00217 ldw r3,8(r21) + 1ee0: 10c00215 stw r3,8(r2) + 1ee4: 003f6a06 br 1c90 <__alt_data_end+0xfffe1c90> + 1ee8: 9c67883a add r19,r19,r17 + 1eec: 4445c83a sub r2,r8,r17 + 1ef0: e4c00215 stw r19,8(fp) + 1ef4: 10800054 ori r2,r2,1 + 1ef8: 98800115 stw r2,4(r19) + 1efc: a8bfff17 ldw r2,-4(r21) + 1f00: a009883a mov r4,r20 + 1f04: 1080004c andi r2,r2,1 + 1f08: 1462b03a or r17,r2,r17 + 1f0c: ac7fff15 stw r17,-4(r21) + 1f10: 00036380 call 3638 <__malloc_unlock> + 1f14: a805883a mov r2,r21 + 1f18: 003f6b06 br 1cc8 <__alt_data_end+0xfffe1cc8> + 1f1c: a80b883a mov r5,r21 + 1f20: 9009883a mov r4,r18 + 1f24: 00018d40 call 18d4 + 1f28: 003f4e06 br 1c64 <__alt_data_end+0xfffe1c64> + 1f2c: 89000404 addi r4,r17,16 + 1f30: b93fbc16 blt r23,r4,1e24 <__alt_data_end+0xfffe1e24> + 1f34: b0800317 ldw r2,12(r22) + 1f38: b0c00217 ldw r3,8(r22) + 1f3c: 81bfff04 addi r6,r16,-4 + 1f40: 01000904 movi r4,36 + 1f44: 18800315 stw r2,12(r3) + 1f48: 10c00215 stw r3,8(r2) + 1f4c: b4800204 addi r18,r22,8 + 1f50: 21804336 bltu r4,r6,2060 <_realloc_r+0x508> + 1f54: 008004c4 movi r2,19 + 1f58: 11803f2e bgeu r2,r6,2058 <_realloc_r+0x500> + 1f5c: a8800017 ldw r2,0(r21) + 1f60: b0800215 stw r2,8(r22) + 1f64: a8800117 ldw r2,4(r21) + 1f68: b0800315 stw r2,12(r22) + 1f6c: 008006c4 movi r2,27 + 1f70: 11803f36 bltu r2,r6,2070 <_realloc_r+0x518> + 1f74: b0800404 addi r2,r22,16 + 1f78: ad400204 addi r21,r21,8 + 1f7c: a8c00017 ldw r3,0(r21) + 1f80: 10c00015 stw r3,0(r2) + 1f84: a8c00117 ldw r3,4(r21) + 1f88: 10c00115 stw r3,4(r2) + 1f8c: a8c00217 ldw r3,8(r21) + 1f90: 10c00215 stw r3,8(r2) + 1f94: b447883a add r3,r22,r17 + 1f98: bc45c83a sub r2,r23,r17 + 1f9c: e0c00215 stw r3,8(fp) + 1fa0: 10800054 ori r2,r2,1 + 1fa4: 18800115 stw r2,4(r3) + 1fa8: b0800117 ldw r2,4(r22) + 1fac: a009883a mov r4,r20 + 1fb0: 1080004c andi r2,r2,1 + 1fb4: 1462b03a or r17,r2,r17 + 1fb8: b4400115 stw r17,4(r22) + 1fbc: 00036380 call 3638 <__malloc_unlock> + 1fc0: 9005883a mov r2,r18 + 1fc4: 003f4006 br 1cc8 <__alt_data_end+0xfffe1cc8> + 1fc8: a8c00217 ldw r3,8(r21) + 1fcc: 90c00215 stw r3,8(r18) + 1fd0: a8c00317 ldw r3,12(r21) + 1fd4: 90c00315 stw r3,12(r18) + 1fd8: 30801126 beq r6,r2,2020 <_realloc_r+0x4c8> + 1fdc: 90800404 addi r2,r18,16 + 1fe0: a8c00404 addi r3,r21,16 + 1fe4: 003f1906 br 1c4c <__alt_data_end+0xfffe1c4c> + 1fe8: 90ffff17 ldw r3,-4(r18) + 1fec: 00bfff04 movi r2,-4 + 1ff0: a825883a mov r18,r21 + 1ff4: 1884703a and r2,r3,r2 + 1ff8: 80a1883a add r16,r16,r2 + 1ffc: 003f2406 br 1c90 <__alt_data_end+0xfffe1c90> + 2000: a8800217 ldw r2,8(r21) + 2004: b0800415 stw r2,16(r22) + 2008: a8800317 ldw r2,12(r21) + 200c: b0800515 stw r2,20(r22) + 2010: 31000a26 beq r6,r4,203c <_realloc_r+0x4e4> + 2014: b0800604 addi r2,r22,24 + 2018: ad400404 addi r21,r21,16 + 201c: 003fa906 br 1ec4 <__alt_data_end+0xfffe1ec4> + 2020: a9000417 ldw r4,16(r21) + 2024: 90800604 addi r2,r18,24 + 2028: a8c00604 addi r3,r21,24 + 202c: 91000415 stw r4,16(r18) + 2030: a9000517 ldw r4,20(r21) + 2034: 91000515 stw r4,20(r18) + 2038: 003f0406 br 1c4c <__alt_data_end+0xfffe1c4c> + 203c: a8c00417 ldw r3,16(r21) + 2040: ad400604 addi r21,r21,24 + 2044: b0800804 addi r2,r22,32 + 2048: b0c00615 stw r3,24(r22) + 204c: a8ffff17 ldw r3,-4(r21) + 2050: b0c00715 stw r3,28(r22) + 2054: 003f9b06 br 1ec4 <__alt_data_end+0xfffe1ec4> + 2058: 9005883a mov r2,r18 + 205c: 003fc706 br 1f7c <__alt_data_end+0xfffe1f7c> + 2060: a80b883a mov r5,r21 + 2064: 9009883a mov r4,r18 + 2068: 00018d40 call 18d4 + 206c: 003fc906 br 1f94 <__alt_data_end+0xfffe1f94> + 2070: a8800217 ldw r2,8(r21) + 2074: b0800415 stw r2,16(r22) + 2078: a8800317 ldw r2,12(r21) + 207c: b0800515 stw r2,20(r22) + 2080: 31000726 beq r6,r4,20a0 <_realloc_r+0x548> + 2084: b0800604 addi r2,r22,24 + 2088: ad400404 addi r21,r21,16 + 208c: 003fbb06 br 1f7c <__alt_data_end+0xfffe1f7c> + 2090: a009883a mov r4,r20 + 2094: 00036380 call 3638 <__malloc_unlock> + 2098: 0005883a mov r2,zero + 209c: 003f0a06 br 1cc8 <__alt_data_end+0xfffe1cc8> + 20a0: a8c00417 ldw r3,16(r21) + 20a4: ad400604 addi r21,r21,24 + 20a8: b0800804 addi r2,r22,32 + 20ac: b0c00615 stw r3,24(r22) + 20b0: a8ffff17 ldw r3,-4(r21) + 20b4: b0c00715 stw r3,28(r22) + 20b8: 003fb006 br 1f7c <__alt_data_end+0xfffe1f7c> + +000020bc <_sbrk_r>: + 20bc: defffd04 addi sp,sp,-12 + 20c0: dc000015 stw r16,0(sp) + 20c4: 04000034 movhi r16,0 + 20c8: dc400115 stw r17,4(sp) + 20cc: 841ca704 addi r16,r16,29340 + 20d0: 2023883a mov r17,r4 + 20d4: 2809883a mov r4,r5 + 20d8: dfc00215 stw ra,8(sp) + 20dc: 80000015 stw zero,0(r16) + 20e0: 00038200 call 3820 + 20e4: 00ffffc4 movi r3,-1 + 20e8: 10c00526 beq r2,r3,2100 <_sbrk_r+0x44> + 20ec: dfc00217 ldw ra,8(sp) + 20f0: dc400117 ldw r17,4(sp) + 20f4: dc000017 ldw r16,0(sp) + 20f8: dec00304 addi sp,sp,12 + 20fc: f800283a ret + 2100: 80c00017 ldw r3,0(r16) + 2104: 183ff926 beq r3,zero,20ec <__alt_data_end+0xfffe20ec> + 2108: 88c00015 stw r3,0(r17) + 210c: 003ff706 br 20ec <__alt_data_end+0xfffe20ec> + +00002110 <__sread>: + 2110: defffe04 addi sp,sp,-8 + 2114: dc000015 stw r16,0(sp) + 2118: 2821883a mov r16,r5 + 211c: 2940038f ldh r5,14(r5) + 2120: dfc00115 stw ra,4(sp) + 2124: 0002e500 call 2e50 <_read_r> + 2128: 10000716 blt r2,zero,2148 <__sread+0x38> + 212c: 80c01417 ldw r3,80(r16) + 2130: 1887883a add r3,r3,r2 + 2134: 80c01415 stw r3,80(r16) + 2138: dfc00117 ldw ra,4(sp) + 213c: dc000017 ldw r16,0(sp) + 2140: dec00204 addi sp,sp,8 + 2144: f800283a ret + 2148: 80c0030b ldhu r3,12(r16) + 214c: 18fbffcc andi r3,r3,61439 + 2150: 80c0030d sth r3,12(r16) + 2154: dfc00117 ldw ra,4(sp) + 2158: dc000017 ldw r16,0(sp) + 215c: dec00204 addi sp,sp,8 + 2160: f800283a ret + +00002164 <__seofread>: + 2164: 0005883a mov r2,zero + 2168: f800283a ret + +0000216c <__swrite>: + 216c: 2880030b ldhu r2,12(r5) + 2170: defffb04 addi sp,sp,-20 + 2174: dcc00315 stw r19,12(sp) + 2178: dc800215 stw r18,8(sp) + 217c: dc400115 stw r17,4(sp) + 2180: dc000015 stw r16,0(sp) + 2184: dfc00415 stw ra,16(sp) + 2188: 10c0400c andi r3,r2,256 + 218c: 2821883a mov r16,r5 + 2190: 2023883a mov r17,r4 + 2194: 3025883a mov r18,r6 + 2198: 3827883a mov r19,r7 + 219c: 18000526 beq r3,zero,21b4 <__swrite+0x48> + 21a0: 2940038f ldh r5,14(r5) + 21a4: 01c00084 movi r7,2 + 21a8: 000d883a mov r6,zero + 21ac: 0002c340 call 2c34 <_lseek_r> + 21b0: 8080030b ldhu r2,12(r16) + 21b4: 8140038f ldh r5,14(r16) + 21b8: 10bbffcc andi r2,r2,61439 + 21bc: 980f883a mov r7,r19 + 21c0: 900d883a mov r6,r18 + 21c4: 8809883a mov r4,r17 + 21c8: 8080030d sth r2,12(r16) + 21cc: dfc00417 ldw ra,16(sp) + 21d0: dcc00317 ldw r19,12(sp) + 21d4: dc800217 ldw r18,8(sp) + 21d8: dc400117 ldw r17,4(sp) + 21dc: dc000017 ldw r16,0(sp) + 21e0: dec00504 addi sp,sp,20 + 21e4: 000224c1 jmpi 224c <_write_r> + +000021e8 <__sseek>: + 21e8: defffe04 addi sp,sp,-8 + 21ec: dc000015 stw r16,0(sp) + 21f0: 2821883a mov r16,r5 + 21f4: 2940038f ldh r5,14(r5) + 21f8: dfc00115 stw ra,4(sp) + 21fc: 0002c340 call 2c34 <_lseek_r> + 2200: 00ffffc4 movi r3,-1 + 2204: 10c00826 beq r2,r3,2228 <__sseek+0x40> + 2208: 80c0030b ldhu r3,12(r16) + 220c: 80801415 stw r2,80(r16) + 2210: 18c40014 ori r3,r3,4096 + 2214: 80c0030d sth r3,12(r16) + 2218: dfc00117 ldw ra,4(sp) + 221c: dc000017 ldw r16,0(sp) + 2220: dec00204 addi sp,sp,8 + 2224: f800283a ret + 2228: 80c0030b ldhu r3,12(r16) + 222c: 18fbffcc andi r3,r3,61439 + 2230: 80c0030d sth r3,12(r16) + 2234: dfc00117 ldw ra,4(sp) + 2238: dc000017 ldw r16,0(sp) + 223c: dec00204 addi sp,sp,8 + 2240: f800283a ret + +00002244 <__sclose>: + 2244: 2940038f ldh r5,14(r5) + 2248: 00024001 jmpi 2400 <_close_r> + +0000224c <_write_r>: + 224c: defffd04 addi sp,sp,-12 + 2250: 2805883a mov r2,r5 + 2254: dc000015 stw r16,0(sp) + 2258: 04000034 movhi r16,0 + 225c: dc400115 stw r17,4(sp) + 2260: 300b883a mov r5,r6 + 2264: 841ca704 addi r16,r16,29340 + 2268: 2023883a mov r17,r4 + 226c: 380d883a mov r6,r7 + 2270: 1009883a mov r4,r2 + 2274: dfc00215 stw ra,8(sp) + 2278: 80000015 stw zero,0(r16) + 227c: 000390c0 call 390c + 2280: 00ffffc4 movi r3,-1 + 2284: 10c00526 beq r2,r3,229c <_write_r+0x50> + 2288: dfc00217 ldw ra,8(sp) + 228c: dc400117 ldw r17,4(sp) + 2290: dc000017 ldw r16,0(sp) + 2294: dec00304 addi sp,sp,12 + 2298: f800283a ret + 229c: 80c00017 ldw r3,0(r16) + 22a0: 183ff926 beq r3,zero,2288 <__alt_data_end+0xfffe2288> + 22a4: 88c00015 stw r3,0(r17) + 22a8: 003ff706 br 2288 <__alt_data_end+0xfffe2288> + +000022ac <__swsetup_r>: + 22ac: 00800034 movhi r2,0 + 22b0: defffd04 addi sp,sp,-12 + 22b4: 109c9704 addi r2,r2,29276 + 22b8: dc400115 stw r17,4(sp) + 22bc: 2023883a mov r17,r4 + 22c0: 11000017 ldw r4,0(r2) + 22c4: dc000015 stw r16,0(sp) + 22c8: dfc00215 stw ra,8(sp) + 22cc: 2821883a mov r16,r5 + 22d0: 20000226 beq r4,zero,22dc <__swsetup_r+0x30> + 22d4: 20800e17 ldw r2,56(r4) + 22d8: 10003126 beq r2,zero,23a0 <__swsetup_r+0xf4> + 22dc: 8080030b ldhu r2,12(r16) + 22e0: 10c0020c andi r3,r2,8 + 22e4: 1009883a mov r4,r2 + 22e8: 18000f26 beq r3,zero,2328 <__swsetup_r+0x7c> + 22ec: 80c00417 ldw r3,16(r16) + 22f0: 18001526 beq r3,zero,2348 <__swsetup_r+0x9c> + 22f4: 1100004c andi r4,r2,1 + 22f8: 20001c1e bne r4,zero,236c <__swsetup_r+0xc0> + 22fc: 1080008c andi r2,r2,2 + 2300: 1000291e bne r2,zero,23a8 <__swsetup_r+0xfc> + 2304: 80800517 ldw r2,20(r16) + 2308: 80800215 stw r2,8(r16) + 230c: 18001c26 beq r3,zero,2380 <__swsetup_r+0xd4> + 2310: 0005883a mov r2,zero + 2314: dfc00217 ldw ra,8(sp) + 2318: dc400117 ldw r17,4(sp) + 231c: dc000017 ldw r16,0(sp) + 2320: dec00304 addi sp,sp,12 + 2324: f800283a ret + 2328: 2080040c andi r2,r4,16 + 232c: 10002e26 beq r2,zero,23e8 <__swsetup_r+0x13c> + 2330: 2080010c andi r2,r4,4 + 2334: 10001e1e bne r2,zero,23b0 <__swsetup_r+0x104> + 2338: 80c00417 ldw r3,16(r16) + 233c: 20800214 ori r2,r4,8 + 2340: 8080030d sth r2,12(r16) + 2344: 183feb1e bne r3,zero,22f4 <__alt_data_end+0xfffe22f4> + 2348: 1100a00c andi r4,r2,640 + 234c: 01408004 movi r5,512 + 2350: 217fe826 beq r4,r5,22f4 <__alt_data_end+0xfffe22f4> + 2354: 800b883a mov r5,r16 + 2358: 8809883a mov r4,r17 + 235c: 0002c940 call 2c94 <__smakebuf_r> + 2360: 8080030b ldhu r2,12(r16) + 2364: 80c00417 ldw r3,16(r16) + 2368: 003fe206 br 22f4 <__alt_data_end+0xfffe22f4> + 236c: 80800517 ldw r2,20(r16) + 2370: 80000215 stw zero,8(r16) + 2374: 0085c83a sub r2,zero,r2 + 2378: 80800615 stw r2,24(r16) + 237c: 183fe41e bne r3,zero,2310 <__alt_data_end+0xfffe2310> + 2380: 80c0030b ldhu r3,12(r16) + 2384: 0005883a mov r2,zero + 2388: 1900200c andi r4,r3,128 + 238c: 203fe126 beq r4,zero,2314 <__alt_data_end+0xfffe2314> + 2390: 18c01014 ori r3,r3,64 + 2394: 80c0030d sth r3,12(r16) + 2398: 00bfffc4 movi r2,-1 + 239c: 003fdd06 br 2314 <__alt_data_end+0xfffe2314> + 23a0: 00007fc0 call 7fc <__sinit> + 23a4: 003fcd06 br 22dc <__alt_data_end+0xfffe22dc> + 23a8: 0005883a mov r2,zero + 23ac: 003fd606 br 2308 <__alt_data_end+0xfffe2308> + 23b0: 81400c17 ldw r5,48(r16) + 23b4: 28000626 beq r5,zero,23d0 <__swsetup_r+0x124> + 23b8: 80801004 addi r2,r16,64 + 23bc: 28800326 beq r5,r2,23cc <__swsetup_r+0x120> + 23c0: 8809883a mov r4,r17 + 23c4: 00029240 call 2924 <_free_r> + 23c8: 8100030b ldhu r4,12(r16) + 23cc: 80000c15 stw zero,48(r16) + 23d0: 80c00417 ldw r3,16(r16) + 23d4: 00bff6c4 movi r2,-37 + 23d8: 1108703a and r4,r2,r4 + 23dc: 80000115 stw zero,4(r16) + 23e0: 80c00015 stw r3,0(r16) + 23e4: 003fd506 br 233c <__alt_data_end+0xfffe233c> + 23e8: 00800244 movi r2,9 + 23ec: 88800015 stw r2,0(r17) + 23f0: 20801014 ori r2,r4,64 + 23f4: 8080030d sth r2,12(r16) + 23f8: 00bfffc4 movi r2,-1 + 23fc: 003fc506 br 2314 <__alt_data_end+0xfffe2314> + +00002400 <_close_r>: + 2400: defffd04 addi sp,sp,-12 + 2404: dc000015 stw r16,0(sp) + 2408: 04000034 movhi r16,0 + 240c: dc400115 stw r17,4(sp) + 2410: 841ca704 addi r16,r16,29340 + 2414: 2023883a mov r17,r4 + 2418: 2809883a mov r4,r5 + 241c: dfc00215 stw ra,8(sp) + 2420: 80000015 stw zero,0(r16) + 2424: 00031780 call 3178 + 2428: 00ffffc4 movi r3,-1 + 242c: 10c00526 beq r2,r3,2444 <_close_r+0x44> + 2430: dfc00217 ldw ra,8(sp) + 2434: dc400117 ldw r17,4(sp) + 2438: dc000017 ldw r16,0(sp) + 243c: dec00304 addi sp,sp,12 + 2440: f800283a ret + 2444: 80c00017 ldw r3,0(r16) + 2448: 183ff926 beq r3,zero,2430 <__alt_data_end+0xfffe2430> + 244c: 88c00015 stw r3,0(r17) + 2450: 003ff706 br 2430 <__alt_data_end+0xfffe2430> + +00002454 <_fclose_r>: + 2454: 28003926 beq r5,zero,253c <_fclose_r+0xe8> + 2458: defffc04 addi sp,sp,-16 + 245c: dc400115 stw r17,4(sp) + 2460: dc000015 stw r16,0(sp) + 2464: dfc00315 stw ra,12(sp) + 2468: dc800215 stw r18,8(sp) + 246c: 2023883a mov r17,r4 + 2470: 2821883a mov r16,r5 + 2474: 20000226 beq r4,zero,2480 <_fclose_r+0x2c> + 2478: 20800e17 ldw r2,56(r4) + 247c: 10002726 beq r2,zero,251c <_fclose_r+0xc8> + 2480: 8080030f ldh r2,12(r16) + 2484: 1000071e bne r2,zero,24a4 <_fclose_r+0x50> + 2488: 0005883a mov r2,zero + 248c: dfc00317 ldw ra,12(sp) + 2490: dc800217 ldw r18,8(sp) + 2494: dc400117 ldw r17,4(sp) + 2498: dc000017 ldw r16,0(sp) + 249c: dec00404 addi sp,sp,16 + 24a0: f800283a ret + 24a4: 800b883a mov r5,r16 + 24a8: 8809883a mov r4,r17 + 24ac: 00025580 call 2558 <__sflush_r> + 24b0: 1025883a mov r18,r2 + 24b4: 80800b17 ldw r2,44(r16) + 24b8: 10000426 beq r2,zero,24cc <_fclose_r+0x78> + 24bc: 81400717 ldw r5,28(r16) + 24c0: 8809883a mov r4,r17 + 24c4: 103ee83a callr r2 + 24c8: 10001616 blt r2,zero,2524 <_fclose_r+0xd0> + 24cc: 8080030b ldhu r2,12(r16) + 24d0: 1080200c andi r2,r2,128 + 24d4: 1000151e bne r2,zero,252c <_fclose_r+0xd8> + 24d8: 81400c17 ldw r5,48(r16) + 24dc: 28000526 beq r5,zero,24f4 <_fclose_r+0xa0> + 24e0: 80801004 addi r2,r16,64 + 24e4: 28800226 beq r5,r2,24f0 <_fclose_r+0x9c> + 24e8: 8809883a mov r4,r17 + 24ec: 00029240 call 2924 <_free_r> + 24f0: 80000c15 stw zero,48(r16) + 24f4: 81401117 ldw r5,68(r16) + 24f8: 28000326 beq r5,zero,2508 <_fclose_r+0xb4> + 24fc: 8809883a mov r4,r17 + 2500: 00029240 call 2924 <_free_r> + 2504: 80001115 stw zero,68(r16) + 2508: 000080c0 call 80c <__sfp_lock_acquire> + 250c: 8000030d sth zero,12(r16) + 2510: 00008100 call 810 <__sfp_lock_release> + 2514: 9005883a mov r2,r18 + 2518: 003fdc06 br 248c <__alt_data_end+0xfffe248c> + 251c: 00007fc0 call 7fc <__sinit> + 2520: 003fd706 br 2480 <__alt_data_end+0xfffe2480> + 2524: 04bfffc4 movi r18,-1 + 2528: 003fe806 br 24cc <__alt_data_end+0xfffe24cc> + 252c: 81400417 ldw r5,16(r16) + 2530: 8809883a mov r4,r17 + 2534: 00029240 call 2924 <_free_r> + 2538: 003fe706 br 24d8 <__alt_data_end+0xfffe24d8> + 253c: 0005883a mov r2,zero + 2540: f800283a ret + +00002544 : + 2544: 00800034 movhi r2,0 + 2548: 109c9704 addi r2,r2,29276 + 254c: 200b883a mov r5,r4 + 2550: 11000017 ldw r4,0(r2) + 2554: 00024541 jmpi 2454 <_fclose_r> + +00002558 <__sflush_r>: + 2558: 2880030b ldhu r2,12(r5) + 255c: defffb04 addi sp,sp,-20 + 2560: dcc00315 stw r19,12(sp) + 2564: dc400115 stw r17,4(sp) + 2568: dfc00415 stw ra,16(sp) + 256c: dc800215 stw r18,8(sp) + 2570: dc000015 stw r16,0(sp) + 2574: 10c0020c andi r3,r2,8 + 2578: 2823883a mov r17,r5 + 257c: 2027883a mov r19,r4 + 2580: 1800311e bne r3,zero,2648 <__sflush_r+0xf0> + 2584: 28c00117 ldw r3,4(r5) + 2588: 10820014 ori r2,r2,2048 + 258c: 2880030d sth r2,12(r5) + 2590: 00c04b0e bge zero,r3,26c0 <__sflush_r+0x168> + 2594: 8a000a17 ldw r8,40(r17) + 2598: 40002326 beq r8,zero,2628 <__sflush_r+0xd0> + 259c: 9c000017 ldw r16,0(r19) + 25a0: 10c4000c andi r3,r2,4096 + 25a4: 98000015 stw zero,0(r19) + 25a8: 18004826 beq r3,zero,26cc <__sflush_r+0x174> + 25ac: 89801417 ldw r6,80(r17) + 25b0: 10c0010c andi r3,r2,4 + 25b4: 18000626 beq r3,zero,25d0 <__sflush_r+0x78> + 25b8: 88c00117 ldw r3,4(r17) + 25bc: 88800c17 ldw r2,48(r17) + 25c0: 30cdc83a sub r6,r6,r3 + 25c4: 10000226 beq r2,zero,25d0 <__sflush_r+0x78> + 25c8: 88800f17 ldw r2,60(r17) + 25cc: 308dc83a sub r6,r6,r2 + 25d0: 89400717 ldw r5,28(r17) + 25d4: 000f883a mov r7,zero + 25d8: 9809883a mov r4,r19 + 25dc: 403ee83a callr r8 + 25e0: 00ffffc4 movi r3,-1 + 25e4: 10c04426 beq r2,r3,26f8 <__sflush_r+0x1a0> + 25e8: 88c0030b ldhu r3,12(r17) + 25ec: 89000417 ldw r4,16(r17) + 25f0: 88000115 stw zero,4(r17) + 25f4: 197dffcc andi r5,r3,63487 + 25f8: 8940030d sth r5,12(r17) + 25fc: 89000015 stw r4,0(r17) + 2600: 18c4000c andi r3,r3,4096 + 2604: 18002c1e bne r3,zero,26b8 <__sflush_r+0x160> + 2608: 89400c17 ldw r5,48(r17) + 260c: 9c000015 stw r16,0(r19) + 2610: 28000526 beq r5,zero,2628 <__sflush_r+0xd0> + 2614: 88801004 addi r2,r17,64 + 2618: 28800226 beq r5,r2,2624 <__sflush_r+0xcc> + 261c: 9809883a mov r4,r19 + 2620: 00029240 call 2924 <_free_r> + 2624: 88000c15 stw zero,48(r17) + 2628: 0005883a mov r2,zero + 262c: dfc00417 ldw ra,16(sp) + 2630: dcc00317 ldw r19,12(sp) + 2634: dc800217 ldw r18,8(sp) + 2638: dc400117 ldw r17,4(sp) + 263c: dc000017 ldw r16,0(sp) + 2640: dec00504 addi sp,sp,20 + 2644: f800283a ret + 2648: 2c800417 ldw r18,16(r5) + 264c: 903ff626 beq r18,zero,2628 <__alt_data_end+0xfffe2628> + 2650: 2c000017 ldw r16,0(r5) + 2654: 108000cc andi r2,r2,3 + 2658: 2c800015 stw r18,0(r5) + 265c: 84a1c83a sub r16,r16,r18 + 2660: 1000131e bne r2,zero,26b0 <__sflush_r+0x158> + 2664: 28800517 ldw r2,20(r5) + 2668: 88800215 stw r2,8(r17) + 266c: 04000316 blt zero,r16,267c <__sflush_r+0x124> + 2670: 003fed06 br 2628 <__alt_data_end+0xfffe2628> + 2674: 90a5883a add r18,r18,r2 + 2678: 043feb0e bge zero,r16,2628 <__alt_data_end+0xfffe2628> + 267c: 88800917 ldw r2,36(r17) + 2680: 89400717 ldw r5,28(r17) + 2684: 800f883a mov r7,r16 + 2688: 900d883a mov r6,r18 + 268c: 9809883a mov r4,r19 + 2690: 103ee83a callr r2 + 2694: 80a1c83a sub r16,r16,r2 + 2698: 00bff616 blt zero,r2,2674 <__alt_data_end+0xfffe2674> + 269c: 88c0030b ldhu r3,12(r17) + 26a0: 00bfffc4 movi r2,-1 + 26a4: 18c01014 ori r3,r3,64 + 26a8: 88c0030d sth r3,12(r17) + 26ac: 003fdf06 br 262c <__alt_data_end+0xfffe262c> + 26b0: 0005883a mov r2,zero + 26b4: 003fec06 br 2668 <__alt_data_end+0xfffe2668> + 26b8: 88801415 stw r2,80(r17) + 26bc: 003fd206 br 2608 <__alt_data_end+0xfffe2608> + 26c0: 28c00f17 ldw r3,60(r5) + 26c4: 00ffb316 blt zero,r3,2594 <__alt_data_end+0xfffe2594> + 26c8: 003fd706 br 2628 <__alt_data_end+0xfffe2628> + 26cc: 89400717 ldw r5,28(r17) + 26d0: 000d883a mov r6,zero + 26d4: 01c00044 movi r7,1 + 26d8: 9809883a mov r4,r19 + 26dc: 403ee83a callr r8 + 26e0: 100d883a mov r6,r2 + 26e4: 00bfffc4 movi r2,-1 + 26e8: 30801426 beq r6,r2,273c <__sflush_r+0x1e4> + 26ec: 8880030b ldhu r2,12(r17) + 26f0: 8a000a17 ldw r8,40(r17) + 26f4: 003fae06 br 25b0 <__alt_data_end+0xfffe25b0> + 26f8: 98c00017 ldw r3,0(r19) + 26fc: 183fba26 beq r3,zero,25e8 <__alt_data_end+0xfffe25e8> + 2700: 01000744 movi r4,29 + 2704: 19000626 beq r3,r4,2720 <__sflush_r+0x1c8> + 2708: 01000584 movi r4,22 + 270c: 19000426 beq r3,r4,2720 <__sflush_r+0x1c8> + 2710: 88c0030b ldhu r3,12(r17) + 2714: 18c01014 ori r3,r3,64 + 2718: 88c0030d sth r3,12(r17) + 271c: 003fc306 br 262c <__alt_data_end+0xfffe262c> + 2720: 8880030b ldhu r2,12(r17) + 2724: 88c00417 ldw r3,16(r17) + 2728: 88000115 stw zero,4(r17) + 272c: 10bdffcc andi r2,r2,63487 + 2730: 8880030d sth r2,12(r17) + 2734: 88c00015 stw r3,0(r17) + 2738: 003fb306 br 2608 <__alt_data_end+0xfffe2608> + 273c: 98800017 ldw r2,0(r19) + 2740: 103fea26 beq r2,zero,26ec <__alt_data_end+0xfffe26ec> + 2744: 00c00744 movi r3,29 + 2748: 10c00226 beq r2,r3,2754 <__sflush_r+0x1fc> + 274c: 00c00584 movi r3,22 + 2750: 10c0031e bne r2,r3,2760 <__sflush_r+0x208> + 2754: 9c000015 stw r16,0(r19) + 2758: 0005883a mov r2,zero + 275c: 003fb306 br 262c <__alt_data_end+0xfffe262c> + 2760: 88c0030b ldhu r3,12(r17) + 2764: 3005883a mov r2,r6 + 2768: 18c01014 ori r3,r3,64 + 276c: 88c0030d sth r3,12(r17) + 2770: 003fae06 br 262c <__alt_data_end+0xfffe262c> + +00002774 <_fflush_r>: + 2774: defffd04 addi sp,sp,-12 + 2778: dc000115 stw r16,4(sp) + 277c: dfc00215 stw ra,8(sp) + 2780: 2021883a mov r16,r4 + 2784: 20000226 beq r4,zero,2790 <_fflush_r+0x1c> + 2788: 20800e17 ldw r2,56(r4) + 278c: 10000c26 beq r2,zero,27c0 <_fflush_r+0x4c> + 2790: 2880030f ldh r2,12(r5) + 2794: 1000051e bne r2,zero,27ac <_fflush_r+0x38> + 2798: 0005883a mov r2,zero + 279c: dfc00217 ldw ra,8(sp) + 27a0: dc000117 ldw r16,4(sp) + 27a4: dec00304 addi sp,sp,12 + 27a8: f800283a ret + 27ac: 8009883a mov r4,r16 + 27b0: dfc00217 ldw ra,8(sp) + 27b4: dc000117 ldw r16,4(sp) + 27b8: dec00304 addi sp,sp,12 + 27bc: 00025581 jmpi 2558 <__sflush_r> + 27c0: d9400015 stw r5,0(sp) + 27c4: 00007fc0 call 7fc <__sinit> + 27c8: d9400017 ldw r5,0(sp) + 27cc: 003ff006 br 2790 <__alt_data_end+0xfffe2790> + +000027d0 : + 27d0: 20000526 beq r4,zero,27e8 + 27d4: 00800034 movhi r2,0 + 27d8: 109c9704 addi r2,r2,29276 + 27dc: 200b883a mov r5,r4 + 27e0: 11000017 ldw r4,0(r2) + 27e4: 00027741 jmpi 2774 <_fflush_r> + 27e8: 00800034 movhi r2,0 + 27ec: 109c9604 addi r2,r2,29272 + 27f0: 11000017 ldw r4,0(r2) + 27f4: 01400034 movhi r5,0 + 27f8: 2949dd04 addi r5,r5,10100 + 27fc: 0000dd81 jmpi dd8 <_fwalk_reent> + +00002800 <_malloc_trim_r>: + 2800: defffb04 addi sp,sp,-20 + 2804: dcc00315 stw r19,12(sp) + 2808: 04c00034 movhi r19,0 + 280c: dc800215 stw r18,8(sp) + 2810: dc400115 stw r17,4(sp) + 2814: dc000015 stw r16,0(sp) + 2818: dfc00415 stw ra,16(sp) + 281c: 2821883a mov r16,r5 + 2820: 9cd71204 addi r19,r19,23624 + 2824: 2025883a mov r18,r4 + 2828: 00036140 call 3614 <__malloc_lock> + 282c: 98800217 ldw r2,8(r19) + 2830: 14400117 ldw r17,4(r2) + 2834: 00bfff04 movi r2,-4 + 2838: 88a2703a and r17,r17,r2 + 283c: 8c21c83a sub r16,r17,r16 + 2840: 8403fbc4 addi r16,r16,4079 + 2844: 8020d33a srli r16,r16,12 + 2848: 0083ffc4 movi r2,4095 + 284c: 843fffc4 addi r16,r16,-1 + 2850: 8020933a slli r16,r16,12 + 2854: 1400060e bge r2,r16,2870 <_malloc_trim_r+0x70> + 2858: 000b883a mov r5,zero + 285c: 9009883a mov r4,r18 + 2860: 00020bc0 call 20bc <_sbrk_r> + 2864: 98c00217 ldw r3,8(r19) + 2868: 1c47883a add r3,r3,r17 + 286c: 10c00a26 beq r2,r3,2898 <_malloc_trim_r+0x98> + 2870: 9009883a mov r4,r18 + 2874: 00036380 call 3638 <__malloc_unlock> + 2878: 0005883a mov r2,zero + 287c: dfc00417 ldw ra,16(sp) + 2880: dcc00317 ldw r19,12(sp) + 2884: dc800217 ldw r18,8(sp) + 2888: dc400117 ldw r17,4(sp) + 288c: dc000017 ldw r16,0(sp) + 2890: dec00504 addi sp,sp,20 + 2894: f800283a ret + 2898: 040bc83a sub r5,zero,r16 + 289c: 9009883a mov r4,r18 + 28a0: 00020bc0 call 20bc <_sbrk_r> + 28a4: 00ffffc4 movi r3,-1 + 28a8: 10c00d26 beq r2,r3,28e0 <_malloc_trim_r+0xe0> + 28ac: 00c00034 movhi r3,0 + 28b0: 18dcaf04 addi r3,r3,29372 + 28b4: 18800017 ldw r2,0(r3) + 28b8: 99000217 ldw r4,8(r19) + 28bc: 8c23c83a sub r17,r17,r16 + 28c0: 8c400054 ori r17,r17,1 + 28c4: 1421c83a sub r16,r2,r16 + 28c8: 24400115 stw r17,4(r4) + 28cc: 9009883a mov r4,r18 + 28d0: 1c000015 stw r16,0(r3) + 28d4: 00036380 call 3638 <__malloc_unlock> + 28d8: 00800044 movi r2,1 + 28dc: 003fe706 br 287c <__alt_data_end+0xfffe287c> + 28e0: 000b883a mov r5,zero + 28e4: 9009883a mov r4,r18 + 28e8: 00020bc0 call 20bc <_sbrk_r> + 28ec: 99000217 ldw r4,8(r19) + 28f0: 014003c4 movi r5,15 + 28f4: 1107c83a sub r3,r2,r4 + 28f8: 28ffdd0e bge r5,r3,2870 <__alt_data_end+0xfffe2870> + 28fc: 01400034 movhi r5,0 + 2900: 295c9804 addi r5,r5,29280 + 2904: 29400017 ldw r5,0(r5) + 2908: 18c00054 ori r3,r3,1 + 290c: 20c00115 stw r3,4(r4) + 2910: 00c00034 movhi r3,0 + 2914: 1145c83a sub r2,r2,r5 + 2918: 18dcaf04 addi r3,r3,29372 + 291c: 18800015 stw r2,0(r3) + 2920: 003fd306 br 2870 <__alt_data_end+0xfffe2870> + +00002924 <_free_r>: + 2924: 28004126 beq r5,zero,2a2c <_free_r+0x108> + 2928: defffd04 addi sp,sp,-12 + 292c: dc400115 stw r17,4(sp) + 2930: dc000015 stw r16,0(sp) + 2934: 2023883a mov r17,r4 + 2938: 2821883a mov r16,r5 + 293c: dfc00215 stw ra,8(sp) + 2940: 00036140 call 3614 <__malloc_lock> + 2944: 81ffff17 ldw r7,-4(r16) + 2948: 00bfff84 movi r2,-2 + 294c: 01000034 movhi r4,0 + 2950: 81bffe04 addi r6,r16,-8 + 2954: 3884703a and r2,r7,r2 + 2958: 21171204 addi r4,r4,23624 + 295c: 308b883a add r5,r6,r2 + 2960: 2a400117 ldw r9,4(r5) + 2964: 22000217 ldw r8,8(r4) + 2968: 00ffff04 movi r3,-4 + 296c: 48c6703a and r3,r9,r3 + 2970: 2a005726 beq r5,r8,2ad0 <_free_r+0x1ac> + 2974: 28c00115 stw r3,4(r5) + 2978: 39c0004c andi r7,r7,1 + 297c: 3800091e bne r7,zero,29a4 <_free_r+0x80> + 2980: 823ffe17 ldw r8,-8(r16) + 2984: 22400204 addi r9,r4,8 + 2988: 320dc83a sub r6,r6,r8 + 298c: 31c00217 ldw r7,8(r6) + 2990: 1205883a add r2,r2,r8 + 2994: 3a406526 beq r7,r9,2b2c <_free_r+0x208> + 2998: 32000317 ldw r8,12(r6) + 299c: 3a000315 stw r8,12(r7) + 29a0: 41c00215 stw r7,8(r8) + 29a4: 28cf883a add r7,r5,r3 + 29a8: 39c00117 ldw r7,4(r7) + 29ac: 39c0004c andi r7,r7,1 + 29b0: 38003a26 beq r7,zero,2a9c <_free_r+0x178> + 29b4: 10c00054 ori r3,r2,1 + 29b8: 30c00115 stw r3,4(r6) + 29bc: 3087883a add r3,r6,r2 + 29c0: 18800015 stw r2,0(r3) + 29c4: 00c07fc4 movi r3,511 + 29c8: 18801936 bltu r3,r2,2a30 <_free_r+0x10c> + 29cc: 1004d0fa srli r2,r2,3 + 29d0: 01c00044 movi r7,1 + 29d4: 21400117 ldw r5,4(r4) + 29d8: 10c00044 addi r3,r2,1 + 29dc: 18c7883a add r3,r3,r3 + 29e0: 1005d0ba srai r2,r2,2 + 29e4: 18c7883a add r3,r3,r3 + 29e8: 18c7883a add r3,r3,r3 + 29ec: 1907883a add r3,r3,r4 + 29f0: 3884983a sll r2,r7,r2 + 29f4: 19c00017 ldw r7,0(r3) + 29f8: 1a3ffe04 addi r8,r3,-8 + 29fc: 1144b03a or r2,r2,r5 + 2a00: 32000315 stw r8,12(r6) + 2a04: 31c00215 stw r7,8(r6) + 2a08: 20800115 stw r2,4(r4) + 2a0c: 19800015 stw r6,0(r3) + 2a10: 39800315 stw r6,12(r7) + 2a14: 8809883a mov r4,r17 + 2a18: dfc00217 ldw ra,8(sp) + 2a1c: dc400117 ldw r17,4(sp) + 2a20: dc000017 ldw r16,0(sp) + 2a24: dec00304 addi sp,sp,12 + 2a28: 00036381 jmpi 3638 <__malloc_unlock> + 2a2c: f800283a ret + 2a30: 100ad27a srli r5,r2,9 + 2a34: 00c00104 movi r3,4 + 2a38: 19404a36 bltu r3,r5,2b64 <_free_r+0x240> + 2a3c: 100ad1ba srli r5,r2,6 + 2a40: 28c00e44 addi r3,r5,57 + 2a44: 18c7883a add r3,r3,r3 + 2a48: 29400e04 addi r5,r5,56 + 2a4c: 18c7883a add r3,r3,r3 + 2a50: 18c7883a add r3,r3,r3 + 2a54: 1909883a add r4,r3,r4 + 2a58: 20c00017 ldw r3,0(r4) + 2a5c: 01c00034 movhi r7,0 + 2a60: 213ffe04 addi r4,r4,-8 + 2a64: 39d71204 addi r7,r7,23624 + 2a68: 20c04426 beq r4,r3,2b7c <_free_r+0x258> + 2a6c: 01ffff04 movi r7,-4 + 2a70: 19400117 ldw r5,4(r3) + 2a74: 29ca703a and r5,r5,r7 + 2a78: 1140022e bgeu r2,r5,2a84 <_free_r+0x160> + 2a7c: 18c00217 ldw r3,8(r3) + 2a80: 20fffb1e bne r4,r3,2a70 <__alt_data_end+0xfffe2a70> + 2a84: 19000317 ldw r4,12(r3) + 2a88: 31000315 stw r4,12(r6) + 2a8c: 30c00215 stw r3,8(r6) + 2a90: 21800215 stw r6,8(r4) + 2a94: 19800315 stw r6,12(r3) + 2a98: 003fde06 br 2a14 <__alt_data_end+0xfffe2a14> + 2a9c: 29c00217 ldw r7,8(r5) + 2aa0: 10c5883a add r2,r2,r3 + 2aa4: 00c00034 movhi r3,0 + 2aa8: 18d71404 addi r3,r3,23632 + 2aac: 38c03b26 beq r7,r3,2b9c <_free_r+0x278> + 2ab0: 2a000317 ldw r8,12(r5) + 2ab4: 11400054 ori r5,r2,1 + 2ab8: 3087883a add r3,r6,r2 + 2abc: 3a000315 stw r8,12(r7) + 2ac0: 41c00215 stw r7,8(r8) + 2ac4: 31400115 stw r5,4(r6) + 2ac8: 18800015 stw r2,0(r3) + 2acc: 003fbd06 br 29c4 <__alt_data_end+0xfffe29c4> + 2ad0: 39c0004c andi r7,r7,1 + 2ad4: 10c5883a add r2,r2,r3 + 2ad8: 3800071e bne r7,zero,2af8 <_free_r+0x1d4> + 2adc: 81fffe17 ldw r7,-8(r16) + 2ae0: 31cdc83a sub r6,r6,r7 + 2ae4: 30c00317 ldw r3,12(r6) + 2ae8: 31400217 ldw r5,8(r6) + 2aec: 11c5883a add r2,r2,r7 + 2af0: 28c00315 stw r3,12(r5) + 2af4: 19400215 stw r5,8(r3) + 2af8: 10c00054 ori r3,r2,1 + 2afc: 30c00115 stw r3,4(r6) + 2b00: 00c00034 movhi r3,0 + 2b04: 18dc9904 addi r3,r3,29284 + 2b08: 18c00017 ldw r3,0(r3) + 2b0c: 21800215 stw r6,8(r4) + 2b10: 10ffc036 bltu r2,r3,2a14 <__alt_data_end+0xfffe2a14> + 2b14: 00800034 movhi r2,0 + 2b18: 109ca604 addi r2,r2,29336 + 2b1c: 11400017 ldw r5,0(r2) + 2b20: 8809883a mov r4,r17 + 2b24: 00028000 call 2800 <_malloc_trim_r> + 2b28: 003fba06 br 2a14 <__alt_data_end+0xfffe2a14> + 2b2c: 28c9883a add r4,r5,r3 + 2b30: 21000117 ldw r4,4(r4) + 2b34: 2100004c andi r4,r4,1 + 2b38: 2000391e bne r4,zero,2c20 <_free_r+0x2fc> + 2b3c: 29c00217 ldw r7,8(r5) + 2b40: 29000317 ldw r4,12(r5) + 2b44: 1885883a add r2,r3,r2 + 2b48: 10c00054 ori r3,r2,1 + 2b4c: 39000315 stw r4,12(r7) + 2b50: 21c00215 stw r7,8(r4) + 2b54: 30c00115 stw r3,4(r6) + 2b58: 308d883a add r6,r6,r2 + 2b5c: 30800015 stw r2,0(r6) + 2b60: 003fac06 br 2a14 <__alt_data_end+0xfffe2a14> + 2b64: 00c00504 movi r3,20 + 2b68: 19401536 bltu r3,r5,2bc0 <_free_r+0x29c> + 2b6c: 28c01704 addi r3,r5,92 + 2b70: 18c7883a add r3,r3,r3 + 2b74: 294016c4 addi r5,r5,91 + 2b78: 003fb406 br 2a4c <__alt_data_end+0xfffe2a4c> + 2b7c: 280bd0ba srai r5,r5,2 + 2b80: 00c00044 movi r3,1 + 2b84: 38800117 ldw r2,4(r7) + 2b88: 194a983a sll r5,r3,r5 + 2b8c: 2007883a mov r3,r4 + 2b90: 2884b03a or r2,r5,r2 + 2b94: 38800115 stw r2,4(r7) + 2b98: 003fbb06 br 2a88 <__alt_data_end+0xfffe2a88> + 2b9c: 21800515 stw r6,20(r4) + 2ba0: 21800415 stw r6,16(r4) + 2ba4: 10c00054 ori r3,r2,1 + 2ba8: 31c00315 stw r7,12(r6) + 2bac: 31c00215 stw r7,8(r6) + 2bb0: 30c00115 stw r3,4(r6) + 2bb4: 308d883a add r6,r6,r2 + 2bb8: 30800015 stw r2,0(r6) + 2bbc: 003f9506 br 2a14 <__alt_data_end+0xfffe2a14> + 2bc0: 00c01504 movi r3,84 + 2bc4: 19400536 bltu r3,r5,2bdc <_free_r+0x2b8> + 2bc8: 100ad33a srli r5,r2,12 + 2bcc: 28c01bc4 addi r3,r5,111 + 2bd0: 18c7883a add r3,r3,r3 + 2bd4: 29401b84 addi r5,r5,110 + 2bd8: 003f9c06 br 2a4c <__alt_data_end+0xfffe2a4c> + 2bdc: 00c05504 movi r3,340 + 2be0: 19400536 bltu r3,r5,2bf8 <_free_r+0x2d4> + 2be4: 100ad3fa srli r5,r2,15 + 2be8: 28c01e04 addi r3,r5,120 + 2bec: 18c7883a add r3,r3,r3 + 2bf0: 29401dc4 addi r5,r5,119 + 2bf4: 003f9506 br 2a4c <__alt_data_end+0xfffe2a4c> + 2bf8: 00c15504 movi r3,1364 + 2bfc: 19400536 bltu r3,r5,2c14 <_free_r+0x2f0> + 2c00: 100ad4ba srli r5,r2,18 + 2c04: 28c01f44 addi r3,r5,125 + 2c08: 18c7883a add r3,r3,r3 + 2c0c: 29401f04 addi r5,r5,124 + 2c10: 003f8e06 br 2a4c <__alt_data_end+0xfffe2a4c> + 2c14: 00c03f84 movi r3,254 + 2c18: 01401f84 movi r5,126 + 2c1c: 003f8b06 br 2a4c <__alt_data_end+0xfffe2a4c> + 2c20: 10c00054 ori r3,r2,1 + 2c24: 30c00115 stw r3,4(r6) + 2c28: 308d883a add r6,r6,r2 + 2c2c: 30800015 stw r2,0(r6) + 2c30: 003f7806 br 2a14 <__alt_data_end+0xfffe2a14> + +00002c34 <_lseek_r>: + 2c34: defffd04 addi sp,sp,-12 + 2c38: 2805883a mov r2,r5 + 2c3c: dc000015 stw r16,0(sp) + 2c40: 04000034 movhi r16,0 + 2c44: dc400115 stw r17,4(sp) + 2c48: 300b883a mov r5,r6 + 2c4c: 841ca704 addi r16,r16,29340 + 2c50: 2023883a mov r17,r4 + 2c54: 380d883a mov r6,r7 + 2c58: 1009883a mov r4,r2 + 2c5c: dfc00215 stw ra,8(sp) + 2c60: 80000015 stw zero,0(r16) + 2c64: 00034bc0 call 34bc + 2c68: 00ffffc4 movi r3,-1 + 2c6c: 10c00526 beq r2,r3,2c84 <_lseek_r+0x50> + 2c70: dfc00217 ldw ra,8(sp) + 2c74: dc400117 ldw r17,4(sp) + 2c78: dc000017 ldw r16,0(sp) + 2c7c: dec00304 addi sp,sp,12 + 2c80: f800283a ret + 2c84: 80c00017 ldw r3,0(r16) + 2c88: 183ff926 beq r3,zero,2c70 <__alt_data_end+0xfffe2c70> + 2c8c: 88c00015 stw r3,0(r17) + 2c90: 003ff706 br 2c70 <__alt_data_end+0xfffe2c70> + +00002c94 <__smakebuf_r>: + 2c94: 2880030b ldhu r2,12(r5) + 2c98: 10c0008c andi r3,r2,2 + 2c9c: 1800411e bne r3,zero,2da4 <__smakebuf_r+0x110> + 2ca0: deffec04 addi sp,sp,-80 + 2ca4: dc000f15 stw r16,60(sp) + 2ca8: 2821883a mov r16,r5 + 2cac: 2940038f ldh r5,14(r5) + 2cb0: dc401015 stw r17,64(sp) + 2cb4: dfc01315 stw ra,76(sp) + 2cb8: dcc01215 stw r19,72(sp) + 2cbc: dc801115 stw r18,68(sp) + 2cc0: 2023883a mov r17,r4 + 2cc4: 28001c16 blt r5,zero,2d38 <__smakebuf_r+0xa4> + 2cc8: d80d883a mov r6,sp + 2ccc: 0002eb00 call 2eb0 <_fstat_r> + 2cd0: 10001816 blt r2,zero,2d34 <__smakebuf_r+0xa0> + 2cd4: d8800117 ldw r2,4(sp) + 2cd8: 00e00014 movui r3,32768 + 2cdc: 10bc000c andi r2,r2,61440 + 2ce0: 14c80020 cmpeqi r19,r2,8192 + 2ce4: 10c03726 beq r2,r3,2dc4 <__smakebuf_r+0x130> + 2ce8: 80c0030b ldhu r3,12(r16) + 2cec: 18c20014 ori r3,r3,2048 + 2cf0: 80c0030d sth r3,12(r16) + 2cf4: 00c80004 movi r3,8192 + 2cf8: 10c0521e bne r2,r3,2e44 <__smakebuf_r+0x1b0> + 2cfc: 8140038f ldh r5,14(r16) + 2d00: 8809883a mov r4,r17 + 2d04: 0002f0c0 call 2f0c <_isatty_r> + 2d08: 10004c26 beq r2,zero,2e3c <__smakebuf_r+0x1a8> + 2d0c: 8080030b ldhu r2,12(r16) + 2d10: 80c010c4 addi r3,r16,67 + 2d14: 80c00015 stw r3,0(r16) + 2d18: 10800054 ori r2,r2,1 + 2d1c: 8080030d sth r2,12(r16) + 2d20: 00800044 movi r2,1 + 2d24: 80c00415 stw r3,16(r16) + 2d28: 80800515 stw r2,20(r16) + 2d2c: 04810004 movi r18,1024 + 2d30: 00000706 br 2d50 <__smakebuf_r+0xbc> + 2d34: 8080030b ldhu r2,12(r16) + 2d38: 10c0200c andi r3,r2,128 + 2d3c: 18001f1e bne r3,zero,2dbc <__smakebuf_r+0x128> + 2d40: 04810004 movi r18,1024 + 2d44: 10820014 ori r2,r2,2048 + 2d48: 8080030d sth r2,12(r16) + 2d4c: 0027883a mov r19,zero + 2d50: 900b883a mov r5,r18 + 2d54: 8809883a mov r4,r17 + 2d58: 0000e9c0 call e9c <_malloc_r> + 2d5c: 10002c26 beq r2,zero,2e10 <__smakebuf_r+0x17c> + 2d60: 80c0030b ldhu r3,12(r16) + 2d64: 01000034 movhi r4,0 + 2d68: 21012904 addi r4,r4,1188 + 2d6c: 89000f15 stw r4,60(r17) + 2d70: 18c02014 ori r3,r3,128 + 2d74: 80c0030d sth r3,12(r16) + 2d78: 80800015 stw r2,0(r16) + 2d7c: 80800415 stw r2,16(r16) + 2d80: 84800515 stw r18,20(r16) + 2d84: 98001a1e bne r19,zero,2df0 <__smakebuf_r+0x15c> + 2d88: dfc01317 ldw ra,76(sp) + 2d8c: dcc01217 ldw r19,72(sp) + 2d90: dc801117 ldw r18,68(sp) + 2d94: dc401017 ldw r17,64(sp) + 2d98: dc000f17 ldw r16,60(sp) + 2d9c: dec01404 addi sp,sp,80 + 2da0: f800283a ret + 2da4: 288010c4 addi r2,r5,67 + 2da8: 28800015 stw r2,0(r5) + 2dac: 28800415 stw r2,16(r5) + 2db0: 00800044 movi r2,1 + 2db4: 28800515 stw r2,20(r5) + 2db8: f800283a ret + 2dbc: 04801004 movi r18,64 + 2dc0: 003fe006 br 2d44 <__alt_data_end+0xfffe2d44> + 2dc4: 81000a17 ldw r4,40(r16) + 2dc8: 00c00034 movhi r3,0 + 2dcc: 18c87a04 addi r3,r3,8680 + 2dd0: 20ffc51e bne r4,r3,2ce8 <__alt_data_end+0xfffe2ce8> + 2dd4: 8080030b ldhu r2,12(r16) + 2dd8: 04810004 movi r18,1024 + 2ddc: 84801315 stw r18,76(r16) + 2de0: 1484b03a or r2,r2,r18 + 2de4: 8080030d sth r2,12(r16) + 2de8: 0027883a mov r19,zero + 2dec: 003fd806 br 2d50 <__alt_data_end+0xfffe2d50> + 2df0: 8140038f ldh r5,14(r16) + 2df4: 8809883a mov r4,r17 + 2df8: 0002f0c0 call 2f0c <_isatty_r> + 2dfc: 103fe226 beq r2,zero,2d88 <__alt_data_end+0xfffe2d88> + 2e00: 8080030b ldhu r2,12(r16) + 2e04: 10800054 ori r2,r2,1 + 2e08: 8080030d sth r2,12(r16) + 2e0c: 003fde06 br 2d88 <__alt_data_end+0xfffe2d88> + 2e10: 8080030b ldhu r2,12(r16) + 2e14: 10c0800c andi r3,r2,512 + 2e18: 183fdb1e bne r3,zero,2d88 <__alt_data_end+0xfffe2d88> + 2e1c: 10800094 ori r2,r2,2 + 2e20: 80c010c4 addi r3,r16,67 + 2e24: 8080030d sth r2,12(r16) + 2e28: 00800044 movi r2,1 + 2e2c: 80c00015 stw r3,0(r16) + 2e30: 80c00415 stw r3,16(r16) + 2e34: 80800515 stw r2,20(r16) + 2e38: 003fd306 br 2d88 <__alt_data_end+0xfffe2d88> + 2e3c: 04810004 movi r18,1024 + 2e40: 003fc306 br 2d50 <__alt_data_end+0xfffe2d50> + 2e44: 0027883a mov r19,zero + 2e48: 04810004 movi r18,1024 + 2e4c: 003fc006 br 2d50 <__alt_data_end+0xfffe2d50> + +00002e50 <_read_r>: + 2e50: defffd04 addi sp,sp,-12 + 2e54: 2805883a mov r2,r5 + 2e58: dc000015 stw r16,0(sp) + 2e5c: 04000034 movhi r16,0 + 2e60: dc400115 stw r17,4(sp) + 2e64: 300b883a mov r5,r6 + 2e68: 841ca704 addi r16,r16,29340 + 2e6c: 2023883a mov r17,r4 + 2e70: 380d883a mov r6,r7 + 2e74: 1009883a mov r4,r2 + 2e78: dfc00215 stw ra,8(sp) + 2e7c: 80000015 stw zero,0(r16) + 2e80: 00036980 call 3698 + 2e84: 00ffffc4 movi r3,-1 + 2e88: 10c00526 beq r2,r3,2ea0 <_read_r+0x50> + 2e8c: dfc00217 ldw ra,8(sp) + 2e90: dc400117 ldw r17,4(sp) + 2e94: dc000017 ldw r16,0(sp) + 2e98: dec00304 addi sp,sp,12 + 2e9c: f800283a ret + 2ea0: 80c00017 ldw r3,0(r16) + 2ea4: 183ff926 beq r3,zero,2e8c <__alt_data_end+0xfffe2e8c> + 2ea8: 88c00015 stw r3,0(r17) + 2eac: 003ff706 br 2e8c <__alt_data_end+0xfffe2e8c> + +00002eb0 <_fstat_r>: + 2eb0: defffd04 addi sp,sp,-12 + 2eb4: 2805883a mov r2,r5 + 2eb8: dc000015 stw r16,0(sp) + 2ebc: 04000034 movhi r16,0 + 2ec0: dc400115 stw r17,4(sp) + 2ec4: 841ca704 addi r16,r16,29340 + 2ec8: 2023883a mov r17,r4 + 2ecc: 300b883a mov r5,r6 + 2ed0: 1009883a mov r4,r2 + 2ed4: dfc00215 stw ra,8(sp) + 2ed8: 80000015 stw zero,0(r16) + 2edc: 00032e00 call 32e0 + 2ee0: 00ffffc4 movi r3,-1 + 2ee4: 10c00526 beq r2,r3,2efc <_fstat_r+0x4c> + 2ee8: dfc00217 ldw ra,8(sp) + 2eec: dc400117 ldw r17,4(sp) + 2ef0: dc000017 ldw r16,0(sp) + 2ef4: dec00304 addi sp,sp,12 + 2ef8: f800283a ret + 2efc: 80c00017 ldw r3,0(r16) + 2f00: 183ff926 beq r3,zero,2ee8 <__alt_data_end+0xfffe2ee8> + 2f04: 88c00015 stw r3,0(r17) + 2f08: 003ff706 br 2ee8 <__alt_data_end+0xfffe2ee8> + +00002f0c <_isatty_r>: + 2f0c: defffd04 addi sp,sp,-12 + 2f10: dc000015 stw r16,0(sp) + 2f14: 04000034 movhi r16,0 + 2f18: dc400115 stw r17,4(sp) + 2f1c: 841ca704 addi r16,r16,29340 + 2f20: 2023883a mov r17,r4 + 2f24: 2809883a mov r4,r5 + 2f28: dfc00215 stw ra,8(sp) + 2f2c: 80000015 stw zero,0(r16) + 2f30: 00033d40 call 33d4 + 2f34: 00ffffc4 movi r3,-1 + 2f38: 10c00526 beq r2,r3,2f50 <_isatty_r+0x44> + 2f3c: dfc00217 ldw ra,8(sp) + 2f40: dc400117 ldw r17,4(sp) + 2f44: dc000017 ldw r16,0(sp) + 2f48: dec00304 addi sp,sp,12 + 2f4c: f800283a ret + 2f50: 80c00017 ldw r3,0(r16) + 2f54: 183ff926 beq r3,zero,2f3c <__alt_data_end+0xfffe2f3c> + 2f58: 88c00015 stw r3,0(r17) + 2f5c: 003ff706 br 2f3c <__alt_data_end+0xfffe2f3c> + +00002f60 <__divsi3>: + 2f60: 20001b16 blt r4,zero,2fd0 <__divsi3+0x70> + 2f64: 000f883a mov r7,zero + 2f68: 28001616 blt r5,zero,2fc4 <__divsi3+0x64> + 2f6c: 200d883a mov r6,r4 + 2f70: 29001a2e bgeu r5,r4,2fdc <__divsi3+0x7c> + 2f74: 00800804 movi r2,32 + 2f78: 00c00044 movi r3,1 + 2f7c: 00000106 br 2f84 <__divsi3+0x24> + 2f80: 10000d26 beq r2,zero,2fb8 <__divsi3+0x58> + 2f84: 294b883a add r5,r5,r5 + 2f88: 10bfffc4 addi r2,r2,-1 + 2f8c: 18c7883a add r3,r3,r3 + 2f90: 293ffb36 bltu r5,r4,2f80 <__alt_data_end+0xfffe2f80> + 2f94: 0005883a mov r2,zero + 2f98: 18000726 beq r3,zero,2fb8 <__divsi3+0x58> + 2f9c: 0005883a mov r2,zero + 2fa0: 31400236 bltu r6,r5,2fac <__divsi3+0x4c> + 2fa4: 314dc83a sub r6,r6,r5 + 2fa8: 10c4b03a or r2,r2,r3 + 2fac: 1806d07a srli r3,r3,1 + 2fb0: 280ad07a srli r5,r5,1 + 2fb4: 183ffa1e bne r3,zero,2fa0 <__alt_data_end+0xfffe2fa0> + 2fb8: 38000126 beq r7,zero,2fc0 <__divsi3+0x60> + 2fbc: 0085c83a sub r2,zero,r2 + 2fc0: f800283a ret + 2fc4: 014bc83a sub r5,zero,r5 + 2fc8: 39c0005c xori r7,r7,1 + 2fcc: 003fe706 br 2f6c <__alt_data_end+0xfffe2f6c> + 2fd0: 0109c83a sub r4,zero,r4 + 2fd4: 01c00044 movi r7,1 + 2fd8: 003fe306 br 2f68 <__alt_data_end+0xfffe2f68> + 2fdc: 00c00044 movi r3,1 + 2fe0: 003fee06 br 2f9c <__alt_data_end+0xfffe2f9c> + +00002fe4 <__modsi3>: + 2fe4: 20001716 blt r4,zero,3044 <__modsi3+0x60> + 2fe8: 000f883a mov r7,zero + 2fec: 2005883a mov r2,r4 + 2ff0: 28001216 blt r5,zero,303c <__modsi3+0x58> + 2ff4: 2900162e bgeu r5,r4,3050 <__modsi3+0x6c> + 2ff8: 01800804 movi r6,32 + 2ffc: 00c00044 movi r3,1 + 3000: 00000106 br 3008 <__modsi3+0x24> + 3004: 30000a26 beq r6,zero,3030 <__modsi3+0x4c> + 3008: 294b883a add r5,r5,r5 + 300c: 31bfffc4 addi r6,r6,-1 + 3010: 18c7883a add r3,r3,r3 + 3014: 293ffb36 bltu r5,r4,3004 <__alt_data_end+0xfffe3004> + 3018: 18000526 beq r3,zero,3030 <__modsi3+0x4c> + 301c: 1806d07a srli r3,r3,1 + 3020: 11400136 bltu r2,r5,3028 <__modsi3+0x44> + 3024: 1145c83a sub r2,r2,r5 + 3028: 280ad07a srli r5,r5,1 + 302c: 183ffb1e bne r3,zero,301c <__alt_data_end+0xfffe301c> + 3030: 38000126 beq r7,zero,3038 <__modsi3+0x54> + 3034: 0085c83a sub r2,zero,r2 + 3038: f800283a ret + 303c: 014bc83a sub r5,zero,r5 + 3040: 003fec06 br 2ff4 <__alt_data_end+0xfffe2ff4> + 3044: 0109c83a sub r4,zero,r4 + 3048: 01c00044 movi r7,1 + 304c: 003fe706 br 2fec <__alt_data_end+0xfffe2fec> + 3050: 00c00044 movi r3,1 + 3054: 003ff106 br 301c <__alt_data_end+0xfffe301c> + +00003058 <__udivsi3>: + 3058: 200d883a mov r6,r4 + 305c: 2900152e bgeu r5,r4,30b4 <__udivsi3+0x5c> + 3060: 28001416 blt r5,zero,30b4 <__udivsi3+0x5c> + 3064: 00800804 movi r2,32 + 3068: 00c00044 movi r3,1 + 306c: 00000206 br 3078 <__udivsi3+0x20> + 3070: 10000e26 beq r2,zero,30ac <__udivsi3+0x54> + 3074: 28000516 blt r5,zero,308c <__udivsi3+0x34> + 3078: 294b883a add r5,r5,r5 + 307c: 10bfffc4 addi r2,r2,-1 + 3080: 18c7883a add r3,r3,r3 + 3084: 293ffa36 bltu r5,r4,3070 <__alt_data_end+0xfffe3070> + 3088: 18000826 beq r3,zero,30ac <__udivsi3+0x54> + 308c: 0005883a mov r2,zero + 3090: 31400236 bltu r6,r5,309c <__udivsi3+0x44> + 3094: 314dc83a sub r6,r6,r5 + 3098: 10c4b03a or r2,r2,r3 + 309c: 1806d07a srli r3,r3,1 + 30a0: 280ad07a srli r5,r5,1 + 30a4: 183ffa1e bne r3,zero,3090 <__alt_data_end+0xfffe3090> + 30a8: f800283a ret + 30ac: 0005883a mov r2,zero + 30b0: f800283a ret + 30b4: 00c00044 movi r3,1 + 30b8: 003ff406 br 308c <__alt_data_end+0xfffe308c> + +000030bc <__umodsi3>: + 30bc: 2005883a mov r2,r4 + 30c0: 2900122e bgeu r5,r4,310c <__umodsi3+0x50> + 30c4: 28001116 blt r5,zero,310c <__umodsi3+0x50> + 30c8: 01800804 movi r6,32 + 30cc: 00c00044 movi r3,1 + 30d0: 00000206 br 30dc <__umodsi3+0x20> + 30d4: 30000c26 beq r6,zero,3108 <__umodsi3+0x4c> + 30d8: 28000516 blt r5,zero,30f0 <__umodsi3+0x34> + 30dc: 294b883a add r5,r5,r5 + 30e0: 31bfffc4 addi r6,r6,-1 + 30e4: 18c7883a add r3,r3,r3 + 30e8: 293ffa36 bltu r5,r4,30d4 <__alt_data_end+0xfffe30d4> + 30ec: 18000626 beq r3,zero,3108 <__umodsi3+0x4c> + 30f0: 1806d07a srli r3,r3,1 + 30f4: 11400136 bltu r2,r5,30fc <__umodsi3+0x40> + 30f8: 1145c83a sub r2,r2,r5 + 30fc: 280ad07a srli r5,r5,1 + 3100: 183ffb1e bne r3,zero,30f0 <__alt_data_end+0xfffe30f0> + 3104: f800283a ret + 3108: f800283a ret + 310c: 00c00044 movi r3,1 + 3110: 003ff706 br 30f0 <__alt_data_end+0xfffe30f0> + +00003114 <__mulsi3>: + 3114: 0005883a mov r2,zero + 3118: 20000726 beq r4,zero,3138 <__mulsi3+0x24> + 311c: 20c0004c andi r3,r4,1 + 3120: 2008d07a srli r4,r4,1 + 3124: 18000126 beq r3,zero,312c <__mulsi3+0x18> + 3128: 1145883a add r2,r2,r5 + 312c: 294b883a add r5,r5,r5 + 3130: 203ffa1e bne r4,zero,311c <__alt_data_end+0xfffe311c> + 3134: f800283a ret + 3138: f800283a ret + +0000313c : +#undef errno + +extern int errno; + +static ALT_INLINE int* alt_get_errno(void) +{ + 313c: defffe04 addi sp,sp,-8 + 3140: dfc00115 stw ra,4(sp) + 3144: df000015 stw fp,0(sp) + 3148: d839883a mov fp,sp + return ((alt_errno) ? alt_errno() : &errno); + 314c: d0a00917 ldw r2,-32732(gp) + 3150: 10000326 beq r2,zero,3160 + 3154: d0a00917 ldw r2,-32732(gp) + 3158: 103ee83a callr r2 + 315c: 00000106 br 3164 + 3160: d0a01104 addi r2,gp,-32700 +} + 3164: e037883a mov sp,fp + 3168: dfc00117 ldw ra,4(sp) + 316c: df000017 ldw fp,0(sp) + 3170: dec00204 addi sp,sp,8 + 3174: f800283a ret + +00003178 : + * + * ALT_CLOSE is mapped onto the close() system call in alt_syscall.h + */ + +int ALT_CLOSE (int fildes) +{ + 3178: defffb04 addi sp,sp,-20 + 317c: dfc00415 stw ra,16(sp) + 3180: df000315 stw fp,12(sp) + 3184: df000304 addi fp,sp,12 + 3188: e13fff15 stw r4,-4(fp) + * A common error case is that when the file descriptor was created, the call + * to open() failed resulting in a negative file descriptor. This is trapped + * below so that we don't try and process an invalid file descriptor. + */ + + fd = (fildes < 0) ? NULL : &alt_fd_list[fildes]; + 318c: e0bfff17 ldw r2,-4(fp) + 3190: 10000816 blt r2,zero,31b4 + 3194: 01400304 movi r5,12 + 3198: e13fff17 ldw r4,-4(fp) + 319c: 00031140 call 3114 <__mulsi3> + 31a0: 1007883a mov r3,r2 + 31a4: 00800034 movhi r2,0 + 31a8: 10981e04 addi r2,r2,24696 + 31ac: 1885883a add r2,r3,r2 + 31b0: 00000106 br 31b8 + 31b4: 0005883a mov r2,zero + 31b8: e0bffd15 stw r2,-12(fp) + + if (fd) + 31bc: e0bffd17 ldw r2,-12(fp) + 31c0: 10001926 beq r2,zero,3228 + /* + * If the associated file system/device has a close function, call it so + * that any necessary cleanup code can run. + */ + + rval = (fd->dev->close) ? fd->dev->close(fd) : 0; + 31c4: e0bffd17 ldw r2,-12(fp) + 31c8: 10800017 ldw r2,0(r2) + 31cc: 10800417 ldw r2,16(r2) + 31d0: 10000626 beq r2,zero,31ec + 31d4: e0bffd17 ldw r2,-12(fp) + 31d8: 10800017 ldw r2,0(r2) + 31dc: 10800417 ldw r2,16(r2) + 31e0: e13ffd17 ldw r4,-12(fp) + 31e4: 103ee83a callr r2 + 31e8: 00000106 br 31f0 + 31ec: 0005883a mov r2,zero + 31f0: e0bffe15 stw r2,-8(fp) + + /* Free the file descriptor structure and return. */ + + alt_release_fd (fildes); + 31f4: e13fff17 ldw r4,-4(fp) + 31f8: 000379c0 call 379c + if (rval < 0) + 31fc: e0bffe17 ldw r2,-8(fp) + 3200: 1000070e bge r2,zero,3220 + { + ALT_ERRNO = -rval; + 3204: 000313c0 call 313c + 3208: 1007883a mov r3,r2 + 320c: e0bffe17 ldw r2,-8(fp) + 3210: 0085c83a sub r2,zero,r2 + 3214: 18800015 stw r2,0(r3) + return -1; + 3218: 00bfffc4 movi r2,-1 + 321c: 00000706 br 323c + } + return 0; + 3220: 0005883a mov r2,zero + 3224: 00000506 br 323c + } + else + { + ALT_ERRNO = EBADFD; + 3228: 000313c0 call 313c + 322c: 1007883a mov r3,r2 + 3230: 00801444 movi r2,81 + 3234: 18800015 stw r2,0(r3) + return -1; + 3238: 00bfffc4 movi r2,-1 + } +} + 323c: e037883a mov sp,fp + 3240: dfc00117 ldw ra,4(sp) + 3244: df000017 ldw fp,0(sp) + 3248: dec00204 addi sp,sp,8 + 324c: f800283a ret + +00003250 : + * + * Any dirty lines in the data cache are written back to memory. + */ + +void alt_dcache_flush (void* start, alt_u32 len) +{ + 3250: defffd04 addi sp,sp,-12 + 3254: df000215 stw fp,8(sp) + 3258: df000204 addi fp,sp,8 + 325c: e13ffe15 stw r4,-8(fp) + 3260: e17fff15 stw r5,-4(fp) + { + ALT_FLUSH_DATA(i); + } + +#endif /* NIOS2_DCACHE_SIZE > 0 */ +} + 3264: 0001883a nop + 3268: e037883a mov sp,fp + 326c: df000017 ldw fp,0(sp) + 3270: dec00104 addi sp,sp,4 + 3274: f800283a ret + +00003278 : + * by the alt_dev_null device. It simple discards all data passed to it, and + * indicates that the data has been successfully transmitted. + */ + +static int alt_dev_null_write (alt_fd* fd, const char* ptr, int len) +{ + 3278: defffc04 addi sp,sp,-16 + 327c: df000315 stw fp,12(sp) + 3280: df000304 addi fp,sp,12 + 3284: e13ffd15 stw r4,-12(fp) + 3288: e17ffe15 stw r5,-8(fp) + 328c: e1bfff15 stw r6,-4(fp) + return len; + 3290: e0bfff17 ldw r2,-4(fp) +} + 3294: e037883a mov sp,fp + 3298: df000017 ldw fp,0(sp) + 329c: dec00104 addi sp,sp,4 + 32a0: f800283a ret + +000032a4 : +#undef errno + +extern int errno; + +static ALT_INLINE int* alt_get_errno(void) +{ + 32a4: defffe04 addi sp,sp,-8 + 32a8: dfc00115 stw ra,4(sp) + 32ac: df000015 stw fp,0(sp) + 32b0: d839883a mov fp,sp + return ((alt_errno) ? alt_errno() : &errno); + 32b4: d0a00917 ldw r2,-32732(gp) + 32b8: 10000326 beq r2,zero,32c8 + 32bc: d0a00917 ldw r2,-32732(gp) + 32c0: 103ee83a callr r2 + 32c4: 00000106 br 32cc + 32c8: d0a01104 addi r2,gp,-32700 +} + 32cc: e037883a mov sp,fp + 32d0: dfc00117 ldw ra,4(sp) + 32d4: df000017 ldw fp,0(sp) + 32d8: dec00204 addi sp,sp,8 + 32dc: f800283a ret + +000032e0 : +} + +#else /* !ALT_USE_DIRECT_DRIVERS */ + +int ALT_FSTAT (int file, struct stat *st) +{ + 32e0: defffb04 addi sp,sp,-20 + 32e4: dfc00415 stw ra,16(sp) + 32e8: df000315 stw fp,12(sp) + 32ec: df000304 addi fp,sp,12 + 32f0: e13ffe15 stw r4,-8(fp) + 32f4: e17fff15 stw r5,-4(fp) + * A common error case is that when the file descriptor was created, the call + * to open() failed resulting in a negative file descriptor. This is trapped + * below so that we don't try and process an invalid file descriptor. + */ + + fd = (file < 0) ? NULL : &alt_fd_list[file]; + 32f8: e0bffe17 ldw r2,-8(fp) + 32fc: 10000816 blt r2,zero,3320 + 3300: 01400304 movi r5,12 + 3304: e13ffe17 ldw r4,-8(fp) + 3308: 00031140 call 3114 <__mulsi3> + 330c: 1007883a mov r3,r2 + 3310: 00800034 movhi r2,0 + 3314: 10981e04 addi r2,r2,24696 + 3318: 1885883a add r2,r3,r2 + 331c: 00000106 br 3324 + 3320: 0005883a mov r2,zero + 3324: e0bffd15 stw r2,-12(fp) + + if (fd) + 3328: e0bffd17 ldw r2,-12(fp) + 332c: 10001026 beq r2,zero,3370 + { + /* Call the drivers fstat() function to fill out the "st" structure. */ + + if (fd->dev->fstat) + 3330: e0bffd17 ldw r2,-12(fp) + 3334: 10800017 ldw r2,0(r2) + 3338: 10800817 ldw r2,32(r2) + 333c: 10000726 beq r2,zero,335c + { + return fd->dev->fstat(fd, st); + 3340: e0bffd17 ldw r2,-12(fp) + 3344: 10800017 ldw r2,0(r2) + 3348: 10800817 ldw r2,32(r2) + 334c: e17fff17 ldw r5,-4(fp) + 3350: e13ffd17 ldw r4,-12(fp) + 3354: 103ee83a callr r2 + 3358: 00000a06 br 3384 + * device. + */ + + else + { + st->st_mode = _IFCHR; + 335c: e0bfff17 ldw r2,-4(fp) + 3360: 00c80004 movi r3,8192 + 3364: 10c00115 stw r3,4(r2) + return 0; + 3368: 0005883a mov r2,zero + 336c: 00000506 br 3384 + } + } + else + { + ALT_ERRNO = EBADFD; + 3370: 00032a40 call 32a4 + 3374: 1007883a mov r3,r2 + 3378: 00801444 movi r2,81 + 337c: 18800015 stw r2,0(r3) + return -1; + 3380: 00bfffc4 movi r2,-1 + } +} + 3384: e037883a mov sp,fp + 3388: dfc00117 ldw ra,4(sp) + 338c: df000017 ldw fp,0(sp) + 3390: dec00204 addi sp,sp,8 + 3394: f800283a ret + +00003398 : +#undef errno + +extern int errno; + +static ALT_INLINE int* alt_get_errno(void) +{ + 3398: defffe04 addi sp,sp,-8 + 339c: dfc00115 stw ra,4(sp) + 33a0: df000015 stw fp,0(sp) + 33a4: d839883a mov fp,sp + return ((alt_errno) ? alt_errno() : &errno); + 33a8: d0a00917 ldw r2,-32732(gp) + 33ac: 10000326 beq r2,zero,33bc + 33b0: d0a00917 ldw r2,-32732(gp) + 33b4: 103ee83a callr r2 + 33b8: 00000106 br 33c0 + 33bc: d0a01104 addi r2,gp,-32700 +} + 33c0: e037883a mov sp,fp + 33c4: dfc00117 ldw ra,4(sp) + 33c8: df000017 ldw fp,0(sp) + 33cc: dec00204 addi sp,sp,8 + 33d0: f800283a ret + +000033d4 : + * + * ALT_ISATTY is mapped onto the isatty() system call in alt_syscall.h + */ + +int ALT_ISATTY (int file) +{ + 33d4: deffed04 addi sp,sp,-76 + 33d8: dfc01215 stw ra,72(sp) + 33dc: df001115 stw fp,68(sp) + 33e0: df001104 addi fp,sp,68 + 33e4: e13fff15 stw r4,-4(fp) + * A common error case is that when the file descriptor was created, the call + * to open() failed resulting in a negative file descriptor. This is trapped + * below so that we don't try and process an invalid file descriptor. + */ + + fd = (file < 0) ? NULL : &alt_fd_list[file]; + 33e8: e0bfff17 ldw r2,-4(fp) + 33ec: 10000816 blt r2,zero,3410 + 33f0: 01400304 movi r5,12 + 33f4: e13fff17 ldw r4,-4(fp) + 33f8: 00031140 call 3114 <__mulsi3> + 33fc: 1007883a mov r3,r2 + 3400: 00800034 movhi r2,0 + 3404: 10981e04 addi r2,r2,24696 + 3408: 1885883a add r2,r3,r2 + 340c: 00000106 br 3414 + 3410: 0005883a mov r2,zero + 3414: e0bfef15 stw r2,-68(fp) + + if (fd) + 3418: e0bfef17 ldw r2,-68(fp) + 341c: 10000e26 beq r2,zero,3458 + /* + * If a device driver does not provide an fstat() function, then it is + * treated as a terminal device by default. + */ + + if (!fd->dev->fstat) + 3420: e0bfef17 ldw r2,-68(fp) + 3424: 10800017 ldw r2,0(r2) + 3428: 10800817 ldw r2,32(r2) + 342c: 1000021e bne r2,zero,3438 + { + return 1; + 3430: 00800044 movi r2,1 + 3434: 00000d06 br 346c + * this is called so that the device can identify itself. + */ + + else + { + fstat (file, &stat); + 3438: e0bff004 addi r2,fp,-64 + 343c: 100b883a mov r5,r2 + 3440: e13fff17 ldw r4,-4(fp) + 3444: 00032e00 call 32e0 + return (stat.st_mode == _IFCHR) ? 1 : 0; + 3448: e0bff117 ldw r2,-60(fp) + 344c: 10880020 cmpeqi r2,r2,8192 + 3450: 10803fcc andi r2,r2,255 + 3454: 00000506 br 346c + } + } + else + { + ALT_ERRNO = EBADFD; + 3458: 00033980 call 3398 + 345c: 1007883a mov r3,r2 + 3460: 00801444 movi r2,81 + 3464: 18800015 stw r2,0(r3) + return 0; + 3468: 0005883a mov r2,zero + } +} + 346c: e037883a mov sp,fp + 3470: dfc00117 ldw ra,4(sp) + 3474: df000017 ldw fp,0(sp) + 3478: dec00204 addi sp,sp,8 + 347c: f800283a ret + +00003480 : +#undef errno + +extern int errno; + +static ALT_INLINE int* alt_get_errno(void) +{ + 3480: defffe04 addi sp,sp,-8 + 3484: dfc00115 stw ra,4(sp) + 3488: df000015 stw fp,0(sp) + 348c: d839883a mov fp,sp + return ((alt_errno) ? alt_errno() : &errno); + 3490: d0a00917 ldw r2,-32732(gp) + 3494: 10000326 beq r2,zero,34a4 + 3498: d0a00917 ldw r2,-32732(gp) + 349c: 103ee83a callr r2 + 34a0: 00000106 br 34a8 + 34a4: d0a01104 addi r2,gp,-32700 +} + 34a8: e037883a mov sp,fp + 34ac: dfc00117 ldw ra,4(sp) + 34b0: df000017 ldw fp,0(sp) + 34b4: dec00204 addi sp,sp,8 + 34b8: f800283a ret + +000034bc : + * ALT_LSEEK is mapped onto the lseek() system call in alt_syscall.h + * + */ + +off_t ALT_LSEEK (int file, off_t ptr, int dir) +{ + 34bc: defff904 addi sp,sp,-28 + 34c0: dfc00615 stw ra,24(sp) + 34c4: df000515 stw fp,20(sp) + 34c8: df000504 addi fp,sp,20 + 34cc: e13ffd15 stw r4,-12(fp) + 34d0: e17ffe15 stw r5,-8(fp) + 34d4: e1bfff15 stw r6,-4(fp) + alt_fd* fd; + off_t rc = 0; + 34d8: e03ffb15 stw zero,-20(fp) + * A common error case is that when the file descriptor was created, the call + * to open() failed resulting in a negative file descriptor. This is trapped + * below so that we don't try and process an invalid file descriptor. + */ + + fd = (file < 0) ? NULL : &alt_fd_list[file]; + 34dc: e0bffd17 ldw r2,-12(fp) + 34e0: 10000816 blt r2,zero,3504 + 34e4: 01400304 movi r5,12 + 34e8: e13ffd17 ldw r4,-12(fp) + 34ec: 00031140 call 3114 <__mulsi3> + 34f0: 1007883a mov r3,r2 + 34f4: 00800034 movhi r2,0 + 34f8: 10981e04 addi r2,r2,24696 + 34fc: 1885883a add r2,r3,r2 + 3500: 00000106 br 3508 + 3504: 0005883a mov r2,zero + 3508: e0bffc15 stw r2,-16(fp) + + if (fd) + 350c: e0bffc17 ldw r2,-16(fp) + 3510: 10001026 beq r2,zero,3554 + /* + * If the device driver provides an implementation of the lseek() function, + * then call that to process the request. + */ + + if (fd->dev->lseek) + 3514: e0bffc17 ldw r2,-16(fp) + 3518: 10800017 ldw r2,0(r2) + 351c: 10800717 ldw r2,28(r2) + 3520: 10000926 beq r2,zero,3548 + { + rc = fd->dev->lseek(fd, ptr, dir); + 3524: e0bffc17 ldw r2,-16(fp) + 3528: 10800017 ldw r2,0(r2) + 352c: 10800717 ldw r2,28(r2) + 3530: e1bfff17 ldw r6,-4(fp) + 3534: e17ffe17 ldw r5,-8(fp) + 3538: e13ffc17 ldw r4,-16(fp) + 353c: 103ee83a callr r2 + 3540: e0bffb15 stw r2,-20(fp) + 3544: 00000506 br 355c + * Otherwise return an error. + */ + + else + { + rc = -ENOTSUP; + 3548: 00bfde84 movi r2,-134 + 354c: e0bffb15 stw r2,-20(fp) + 3550: 00000206 br 355c + } + } + else + { + rc = -EBADFD; + 3554: 00bfebc4 movi r2,-81 + 3558: e0bffb15 stw r2,-20(fp) + } + + if (rc < 0) + 355c: e0bffb17 ldw r2,-20(fp) + 3560: 1000070e bge r2,zero,3580 + { + ALT_ERRNO = -rc; + 3564: 00034800 call 3480 + 3568: 1007883a mov r3,r2 + 356c: e0bffb17 ldw r2,-20(fp) + 3570: 0085c83a sub r2,zero,r2 + 3574: 18800015 stw r2,0(r3) + rc = -1; + 3578: 00bfffc4 movi r2,-1 + 357c: e0bffb15 stw r2,-20(fp) + } + + return rc; + 3580: e0bffb17 ldw r2,-20(fp) +} + 3584: e037883a mov sp,fp + 3588: dfc00117 ldw ra,4(sp) + 358c: df000017 ldw fp,0(sp) + 3590: dec00204 addi sp,sp,8 + 3594: f800283a ret + +00003598 : + * devices/filesystems/components in the system; and call the entry point for + * the users application, i.e. main(). + */ + +void alt_main (void) +{ + 3598: defffd04 addi sp,sp,-12 + 359c: dfc00215 stw ra,8(sp) + 35a0: df000115 stw fp,4(sp) + 35a4: df000104 addi fp,sp,4 +#endif + + /* ALT LOG - please see HAL/sys/alt_log_printf.h for details */ + ALT_LOG_PRINT_BOOT("[alt_main.c] Entering alt_main, calling alt_irq_init.\r\n"); + /* Initialize the interrupt controller. */ + alt_irq_init (NULL); + 35a8: 0009883a mov r4,zero + 35ac: 0003a400 call 3a40 + + /* Initialize the operating system */ + ALT_LOG_PRINT_BOOT("[alt_main.c] Done alt_irq_init, calling alt_os_init.\r\n"); + ALT_OS_INIT(); + 35b0: 0001883a nop + ALT_LOG_PRINT_BOOT("[alt_main.c] Done OS Init, calling alt_sem_create.\r\n"); + ALT_SEM_CREATE (&alt_fd_list_lock, 1); + + /* Initialize the device drivers/software components. */ + ALT_LOG_PRINT_BOOT("[alt_main.c] Calling alt_sys_init.\r\n"); + alt_sys_init(); + 35b4: 0003a780 call 3a78 + * devices be present (not equal to /dev/null) and if direct drivers + * aren't being used. + */ + + ALT_LOG_PRINT_BOOT("[alt_main.c] Redirecting IO.\r\n"); + alt_io_redirect(ALT_STDOUT, ALT_STDIN, ALT_STDERR); + 35b8: 01800034 movhi r6,0 + 35bc: 31960104 addi r6,r6,22532 + 35c0: 01400034 movhi r5,0 + 35c4: 29560104 addi r5,r5,22532 + 35c8: 01000034 movhi r4,0 + 35cc: 21160104 addi r4,r4,22532 + 35d0: 0004c840 call 4c84 + /* + * Call the C++ constructors + */ + + ALT_LOG_PRINT_BOOT("[alt_main.c] Calling C++ constructors.\r\n"); + _do_ctors (); + 35d4: 00048400 call 4840 <_do_ctors> + * redefined as _exit()). This is in the interest of reducing code footprint, + * in that the atexit() overhead is removed when it's not needed. + */ + + ALT_LOG_PRINT_BOOT("[alt_main.c] Calling atexit.\r\n"); + atexit (_do_dtors); + 35d8: 01000034 movhi r4,0 + 35dc: 21122804 addi r4,r4,18592 + 35e0: 00054440 call 5444 + ALT_LOG_PRINT_BOOT("[alt_main.c] Calling main.\r\n"); + +#ifdef ALT_NO_EXIT + main (alt_argc, alt_argv, alt_envp); +#else + result = main (alt_argc, alt_argv, alt_envp); + 35e4: d0a01217 ldw r2,-32696(gp) + 35e8: d0e01317 ldw r3,-32692(gp) + 35ec: d1201417 ldw r4,-32688(gp) + 35f0: 200d883a mov r6,r4 + 35f4: 180b883a mov r5,r3 + 35f8: 1009883a mov r4,r2 + 35fc: 00002480 call 248
+ 3600: e0bfff15 stw r2,-4(fp) + close(STDOUT_FILENO); + 3604: 01000044 movi r4,1 + 3608: 00031780 call 3178 + exit (result); + 360c: e13fff17 ldw r4,-4(fp) + 3610: 00054580 call 5458 + +00003614 <__malloc_lock>: + * configuration is single threaded, so there is nothing to do here. Note that + * this requires that malloc is never called by an interrupt service routine. + */ + +void __malloc_lock ( struct _reent *_r ) +{ + 3614: defffe04 addi sp,sp,-8 + 3618: df000115 stw fp,4(sp) + 361c: df000104 addi fp,sp,4 + 3620: e13fff15 stw r4,-4(fp) +} + 3624: 0001883a nop + 3628: e037883a mov sp,fp + 362c: df000017 ldw fp,0(sp) + 3630: dec00104 addi sp,sp,4 + 3634: f800283a ret + +00003638 <__malloc_unlock>: +/* + * + */ + +void __malloc_unlock ( struct _reent *_r ) +{ + 3638: defffe04 addi sp,sp,-8 + 363c: df000115 stw fp,4(sp) + 3640: df000104 addi fp,sp,4 + 3644: e13fff15 stw r4,-4(fp) +} + 3648: 0001883a nop + 364c: e037883a mov sp,fp + 3650: df000017 ldw fp,0(sp) + 3654: dec00104 addi sp,sp,4 + 3658: f800283a ret + +0000365c : +#undef errno + +extern int errno; + +static ALT_INLINE int* alt_get_errno(void) +{ + 365c: defffe04 addi sp,sp,-8 + 3660: dfc00115 stw ra,4(sp) + 3664: df000015 stw fp,0(sp) + 3668: d839883a mov fp,sp + return ((alt_errno) ? alt_errno() : &errno); + 366c: d0a00917 ldw r2,-32732(gp) + 3670: 10000326 beq r2,zero,3680 + 3674: d0a00917 ldw r2,-32732(gp) + 3678: 103ee83a callr r2 + 367c: 00000106 br 3684 + 3680: d0a01104 addi r2,gp,-32700 +} + 3684: e037883a mov sp,fp + 3688: dfc00117 ldw ra,4(sp) + 368c: df000017 ldw fp,0(sp) + 3690: dec00204 addi sp,sp,8 + 3694: f800283a ret + +00003698 : +} + +#else /* !ALT_USE_DIRECT_DRIVERS */ + +int ALT_READ (int file, void *ptr, size_t len) +{ + 3698: defff904 addi sp,sp,-28 + 369c: dfc00615 stw ra,24(sp) + 36a0: df000515 stw fp,20(sp) + 36a4: df000504 addi fp,sp,20 + 36a8: e13ffd15 stw r4,-12(fp) + 36ac: e17ffe15 stw r5,-8(fp) + 36b0: e1bfff15 stw r6,-4(fp) + * A common error case is that when the file descriptor was created, the call + * to open() failed resulting in a negative file descriptor. This is trapped + * below so that we don't try and process an invalid file descriptor. + */ + + fd = (file < 0) ? NULL : &alt_fd_list[file]; + 36b4: e0bffd17 ldw r2,-12(fp) + 36b8: 10000816 blt r2,zero,36dc + 36bc: 01400304 movi r5,12 + 36c0: e13ffd17 ldw r4,-12(fp) + 36c4: 00031140 call 3114 <__mulsi3> + 36c8: 1007883a mov r3,r2 + 36cc: 00800034 movhi r2,0 + 36d0: 10981e04 addi r2,r2,24696 + 36d4: 1885883a add r2,r3,r2 + 36d8: 00000106 br 36e0 + 36dc: 0005883a mov r2,zero + 36e0: e0bffb15 stw r2,-20(fp) + + if (fd) + 36e4: e0bffb17 ldw r2,-20(fp) + 36e8: 10002226 beq r2,zero,3774 + * If the file has not been opened with read access, or if the driver does + * not provide an implementation of read(), generate an error. Otherwise + * call the drivers read() function to process the request. + */ + + if (((fd->fd_flags & O_ACCMODE) != O_WRONLY) && + 36ec: e0bffb17 ldw r2,-20(fp) + 36f0: 10800217 ldw r2,8(r2) + 36f4: 108000cc andi r2,r2,3 + 36f8: 10800060 cmpeqi r2,r2,1 + 36fc: 1000181e bne r2,zero,3760 + (fd->dev->read)) + 3700: e0bffb17 ldw r2,-20(fp) + 3704: 10800017 ldw r2,0(r2) + 3708: 10800517 ldw r2,20(r2) + * If the file has not been opened with read access, or if the driver does + * not provide an implementation of read(), generate an error. Otherwise + * call the drivers read() function to process the request. + */ + + if (((fd->fd_flags & O_ACCMODE) != O_WRONLY) && + 370c: 10001426 beq r2,zero,3760 + (fd->dev->read)) + { + if ((rval = fd->dev->read(fd, ptr, len)) < 0) + 3710: e0bffb17 ldw r2,-20(fp) + 3714: 10800017 ldw r2,0(r2) + 3718: 10800517 ldw r2,20(r2) + 371c: e0ffff17 ldw r3,-4(fp) + 3720: 180d883a mov r6,r3 + 3724: e17ffe17 ldw r5,-8(fp) + 3728: e13ffb17 ldw r4,-20(fp) + 372c: 103ee83a callr r2 + 3730: e0bffc15 stw r2,-16(fp) + 3734: e0bffc17 ldw r2,-16(fp) + 3738: 1000070e bge r2,zero,3758 + { + ALT_ERRNO = -rval; + 373c: 000365c0 call 365c + 3740: 1007883a mov r3,r2 + 3744: e0bffc17 ldw r2,-16(fp) + 3748: 0085c83a sub r2,zero,r2 + 374c: 18800015 stw r2,0(r3) + return -1; + 3750: 00bfffc4 movi r2,-1 + 3754: 00000c06 br 3788 + } + return rval; + 3758: e0bffc17 ldw r2,-16(fp) + 375c: 00000a06 br 3788 + } + else + { + ALT_ERRNO = EACCES; + 3760: 000365c0 call 365c + 3764: 1007883a mov r3,r2 + 3768: 00800344 movi r2,13 + 376c: 18800015 stw r2,0(r3) + 3770: 00000406 br 3784 + } + } + else + { + ALT_ERRNO = EBADFD; + 3774: 000365c0 call 365c + 3778: 1007883a mov r3,r2 + 377c: 00801444 movi r2,81 + 3780: 18800015 stw r2,0(r3) + } + return -1; + 3784: 00bfffc4 movi r2,-1 +} + 3788: e037883a mov sp,fp + 378c: dfc00117 ldw ra,4(sp) + 3790: df000017 ldw fp,0(sp) + 3794: dec00204 addi sp,sp,8 + 3798: f800283a ret + +0000379c : + * File descriptors correcponding to standard in, standard out and standard + * error cannont be released backed to the pool. They are always reserved. + */ + +void alt_release_fd (int fd) +{ + 379c: defffc04 addi sp,sp,-16 + 37a0: dfc00315 stw ra,12(sp) + 37a4: df000215 stw fp,8(sp) + 37a8: dc000115 stw r16,4(sp) + 37ac: df000204 addi fp,sp,8 + 37b0: e13ffe15 stw r4,-8(fp) + if (fd > 2) + 37b4: e0bffe17 ldw r2,-8(fp) + 37b8: 108000d0 cmplti r2,r2,3 + 37bc: 1000111e bne r2,zero,3804 + { + alt_fd_list[fd].fd_flags = 0; + 37c0: 04000034 movhi r16,0 + 37c4: 84181e04 addi r16,r16,24696 + 37c8: e0bffe17 ldw r2,-8(fp) + 37cc: 01400304 movi r5,12 + 37d0: 1009883a mov r4,r2 + 37d4: 00031140 call 3114 <__mulsi3> + 37d8: 8085883a add r2,r16,r2 + 37dc: 10800204 addi r2,r2,8 + 37e0: 10000015 stw zero,0(r2) + alt_fd_list[fd].dev = 0; + 37e4: 04000034 movhi r16,0 + 37e8: 84181e04 addi r16,r16,24696 + 37ec: e0bffe17 ldw r2,-8(fp) + 37f0: 01400304 movi r5,12 + 37f4: 1009883a mov r4,r2 + 37f8: 00031140 call 3114 <__mulsi3> + 37fc: 8085883a add r2,r16,r2 + 3800: 10000015 stw zero,0(r2) + } +} + 3804: 0001883a nop + 3808: e6ffff04 addi sp,fp,-4 + 380c: dfc00217 ldw ra,8(sp) + 3810: df000117 ldw fp,4(sp) + 3814: dc000017 ldw r16,0(sp) + 3818: dec00304 addi sp,sp,12 + 381c: f800283a ret + +00003820 : +#endif + +caddr_t ALT_SBRK (int incr) __attribute__ ((no_instrument_function )); + +caddr_t ALT_SBRK (int incr) +{ + 3820: defff904 addi sp,sp,-28 + 3824: df000615 stw fp,24(sp) + 3828: df000604 addi fp,sp,24 + 382c: e13fff15 stw r4,-4(fp) +static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE + alt_irq_disable_all (void) +{ + alt_irq_context context; + + NIOS2_READ_STATUS (context); + 3830: 0005303a rdctl r2,status + 3834: e0bffe15 stw r2,-8(fp) + + NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); + 3838: e0fffe17 ldw r3,-8(fp) + 383c: 00bfff84 movi r2,-2 + 3840: 1884703a and r2,r3,r2 + 3844: 1001703a wrctl status,r2 + + return context; + 3848: e0bffe17 ldw r2,-8(fp) + alt_irq_context context; + char *prev_heap_end; + + context = alt_irq_disable_all(); + 384c: e0bffb15 stw r2,-20(fp) + + /* Always return data aligned on a word boundary */ + heap_end = (char *)(((unsigned int)heap_end + 3) & ~3); + 3850: d0a00a17 ldw r2,-32728(gp) + 3854: 10c000c4 addi r3,r2,3 + 3858: 00bfff04 movi r2,-4 + 385c: 1884703a and r2,r3,r2 + 3860: d0a00a15 stw r2,-32728(gp) + if (((heap_end + incr) - __alt_heap_start) > ALT_MAX_HEAP_BYTES) { + alt_irq_enable_all(context); + return (caddr_t)-1; + } +#else + if ((heap_end + incr) > __alt_heap_limit) { + 3864: d0e00a17 ldw r3,-32728(gp) + 3868: e0bfff17 ldw r2,-4(fp) + 386c: 1887883a add r3,r3,r2 + 3870: 008000b4 movhi r2,2 + 3874: 10800004 addi r2,r2,0 + 3878: 10c0062e bgeu r2,r3,3894 + 387c: e0bffb17 ldw r2,-20(fp) + 3880: e0bffa15 stw r2,-24(fp) + status &= ~NIOS2_STATUS_PIE_MSK; + status |= (context & NIOS2_STATUS_PIE_MSK); + + NIOS2_WRITE_STATUS (status); +#else + NIOS2_WRITE_STATUS (context); + 3884: e0bffa17 ldw r2,-24(fp) + 3888: 1001703a wrctl status,r2 + alt_irq_enable_all(context); + return (caddr_t)-1; + 388c: 00bfffc4 movi r2,-1 + 3890: 00000b06 br 38c0 + } +#endif + + prev_heap_end = heap_end; + 3894: d0a00a17 ldw r2,-32728(gp) + 3898: e0bffd15 stw r2,-12(fp) + heap_end += incr; + 389c: d0e00a17 ldw r3,-32728(gp) + 38a0: e0bfff17 ldw r2,-4(fp) + 38a4: 1885883a add r2,r3,r2 + 38a8: d0a00a15 stw r2,-32728(gp) + 38ac: e0bffb17 ldw r2,-20(fp) + 38b0: e0bffc15 stw r2,-16(fp) + 38b4: e0bffc17 ldw r2,-16(fp) + 38b8: 1001703a wrctl status,r2 + +#endif + + alt_irq_enable_all(context); + + return (caddr_t) prev_heap_end; + 38bc: e0bffd17 ldw r2,-12(fp) +} + 38c0: e037883a mov sp,fp + 38c4: df000017 ldw fp,0(sp) + 38c8: dec00104 addi sp,sp,4 + 38cc: f800283a ret + +000038d0 : +#undef errno + +extern int errno; + +static ALT_INLINE int* alt_get_errno(void) +{ + 38d0: defffe04 addi sp,sp,-8 + 38d4: dfc00115 stw ra,4(sp) + 38d8: df000015 stw fp,0(sp) + 38dc: d839883a mov fp,sp + return ((alt_errno) ? alt_errno() : &errno); + 38e0: d0a00917 ldw r2,-32732(gp) + 38e4: 10000326 beq r2,zero,38f4 + 38e8: d0a00917 ldw r2,-32732(gp) + 38ec: 103ee83a callr r2 + 38f0: 00000106 br 38f8 + 38f4: d0a01104 addi r2,gp,-32700 +} + 38f8: e037883a mov sp,fp + 38fc: dfc00117 ldw ra,4(sp) + 3900: df000017 ldw fp,0(sp) + 3904: dec00204 addi sp,sp,8 + 3908: f800283a ret + +0000390c : +} + +#else /* !ALT_USE_DIRECT_DRIVERS */ + +int ALT_WRITE (int file, const void *ptr, size_t len) +{ + 390c: defff904 addi sp,sp,-28 + 3910: dfc00615 stw ra,24(sp) + 3914: df000515 stw fp,20(sp) + 3918: df000504 addi fp,sp,20 + 391c: e13ffd15 stw r4,-12(fp) + 3920: e17ffe15 stw r5,-8(fp) + 3924: e1bfff15 stw r6,-4(fp) + * A common error case is that when the file descriptor was created, the call + * to open() failed resulting in a negative file descriptor. This is trapped + * below so that we don't try and process an invalid file descriptor. + */ + + fd = (file < 0) ? NULL : &alt_fd_list[file]; + 3928: e0bffd17 ldw r2,-12(fp) + 392c: 10000816 blt r2,zero,3950 + 3930: 01400304 movi r5,12 + 3934: e13ffd17 ldw r4,-12(fp) + 3938: 00031140 call 3114 <__mulsi3> + 393c: 1007883a mov r3,r2 + 3940: 00800034 movhi r2,0 + 3944: 10981e04 addi r2,r2,24696 + 3948: 1885883a add r2,r3,r2 + 394c: 00000106 br 3954 + 3950: 0005883a mov r2,zero + 3954: e0bffb15 stw r2,-20(fp) + + if (fd) + 3958: e0bffb17 ldw r2,-20(fp) + 395c: 10002126 beq r2,zero,39e4 + * If the file has not been opened with write access, or if the driver does + * not provide an implementation of write(), generate an error. Otherwise + * call the drivers write() function to process the request. + */ + + if (((fd->fd_flags & O_ACCMODE) != O_RDONLY) && fd->dev->write) + 3960: e0bffb17 ldw r2,-20(fp) + 3964: 10800217 ldw r2,8(r2) + 3968: 108000cc andi r2,r2,3 + 396c: 10001826 beq r2,zero,39d0 + 3970: e0bffb17 ldw r2,-20(fp) + 3974: 10800017 ldw r2,0(r2) + 3978: 10800617 ldw r2,24(r2) + 397c: 10001426 beq r2,zero,39d0 + { + + /* ALT_LOG - see altera_hal/HAL/inc/sys/alt_log_printf.h */ + ALT_LOG_WRITE_FUNCTION(ptr,len); + + if ((rval = fd->dev->write(fd, ptr, len)) < 0) + 3980: e0bffb17 ldw r2,-20(fp) + 3984: 10800017 ldw r2,0(r2) + 3988: 10800617 ldw r2,24(r2) + 398c: e0ffff17 ldw r3,-4(fp) + 3990: 180d883a mov r6,r3 + 3994: e17ffe17 ldw r5,-8(fp) + 3998: e13ffb17 ldw r4,-20(fp) + 399c: 103ee83a callr r2 + 39a0: e0bffc15 stw r2,-16(fp) + 39a4: e0bffc17 ldw r2,-16(fp) + 39a8: 1000070e bge r2,zero,39c8 + { + ALT_ERRNO = -rval; + 39ac: 00038d00 call 38d0 + 39b0: 1007883a mov r3,r2 + 39b4: e0bffc17 ldw r2,-16(fp) + 39b8: 0085c83a sub r2,zero,r2 + 39bc: 18800015 stw r2,0(r3) + return -1; + 39c0: 00bfffc4 movi r2,-1 + 39c4: 00000c06 br 39f8 + } + return rval; + 39c8: e0bffc17 ldw r2,-16(fp) + 39cc: 00000a06 br 39f8 + } + else + { + ALT_ERRNO = EACCES; + 39d0: 00038d00 call 38d0 + 39d4: 1007883a mov r3,r2 + 39d8: 00800344 movi r2,13 + 39dc: 18800015 stw r2,0(r3) + 39e0: 00000406 br 39f4 + } + } + else + { + ALT_ERRNO = EBADFD; + 39e4: 00038d00 call 38d0 + 39e8: 1007883a mov r3,r2 + 39ec: 00801444 movi r2,81 + 39f0: 18800015 stw r2,0(r3) + } + return -1; + 39f4: 00bfffc4 movi r2,-1 +} + 39f8: e037883a mov sp,fp + 39fc: dfc00117 ldw ra,4(sp) + 3a00: df000017 ldw fp,0(sp) + 3a04: dec00204 addi sp,sp,8 + 3a08: f800283a ret + +00003a0c : + */ + +extern int alt_fs_reg (alt_dev* dev); + +static ALT_INLINE int alt_dev_reg (alt_dev* dev) +{ + 3a0c: defffd04 addi sp,sp,-12 + 3a10: dfc00215 stw ra,8(sp) + 3a14: df000115 stw fp,4(sp) + 3a18: df000104 addi fp,sp,4 + 3a1c: e13fff15 stw r4,-4(fp) + extern alt_llist alt_dev_list; + + return alt_dev_llist_insert ((alt_dev_llist*) dev, &alt_dev_list); + 3a20: d1600604 addi r5,gp,-32744 + 3a24: e13fff17 ldw r4,-4(fp) + 3a28: 000479c0 call 479c +} + 3a2c: e037883a mov sp,fp + 3a30: dfc00117 ldw ra,4(sp) + 3a34: df000017 ldw fp,0(sp) + 3a38: dec00204 addi sp,sp,8 + 3a3c: f800283a ret + +00003a40 : + * The "base" parameter is ignored and only + * present for backwards-compatibility. + */ + +void alt_irq_init ( const void* base ) +{ + 3a40: defffd04 addi sp,sp,-12 + 3a44: dfc00215 stw ra,8(sp) + 3a48: df000115 stw fp,4(sp) + 3a4c: df000104 addi fp,sp,4 + 3a50: e13fff15 stw r4,-4(fp) + ALTERA_NIOS2_GEN2_IRQ_INIT ( CPU, cpu); + 3a54: 00051280 call 5128 + * alt_irq_cpu_enable_interrupts() enables the CPU to start taking interrupts. + */ +static ALT_INLINE void ALT_ALWAYS_INLINE + alt_irq_cpu_enable_interrupts (void) +{ + NIOS2_WRITE_STATUS(NIOS2_STATUS_PIE_MSK + 3a58: 00800044 movi r2,1 + 3a5c: 1001703a wrctl status,r2 + alt_irq_cpu_enable_interrupts(); +} + 3a60: 0001883a nop + 3a64: e037883a mov sp,fp + 3a68: dfc00117 ldw ra,4(sp) + 3a6c: df000017 ldw fp,0(sp) + 3a70: dec00204 addi sp,sp,8 + 3a74: f800283a ret + +00003a78 : + * Initialize the non-interrupt controller devices. + * Called after alt_irq_init(). + */ + +void alt_sys_init( void ) +{ + 3a78: defffe04 addi sp,sp,-8 + 3a7c: dfc00115 stw ra,4(sp) + 3a80: df000015 stw fp,0(sp) + 3a84: d839883a mov fp,sp + ALTERA_AVALON_TIMER_INIT ( SYS_CLK_TIMER, sys_clk_timer); + 3a88: 01c0fa04 movi r7,1000 + 3a8c: 000d883a mov r6,zero + 3a90: 000b883a mov r5,zero + 3a94: 010000b4 movhi r4,2 + 3a98: 21040004 addi r4,r4,4096 + 3a9c: 00045b80 call 45b8 + ALTERA_AVALON_JTAG_UART_INIT ( JTAG_UART, jtag_uart); + 3aa0: 01800044 movi r6,1 + 3aa4: 000b883a mov r5,zero + 3aa8: 01000034 movhi r4,0 + 3aac: 21188804 addi r4,r4,25120 + 3ab0: 0003c3c0 call 3c3c + 3ab4: 01000034 movhi r4,0 + 3ab8: 21187e04 addi r4,r4,25080 + 3abc: 0003a0c0 call 3a0c +} + 3ac0: 0001883a nop + 3ac4: e037883a mov sp,fp + 3ac8: dfc00117 ldw ra,4(sp) + 3acc: df000017 ldw fp,0(sp) + 3ad0: dec00204 addi sp,sp,8 + 3ad4: f800283a ret + +00003ad8 : + * + */ + +int +altera_avalon_jtag_uart_read_fd(alt_fd* fd, char* buffer, int space) +{ + 3ad8: defffa04 addi sp,sp,-24 + 3adc: dfc00515 stw ra,20(sp) + 3ae0: df000415 stw fp,16(sp) + 3ae4: df000404 addi fp,sp,16 + 3ae8: e13ffd15 stw r4,-12(fp) + 3aec: e17ffe15 stw r5,-8(fp) + 3af0: e1bfff15 stw r6,-4(fp) + altera_avalon_jtag_uart_dev* dev = (altera_avalon_jtag_uart_dev*) fd->dev; + 3af4: e0bffd17 ldw r2,-12(fp) + 3af8: 10800017 ldw r2,0(r2) + 3afc: e0bffc15 stw r2,-16(fp) + + return altera_avalon_jtag_uart_read(&dev->state, buffer, space, + 3b00: e0bffc17 ldw r2,-16(fp) + 3b04: 10c00a04 addi r3,r2,40 + 3b08: e0bffd17 ldw r2,-12(fp) + 3b0c: 10800217 ldw r2,8(r2) + 3b10: 100f883a mov r7,r2 + 3b14: e1bfff17 ldw r6,-4(fp) + 3b18: e17ffe17 ldw r5,-8(fp) + 3b1c: 1809883a mov r4,r3 + 3b20: 00041000 call 4100 + fd->fd_flags); +} + 3b24: e037883a mov sp,fp + 3b28: dfc00117 ldw ra,4(sp) + 3b2c: df000017 ldw fp,0(sp) + 3b30: dec00204 addi sp,sp,8 + 3b34: f800283a ret + +00003b38 : + +int +altera_avalon_jtag_uart_write_fd(alt_fd* fd, const char* buffer, int space) +{ + 3b38: defffa04 addi sp,sp,-24 + 3b3c: dfc00515 stw ra,20(sp) + 3b40: df000415 stw fp,16(sp) + 3b44: df000404 addi fp,sp,16 + 3b48: e13ffd15 stw r4,-12(fp) + 3b4c: e17ffe15 stw r5,-8(fp) + 3b50: e1bfff15 stw r6,-4(fp) + altera_avalon_jtag_uart_dev* dev = (altera_avalon_jtag_uart_dev*) fd->dev; + 3b54: e0bffd17 ldw r2,-12(fp) + 3b58: 10800017 ldw r2,0(r2) + 3b5c: e0bffc15 stw r2,-16(fp) + + return altera_avalon_jtag_uart_write(&dev->state, buffer, space, + 3b60: e0bffc17 ldw r2,-16(fp) + 3b64: 10c00a04 addi r3,r2,40 + 3b68: e0bffd17 ldw r2,-12(fp) + 3b6c: 10800217 ldw r2,8(r2) + 3b70: 100f883a mov r7,r2 + 3b74: e1bfff17 ldw r6,-4(fp) + 3b78: e17ffe17 ldw r5,-8(fp) + 3b7c: 1809883a mov r4,r3 + 3b80: 000431c0 call 431c + fd->fd_flags); +} + 3b84: e037883a mov sp,fp + 3b88: dfc00117 ldw ra,4(sp) + 3b8c: df000017 ldw fp,0(sp) + 3b90: dec00204 addi sp,sp,8 + 3b94: f800283a ret + +00003b98 : + +#ifndef ALTERA_AVALON_JTAG_UART_SMALL + +int +altera_avalon_jtag_uart_close_fd(alt_fd* fd) +{ + 3b98: defffc04 addi sp,sp,-16 + 3b9c: dfc00315 stw ra,12(sp) + 3ba0: df000215 stw fp,8(sp) + 3ba4: df000204 addi fp,sp,8 + 3ba8: e13fff15 stw r4,-4(fp) + altera_avalon_jtag_uart_dev* dev = (altera_avalon_jtag_uart_dev*) fd->dev; + 3bac: e0bfff17 ldw r2,-4(fp) + 3bb0: 10800017 ldw r2,0(r2) + 3bb4: e0bffe15 stw r2,-8(fp) + + return altera_avalon_jtag_uart_close(&dev->state, fd->fd_flags); + 3bb8: e0bffe17 ldw r2,-8(fp) + 3bbc: 10c00a04 addi r3,r2,40 + 3bc0: e0bfff17 ldw r2,-4(fp) + 3bc4: 10800217 ldw r2,8(r2) + 3bc8: 100b883a mov r5,r2 + 3bcc: 1809883a mov r4,r3 + 3bd0: 0003fa80 call 3fa8 +} + 3bd4: e037883a mov sp,fp + 3bd8: dfc00117 ldw ra,4(sp) + 3bdc: df000017 ldw fp,0(sp) + 3be0: dec00204 addi sp,sp,8 + 3be4: f800283a ret + +00003be8 : + +int +altera_avalon_jtag_uart_ioctl_fd(alt_fd* fd, int req, void* arg) +{ + 3be8: defffa04 addi sp,sp,-24 + 3bec: dfc00515 stw ra,20(sp) + 3bf0: df000415 stw fp,16(sp) + 3bf4: df000404 addi fp,sp,16 + 3bf8: e13ffd15 stw r4,-12(fp) + 3bfc: e17ffe15 stw r5,-8(fp) + 3c00: e1bfff15 stw r6,-4(fp) + altera_avalon_jtag_uart_dev* dev = (altera_avalon_jtag_uart_dev*) fd->dev; + 3c04: e0bffd17 ldw r2,-12(fp) + 3c08: 10800017 ldw r2,0(r2) + 3c0c: e0bffc15 stw r2,-16(fp) + + return altera_avalon_jtag_uart_ioctl(&dev->state, req, arg); + 3c10: e0bffc17 ldw r2,-16(fp) + 3c14: 10800a04 addi r2,r2,40 + 3c18: e1bfff17 ldw r6,-4(fp) + 3c1c: e17ffe17 ldw r5,-8(fp) + 3c20: 1009883a mov r4,r2 + 3c24: 00040100 call 4010 +} + 3c28: e037883a mov sp,fp + 3c2c: dfc00117 ldw ra,4(sp) + 3c30: df000017 ldw fp,0(sp) + 3c34: dec00204 addi sp,sp,8 + 3c38: f800283a ret + +00003c3c : + * Return 1 on sucessful IRQ register and 0 on failure. + */ + +void altera_avalon_jtag_uart_init(altera_avalon_jtag_uart_state* sp, + int irq_controller_id, int irq) +{ + 3c3c: defffa04 addi sp,sp,-24 + 3c40: dfc00515 stw ra,20(sp) + 3c44: df000415 stw fp,16(sp) + 3c48: df000404 addi fp,sp,16 + 3c4c: e13ffd15 stw r4,-12(fp) + 3c50: e17ffe15 stw r5,-8(fp) + 3c54: e1bfff15 stw r6,-4(fp) + ALT_FLAG_CREATE(&sp->events, 0); + ALT_SEM_CREATE(&sp->read_lock, 1); + ALT_SEM_CREATE(&sp->write_lock, 1); + + /* enable read interrupts at the device */ + sp->irq_enable = ALTERA_AVALON_JTAG_UART_CONTROL_RE_MSK; + 3c58: e0bffd17 ldw r2,-12(fp) + 3c5c: 00c00044 movi r3,1 + 3c60: 10c00815 stw r3,32(r2) + + IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base, sp->irq_enable); + 3c64: e0bffd17 ldw r2,-12(fp) + 3c68: 10800017 ldw r2,0(r2) + 3c6c: 10800104 addi r2,r2,4 + 3c70: 1007883a mov r3,r2 + 3c74: e0bffd17 ldw r2,-12(fp) + 3c78: 10800817 ldw r2,32(r2) + 3c7c: 18800035 stwio r2,0(r3) + + /* register the interrupt handler */ +#ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT + alt_ic_isr_register(irq_controller_id, irq, altera_avalon_jtag_uart_irq, + 3c80: e0bffe17 ldw r2,-8(fp) + 3c84: e0ffff17 ldw r3,-4(fp) + 3c88: d8000015 stw zero,0(sp) + 3c8c: e1fffd17 ldw r7,-12(fp) + 3c90: 01800034 movhi r6,0 + 3c94: 318f3f04 addi r6,r6,15612 + 3c98: 180b883a mov r5,r3 + 3c9c: 1009883a mov r4,r2 + 3ca0: 00049000 call 4900 +#else + alt_irq_register(irq, sp, altera_avalon_jtag_uart_irq); +#endif + + /* Register an alarm to go off every second to check for presence of host */ + sp->host_inactive = 0; + 3ca4: e0bffd17 ldw r2,-12(fp) + 3ca8: 10000915 stw zero,36(r2) + + if (alt_alarm_start(&sp->alarm, alt_ticks_per_second(), + 3cac: e0bffd17 ldw r2,-12(fp) + 3cb0: 10800204 addi r2,r2,8 + * Obtain the system clock rate in ticks/s. + */ + +static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_ticks_per_second (void) +{ + return _alt_tick_rate; + 3cb4: d0e01617 ldw r3,-32680(gp) + 3cb8: e1fffd17 ldw r7,-12(fp) + 3cbc: 01800034 movhi r6,0 + 3cc0: 318fc204 addi r6,r6,16136 + 3cc4: 180b883a mov r5,r3 + 3cc8: 1009883a mov r4,r2 + 3ccc: 00046340 call 4634 + 3cd0: 1000040e bge r2,zero,3ce4 + &altera_avalon_jtag_uart_timeout, sp) < 0) + { + /* If we can't set the alarm then record "don't know if host present" + * and behave as though the host is present. + */ + sp->timeout = INT_MAX; + 3cd4: e0fffd17 ldw r3,-12(fp) + 3cd8: 00a00034 movhi r2,32768 + 3cdc: 10bfffc4 addi r2,r2,-1 + 3ce0: 18800115 stw r2,4(r3) + } + + /* ALT_LOG - see altera_hal/HAL/inc/sys/alt_log_printf.h */ + ALT_LOG_JTAG_UART_ALARM_REGISTER(sp, sp->base); +} + 3ce4: 0001883a nop + 3ce8: e037883a mov sp,fp + 3cec: dfc00117 ldw ra,4(sp) + 3cf0: df000017 ldw fp,0(sp) + 3cf4: dec00204 addi sp,sp,8 + 3cf8: f800283a ret + +00003cfc : +#ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT +static void altera_avalon_jtag_uart_irq(void* context) +#else +static void altera_avalon_jtag_uart_irq(void* context, alt_u32 id) +#endif +{ + 3cfc: defff804 addi sp,sp,-32 + 3d00: df000715 stw fp,28(sp) + 3d04: df000704 addi fp,sp,28 + 3d08: e13fff15 stw r4,-4(fp) + altera_avalon_jtag_uart_state* sp = (altera_avalon_jtag_uart_state*) context; + 3d0c: e0bfff17 ldw r2,-4(fp) + 3d10: e0bffb15 stw r2,-20(fp) + unsigned int base = sp->base; + 3d14: e0bffb17 ldw r2,-20(fp) + 3d18: 10800017 ldw r2,0(r2) + 3d1c: e0bffc15 stw r2,-16(fp) + /* ALT_LOG - see altera_hal/HAL/inc/sys/alt_log_printf.h */ + ALT_LOG_JTAG_UART_ISR_FUNCTION(base, sp); + + for ( ; ; ) + { + unsigned int control = IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base); + 3d20: e0bffc17 ldw r2,-16(fp) + 3d24: 10800104 addi r2,r2,4 + 3d28: 10800037 ldwio r2,0(r2) + 3d2c: e0bffd15 stw r2,-12(fp) + + /* Return once nothing more to do */ + if ((control & (ALTERA_AVALON_JTAG_UART_CONTROL_RI_MSK | ALTERA_AVALON_JTAG_UART_CONTROL_WI_MSK)) == 0) + 3d30: e0bffd17 ldw r2,-12(fp) + 3d34: 1080c00c andi r2,r2,768 + 3d38: 10006d26 beq r2,zero,3ef0 + break; + + if (control & ALTERA_AVALON_JTAG_UART_CONTROL_RI_MSK) + 3d3c: e0bffd17 ldw r2,-12(fp) + 3d40: 1080400c andi r2,r2,256 + 3d44: 10003526 beq r2,zero,3e1c + { + /* process a read irq. Start by assuming that there is data in the + * receive FIFO (otherwise why would we have been interrupted?) + */ + unsigned int data = 1 << ALTERA_AVALON_JTAG_UART_DATA_RAVAIL_OFST; + 3d48: 00800074 movhi r2,1 + 3d4c: e0bff915 stw r2,-28(fp) + for ( ; ; ) + { + /* Check whether there is space in the buffer. If not then we must not + * read any characters from the buffer as they will be lost. + */ + unsigned int next = (sp->rx_in + 1) % ALTERA_AVALON_JTAG_UART_BUF_LEN; + 3d50: e0bffb17 ldw r2,-20(fp) + 3d54: 10800a17 ldw r2,40(r2) + 3d58: 10800044 addi r2,r2,1 + 3d5c: 1081ffcc andi r2,r2,2047 + 3d60: e0bffe15 stw r2,-8(fp) + if (next == sp->rx_out) + 3d64: e0bffb17 ldw r2,-20(fp) + 3d68: 10c00b17 ldw r3,44(r2) + 3d6c: e0bffe17 ldw r2,-8(fp) + 3d70: 18801526 beq r3,r2,3dc8 + break; + + /* Try to remove a character from the FIFO and find out whether there + * are any more characters remaining. + */ + data = IORD_ALTERA_AVALON_JTAG_UART_DATA(base); + 3d74: e0bffc17 ldw r2,-16(fp) + 3d78: 10800037 ldwio r2,0(r2) + 3d7c: e0bff915 stw r2,-28(fp) + + if ((data & ALTERA_AVALON_JTAG_UART_DATA_RVALID_MSK) == 0) + 3d80: e0bff917 ldw r2,-28(fp) + 3d84: 10a0000c andi r2,r2,32768 + 3d88: 10001126 beq r2,zero,3dd0 + break; + + sp->rx_buf[sp->rx_in] = (data & ALTERA_AVALON_JTAG_UART_DATA_DATA_MSK) >> ALTERA_AVALON_JTAG_UART_DATA_DATA_OFST; + 3d8c: e0bffb17 ldw r2,-20(fp) + 3d90: 10800a17 ldw r2,40(r2) + 3d94: e0fff917 ldw r3,-28(fp) + 3d98: 1809883a mov r4,r3 + 3d9c: e0fffb17 ldw r3,-20(fp) + 3da0: 1885883a add r2,r3,r2 + 3da4: 10800e04 addi r2,r2,56 + 3da8: 11000005 stb r4,0(r2) + sp->rx_in = (sp->rx_in + 1) % ALTERA_AVALON_JTAG_UART_BUF_LEN; + 3dac: e0bffb17 ldw r2,-20(fp) + 3db0: 10800a17 ldw r2,40(r2) + 3db4: 10800044 addi r2,r2,1 + 3db8: 10c1ffcc andi r3,r2,2047 + 3dbc: e0bffb17 ldw r2,-20(fp) + 3dc0: 10c00a15 stw r3,40(r2) + + /* Post an event to notify jtag_uart_read that a character has been read */ + ALT_FLAG_POST (sp->events, ALT_JTAG_UART_READ_RDY, OS_FLAG_SET); + } + 3dc4: 003fe206 br 3d50 <__alt_data_end+0xfffe3d50> + /* Check whether there is space in the buffer. If not then we must not + * read any characters from the buffer as they will be lost. + */ + unsigned int next = (sp->rx_in + 1) % ALTERA_AVALON_JTAG_UART_BUF_LEN; + if (next == sp->rx_out) + break; + 3dc8: 0001883a nop + 3dcc: 00000106 br 3dd4 + * are any more characters remaining. + */ + data = IORD_ALTERA_AVALON_JTAG_UART_DATA(base); + + if ((data & ALTERA_AVALON_JTAG_UART_DATA_RVALID_MSK) == 0) + break; + 3dd0: 0001883a nop + + /* Post an event to notify jtag_uart_read that a character has been read */ + ALT_FLAG_POST (sp->events, ALT_JTAG_UART_READ_RDY, OS_FLAG_SET); + } + + if (data & ALTERA_AVALON_JTAG_UART_DATA_RAVAIL_MSK) + 3dd4: e0bff917 ldw r2,-28(fp) + 3dd8: 10bfffec andhi r2,r2,65535 + 3ddc: 10000f26 beq r2,zero,3e1c + { + /* If there is still data available here then the buffer is full + * so turn off receive interrupts until some space becomes available. + */ + sp->irq_enable &= ~ALTERA_AVALON_JTAG_UART_CONTROL_RE_MSK; + 3de0: e0bffb17 ldw r2,-20(fp) + 3de4: 10c00817 ldw r3,32(r2) + 3de8: 00bfff84 movi r2,-2 + 3dec: 1886703a and r3,r3,r2 + 3df0: e0bffb17 ldw r2,-20(fp) + 3df4: 10c00815 stw r3,32(r2) + IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(base, sp->irq_enable); + 3df8: e0bffc17 ldw r2,-16(fp) + 3dfc: 10800104 addi r2,r2,4 + 3e00: 1007883a mov r3,r2 + 3e04: e0bffb17 ldw r2,-20(fp) + 3e08: 10800817 ldw r2,32(r2) + 3e0c: 18800035 stwio r2,0(r3) + + /* Dummy read to ensure IRQ is cleared prior to ISR completion */ + IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base); + 3e10: e0bffc17 ldw r2,-16(fp) + 3e14: 10800104 addi r2,r2,4 + 3e18: 10800037 ldwio r2,0(r2) + } + } + + if (control & ALTERA_AVALON_JTAG_UART_CONTROL_WI_MSK) + 3e1c: e0bffd17 ldw r2,-12(fp) + 3e20: 1080800c andi r2,r2,512 + 3e24: 103fbe26 beq r2,zero,3d20 <__alt_data_end+0xfffe3d20> + { + /* process a write irq */ + unsigned int space = (control & ALTERA_AVALON_JTAG_UART_CONTROL_WSPACE_MSK) >> ALTERA_AVALON_JTAG_UART_CONTROL_WSPACE_OFST; + 3e28: e0bffd17 ldw r2,-12(fp) + 3e2c: 1004d43a srli r2,r2,16 + 3e30: e0bffa15 stw r2,-24(fp) + + while (space > 0 && sp->tx_out != sp->tx_in) + 3e34: 00001406 br 3e88 + { + IOWR_ALTERA_AVALON_JTAG_UART_DATA(base, sp->tx_buf[sp->tx_out]); + 3e38: e0bffc17 ldw r2,-16(fp) + 3e3c: e0fffb17 ldw r3,-20(fp) + 3e40: 18c00d17 ldw r3,52(r3) + 3e44: e13ffb17 ldw r4,-20(fp) + 3e48: 20c7883a add r3,r4,r3 + 3e4c: 18c20e04 addi r3,r3,2104 + 3e50: 18c00003 ldbu r3,0(r3) + 3e54: 18c03fcc andi r3,r3,255 + 3e58: 18c0201c xori r3,r3,128 + 3e5c: 18ffe004 addi r3,r3,-128 + 3e60: 10c00035 stwio r3,0(r2) + + sp->tx_out = (sp->tx_out + 1) % ALTERA_AVALON_JTAG_UART_BUF_LEN; + 3e64: e0bffb17 ldw r2,-20(fp) + 3e68: 10800d17 ldw r2,52(r2) + 3e6c: 10800044 addi r2,r2,1 + 3e70: 10c1ffcc andi r3,r2,2047 + 3e74: e0bffb17 ldw r2,-20(fp) + 3e78: 10c00d15 stw r3,52(r2) + + /* Post an event to notify jtag_uart_write that a character has been written */ + ALT_FLAG_POST (sp->events, ALT_JTAG_UART_WRITE_RDY, OS_FLAG_SET); + + space--; + 3e7c: e0bffa17 ldw r2,-24(fp) + 3e80: 10bfffc4 addi r2,r2,-1 + 3e84: e0bffa15 stw r2,-24(fp) + if (control & ALTERA_AVALON_JTAG_UART_CONTROL_WI_MSK) + { + /* process a write irq */ + unsigned int space = (control & ALTERA_AVALON_JTAG_UART_CONTROL_WSPACE_MSK) >> ALTERA_AVALON_JTAG_UART_CONTROL_WSPACE_OFST; + + while (space > 0 && sp->tx_out != sp->tx_in) + 3e88: e0bffa17 ldw r2,-24(fp) + 3e8c: 10000526 beq r2,zero,3ea4 + 3e90: e0bffb17 ldw r2,-20(fp) + 3e94: 10c00d17 ldw r3,52(r2) + 3e98: e0bffb17 ldw r2,-20(fp) + 3e9c: 10800c17 ldw r2,48(r2) + 3ea0: 18bfe51e bne r3,r2,3e38 <__alt_data_end+0xfffe3e38> + ALT_FLAG_POST (sp->events, ALT_JTAG_UART_WRITE_RDY, OS_FLAG_SET); + + space--; + } + + if (space > 0) + 3ea4: e0bffa17 ldw r2,-24(fp) + 3ea8: 103f9d26 beq r2,zero,3d20 <__alt_data_end+0xfffe3d20> + { + /* If we don't have any more data available then turn off the TX interrupt */ + sp->irq_enable &= ~ALTERA_AVALON_JTAG_UART_CONTROL_WE_MSK; + 3eac: e0bffb17 ldw r2,-20(fp) + 3eb0: 10c00817 ldw r3,32(r2) + 3eb4: 00bfff44 movi r2,-3 + 3eb8: 1886703a and r3,r3,r2 + 3ebc: e0bffb17 ldw r2,-20(fp) + 3ec0: 10c00815 stw r3,32(r2) + IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base, sp->irq_enable); + 3ec4: e0bffb17 ldw r2,-20(fp) + 3ec8: 10800017 ldw r2,0(r2) + 3ecc: 10800104 addi r2,r2,4 + 3ed0: 1007883a mov r3,r2 + 3ed4: e0bffb17 ldw r2,-20(fp) + 3ed8: 10800817 ldw r2,32(r2) + 3edc: 18800035 stwio r2,0(r3) + + /* Dummy read to ensure IRQ is cleared prior to ISR completion */ + IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base); + 3ee0: e0bffc17 ldw r2,-16(fp) + 3ee4: 10800104 addi r2,r2,4 + 3ee8: 10800037 ldwio r2,0(r2) + } + } + } + 3eec: 003f8c06 br 3d20 <__alt_data_end+0xfffe3d20> + { + unsigned int control = IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base); + + /* Return once nothing more to do */ + if ((control & (ALTERA_AVALON_JTAG_UART_CONTROL_RI_MSK | ALTERA_AVALON_JTAG_UART_CONTROL_WI_MSK)) == 0) + break; + 3ef0: 0001883a nop + /* Dummy read to ensure IRQ is cleared prior to ISR completion */ + IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base); + } + } + } +} + 3ef4: 0001883a nop + 3ef8: e037883a mov sp,fp + 3efc: df000017 ldw fp,0(sp) + 3f00: dec00104 addi sp,sp,4 + 3f04: f800283a ret + +00003f08 : + * Timeout routine is called every second + */ + +static alt_u32 +altera_avalon_jtag_uart_timeout(void* context) +{ + 3f08: defff804 addi sp,sp,-32 + 3f0c: df000715 stw fp,28(sp) + 3f10: df000704 addi fp,sp,28 + 3f14: e13ffb15 stw r4,-20(fp) + altera_avalon_jtag_uart_state* sp = (altera_avalon_jtag_uart_state *) context; + 3f18: e0bffb17 ldw r2,-20(fp) + 3f1c: e0bff915 stw r2,-28(fp) + + unsigned int control = IORD_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base); + 3f20: e0bff917 ldw r2,-28(fp) + 3f24: 10800017 ldw r2,0(r2) + 3f28: 10800104 addi r2,r2,4 + 3f2c: 10800037 ldwio r2,0(r2) + 3f30: e0bffa15 stw r2,-24(fp) + + if (control & ALTERA_AVALON_JTAG_UART_CONTROL_AC_MSK) + 3f34: e0bffa17 ldw r2,-24(fp) + 3f38: 1081000c andi r2,r2,1024 + 3f3c: 10000b26 beq r2,zero,3f6c + { + IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base, sp->irq_enable | ALTERA_AVALON_JTAG_UART_CONTROL_AC_MSK); + 3f40: e0bff917 ldw r2,-28(fp) + 3f44: 10800017 ldw r2,0(r2) + 3f48: 10800104 addi r2,r2,4 + 3f4c: 1007883a mov r3,r2 + 3f50: e0bff917 ldw r2,-28(fp) + 3f54: 10800817 ldw r2,32(r2) + 3f58: 10810014 ori r2,r2,1024 + 3f5c: 18800035 stwio r2,0(r3) + sp->host_inactive = 0; + 3f60: e0bff917 ldw r2,-28(fp) + 3f64: 10000915 stw zero,36(r2) + 3f68: 00000a06 br 3f94 + } + else if (sp->host_inactive < INT_MAX - 2) { + 3f6c: e0bff917 ldw r2,-28(fp) + 3f70: 10c00917 ldw r3,36(r2) + 3f74: 00a00034 movhi r2,32768 + 3f78: 10bfff04 addi r2,r2,-4 + 3f7c: 10c00536 bltu r2,r3,3f94 + sp->host_inactive++; + 3f80: e0bff917 ldw r2,-28(fp) + 3f84: 10800917 ldw r2,36(r2) + 3f88: 10c00044 addi r3,r2,1 + 3f8c: e0bff917 ldw r2,-28(fp) + 3f90: 10c00915 stw r3,36(r2) + 3f94: d0a01617 ldw r2,-32680(gp) + ALT_FLAG_POST (sp->events, ALT_JTAG_UART_TIMEOUT, OS_FLAG_SET); + } + } + + return alt_ticks_per_second(); +} + 3f98: e037883a mov sp,fp + 3f9c: df000017 ldw fp,0(sp) + 3fa0: dec00104 addi sp,sp,4 + 3fa4: f800283a ret + +00003fa8 : + * The close routine is not implemented for the small driver; instead it will + * map to null. This is because the small driver simply waits while characters + * are transmitted; there is no interrupt-serviced buffer to empty + */ +int altera_avalon_jtag_uart_close(altera_avalon_jtag_uart_state* sp, int flags) +{ + 3fa8: defffd04 addi sp,sp,-12 + 3fac: df000215 stw fp,8(sp) + 3fb0: df000204 addi fp,sp,8 + 3fb4: e13ffe15 stw r4,-8(fp) + 3fb8: e17fff15 stw r5,-4(fp) + /* + * Wait for all transmit data to be emptied by the JTAG UART ISR, or + * for a host-inactivity timeout, in which case transmit data will be lost + */ + while ( (sp->tx_out != sp->tx_in) && (sp->host_inactive < sp->timeout) ) { + 3fbc: 00000506 br 3fd4 + if (flags & O_NONBLOCK) { + 3fc0: e0bfff17 ldw r2,-4(fp) + 3fc4: 1090000c andi r2,r2,16384 + 3fc8: 10000226 beq r2,zero,3fd4 + return -EWOULDBLOCK; + 3fcc: 00bffd44 movi r2,-11 + 3fd0: 00000b06 br 4000 +{ + /* + * Wait for all transmit data to be emptied by the JTAG UART ISR, or + * for a host-inactivity timeout, in which case transmit data will be lost + */ + while ( (sp->tx_out != sp->tx_in) && (sp->host_inactive < sp->timeout) ) { + 3fd4: e0bffe17 ldw r2,-8(fp) + 3fd8: 10c00d17 ldw r3,52(r2) + 3fdc: e0bffe17 ldw r2,-8(fp) + 3fe0: 10800c17 ldw r2,48(r2) + 3fe4: 18800526 beq r3,r2,3ffc + 3fe8: e0bffe17 ldw r2,-8(fp) + 3fec: 10c00917 ldw r3,36(r2) + 3ff0: e0bffe17 ldw r2,-8(fp) + 3ff4: 10800117 ldw r2,4(r2) + 3ff8: 18bff136 bltu r3,r2,3fc0 <__alt_data_end+0xfffe3fc0> + if (flags & O_NONBLOCK) { + return -EWOULDBLOCK; + } + } + + return 0; + 3ffc: 0005883a mov r2,zero +} + 4000: e037883a mov sp,fp + 4004: df000017 ldw fp,0(sp) + 4008: dec00104 addi sp,sp,4 + 400c: f800283a ret + +00004010 : +/* ----------------------------------------------------------- */ + +int +altera_avalon_jtag_uart_ioctl(altera_avalon_jtag_uart_state* sp, int req, + void* arg) +{ + 4010: defffa04 addi sp,sp,-24 + 4014: df000515 stw fp,20(sp) + 4018: df000504 addi fp,sp,20 + 401c: e13ffd15 stw r4,-12(fp) + 4020: e17ffe15 stw r5,-8(fp) + 4024: e1bfff15 stw r6,-4(fp) + int rc = -ENOTTY; + 4028: 00bff9c4 movi r2,-25 + 402c: e0bffb15 stw r2,-20(fp) + + switch (req) + 4030: e0bffe17 ldw r2,-8(fp) + 4034: 10da8060 cmpeqi r3,r2,27137 + 4038: 1800031e bne r3,zero,4048 + 403c: 109a80a0 cmpeqi r2,r2,27138 + 4040: 1000181e bne r2,zero,40a4 + rc = 0; + } + break; + + default: + break; + 4044: 00002906 br 40ec + + switch (req) + { + case TIOCSTIMEOUT: + /* Set the time to wait until assuming host is not connected */ + if (sp->timeout != INT_MAX) + 4048: e0bffd17 ldw r2,-12(fp) + 404c: 10c00117 ldw r3,4(r2) + 4050: 00a00034 movhi r2,32768 + 4054: 10bfffc4 addi r2,r2,-1 + 4058: 18802126 beq r3,r2,40e0 + { + int timeout = *((int *)arg); + 405c: e0bfff17 ldw r2,-4(fp) + 4060: 10800017 ldw r2,0(r2) + 4064: e0bffc15 stw r2,-16(fp) + sp->timeout = (timeout >= 2 && timeout < INT_MAX) ? timeout : INT_MAX - 1; + 4068: e0bffc17 ldw r2,-16(fp) + 406c: 10800090 cmplti r2,r2,2 + 4070: 1000061e bne r2,zero,408c + 4074: e0fffc17 ldw r3,-16(fp) + 4078: 00a00034 movhi r2,32768 + 407c: 10bfffc4 addi r2,r2,-1 + 4080: 18800226 beq r3,r2,408c + 4084: e0bffc17 ldw r2,-16(fp) + 4088: 00000206 br 4094 + 408c: 00a00034 movhi r2,32768 + 4090: 10bfff84 addi r2,r2,-2 + 4094: e0fffd17 ldw r3,-12(fp) + 4098: 18800115 stw r2,4(r3) + rc = 0; + 409c: e03ffb15 stw zero,-20(fp) + } + break; + 40a0: 00000f06 br 40e0 + + case TIOCGCONNECTED: + /* Find out whether host is connected */ + if (sp->timeout != INT_MAX) + 40a4: e0bffd17 ldw r2,-12(fp) + 40a8: 10c00117 ldw r3,4(r2) + 40ac: 00a00034 movhi r2,32768 + 40b0: 10bfffc4 addi r2,r2,-1 + 40b4: 18800c26 beq r3,r2,40e8 + { + *((int *)arg) = (sp->host_inactive < sp->timeout) ? 1 : 0; + 40b8: e0bffd17 ldw r2,-12(fp) + 40bc: 10c00917 ldw r3,36(r2) + 40c0: e0bffd17 ldw r2,-12(fp) + 40c4: 10800117 ldw r2,4(r2) + 40c8: 1885803a cmpltu r2,r3,r2 + 40cc: 10c03fcc andi r3,r2,255 + 40d0: e0bfff17 ldw r2,-4(fp) + 40d4: 10c00015 stw r3,0(r2) + rc = 0; + 40d8: e03ffb15 stw zero,-20(fp) + } + break; + 40dc: 00000206 br 40e8 + { + int timeout = *((int *)arg); + sp->timeout = (timeout >= 2 && timeout < INT_MAX) ? timeout : INT_MAX - 1; + rc = 0; + } + break; + 40e0: 0001883a nop + 40e4: 00000106 br 40ec + if (sp->timeout != INT_MAX) + { + *((int *)arg) = (sp->host_inactive < sp->timeout) ? 1 : 0; + rc = 0; + } + break; + 40e8: 0001883a nop + + default: + break; + } + + return rc; + 40ec: e0bffb17 ldw r2,-20(fp) +} + 40f0: e037883a mov sp,fp + 40f4: df000017 ldw fp,0(sp) + 40f8: dec00104 addi sp,sp,4 + 40fc: f800283a ret + +00004100 : +/* ----------------------------------------------------------- */ + +int +altera_avalon_jtag_uart_read(altera_avalon_jtag_uart_state* sp, + char * buffer, int space, int flags) +{ + 4100: defff304 addi sp,sp,-52 + 4104: dfc00c15 stw ra,48(sp) + 4108: df000b15 stw fp,44(sp) + 410c: df000b04 addi fp,sp,44 + 4110: e13ffc15 stw r4,-16(fp) + 4114: e17ffd15 stw r5,-12(fp) + 4118: e1bffe15 stw r6,-8(fp) + 411c: e1ffff15 stw r7,-4(fp) + char * ptr = buffer; + 4120: e0bffd17 ldw r2,-12(fp) + 4124: e0bff515 stw r2,-44(fp) + * When running in a multi threaded environment, obtain the "read_lock" + * semaphore. This ensures that reading from the device is thread-safe. + */ + ALT_SEM_PEND (sp->read_lock, 0); + + while (space > 0) + 4128: 00004706 br 4248 + unsigned int in, out; + + /* Read as much data as possible */ + do + { + in = sp->rx_in; + 412c: e0bffc17 ldw r2,-16(fp) + 4130: 10800a17 ldw r2,40(r2) + 4134: e0bff715 stw r2,-36(fp) + out = sp->rx_out; + 4138: e0bffc17 ldw r2,-16(fp) + 413c: 10800b17 ldw r2,44(r2) + 4140: e0bff815 stw r2,-32(fp) + + if (in >= out) + 4144: e0fff717 ldw r3,-36(fp) + 4148: e0bff817 ldw r2,-32(fp) + 414c: 18800536 bltu r3,r2,4164 + n = in - out; + 4150: e0fff717 ldw r3,-36(fp) + 4154: e0bff817 ldw r2,-32(fp) + 4158: 1885c83a sub r2,r3,r2 + 415c: e0bff615 stw r2,-40(fp) + 4160: 00000406 br 4174 + else + n = ALTERA_AVALON_JTAG_UART_BUF_LEN - out; + 4164: 00c20004 movi r3,2048 + 4168: e0bff817 ldw r2,-32(fp) + 416c: 1885c83a sub r2,r3,r2 + 4170: e0bff615 stw r2,-40(fp) + + if (n == 0) + 4174: e0bff617 ldw r2,-40(fp) + 4178: 10001e26 beq r2,zero,41f4 + break; /* No more data available */ + + if (n > space) + 417c: e0fffe17 ldw r3,-8(fp) + 4180: e0bff617 ldw r2,-40(fp) + 4184: 1880022e bgeu r3,r2,4190 + n = space; + 4188: e0bffe17 ldw r2,-8(fp) + 418c: e0bff615 stw r2,-40(fp) + + memcpy(ptr, sp->rx_buf + out, n); + 4190: e0bffc17 ldw r2,-16(fp) + 4194: 10c00e04 addi r3,r2,56 + 4198: e0bff817 ldw r2,-32(fp) + 419c: 1885883a add r2,r3,r2 + 41a0: e1bff617 ldw r6,-40(fp) + 41a4: 100b883a mov r5,r2 + 41a8: e13ff517 ldw r4,-44(fp) + 41ac: 000178c0 call 178c + ptr += n; + 41b0: e0fff517 ldw r3,-44(fp) + 41b4: e0bff617 ldw r2,-40(fp) + 41b8: 1885883a add r2,r3,r2 + 41bc: e0bff515 stw r2,-44(fp) + space -= n; + 41c0: e0fffe17 ldw r3,-8(fp) + 41c4: e0bff617 ldw r2,-40(fp) + 41c8: 1885c83a sub r2,r3,r2 + 41cc: e0bffe15 stw r2,-8(fp) + + sp->rx_out = (out + n) % ALTERA_AVALON_JTAG_UART_BUF_LEN; + 41d0: e0fff817 ldw r3,-32(fp) + 41d4: e0bff617 ldw r2,-40(fp) + 41d8: 1885883a add r2,r3,r2 + 41dc: 10c1ffcc andi r3,r2,2047 + 41e0: e0bffc17 ldw r2,-16(fp) + 41e4: 10c00b15 stw r3,44(r2) + } + while (space > 0); + 41e8: e0bffe17 ldw r2,-8(fp) + 41ec: 00bfcf16 blt zero,r2,412c <__alt_data_end+0xfffe412c> + 41f0: 00000106 br 41f8 + n = in - out; + else + n = ALTERA_AVALON_JTAG_UART_BUF_LEN - out; + + if (n == 0) + break; /* No more data available */ + 41f4: 0001883a nop + sp->rx_out = (out + n) % ALTERA_AVALON_JTAG_UART_BUF_LEN; + } + while (space > 0); + + /* If we read any data then return it */ + if (ptr != buffer) + 41f8: e0fff517 ldw r3,-44(fp) + 41fc: e0bffd17 ldw r2,-12(fp) + 4200: 1880141e bne r3,r2,4254 + break; + + /* If in non-blocking mode then return error */ + if (flags & O_NONBLOCK) + 4204: e0bfff17 ldw r2,-4(fp) + 4208: 1090000c andi r2,r2,16384 + 420c: 1000131e bne r2,zero,425c + while (in == sp->rx_in && sp->host_inactive < sp->timeout) + ; + } +#else + /* No OS: Always spin */ + while (in == sp->rx_in && sp->host_inactive < sp->timeout) + 4210: 0001883a nop + 4214: e0bffc17 ldw r2,-16(fp) + 4218: 10c00a17 ldw r3,40(r2) + 421c: e0bff717 ldw r2,-36(fp) + 4220: 1880051e bne r3,r2,4238 + 4224: e0bffc17 ldw r2,-16(fp) + 4228: 10c00917 ldw r3,36(r2) + 422c: e0bffc17 ldw r2,-16(fp) + 4230: 10800117 ldw r2,4(r2) + 4234: 18bff736 bltu r3,r2,4214 <__alt_data_end+0xfffe4214> + ; +#endif /* __ucosii__ */ + + if (in == sp->rx_in) + 4238: e0bffc17 ldw r2,-16(fp) + 423c: 10c00a17 ldw r3,40(r2) + 4240: e0bff717 ldw r2,-36(fp) + 4244: 18800726 beq r3,r2,4264 + * When running in a multi threaded environment, obtain the "read_lock" + * semaphore. This ensures that reading from the device is thread-safe. + */ + ALT_SEM_PEND (sp->read_lock, 0); + + while (space > 0) + 4248: e0bffe17 ldw r2,-8(fp) + 424c: 00bfb716 blt zero,r2,412c <__alt_data_end+0xfffe412c> + 4250: 00000506 br 4268 + } + while (space > 0); + + /* If we read any data then return it */ + if (ptr != buffer) + break; + 4254: 0001883a nop + 4258: 00000306 br 4268 + + /* If in non-blocking mode then return error */ + if (flags & O_NONBLOCK) + break; + 425c: 0001883a nop + 4260: 00000106 br 4268 + while (in == sp->rx_in && sp->host_inactive < sp->timeout) + ; +#endif /* __ucosii__ */ + + if (in == sp->rx_in) + break; + 4264: 0001883a nop + * semaphore so that other threads can access the buffer. + */ + + ALT_SEM_POST (sp->read_lock); + + if (ptr != buffer) + 4268: e0fff517 ldw r3,-44(fp) + 426c: e0bffd17 ldw r2,-12(fp) + 4270: 18801826 beq r3,r2,42d4 +static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE + alt_irq_disable_all (void) +{ + alt_irq_context context; + + NIOS2_READ_STATUS (context); + 4274: 0005303a rdctl r2,status + 4278: e0bffb15 stw r2,-20(fp) + + NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); + 427c: e0fffb17 ldw r3,-20(fp) + 4280: 00bfff84 movi r2,-2 + 4284: 1884703a and r2,r3,r2 + 4288: 1001703a wrctl status,r2 + + return context; + 428c: e0bffb17 ldw r2,-20(fp) + { + /* If we read any data then there is space in the buffer so enable interrupts */ + context = alt_irq_disable_all(); + 4290: e0bffa15 stw r2,-24(fp) + sp->irq_enable |= ALTERA_AVALON_JTAG_UART_CONTROL_RE_MSK; + 4294: e0bffc17 ldw r2,-16(fp) + 4298: 10800817 ldw r2,32(r2) + 429c: 10c00054 ori r3,r2,1 + 42a0: e0bffc17 ldw r2,-16(fp) + 42a4: 10c00815 stw r3,32(r2) + IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base, sp->irq_enable); + 42a8: e0bffc17 ldw r2,-16(fp) + 42ac: 10800017 ldw r2,0(r2) + 42b0: 10800104 addi r2,r2,4 + 42b4: 1007883a mov r3,r2 + 42b8: e0bffc17 ldw r2,-16(fp) + 42bc: 10800817 ldw r2,32(r2) + 42c0: 18800035 stwio r2,0(r3) + 42c4: e0bffa17 ldw r2,-24(fp) + 42c8: e0bff915 stw r2,-28(fp) + status &= ~NIOS2_STATUS_PIE_MSK; + status |= (context & NIOS2_STATUS_PIE_MSK); + + NIOS2_WRITE_STATUS (status); +#else + NIOS2_WRITE_STATUS (context); + 42cc: e0bff917 ldw r2,-28(fp) + 42d0: 1001703a wrctl status,r2 + alt_irq_enable_all(context); + } + + if (ptr != buffer) + 42d4: e0fff517 ldw r3,-44(fp) + 42d8: e0bffd17 ldw r2,-12(fp) + 42dc: 18800426 beq r3,r2,42f0 + return ptr - buffer; + 42e0: e0fff517 ldw r3,-44(fp) + 42e4: e0bffd17 ldw r2,-12(fp) + 42e8: 1885c83a sub r2,r3,r2 + 42ec: 00000606 br 4308 + else if (flags & O_NONBLOCK) + 42f0: e0bfff17 ldw r2,-4(fp) + 42f4: 1090000c andi r2,r2,16384 + 42f8: 10000226 beq r2,zero,4304 + return -EWOULDBLOCK; + 42fc: 00bffd44 movi r2,-11 + 4300: 00000106 br 4308 + else + return -EIO; + 4304: 00bffec4 movi r2,-5 +} + 4308: e037883a mov sp,fp + 430c: dfc00117 ldw ra,4(sp) + 4310: df000017 ldw fp,0(sp) + 4314: dec00204 addi sp,sp,8 + 4318: f800283a ret + +0000431c : +/* ----------------------------------------------------------- */ + +int +altera_avalon_jtag_uart_write(altera_avalon_jtag_uart_state* sp, + const char * ptr, int count, int flags) +{ + 431c: defff304 addi sp,sp,-52 + 4320: dfc00c15 stw ra,48(sp) + 4324: df000b15 stw fp,44(sp) + 4328: df000b04 addi fp,sp,44 + 432c: e13ffc15 stw r4,-16(fp) + 4330: e17ffd15 stw r5,-12(fp) + 4334: e1bffe15 stw r6,-8(fp) + 4338: e1ffff15 stw r7,-4(fp) + /* Remove warning at optimisation level 03 by seting out to 0 */ + unsigned int in, out=0; + 433c: e03ff515 stw zero,-44(fp) + unsigned int n; + alt_irq_context context; + + const char * start = ptr; + 4340: e0bffd17 ldw r2,-12(fp) + 4344: e0bff715 stw r2,-36(fp) + ALT_SEM_PEND (sp->write_lock, 0); + + do + { + /* Copy as much as we can into the transmit buffer */ + while (count > 0) + 4348: 00003706 br 4428 + { + /* We need a stable value of the out pointer to calculate the space available */ + in = sp->tx_in; + 434c: e0bffc17 ldw r2,-16(fp) + 4350: 10800c17 ldw r2,48(r2) + 4354: e0bff915 stw r2,-28(fp) + out = sp->tx_out; + 4358: e0bffc17 ldw r2,-16(fp) + 435c: 10800d17 ldw r2,52(r2) + 4360: e0bff515 stw r2,-44(fp) + + if (in < out) + 4364: e0fff917 ldw r3,-28(fp) + 4368: e0bff517 ldw r2,-44(fp) + 436c: 1880062e bgeu r3,r2,4388 + n = out - 1 - in; + 4370: e0fff517 ldw r3,-44(fp) + 4374: e0bff917 ldw r2,-28(fp) + 4378: 1885c83a sub r2,r3,r2 + 437c: 10bfffc4 addi r2,r2,-1 + 4380: e0bff615 stw r2,-40(fp) + 4384: 00000b06 br 43b4 + else if (out > 0) + 4388: e0bff517 ldw r2,-44(fp) + 438c: 10000526 beq r2,zero,43a4 + n = ALTERA_AVALON_JTAG_UART_BUF_LEN - in; + 4390: 00c20004 movi r3,2048 + 4394: e0bff917 ldw r2,-28(fp) + 4398: 1885c83a sub r2,r3,r2 + 439c: e0bff615 stw r2,-40(fp) + 43a0: 00000406 br 43b4 + else + n = ALTERA_AVALON_JTAG_UART_BUF_LEN - 1 - in; + 43a4: 00c1ffc4 movi r3,2047 + 43a8: e0bff917 ldw r2,-28(fp) + 43ac: 1885c83a sub r2,r3,r2 + 43b0: e0bff615 stw r2,-40(fp) + + if (n == 0) + 43b4: e0bff617 ldw r2,-40(fp) + 43b8: 10001e26 beq r2,zero,4434 + break; + + if (n > count) + 43bc: e0fffe17 ldw r3,-8(fp) + 43c0: e0bff617 ldw r2,-40(fp) + 43c4: 1880022e bgeu r3,r2,43d0 + n = count; + 43c8: e0bffe17 ldw r2,-8(fp) + 43cc: e0bff615 stw r2,-40(fp) + + memcpy(sp->tx_buf + in, ptr, n); + 43d0: e0bffc17 ldw r2,-16(fp) + 43d4: 10c20e04 addi r3,r2,2104 + 43d8: e0bff917 ldw r2,-28(fp) + 43dc: 1885883a add r2,r3,r2 + 43e0: e1bff617 ldw r6,-40(fp) + 43e4: e17ffd17 ldw r5,-12(fp) + 43e8: 1009883a mov r4,r2 + 43ec: 000178c0 call 178c + ptr += n; + 43f0: e0fffd17 ldw r3,-12(fp) + 43f4: e0bff617 ldw r2,-40(fp) + 43f8: 1885883a add r2,r3,r2 + 43fc: e0bffd15 stw r2,-12(fp) + count -= n; + 4400: e0fffe17 ldw r3,-8(fp) + 4404: e0bff617 ldw r2,-40(fp) + 4408: 1885c83a sub r2,r3,r2 + 440c: e0bffe15 stw r2,-8(fp) + + sp->tx_in = (in + n) % ALTERA_AVALON_JTAG_UART_BUF_LEN; + 4410: e0fff917 ldw r3,-28(fp) + 4414: e0bff617 ldw r2,-40(fp) + 4418: 1885883a add r2,r3,r2 + 441c: 10c1ffcc andi r3,r2,2047 + 4420: e0bffc17 ldw r2,-16(fp) + 4424: 10c00c15 stw r3,48(r2) + ALT_SEM_PEND (sp->write_lock, 0); + + do + { + /* Copy as much as we can into the transmit buffer */ + while (count > 0) + 4428: e0bffe17 ldw r2,-8(fp) + 442c: 00bfc716 blt zero,r2,434c <__alt_data_end+0xfffe434c> + 4430: 00000106 br 4438 + n = ALTERA_AVALON_JTAG_UART_BUF_LEN - in; + else + n = ALTERA_AVALON_JTAG_UART_BUF_LEN - 1 - in; + + if (n == 0) + break; + 4434: 0001883a nop +static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE + alt_irq_disable_all (void) +{ + alt_irq_context context; + + NIOS2_READ_STATUS (context); + 4438: 0005303a rdctl r2,status + 443c: e0bffb15 stw r2,-20(fp) + + NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); + 4440: e0fffb17 ldw r3,-20(fp) + 4444: 00bfff84 movi r2,-2 + 4448: 1884703a and r2,r3,r2 + 444c: 1001703a wrctl status,r2 + + return context; + 4450: e0bffb17 ldw r2,-20(fp) + * to enable interrupts if there is no space left in the FIFO + * + * For now kick the interrupt routine every time to make it transmit + * the data + */ + context = alt_irq_disable_all(); + 4454: e0bffa15 stw r2,-24(fp) + sp->irq_enable |= ALTERA_AVALON_JTAG_UART_CONTROL_WE_MSK; + 4458: e0bffc17 ldw r2,-16(fp) + 445c: 10800817 ldw r2,32(r2) + 4460: 10c00094 ori r3,r2,2 + 4464: e0bffc17 ldw r2,-16(fp) + 4468: 10c00815 stw r3,32(r2) + IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base, sp->irq_enable); + 446c: e0bffc17 ldw r2,-16(fp) + 4470: 10800017 ldw r2,0(r2) + 4474: 10800104 addi r2,r2,4 + 4478: 1007883a mov r3,r2 + 447c: e0bffc17 ldw r2,-16(fp) + 4480: 10800817 ldw r2,32(r2) + 4484: 18800035 stwio r2,0(r3) + 4488: e0bffa17 ldw r2,-24(fp) + 448c: e0bff815 stw r2,-32(fp) + status &= ~NIOS2_STATUS_PIE_MSK; + status |= (context & NIOS2_STATUS_PIE_MSK); + + NIOS2_WRITE_STATUS (status); +#else + NIOS2_WRITE_STATUS (context); + 4490: e0bff817 ldw r2,-32(fp) + 4494: 1001703a wrctl status,r2 + /* + * If there is any data left then either return now or block until + * some has been sent + */ + /* consider: test whether there is anything there while doing this and delay for at most 2s. */ + if (count > 0) + 4498: e0bffe17 ldw r2,-8(fp) + 449c: 0080100e bge zero,r2,44e0 + { + if (flags & O_NONBLOCK) + 44a0: e0bfff17 ldw r2,-4(fp) + 44a4: 1090000c andi r2,r2,16384 + 44a8: 1000101e bne r2,zero,44ec + /* + * No OS present: Always wait for data to be removed from buffer. Once + * the interrupt routine has removed some data then we will be able to + * insert some more. + */ + while (out == sp->tx_out && sp->host_inactive < sp->timeout) + 44ac: 0001883a nop + 44b0: e0bffc17 ldw r2,-16(fp) + 44b4: 10c00d17 ldw r3,52(r2) + 44b8: e0bff517 ldw r2,-44(fp) + 44bc: 1880051e bne r3,r2,44d4 + 44c0: e0bffc17 ldw r2,-16(fp) + 44c4: 10c00917 ldw r3,36(r2) + 44c8: e0bffc17 ldw r2,-16(fp) + 44cc: 10800117 ldw r2,4(r2) + 44d0: 18bff736 bltu r3,r2,44b0 <__alt_data_end+0xfffe44b0> + ; +#endif /* __ucosii__ */ + + if (sp->host_inactive) + 44d4: e0bffc17 ldw r2,-16(fp) + 44d8: 10800917 ldw r2,36(r2) + 44dc: 1000051e bne r2,zero,44f4 + break; + } + } + while (count > 0); + 44e0: e0bffe17 ldw r2,-8(fp) + 44e4: 00bfd016 blt zero,r2,4428 <__alt_data_end+0xfffe4428> + 44e8: 00000306 br 44f8 + */ + /* consider: test whether there is anything there while doing this and delay for at most 2s. */ + if (count > 0) + { + if (flags & O_NONBLOCK) + break; + 44ec: 0001883a nop + 44f0: 00000106 br 44f8 + while (out == sp->tx_out && sp->host_inactive < sp->timeout) + ; +#endif /* __ucosii__ */ + + if (sp->host_inactive) + break; + 44f4: 0001883a nop + * Now that access to the circular buffer is complete, release the write + * semaphore so that other threads can access the buffer. + */ + ALT_SEM_POST (sp->write_lock); + + if (ptr != start) + 44f8: e0fffd17 ldw r3,-12(fp) + 44fc: e0bff717 ldw r2,-36(fp) + 4500: 18800426 beq r3,r2,4514 + return ptr - start; + 4504: e0fffd17 ldw r3,-12(fp) + 4508: e0bff717 ldw r2,-36(fp) + 450c: 1885c83a sub r2,r3,r2 + 4510: 00000606 br 452c + else if (flags & O_NONBLOCK) + 4514: e0bfff17 ldw r2,-4(fp) + 4518: 1090000c andi r2,r2,16384 + 451c: 10000226 beq r2,zero,4528 + return -EWOULDBLOCK; + 4520: 00bffd44 movi r2,-11 + 4524: 00000106 br 452c + sp->tx_out = sp->tx_in = 0; + return ptr - start + count; + } +#endif + else + return -EIO; /* Host not connected */ + 4528: 00bffec4 movi r2,-5 +} + 452c: e037883a mov sp,fp + 4530: dfc00117 ldw ra,4(sp) + 4534: df000017 ldw fp,0(sp) + 4538: dec00204 addi sp,sp,8 + 453c: f800283a ret + +00004540 : +#ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT +static void alt_avalon_timer_sc_irq (void* base) +#else +static void alt_avalon_timer_sc_irq (void* base, alt_u32 id) +#endif +{ + 4540: defffa04 addi sp,sp,-24 + 4544: dfc00515 stw ra,20(sp) + 4548: df000415 stw fp,16(sp) + 454c: df000404 addi fp,sp,16 + 4550: e13fff15 stw r4,-4(fp) + alt_irq_context cpu_sr; + + /* clear the interrupt */ + IOWR_ALTERA_AVALON_TIMER_STATUS (base, 0); + 4554: 0007883a mov r3,zero + 4558: e0bfff17 ldw r2,-4(fp) + 455c: 10c00035 stwio r3,0(r2) + /* + * Dummy read to ensure IRQ is negated before the ISR returns. + * The control register is read because reading the status + * register has side-effects per the register map documentation. + */ + IORD_ALTERA_AVALON_TIMER_CONTROL (base); + 4560: e0bfff17 ldw r2,-4(fp) + 4564: 10800104 addi r2,r2,4 + 4568: 10800037 ldwio r2,0(r2) +static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE + alt_irq_disable_all (void) +{ + alt_irq_context context; + + NIOS2_READ_STATUS (context); + 456c: 0005303a rdctl r2,status + 4570: e0bffd15 stw r2,-12(fp) + + NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); + 4574: e0fffd17 ldw r3,-12(fp) + 4578: 00bfff84 movi r2,-2 + 457c: 1884703a and r2,r3,r2 + 4580: 1001703a wrctl status,r2 + + return context; + 4584: e0bffd17 ldw r2,-12(fp) + + /* + * Notify the system of a clock tick. disable interrupts + * during this time to safely support ISR preemption + */ + cpu_sr = alt_irq_disable_all(); + 4588: e0bffc15 stw r2,-16(fp) + alt_tick (); + 458c: 00050200 call 5020 + 4590: e0bffc17 ldw r2,-16(fp) + 4594: e0bffe15 stw r2,-8(fp) + status &= ~NIOS2_STATUS_PIE_MSK; + status |= (context & NIOS2_STATUS_PIE_MSK); + + NIOS2_WRITE_STATUS (status); +#else + NIOS2_WRITE_STATUS (context); + 4598: e0bffe17 ldw r2,-8(fp) + 459c: 1001703a wrctl status,r2 + alt_irq_enable_all(cpu_sr); +} + 45a0: 0001883a nop + 45a4: e037883a mov sp,fp + 45a8: dfc00117 ldw ra,4(sp) + 45ac: df000017 ldw fp,0(sp) + 45b0: dec00204 addi sp,sp,8 + 45b4: f800283a ret + +000045b8 : + * auto-generated alt_sys_init() function. + */ + +void alt_avalon_timer_sc_init (void* base, alt_u32 irq_controller_id, + alt_u32 irq, alt_u32 freq) +{ + 45b8: defff804 addi sp,sp,-32 + 45bc: dfc00715 stw ra,28(sp) + 45c0: df000615 stw fp,24(sp) + 45c4: df000604 addi fp,sp,24 + 45c8: e13ffc15 stw r4,-16(fp) + 45cc: e17ffd15 stw r5,-12(fp) + 45d0: e1bffe15 stw r6,-8(fp) + 45d4: e1ffff15 stw r7,-4(fp) + 45d8: e0bfff17 ldw r2,-4(fp) + 45dc: e0bffb15 stw r2,-20(fp) + * in order to initialise the value of the clock frequency. + */ + +static ALT_INLINE int ALT_ALWAYS_INLINE alt_sysclk_init (alt_u32 nticks) +{ + if (! _alt_tick_rate) + 45e0: d0a01617 ldw r2,-32680(gp) + 45e4: 1000021e bne r2,zero,45f0 + { + _alt_tick_rate = nticks; + 45e8: e0bffb17 ldw r2,-20(fp) + 45ec: d0a01615 stw r2,-32680(gp) + + alt_sysclk_init (freq); + + /* set to free running mode */ + + IOWR_ALTERA_AVALON_TIMER_CONTROL (base, + 45f0: e0bffc17 ldw r2,-16(fp) + 45f4: 10800104 addi r2,r2,4 + 45f8: 00c001c4 movi r3,7 + 45fc: 10c00035 stwio r3,0(r2) + ALTERA_AVALON_TIMER_CONTROL_CONT_MSK | + ALTERA_AVALON_TIMER_CONTROL_START_MSK); + + /* register the interrupt handler, and enable the interrupt */ +#ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT + alt_ic_isr_register(irq_controller_id, irq, alt_avalon_timer_sc_irq, + 4600: d8000015 stw zero,0(sp) + 4604: e1fffc17 ldw r7,-16(fp) + 4608: 01800034 movhi r6,0 + 460c: 31915004 addi r6,r6,17728 + 4610: e17ffe17 ldw r5,-8(fp) + 4614: e13ffd17 ldw r4,-12(fp) + 4618: 00049000 call 4900 + base, NULL); +#else + alt_irq_register (irq, base, alt_avalon_timer_sc_irq); +#endif +} + 461c: 0001883a nop + 4620: e037883a mov sp,fp + 4624: dfc00117 ldw ra,4(sp) + 4628: df000017 ldw fp,0(sp) + 462c: dec00204 addi sp,sp,8 + 4630: f800283a ret + +00004634 : + */ + +int alt_alarm_start (alt_alarm* alarm, alt_u32 nticks, + alt_u32 (*callback) (void* context), + void* context) +{ + 4634: defff504 addi sp,sp,-44 + 4638: df000a15 stw fp,40(sp) + 463c: df000a04 addi fp,sp,40 + 4640: e13ffc15 stw r4,-16(fp) + 4644: e17ffd15 stw r5,-12(fp) + 4648: e1bffe15 stw r6,-8(fp) + 464c: e1ffff15 stw r7,-4(fp) + alt_irq_context irq_context; + alt_u32 current_nticks = 0; + 4650: e03ff615 stw zero,-40(fp) + * Obtain the system clock rate in ticks/s. + */ + +static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_ticks_per_second (void) +{ + return _alt_tick_rate; + 4654: d0a01617 ldw r2,-32680(gp) + + if (alt_ticks_per_second ()) + 4658: 10003c26 beq r2,zero,474c + { + if (alarm) + 465c: e0bffc17 ldw r2,-16(fp) + 4660: 10003826 beq r2,zero,4744 + { + alarm->callback = callback; + 4664: e0bffc17 ldw r2,-16(fp) + 4668: e0fffe17 ldw r3,-8(fp) + 466c: 10c00315 stw r3,12(r2) + alarm->context = context; + 4670: e0bffc17 ldw r2,-16(fp) + 4674: e0ffff17 ldw r3,-4(fp) + 4678: 10c00515 stw r3,20(r2) +static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE + alt_irq_disable_all (void) +{ + alt_irq_context context; + + NIOS2_READ_STATUS (context); + 467c: 0005303a rdctl r2,status + 4680: e0bff915 stw r2,-28(fp) + + NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); + 4684: e0fff917 ldw r3,-28(fp) + 4688: 00bfff84 movi r2,-2 + 468c: 1884703a and r2,r3,r2 + 4690: 1001703a wrctl status,r2 + + return context; + 4694: e0bff917 ldw r2,-28(fp) + + irq_context = alt_irq_disable_all (); + 4698: e0bff815 stw r2,-32(fp) + * alt_nticks() returns the elapsed number of system clock ticks since reset. + */ + +static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_nticks (void) +{ + return _alt_nticks; + 469c: d0a01717 ldw r2,-32676(gp) + + current_nticks = alt_nticks(); + 46a0: e0bff615 stw r2,-40(fp) + + alarm->time = nticks + current_nticks + 1; + 46a4: e0fffd17 ldw r3,-12(fp) + 46a8: e0bff617 ldw r2,-40(fp) + 46ac: 1885883a add r2,r3,r2 + 46b0: 10c00044 addi r3,r2,1 + 46b4: e0bffc17 ldw r2,-16(fp) + 46b8: 10c00215 stw r3,8(r2) + /* + * If the desired alarm time causes a roll-over, set the rollover + * flag. This will prevent the subsequent tick event from causing + * an alarm too early. + */ + if(alarm->time < current_nticks) + 46bc: e0bffc17 ldw r2,-16(fp) + 46c0: 10c00217 ldw r3,8(r2) + 46c4: e0bff617 ldw r2,-40(fp) + 46c8: 1880042e bgeu r3,r2,46dc + { + alarm->rollover = 1; + 46cc: e0bffc17 ldw r2,-16(fp) + 46d0: 00c00044 movi r3,1 + 46d4: 10c00405 stb r3,16(r2) + 46d8: 00000206 br 46e4 + } + else + { + alarm->rollover = 0; + 46dc: e0bffc17 ldw r2,-16(fp) + 46e0: 10000405 stb zero,16(r2) + } + + alt_llist_insert (&alt_alarm_list, &alarm->llist); + 46e4: e0bffc17 ldw r2,-16(fp) + 46e8: d0e00c04 addi r3,gp,-32720 + 46ec: e0fffa15 stw r3,-24(fp) + 46f0: e0bffb15 stw r2,-20(fp) + */ + +static ALT_INLINE void ALT_ALWAYS_INLINE alt_llist_insert(alt_llist* list, + alt_llist* entry) +{ + entry->previous = list; + 46f4: e0bffb17 ldw r2,-20(fp) + 46f8: e0fffa17 ldw r3,-24(fp) + 46fc: 10c00115 stw r3,4(r2) + entry->next = list->next; + 4700: e0bffa17 ldw r2,-24(fp) + 4704: 10c00017 ldw r3,0(r2) + 4708: e0bffb17 ldw r2,-20(fp) + 470c: 10c00015 stw r3,0(r2) + + list->next->previous = entry; + 4710: e0bffa17 ldw r2,-24(fp) + 4714: 10800017 ldw r2,0(r2) + 4718: e0fffb17 ldw r3,-20(fp) + 471c: 10c00115 stw r3,4(r2) + list->next = entry; + 4720: e0bffa17 ldw r2,-24(fp) + 4724: e0fffb17 ldw r3,-20(fp) + 4728: 10c00015 stw r3,0(r2) + 472c: e0bff817 ldw r2,-32(fp) + 4730: e0bff715 stw r2,-36(fp) + status &= ~NIOS2_STATUS_PIE_MSK; + status |= (context & NIOS2_STATUS_PIE_MSK); + + NIOS2_WRITE_STATUS (status); +#else + NIOS2_WRITE_STATUS (context); + 4734: e0bff717 ldw r2,-36(fp) + 4738: 1001703a wrctl status,r2 + alt_irq_enable_all (irq_context); + + return 0; + 473c: 0005883a mov r2,zero + 4740: 00000306 br 4750 + } + else + { + return -EINVAL; + 4744: 00bffa84 movi r2,-22 + 4748: 00000106 br 4750 + } + } + else + { + return -ENOTSUP; + 474c: 00bfde84 movi r2,-134 + } +} + 4750: e037883a mov sp,fp + 4754: df000017 ldw fp,0(sp) + 4758: dec00104 addi sp,sp,4 + 475c: f800283a ret + +00004760 : +#undef errno + +extern int errno; + +static ALT_INLINE int* alt_get_errno(void) +{ + 4760: defffe04 addi sp,sp,-8 + 4764: dfc00115 stw ra,4(sp) + 4768: df000015 stw fp,0(sp) + 476c: d839883a mov fp,sp + return ((alt_errno) ? alt_errno() : &errno); + 4770: d0a00917 ldw r2,-32732(gp) + 4774: 10000326 beq r2,zero,4784 + 4778: d0a00917 ldw r2,-32732(gp) + 477c: 103ee83a callr r2 + 4780: 00000106 br 4788 + 4784: d0a01104 addi r2,gp,-32700 +} + 4788: e037883a mov sp,fp + 478c: dfc00117 ldw ra,4(sp) + 4790: df000017 ldw fp,0(sp) + 4794: dec00204 addi sp,sp,8 + 4798: f800283a ret + +0000479c : +/* + * + */ + +int alt_dev_llist_insert (alt_dev_llist* dev, alt_llist* list) +{ + 479c: defffa04 addi sp,sp,-24 + 47a0: dfc00515 stw ra,20(sp) + 47a4: df000415 stw fp,16(sp) + 47a8: df000404 addi fp,sp,16 + 47ac: e13ffe15 stw r4,-8(fp) + 47b0: e17fff15 stw r5,-4(fp) + /* + * check that the device exists, and that it has a valid name. + */ + + if (!dev || !dev->name) + 47b4: e0bffe17 ldw r2,-8(fp) + 47b8: 10000326 beq r2,zero,47c8 + 47bc: e0bffe17 ldw r2,-8(fp) + 47c0: 10800217 ldw r2,8(r2) + 47c4: 1000061e bne r2,zero,47e0 + { + ALT_ERRNO = EINVAL; + 47c8: 00047600 call 4760 + 47cc: 1007883a mov r3,r2 + 47d0: 00800584 movi r2,22 + 47d4: 18800015 stw r2,0(r3) + return -EINVAL; + 47d8: 00bffa84 movi r2,-22 + 47dc: 00001306 br 482c + + /* + * register the device. + */ + + alt_llist_insert(list, &dev->llist); + 47e0: e0bffe17 ldw r2,-8(fp) + 47e4: e0ffff17 ldw r3,-4(fp) + 47e8: e0fffc15 stw r3,-16(fp) + 47ec: e0bffd15 stw r2,-12(fp) + */ + +static ALT_INLINE void ALT_ALWAYS_INLINE alt_llist_insert(alt_llist* list, + alt_llist* entry) +{ + entry->previous = list; + 47f0: e0bffd17 ldw r2,-12(fp) + 47f4: e0fffc17 ldw r3,-16(fp) + 47f8: 10c00115 stw r3,4(r2) + entry->next = list->next; + 47fc: e0bffc17 ldw r2,-16(fp) + 4800: 10c00017 ldw r3,0(r2) + 4804: e0bffd17 ldw r2,-12(fp) + 4808: 10c00015 stw r3,0(r2) + + list->next->previous = entry; + 480c: e0bffc17 ldw r2,-16(fp) + 4810: 10800017 ldw r2,0(r2) + 4814: e0fffd17 ldw r3,-12(fp) + 4818: 10c00115 stw r3,4(r2) + list->next = entry; + 481c: e0bffc17 ldw r2,-16(fp) + 4820: e0fffd17 ldw r3,-12(fp) + 4824: 10c00015 stw r3,0(r2) + + return 0; + 4828: 0005883a mov r2,zero +} + 482c: e037883a mov sp,fp + 4830: dfc00117 ldw ra,4(sp) + 4834: df000017 ldw fp,0(sp) + 4838: dec00204 addi sp,sp,8 + 483c: f800283a ret + +00004840 <_do_ctors>: +/* + * Run the C++ static constructors. + */ + +void _do_ctors(void) +{ + 4840: defffd04 addi sp,sp,-12 + 4844: dfc00215 stw ra,8(sp) + 4848: df000115 stw fp,4(sp) + 484c: df000104 addi fp,sp,4 + constructor* ctor; + + for (ctor = &__CTOR_END__[-1]; ctor >= __CTOR_LIST__; ctor--) + 4850: 00800034 movhi r2,0 + 4854: 1095f504 addi r2,r2,22484 + 4858: e0bfff15 stw r2,-4(fp) + 485c: 00000606 br 4878 <_do_ctors+0x38> + (*ctor) (); + 4860: e0bfff17 ldw r2,-4(fp) + 4864: 10800017 ldw r2,0(r2) + 4868: 103ee83a callr r2 + +void _do_ctors(void) +{ + constructor* ctor; + + for (ctor = &__CTOR_END__[-1]; ctor >= __CTOR_LIST__; ctor--) + 486c: e0bfff17 ldw r2,-4(fp) + 4870: 10bfff04 addi r2,r2,-4 + 4874: e0bfff15 stw r2,-4(fp) + 4878: e0ffff17 ldw r3,-4(fp) + 487c: 00800034 movhi r2,0 + 4880: 1095f604 addi r2,r2,22488 + 4884: 18bff62e bgeu r3,r2,4860 <__alt_data_end+0xfffe4860> + (*ctor) (); +} + 4888: 0001883a nop + 488c: e037883a mov sp,fp + 4890: dfc00117 ldw ra,4(sp) + 4894: df000017 ldw fp,0(sp) + 4898: dec00204 addi sp,sp,8 + 489c: f800283a ret + +000048a0 <_do_dtors>: +/* + * Run the C++ static destructors. + */ + +void _do_dtors(void) +{ + 48a0: defffd04 addi sp,sp,-12 + 48a4: dfc00215 stw ra,8(sp) + 48a8: df000115 stw fp,4(sp) + 48ac: df000104 addi fp,sp,4 + destructor* dtor; + + for (dtor = &__DTOR_END__[-1]; dtor >= __DTOR_LIST__; dtor--) + 48b0: 00800034 movhi r2,0 + 48b4: 1095f504 addi r2,r2,22484 + 48b8: e0bfff15 stw r2,-4(fp) + 48bc: 00000606 br 48d8 <_do_dtors+0x38> + (*dtor) (); + 48c0: e0bfff17 ldw r2,-4(fp) + 48c4: 10800017 ldw r2,0(r2) + 48c8: 103ee83a callr r2 + +void _do_dtors(void) +{ + destructor* dtor; + + for (dtor = &__DTOR_END__[-1]; dtor >= __DTOR_LIST__; dtor--) + 48cc: e0bfff17 ldw r2,-4(fp) + 48d0: 10bfff04 addi r2,r2,-4 + 48d4: e0bfff15 stw r2,-4(fp) + 48d8: e0ffff17 ldw r3,-4(fp) + 48dc: 00800034 movhi r2,0 + 48e0: 1095f604 addi r2,r2,22488 + 48e4: 18bff62e bgeu r3,r2,48c0 <__alt_data_end+0xfffe48c0> + (*dtor) (); +} + 48e8: 0001883a nop + 48ec: e037883a mov sp,fp + 48f0: dfc00117 ldw ra,4(sp) + 48f4: df000017 ldw fp,0(sp) + 48f8: dec00204 addi sp,sp,8 + 48fc: f800283a ret + +00004900 : + * @param irq IRQ number + * @return 0 if successful, else error (-1) + */ +int alt_ic_isr_register(alt_u32 ic_id, alt_u32 irq, alt_isr_func isr, + void *isr_context, void *flags) +{ + 4900: defff904 addi sp,sp,-28 + 4904: dfc00615 stw ra,24(sp) + 4908: df000515 stw fp,20(sp) + 490c: df000504 addi fp,sp,20 + 4910: e13ffc15 stw r4,-16(fp) + 4914: e17ffd15 stw r5,-12(fp) + 4918: e1bffe15 stw r6,-8(fp) + 491c: e1ffff15 stw r7,-4(fp) + return alt_iic_isr_register(ic_id, irq, isr, isr_context, flags); + 4920: e0800217 ldw r2,8(fp) + 4924: d8800015 stw r2,0(sp) + 4928: e1ffff17 ldw r7,-4(fp) + 492c: e1bffe17 ldw r6,-8(fp) + 4930: e17ffd17 ldw r5,-12(fp) + 4934: e13ffc17 ldw r4,-16(fp) + 4938: 0004ab00 call 4ab0 +} + 493c: e037883a mov sp,fp + 4940: dfc00117 ldw ra,4(sp) + 4944: df000017 ldw fp,0(sp) + 4948: dec00204 addi sp,sp,8 + 494c: f800283a ret + +00004950 : + * @param ic_id Ignored. + * @param irq IRQ number + * @return 0 if successful, else error (-1) + */ +int alt_ic_irq_enable (alt_u32 ic_id, alt_u32 irq) +{ + 4950: defff904 addi sp,sp,-28 + 4954: df000615 stw fp,24(sp) + 4958: df000604 addi fp,sp,24 + 495c: e13ffe15 stw r4,-8(fp) + 4960: e17fff15 stw r5,-4(fp) + 4964: e0bfff17 ldw r2,-4(fp) + 4968: e0bffa15 stw r2,-24(fp) +static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE + alt_irq_disable_all (void) +{ + alt_irq_context context; + + NIOS2_READ_STATUS (context); + 496c: 0005303a rdctl r2,status + 4970: e0bffb15 stw r2,-20(fp) + + NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); + 4974: e0fffb17 ldw r3,-20(fp) + 4978: 00bfff84 movi r2,-2 + 497c: 1884703a and r2,r3,r2 + 4980: 1001703a wrctl status,r2 + + return context; + 4984: e0bffb17 ldw r2,-20(fp) +static ALT_INLINE int ALT_ALWAYS_INLINE alt_irq_enable (alt_u32 id) +{ + alt_irq_context status; + extern volatile alt_u32 alt_irq_active; + + status = alt_irq_disable_all (); + 4988: e0bffc15 stw r2,-16(fp) + + alt_irq_active |= (1 << id); + 498c: 00c00044 movi r3,1 + 4990: e0bffa17 ldw r2,-24(fp) + 4994: 1884983a sll r2,r3,r2 + 4998: 1007883a mov r3,r2 + 499c: d0a01517 ldw r2,-32684(gp) + 49a0: 1884b03a or r2,r3,r2 + 49a4: d0a01515 stw r2,-32684(gp) + NIOS2_WRITE_IENABLE (alt_irq_active); + 49a8: d0a01517 ldw r2,-32684(gp) + 49ac: 100170fa wrctl ienable,r2 + 49b0: e0bffc17 ldw r2,-16(fp) + 49b4: e0bffd15 stw r2,-12(fp) + status &= ~NIOS2_STATUS_PIE_MSK; + status |= (context & NIOS2_STATUS_PIE_MSK); + + NIOS2_WRITE_STATUS (status); +#else + NIOS2_WRITE_STATUS (context); + 49b8: e0bffd17 ldw r2,-12(fp) + 49bc: 1001703a wrctl status,r2 + + alt_irq_enable_all(status); + + return 0; + 49c0: 0005883a mov r2,zero + return alt_irq_enable(irq); + 49c4: 0001883a nop +} + 49c8: e037883a mov sp,fp + 49cc: df000017 ldw fp,0(sp) + 49d0: dec00104 addi sp,sp,4 + 49d4: f800283a ret + +000049d8 : + * @param ic_id Ignored. + * @param irq IRQ number + * @return 0 if successful, else error (-1) + */ +int alt_ic_irq_disable(alt_u32 ic_id, alt_u32 irq) +{ + 49d8: defff904 addi sp,sp,-28 + 49dc: df000615 stw fp,24(sp) + 49e0: df000604 addi fp,sp,24 + 49e4: e13ffe15 stw r4,-8(fp) + 49e8: e17fff15 stw r5,-4(fp) + 49ec: e0bfff17 ldw r2,-4(fp) + 49f0: e0bffa15 stw r2,-24(fp) +static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE + alt_irq_disable_all (void) +{ + alt_irq_context context; + + NIOS2_READ_STATUS (context); + 49f4: 0005303a rdctl r2,status + 49f8: e0bffb15 stw r2,-20(fp) + + NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); + 49fc: e0fffb17 ldw r3,-20(fp) + 4a00: 00bfff84 movi r2,-2 + 4a04: 1884703a and r2,r3,r2 + 4a08: 1001703a wrctl status,r2 + + return context; + 4a0c: e0bffb17 ldw r2,-20(fp) +static ALT_INLINE int ALT_ALWAYS_INLINE alt_irq_disable (alt_u32 id) +{ + alt_irq_context status; + extern volatile alt_u32 alt_irq_active; + + status = alt_irq_disable_all (); + 4a10: e0bffc15 stw r2,-16(fp) + + alt_irq_active &= ~(1 << id); + 4a14: 00c00044 movi r3,1 + 4a18: e0bffa17 ldw r2,-24(fp) + 4a1c: 1884983a sll r2,r3,r2 + 4a20: 0084303a nor r2,zero,r2 + 4a24: 1007883a mov r3,r2 + 4a28: d0a01517 ldw r2,-32684(gp) + 4a2c: 1884703a and r2,r3,r2 + 4a30: d0a01515 stw r2,-32684(gp) + NIOS2_WRITE_IENABLE (alt_irq_active); + 4a34: d0a01517 ldw r2,-32684(gp) + 4a38: 100170fa wrctl ienable,r2 + 4a3c: e0bffc17 ldw r2,-16(fp) + 4a40: e0bffd15 stw r2,-12(fp) + status &= ~NIOS2_STATUS_PIE_MSK; + status |= (context & NIOS2_STATUS_PIE_MSK); + + NIOS2_WRITE_STATUS (status); +#else + NIOS2_WRITE_STATUS (context); + 4a44: e0bffd17 ldw r2,-12(fp) + 4a48: 1001703a wrctl status,r2 + + alt_irq_enable_all(status); + + return 0; + 4a4c: 0005883a mov r2,zero + return alt_irq_disable(irq); + 4a50: 0001883a nop +} + 4a54: e037883a mov sp,fp + 4a58: df000017 ldw fp,0(sp) + 4a5c: dec00104 addi sp,sp,4 + 4a60: f800283a ret + +00004a64 : + * @param irq IRQ number + * @return Zero if corresponding interrupt is disabled and + * non-zero otherwise. + */ +alt_u32 alt_ic_irq_enabled(alt_u32 ic_id, alt_u32 irq) +{ + 4a64: defffc04 addi sp,sp,-16 + 4a68: df000315 stw fp,12(sp) + 4a6c: df000304 addi fp,sp,12 + 4a70: e13ffe15 stw r4,-8(fp) + 4a74: e17fff15 stw r5,-4(fp) + alt_u32 irq_enabled; + + NIOS2_READ_IENABLE(irq_enabled); + 4a78: 000530fa rdctl r2,ienable + 4a7c: e0bffd15 stw r2,-12(fp) + + return (irq_enabled & (1 << irq)) ? 1: 0; + 4a80: 00c00044 movi r3,1 + 4a84: e0bfff17 ldw r2,-4(fp) + 4a88: 1884983a sll r2,r3,r2 + 4a8c: 1007883a mov r3,r2 + 4a90: e0bffd17 ldw r2,-12(fp) + 4a94: 1884703a and r2,r3,r2 + 4a98: 1004c03a cmpne r2,r2,zero + 4a9c: 10803fcc andi r2,r2,255 +} + 4aa0: e037883a mov sp,fp + 4aa4: df000017 ldw fp,0(sp) + 4aa8: dec00104 addi sp,sp,4 + 4aac: f800283a ret + +00004ab0 : + * @param flags + * @return 0 if successful, else error (-1) + */ +int alt_iic_isr_register(alt_u32 ic_id, alt_u32 irq, alt_isr_func isr, + void *isr_context, void *flags) +{ + 4ab0: defff504 addi sp,sp,-44 + 4ab4: dfc00a15 stw ra,40(sp) + 4ab8: df000915 stw fp,36(sp) + 4abc: df000904 addi fp,sp,36 + 4ac0: e13ffc15 stw r4,-16(fp) + 4ac4: e17ffd15 stw r5,-12(fp) + 4ac8: e1bffe15 stw r6,-8(fp) + 4acc: e1ffff15 stw r7,-4(fp) + int rc = -EINVAL; + 4ad0: 00bffa84 movi r2,-22 + 4ad4: e0bff715 stw r2,-36(fp) + int id = irq; /* IRQ interpreted as the interrupt ID. */ + 4ad8: e0bffd17 ldw r2,-12(fp) + 4adc: e0bff815 stw r2,-32(fp) + alt_irq_context status; + + if (id < ALT_NIRQ) + 4ae0: e0bff817 ldw r2,-32(fp) + 4ae4: 10800808 cmpgei r2,r2,32 + 4ae8: 1000271e bne r2,zero,4b88 +static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE + alt_irq_disable_all (void) +{ + alt_irq_context context; + + NIOS2_READ_STATUS (context); + 4aec: 0005303a rdctl r2,status + 4af0: e0bffb15 stw r2,-20(fp) + + NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); + 4af4: e0fffb17 ldw r3,-20(fp) + 4af8: 00bfff84 movi r2,-2 + 4afc: 1884703a and r2,r3,r2 + 4b00: 1001703a wrctl status,r2 + + return context; + 4b04: e0bffb17 ldw r2,-20(fp) + * interrupts are disabled while the handler tables are updated to ensure + * that an interrupt doesn't occur while the tables are in an inconsistant + * state. + */ + + status = alt_irq_disable_all(); + 4b08: e0bffa15 stw r2,-24(fp) + + alt_irq[id].handler = isr; + 4b0c: 00800034 movhi r2,0 + 4b10: 109cb904 addi r2,r2,29412 + 4b14: e0fff817 ldw r3,-32(fp) + 4b18: 180690fa slli r3,r3,3 + 4b1c: 10c5883a add r2,r2,r3 + 4b20: e0fffe17 ldw r3,-8(fp) + 4b24: 10c00015 stw r3,0(r2) + alt_irq[id].context = isr_context; + 4b28: 00800034 movhi r2,0 + 4b2c: 109cb904 addi r2,r2,29412 + 4b30: e0fff817 ldw r3,-32(fp) + 4b34: 180690fa slli r3,r3,3 + 4b38: 10c5883a add r2,r2,r3 + 4b3c: 10800104 addi r2,r2,4 + 4b40: e0ffff17 ldw r3,-4(fp) + 4b44: 10c00015 stw r3,0(r2) + + rc = (isr) ? alt_ic_irq_enable(ic_id, id) : alt_ic_irq_disable(ic_id, id); + 4b48: e0bffe17 ldw r2,-8(fp) + 4b4c: 10000526 beq r2,zero,4b64 + 4b50: e0bff817 ldw r2,-32(fp) + 4b54: 100b883a mov r5,r2 + 4b58: e13ffc17 ldw r4,-16(fp) + 4b5c: 00049500 call 4950 + 4b60: 00000406 br 4b74 + 4b64: e0bff817 ldw r2,-32(fp) + 4b68: 100b883a mov r5,r2 + 4b6c: e13ffc17 ldw r4,-16(fp) + 4b70: 00049d80 call 49d8 + 4b74: e0bff715 stw r2,-36(fp) + 4b78: e0bffa17 ldw r2,-24(fp) + 4b7c: e0bff915 stw r2,-28(fp) + status &= ~NIOS2_STATUS_PIE_MSK; + status |= (context & NIOS2_STATUS_PIE_MSK); + + NIOS2_WRITE_STATUS (status); +#else + NIOS2_WRITE_STATUS (context); + 4b80: e0bff917 ldw r2,-28(fp) + 4b84: 1001703a wrctl status,r2 + + alt_irq_enable_all(status); + } + + return rc; + 4b88: e0bff717 ldw r2,-36(fp) +} + 4b8c: e037883a mov sp,fp + 4b90: dfc00117 ldw ra,4(sp) + 4b94: df000017 ldw fp,0(sp) + 4b98: dec00204 addi sp,sp,8 + 4b9c: f800283a ret + +00004ba0 : + * If the device can not be succesfully opened, then the input file descriptor + * remains unchanged. + */ + +static void alt_open_fd(alt_fd* fd, const char* name, int flags, int mode) +{ + 4ba0: defff804 addi sp,sp,-32 + 4ba4: dfc00715 stw ra,28(sp) + 4ba8: df000615 stw fp,24(sp) + 4bac: dc000515 stw r16,20(sp) + 4bb0: df000604 addi fp,sp,24 + 4bb4: e13ffb15 stw r4,-20(fp) + 4bb8: e17ffc15 stw r5,-16(fp) + 4bbc: e1bffd15 stw r6,-12(fp) + 4bc0: e1fffe15 stw r7,-8(fp) + int old; + + old = open (name, flags, mode); + 4bc4: e1bffe17 ldw r6,-8(fp) + 4bc8: e17ffd17 ldw r5,-12(fp) + 4bcc: e13ffc17 ldw r4,-16(fp) + 4bd0: 0004e280 call 4e28 + 4bd4: e0bffa15 stw r2,-24(fp) + + if (old >= 0) + 4bd8: e0bffa17 ldw r2,-24(fp) + 4bdc: 10002216 blt r2,zero,4c68 + { + fd->dev = alt_fd_list[old].dev; + 4be0: 04000034 movhi r16,0 + 4be4: 84181e04 addi r16,r16,24696 + 4be8: e0bffa17 ldw r2,-24(fp) + 4bec: 01400304 movi r5,12 + 4bf0: 1009883a mov r4,r2 + 4bf4: 00031140 call 3114 <__mulsi3> + 4bf8: 8085883a add r2,r16,r2 + 4bfc: 10c00017 ldw r3,0(r2) + 4c00: e0bffb17 ldw r2,-20(fp) + 4c04: 10c00015 stw r3,0(r2) + fd->priv = alt_fd_list[old].priv; + 4c08: 04000034 movhi r16,0 + 4c0c: 84181e04 addi r16,r16,24696 + 4c10: e0bffa17 ldw r2,-24(fp) + 4c14: 01400304 movi r5,12 + 4c18: 1009883a mov r4,r2 + 4c1c: 00031140 call 3114 <__mulsi3> + 4c20: 8085883a add r2,r16,r2 + 4c24: 10800104 addi r2,r2,4 + 4c28: 10c00017 ldw r3,0(r2) + 4c2c: e0bffb17 ldw r2,-20(fp) + 4c30: 10c00115 stw r3,4(r2) + fd->fd_flags = alt_fd_list[old].fd_flags; + 4c34: 04000034 movhi r16,0 + 4c38: 84181e04 addi r16,r16,24696 + 4c3c: e0bffa17 ldw r2,-24(fp) + 4c40: 01400304 movi r5,12 + 4c44: 1009883a mov r4,r2 + 4c48: 00031140 call 3114 <__mulsi3> + 4c4c: 8085883a add r2,r16,r2 + 4c50: 10800204 addi r2,r2,8 + 4c54: 10c00017 ldw r3,0(r2) + 4c58: e0bffb17 ldw r2,-20(fp) + 4c5c: 10c00215 stw r3,8(r2) + + alt_release_fd (old); + 4c60: e13ffa17 ldw r4,-24(fp) + 4c64: 000379c0 call 379c + } +} + 4c68: 0001883a nop + 4c6c: e6ffff04 addi sp,fp,-4 + 4c70: dfc00217 ldw ra,8(sp) + 4c74: df000117 ldw fp,4(sp) + 4c78: dc000017 ldw r16,0(sp) + 4c7c: dec00304 addi sp,sp,12 + 4c80: f800283a ret + +00004c84 : + */ + +void alt_io_redirect(const char* stdout_dev, + const char* stdin_dev, + const char* stderr_dev) +{ + 4c84: defffb04 addi sp,sp,-20 + 4c88: dfc00415 stw ra,16(sp) + 4c8c: df000315 stw fp,12(sp) + 4c90: df000304 addi fp,sp,12 + 4c94: e13ffd15 stw r4,-12(fp) + 4c98: e17ffe15 stw r5,-8(fp) + 4c9c: e1bfff15 stw r6,-4(fp) + /* Redirect the channels */ + + alt_open_fd (&alt_fd_list[STDOUT_FILENO], stdout_dev, O_WRONLY, 0777); + 4ca0: 01c07fc4 movi r7,511 + 4ca4: 01800044 movi r6,1 + 4ca8: e17ffd17 ldw r5,-12(fp) + 4cac: 01000034 movhi r4,0 + 4cb0: 21182104 addi r4,r4,24708 + 4cb4: 0004ba00 call 4ba0 + alt_open_fd (&alt_fd_list[STDIN_FILENO], stdin_dev, O_RDONLY, 0777); + 4cb8: 01c07fc4 movi r7,511 + 4cbc: 000d883a mov r6,zero + 4cc0: e17ffe17 ldw r5,-8(fp) + 4cc4: 01000034 movhi r4,0 + 4cc8: 21181e04 addi r4,r4,24696 + 4ccc: 0004ba00 call 4ba0 + alt_open_fd (&alt_fd_list[STDERR_FILENO], stderr_dev, O_WRONLY, 0777); + 4cd0: 01c07fc4 movi r7,511 + 4cd4: 01800044 movi r6,1 + 4cd8: e17fff17 ldw r5,-4(fp) + 4cdc: 01000034 movhi r4,0 + 4ce0: 21182404 addi r4,r4,24720 + 4ce4: 0004ba00 call 4ba0 +} + 4ce8: 0001883a nop + 4cec: e037883a mov sp,fp + 4cf0: dfc00117 ldw ra,4(sp) + 4cf4: df000017 ldw fp,0(sp) + 4cf8: dec00204 addi sp,sp,8 + 4cfc: f800283a ret + +00004d00 : +#undef errno + +extern int errno; + +static ALT_INLINE int* alt_get_errno(void) +{ + 4d00: defffe04 addi sp,sp,-8 + 4d04: dfc00115 stw ra,4(sp) + 4d08: df000015 stw fp,0(sp) + 4d0c: d839883a mov fp,sp + return ((alt_errno) ? alt_errno() : &errno); + 4d10: d0a00917 ldw r2,-32732(gp) + 4d14: 10000326 beq r2,zero,4d24 + 4d18: d0a00917 ldw r2,-32732(gp) + 4d1c: 103ee83a callr r2 + 4d20: 00000106 br 4d28 + 4d24: d0a01104 addi r2,gp,-32700 +} + 4d28: e037883a mov sp,fp + 4d2c: dfc00117 ldw ra,4(sp) + 4d30: df000017 ldw fp,0(sp) + 4d34: dec00204 addi sp,sp,8 + 4d38: f800283a ret + +00004d3c : + * performed for devices. Filesystems are required to handle the ioctl() call + * themselves, and report the error from the filesystems open() function. + */ + +static int alt_file_locked (alt_fd* fd) +{ + 4d3c: defffb04 addi sp,sp,-20 + 4d40: dfc00415 stw ra,16(sp) + 4d44: df000315 stw fp,12(sp) + 4d48: dc000215 stw r16,8(sp) + 4d4c: df000304 addi fp,sp,12 + 4d50: e13ffe15 stw r4,-8(fp) + + /* + * Mark the file descriptor as belonging to a device. + */ + + fd->fd_flags |= ALT_FD_DEV; + 4d54: e0bffe17 ldw r2,-8(fp) + 4d58: 10800217 ldw r2,8(r2) + 4d5c: 10d00034 orhi r3,r2,16384 + 4d60: e0bffe17 ldw r2,-8(fp) + 4d64: 10c00215 stw r3,8(r2) + /* + * Loop through all current file descriptors searching for one that's locked + * for exclusive access. If a match is found, generate an error. + */ + + for (i = 0; i <= alt_max_fd; i++) + 4d68: e03ffd15 stw zero,-12(fp) + 4d6c: 00002306 br 4dfc + { + if ((alt_fd_list[i].dev == fd->dev) && + 4d70: 04000034 movhi r16,0 + 4d74: 84181e04 addi r16,r16,24696 + 4d78: e0bffd17 ldw r2,-12(fp) + 4d7c: 01400304 movi r5,12 + 4d80: 1009883a mov r4,r2 + 4d84: 00031140 call 3114 <__mulsi3> + 4d88: 8085883a add r2,r16,r2 + 4d8c: 10c00017 ldw r3,0(r2) + 4d90: e0bffe17 ldw r2,-8(fp) + 4d94: 10800017 ldw r2,0(r2) + 4d98: 1880151e bne r3,r2,4df0 + (alt_fd_list[i].fd_flags & ALT_FD_EXCL) && + 4d9c: 04000034 movhi r16,0 + 4da0: 84181e04 addi r16,r16,24696 + 4da4: e0bffd17 ldw r2,-12(fp) + 4da8: 01400304 movi r5,12 + 4dac: 1009883a mov r4,r2 + 4db0: 00031140 call 3114 <__mulsi3> + 4db4: 8085883a add r2,r16,r2 + 4db8: 10800204 addi r2,r2,8 + 4dbc: 10800017 ldw r2,0(r2) + * for exclusive access. If a match is found, generate an error. + */ + + for (i = 0; i <= alt_max_fd; i++) + { + if ((alt_fd_list[i].dev == fd->dev) && + 4dc0: 10000b0e bge r2,zero,4df0 + (alt_fd_list[i].fd_flags & ALT_FD_EXCL) && + (&alt_fd_list[i] != fd)) + 4dc4: 01400304 movi r5,12 + 4dc8: e13ffd17 ldw r4,-12(fp) + 4dcc: 00031140 call 3114 <__mulsi3> + 4dd0: 1007883a mov r3,r2 + 4dd4: 00800034 movhi r2,0 + 4dd8: 10981e04 addi r2,r2,24696 + 4ddc: 1887883a add r3,r3,r2 + */ + + for (i = 0; i <= alt_max_fd; i++) + { + if ((alt_fd_list[i].dev == fd->dev) && + (alt_fd_list[i].fd_flags & ALT_FD_EXCL) && + 4de0: e0bffe17 ldw r2,-8(fp) + 4de4: 18800226 beq r3,r2,4df0 + (&alt_fd_list[i] != fd)) + { + return -EACCES; + 4de8: 00bffcc4 movi r2,-13 + 4dec: 00000806 br 4e10 + /* + * Loop through all current file descriptors searching for one that's locked + * for exclusive access. If a match is found, generate an error. + */ + + for (i = 0; i <= alt_max_fd; i++) + 4df0: e0bffd17 ldw r2,-12(fp) + 4df4: 10800044 addi r2,r2,1 + 4df8: e0bffd15 stw r2,-12(fp) + 4dfc: d0a00817 ldw r2,-32736(gp) + 4e00: 1007883a mov r3,r2 + 4e04: e0bffd17 ldw r2,-12(fp) + 4e08: 18bfd92e bgeu r3,r2,4d70 <__alt_data_end+0xfffe4d70> + } + } + + /* The device is not locked */ + + return 0; + 4e0c: 0005883a mov r2,zero +} + 4e10: e6ffff04 addi sp,fp,-4 + 4e14: dfc00217 ldw ra,8(sp) + 4e18: df000117 ldw fp,4(sp) + 4e1c: dc000017 ldw r16,0(sp) + 4e20: dec00304 addi sp,sp,12 + 4e24: f800283a ret + +00004e28 : + * + * ALT_OPEN is mapped onto the open() system call in alt_syscall.h + */ + +int ALT_OPEN (const char* file, int flags, int mode) +{ + 4e28: defff604 addi sp,sp,-40 + 4e2c: dfc00915 stw ra,36(sp) + 4e30: df000815 stw fp,32(sp) + 4e34: df000804 addi fp,sp,32 + 4e38: e13ffd15 stw r4,-12(fp) + 4e3c: e17ffe15 stw r5,-8(fp) + 4e40: e1bfff15 stw r6,-4(fp) + alt_dev* dev; + alt_fd* fd; + int index = -1; + 4e44: 00bfffc4 movi r2,-1 + 4e48: e0bff915 stw r2,-28(fp) + int status = -ENODEV; + 4e4c: 00bffb44 movi r2,-19 + 4e50: e0bffa15 stw r2,-24(fp) + int isafs = 0; + 4e54: e03ffb15 stw zero,-20(fp) + /* + * Check the device list, to see if a device with a matching name is + * registered. + */ + + if (!(dev = alt_find_dev (file, &alt_dev_list))) + 4e58: d1600604 addi r5,gp,-32744 + 4e5c: e13ffd17 ldw r4,-12(fp) + 4e60: 000514c0 call 514c + 4e64: e0bff815 stw r2,-32(fp) + 4e68: e0bff817 ldw r2,-32(fp) + 4e6c: 1000051e bne r2,zero,4e84 + { + /* No matching device, so try the filesystem list */ + + dev = alt_find_file (file); + 4e70: e13ffd17 ldw r4,-12(fp) + 4e74: 00051dc0 call 51dc + 4e78: e0bff815 stw r2,-32(fp) + isafs = 1; + 4e7c: 00800044 movi r2,1 + 4e80: e0bffb15 stw r2,-20(fp) + + /* + * If a matching device or filesystem is found, allocate a file descriptor. + */ + + if (dev) + 4e84: e0bff817 ldw r2,-32(fp) + 4e88: 10002b26 beq r2,zero,4f38 + { + if ((index = alt_get_fd (dev)) < 0) + 4e8c: e13ff817 ldw r4,-32(fp) + 4e90: 00052e40 call 52e4 + 4e94: e0bff915 stw r2,-28(fp) + 4e98: e0bff917 ldw r2,-28(fp) + 4e9c: 1000030e bge r2,zero,4eac + { + status = index; + 4ea0: e0bff917 ldw r2,-28(fp) + 4ea4: e0bffa15 stw r2,-24(fp) + 4ea8: 00002506 br 4f40 + } + else + { + fd = &alt_fd_list[index]; + 4eac: 01400304 movi r5,12 + 4eb0: e13ff917 ldw r4,-28(fp) + 4eb4: 00031140 call 3114 <__mulsi3> + 4eb8: 1007883a mov r3,r2 + 4ebc: 00800034 movhi r2,0 + 4ec0: 10981e04 addi r2,r2,24696 + 4ec4: 1885883a add r2,r3,r2 + 4ec8: e0bffc15 stw r2,-16(fp) + fd->fd_flags = (flags & ~ALT_FD_FLAGS_MASK); + 4ecc: e0fffe17 ldw r3,-8(fp) + 4ed0: 00900034 movhi r2,16384 + 4ed4: 10bfffc4 addi r2,r2,-1 + 4ed8: 1886703a and r3,r3,r2 + 4edc: e0bffc17 ldw r2,-16(fp) + 4ee0: 10c00215 stw r3,8(r2) + + /* If this is a device, ensure it isn't already locked */ + + if (isafs || ((status = alt_file_locked (fd)) >= 0)) + 4ee4: e0bffb17 ldw r2,-20(fp) + 4ee8: 1000051e bne r2,zero,4f00 + 4eec: e13ffc17 ldw r4,-16(fp) + 4ef0: 0004d3c0 call 4d3c + 4ef4: e0bffa15 stw r2,-24(fp) + 4ef8: e0bffa17 ldw r2,-24(fp) + 4efc: 10001016 blt r2,zero,4f40 + /* + * If the device or filesystem provides an open() callback function, + * call it now to perform any device/filesystem specific operations. + */ + + status = (dev->open) ? dev->open(fd, file, flags, mode): 0; + 4f00: e0bff817 ldw r2,-32(fp) + 4f04: 10800317 ldw r2,12(r2) + 4f08: 10000826 beq r2,zero,4f2c + 4f0c: e0bff817 ldw r2,-32(fp) + 4f10: 10800317 ldw r2,12(r2) + 4f14: e1ffff17 ldw r7,-4(fp) + 4f18: e1bffe17 ldw r6,-8(fp) + 4f1c: e17ffd17 ldw r5,-12(fp) + 4f20: e13ffc17 ldw r4,-16(fp) + 4f24: 103ee83a callr r2 + 4f28: 00000106 br 4f30 + 4f2c: 0005883a mov r2,zero + 4f30: e0bffa15 stw r2,-24(fp) + 4f34: 00000206 br 4f40 + } + } + } + else + { + status = -ENODEV; + 4f38: 00bffb44 movi r2,-19 + 4f3c: e0bffa15 stw r2,-24(fp) + } + + /* Allocation failed, so clean up and return an error */ + + if (status < 0) + 4f40: e0bffa17 ldw r2,-24(fp) + 4f44: 1000090e bge r2,zero,4f6c + { + alt_release_fd (index); + 4f48: e13ff917 ldw r4,-28(fp) + 4f4c: 000379c0 call 379c + ALT_ERRNO = -status; + 4f50: 0004d000 call 4d00 + 4f54: 1007883a mov r3,r2 + 4f58: e0bffa17 ldw r2,-24(fp) + 4f5c: 0085c83a sub r2,zero,r2 + 4f60: 18800015 stw r2,0(r3) + return -1; + 4f64: 00bfffc4 movi r2,-1 + 4f68: 00000106 br 4f70 + } + + /* return the reference upon success */ + + return index; + 4f6c: e0bff917 ldw r2,-28(fp) +} + 4f70: e037883a mov sp,fp + 4f74: dfc00117 ldw ra,4(sp) + 4f78: df000017 ldw fp,0(sp) + 4f7c: dec00204 addi sp,sp,8 + 4f80: f800283a ret + +00004f84 : + * alarms. Alternatively an alarm can unregister itself by returning zero when + * the alarm executes. + */ + +void alt_alarm_stop (alt_alarm* alarm) +{ + 4f84: defffa04 addi sp,sp,-24 + 4f88: df000515 stw fp,20(sp) + 4f8c: df000504 addi fp,sp,20 + 4f90: e13fff15 stw r4,-4(fp) +static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE + alt_irq_disable_all (void) +{ + alt_irq_context context; + + NIOS2_READ_STATUS (context); + 4f94: 0005303a rdctl r2,status + 4f98: e0bffc15 stw r2,-16(fp) + + NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); + 4f9c: e0fffc17 ldw r3,-16(fp) + 4fa0: 00bfff84 movi r2,-2 + 4fa4: 1884703a and r2,r3,r2 + 4fa8: 1001703a wrctl status,r2 + + return context; + 4fac: e0bffc17 ldw r2,-16(fp) + alt_irq_context irq_context; + + irq_context = alt_irq_disable_all(); + 4fb0: e0bffb15 stw r2,-20(fp) + alt_llist_remove (&alarm->llist); + 4fb4: e0bfff17 ldw r2,-4(fp) + 4fb8: e0bffd15 stw r2,-12(fp) + * input argument is the element to remove. + */ + +static ALT_INLINE void ALT_ALWAYS_INLINE alt_llist_remove(alt_llist* entry) +{ + entry->next->previous = entry->previous; + 4fbc: e0bffd17 ldw r2,-12(fp) + 4fc0: 10800017 ldw r2,0(r2) + 4fc4: e0fffd17 ldw r3,-12(fp) + 4fc8: 18c00117 ldw r3,4(r3) + 4fcc: 10c00115 stw r3,4(r2) + entry->previous->next = entry->next; + 4fd0: e0bffd17 ldw r2,-12(fp) + 4fd4: 10800117 ldw r2,4(r2) + 4fd8: e0fffd17 ldw r3,-12(fp) + 4fdc: 18c00017 ldw r3,0(r3) + 4fe0: 10c00015 stw r3,0(r2) + /* + * Set the entry to point to itself, so that any further calls to + * alt_llist_remove() are harmless. + */ + + entry->previous = entry; + 4fe4: e0bffd17 ldw r2,-12(fp) + 4fe8: e0fffd17 ldw r3,-12(fp) + 4fec: 10c00115 stw r3,4(r2) + entry->next = entry; + 4ff0: e0bffd17 ldw r2,-12(fp) + 4ff4: e0fffd17 ldw r3,-12(fp) + 4ff8: 10c00015 stw r3,0(r2) + 4ffc: e0bffb17 ldw r2,-20(fp) + 5000: e0bffe15 stw r2,-8(fp) + status &= ~NIOS2_STATUS_PIE_MSK; + status |= (context & NIOS2_STATUS_PIE_MSK); + + NIOS2_WRITE_STATUS (status); +#else + NIOS2_WRITE_STATUS (context); + 5004: e0bffe17 ldw r2,-8(fp) + 5008: 1001703a wrctl status,r2 + alt_irq_enable_all (irq_context); +} + 500c: 0001883a nop + 5010: e037883a mov sp,fp + 5014: df000017 ldw fp,0(sp) + 5018: dec00104 addi sp,sp,4 + 501c: f800283a ret + +00005020 : + * + * alt_tick() is expected to run at interrupt level. + */ + +void alt_tick (void) +{ + 5020: defffb04 addi sp,sp,-20 + 5024: dfc00415 stw ra,16(sp) + 5028: df000315 stw fp,12(sp) + 502c: df000304 addi fp,sp,12 + alt_alarm* next; + alt_alarm* alarm = (alt_alarm*) alt_alarm_list.next; + 5030: d0a00c17 ldw r2,-32720(gp) + 5034: e0bffd15 stw r2,-12(fp) + + alt_u32 next_callback; + + /* update the tick counter */ + + _alt_nticks++; + 5038: d0a01717 ldw r2,-32676(gp) + 503c: 10800044 addi r2,r2,1 + 5040: d0a01715 stw r2,-32676(gp) + + /* process the registered callbacks */ + + while (alarm != (alt_alarm*) &alt_alarm_list) + 5044: 00002e06 br 5100 + { + next = (alt_alarm*) alarm->llist.next; + 5048: e0bffd17 ldw r2,-12(fp) + 504c: 10800017 ldw r2,0(r2) + 5050: e0bffe15 stw r2,-8(fp) + /* + * Upon the tick-counter rolling over it is safe to clear the + * roll-over flag; once the flag is cleared this (or subsequnt) + * tick events are enabled to generate an alarm event. + */ + if ((alarm->rollover) && (_alt_nticks == 0)) + 5054: e0bffd17 ldw r2,-12(fp) + 5058: 10800403 ldbu r2,16(r2) + 505c: 10803fcc andi r2,r2,255 + 5060: 10000426 beq r2,zero,5074 + 5064: d0a01717 ldw r2,-32676(gp) + 5068: 1000021e bne r2,zero,5074 + { + alarm->rollover = 0; + 506c: e0bffd17 ldw r2,-12(fp) + 5070: 10000405 stb zero,16(r2) + } + + /* if the alarm period has expired, make the callback */ + if ((alarm->time <= _alt_nticks) && (alarm->rollover == 0)) + 5074: e0bffd17 ldw r2,-12(fp) + 5078: 10800217 ldw r2,8(r2) + 507c: d0e01717 ldw r3,-32676(gp) + 5080: 18801d36 bltu r3,r2,50f8 + 5084: e0bffd17 ldw r2,-12(fp) + 5088: 10800403 ldbu r2,16(r2) + 508c: 10803fcc andi r2,r2,255 + 5090: 1000191e bne r2,zero,50f8 + { + next_callback = alarm->callback (alarm->context); + 5094: e0bffd17 ldw r2,-12(fp) + 5098: 10800317 ldw r2,12(r2) + 509c: e0fffd17 ldw r3,-12(fp) + 50a0: 18c00517 ldw r3,20(r3) + 50a4: 1809883a mov r4,r3 + 50a8: 103ee83a callr r2 + 50ac: e0bfff15 stw r2,-4(fp) + + /* deactivate the alarm if the return value is zero */ + + if (next_callback == 0) + 50b0: e0bfff17 ldw r2,-4(fp) + 50b4: 1000031e bne r2,zero,50c4 + { + alt_alarm_stop (alarm); + 50b8: e13ffd17 ldw r4,-12(fp) + 50bc: 0004f840 call 4f84 + 50c0: 00000d06 br 50f8 + } + else + { + alarm->time += next_callback; + 50c4: e0bffd17 ldw r2,-12(fp) + 50c8: 10c00217 ldw r3,8(r2) + 50cc: e0bfff17 ldw r2,-4(fp) + 50d0: 1887883a add r3,r3,r2 + 50d4: e0bffd17 ldw r2,-12(fp) + 50d8: 10c00215 stw r3,8(r2) + /* + * If the desired alarm time causes a roll-over, set the rollover + * flag. This will prevent the subsequent tick event from causing + * an alarm too early. + */ + if(alarm->time < _alt_nticks) + 50dc: e0bffd17 ldw r2,-12(fp) + 50e0: 10c00217 ldw r3,8(r2) + 50e4: d0a01717 ldw r2,-32676(gp) + 50e8: 1880032e bgeu r3,r2,50f8 + { + alarm->rollover = 1; + 50ec: e0bffd17 ldw r2,-12(fp) + 50f0: 00c00044 movi r3,1 + 50f4: 10c00405 stb r3,16(r2) + } + } + } + alarm = next; + 50f8: e0bffe17 ldw r2,-8(fp) + 50fc: e0bffd15 stw r2,-12(fp) + + _alt_nticks++; + + /* process the registered callbacks */ + + while (alarm != (alt_alarm*) &alt_alarm_list) + 5100: e0fffd17 ldw r3,-12(fp) + 5104: d0a00c04 addi r2,gp,-32720 + 5108: 18bfcf1e bne r3,r2,5048 <__alt_data_end+0xfffe5048> + + /* + * Update the operating system specific timer facilities. + */ + + ALT_OS_TIME_TICK(); + 510c: 0001883a nop +} + 5110: 0001883a nop + 5114: e037883a mov sp,fp + 5118: dfc00117 ldw ra,4(sp) + 511c: df000017 ldw fp,0(sp) + 5120: dec00204 addi sp,sp,8 + 5124: f800283a ret + +00005128 : +/* + * To initialize the internal interrupt controller, just clear the IENABLE + * register so that all possible IRQs are disabled. + */ +void altera_nios2_gen2_irq_init(void) +{ + 5128: deffff04 addi sp,sp,-4 + 512c: df000015 stw fp,0(sp) + 5130: d839883a mov fp,sp + NIOS2_WRITE_IENABLE(0); + 5134: 000170fa wrctl ienable,zero +} + 5138: 0001883a nop + 513c: e037883a mov sp,fp + 5140: df000017 ldw fp,0(sp) + 5144: dec00104 addi sp,sp,4 + 5148: f800283a ret + +0000514c : + * "name" must be an exact match for the devices registered name for a match to + * be found. + */ + +alt_dev* alt_find_dev(const char* name, alt_llist* llist) +{ + 514c: defffa04 addi sp,sp,-24 + 5150: dfc00515 stw ra,20(sp) + 5154: df000415 stw fp,16(sp) + 5158: df000404 addi fp,sp,16 + 515c: e13ffe15 stw r4,-8(fp) + 5160: e17fff15 stw r5,-4(fp) + alt_dev* next = (alt_dev*) llist->next; + 5164: e0bfff17 ldw r2,-4(fp) + 5168: 10800017 ldw r2,0(r2) + 516c: e0bffc15 stw r2,-16(fp) + alt_32 len; + + len = strlen(name) + 1; + 5170: e13ffe17 ldw r4,-8(fp) + 5174: 00004040 call 404 + 5178: 10800044 addi r2,r2,1 + 517c: e0bffd15 stw r2,-12(fp) + /* + * Check each list entry in turn, until a match is found, or we reach the + * end of the list (i.e. next winds up pointing back to the list head). + */ + + while (next != (alt_dev*) llist) + 5180: 00000d06 br 51b8 + /* + * memcmp() is used here rather than strcmp() in order to reduce the size + * of the executable. + */ + + if (!memcmp (next->name, name, len)) + 5184: e0bffc17 ldw r2,-16(fp) + 5188: 10800217 ldw r2,8(r2) + 518c: e0fffd17 ldw r3,-12(fp) + 5190: 180d883a mov r6,r3 + 5194: e17ffe17 ldw r5,-8(fp) + 5198: 1009883a mov r4,r2 + 519c: 00054900 call 5490 + 51a0: 1000021e bne r2,zero,51ac + { + /* match found */ + + return next; + 51a4: e0bffc17 ldw r2,-16(fp) + 51a8: 00000706 br 51c8 + } + next = (alt_dev*) next->llist.next; + 51ac: e0bffc17 ldw r2,-16(fp) + 51b0: 10800017 ldw r2,0(r2) + 51b4: e0bffc15 stw r2,-16(fp) + /* + * Check each list entry in turn, until a match is found, or we reach the + * end of the list (i.e. next winds up pointing back to the list head). + */ + + while (next != (alt_dev*) llist) + 51b8: e0fffc17 ldw r3,-16(fp) + 51bc: e0bfff17 ldw r2,-4(fp) + 51c0: 18bff01e bne r3,r2,5184 <__alt_data_end+0xfffe5184> + next = (alt_dev*) next->llist.next; + } + + /* No match found */ + + return NULL; + 51c4: 0005883a mov r2,zero +} + 51c8: e037883a mov sp,fp + 51cc: dfc00117 ldw ra,4(sp) + 51d0: df000017 ldw fp,0(sp) + 51d4: dec00204 addi sp,sp,8 + 51d8: f800283a ret + +000051dc : + * either '/' or '\0' is the prefix of the filename. For example the filename: + * "/myfilesystem/junk.txt" would match: "/myfilesystem", but not: "/myfile". + */ + +alt_dev* alt_find_file (const char* name) +{ + 51dc: defffb04 addi sp,sp,-20 + 51e0: dfc00415 stw ra,16(sp) + 51e4: df000315 stw fp,12(sp) + 51e8: df000304 addi fp,sp,12 + 51ec: e13fff15 stw r4,-4(fp) + alt_dev* next = (alt_dev*) alt_fs_list.next; + 51f0: d0a00417 ldw r2,-32752(gp) + 51f4: e0bffd15 stw r2,-12(fp) + /* + * Check each list entry in turn, until a match is found, or we reach the + * end of the list (i.e. next winds up pointing back to the list head). + */ + + while (next != (alt_dev*) &alt_fs_list) + 51f8: 00003106 br 52c0 + { + len = strlen(next->name); + 51fc: e0bffd17 ldw r2,-12(fp) + 5200: 10800217 ldw r2,8(r2) + 5204: 1009883a mov r4,r2 + 5208: 00004040 call 404 + 520c: e0bffe15 stw r2,-8(fp) + + if (next->name[len-1] == '/') + 5210: e0bffd17 ldw r2,-12(fp) + 5214: 10c00217 ldw r3,8(r2) + 5218: e0bffe17 ldw r2,-8(fp) + 521c: 10bfffc4 addi r2,r2,-1 + 5220: 1885883a add r2,r3,r2 + 5224: 10800003 ldbu r2,0(r2) + 5228: 10803fcc andi r2,r2,255 + 522c: 1080201c xori r2,r2,128 + 5230: 10bfe004 addi r2,r2,-128 + 5234: 10800bd8 cmpnei r2,r2,47 + 5238: 1000031e bne r2,zero,5248 + { + len -= 1; + 523c: e0bffe17 ldw r2,-8(fp) + 5240: 10bfffc4 addi r2,r2,-1 + 5244: e0bffe15 stw r2,-8(fp) + } + + if (((name[len] == '/') || (name[len] == '\0')) && + 5248: e0bffe17 ldw r2,-8(fp) + 524c: e0ffff17 ldw r3,-4(fp) + 5250: 1885883a add r2,r3,r2 + 5254: 10800003 ldbu r2,0(r2) + 5258: 10803fcc andi r2,r2,255 + 525c: 1080201c xori r2,r2,128 + 5260: 10bfe004 addi r2,r2,-128 + 5264: 10800be0 cmpeqi r2,r2,47 + 5268: 1000081e bne r2,zero,528c + 526c: e0bffe17 ldw r2,-8(fp) + 5270: e0ffff17 ldw r3,-4(fp) + 5274: 1885883a add r2,r3,r2 + 5278: 10800003 ldbu r2,0(r2) + 527c: 10803fcc andi r2,r2,255 + 5280: 1080201c xori r2,r2,128 + 5284: 10bfe004 addi r2,r2,-128 + 5288: 10000a1e bne r2,zero,52b4 + !memcmp (next->name, name, len)) + 528c: e0bffd17 ldw r2,-12(fp) + 5290: 10800217 ldw r2,8(r2) + 5294: e0fffe17 ldw r3,-8(fp) + 5298: 180d883a mov r6,r3 + 529c: e17fff17 ldw r5,-4(fp) + 52a0: 1009883a mov r4,r2 + 52a4: 00054900 call 5490 + if (next->name[len-1] == '/') + { + len -= 1; + } + + if (((name[len] == '/') || (name[len] == '\0')) && + 52a8: 1000021e bne r2,zero,52b4 + !memcmp (next->name, name, len)) + { + /* match found */ + + return next; + 52ac: e0bffd17 ldw r2,-12(fp) + 52b0: 00000706 br 52d0 + } + next = (alt_dev*) next->llist.next; + 52b4: e0bffd17 ldw r2,-12(fp) + 52b8: 10800017 ldw r2,0(r2) + 52bc: e0bffd15 stw r2,-12(fp) + /* + * Check each list entry in turn, until a match is found, or we reach the + * end of the list (i.e. next winds up pointing back to the list head). + */ + + while (next != (alt_dev*) &alt_fs_list) + 52c0: e0fffd17 ldw r3,-12(fp) + 52c4: d0a00404 addi r2,gp,-32752 + 52c8: 18bfcc1e bne r3,r2,51fc <__alt_data_end+0xfffe51fc> + next = (alt_dev*) next->llist.next; + } + + /* No match found */ + + return NULL; + 52cc: 0005883a mov r2,zero +} + 52d0: e037883a mov sp,fp + 52d4: dfc00117 ldw ra,4(sp) + 52d8: df000017 ldw fp,0(sp) + 52dc: dec00204 addi sp,sp,8 + 52e0: f800283a ret + +000052e4 : + * the offset of the file descriptor within the file descriptor array). A + * negative value indicates failure. + */ + +int alt_get_fd (alt_dev* dev) +{ + 52e4: defffa04 addi sp,sp,-24 + 52e8: dfc00515 stw ra,20(sp) + 52ec: df000415 stw fp,16(sp) + 52f0: dc000315 stw r16,12(sp) + 52f4: df000404 addi fp,sp,16 + 52f8: e13ffe15 stw r4,-8(fp) + alt_32 i; + int rc = -EMFILE; + 52fc: 00bffa04 movi r2,-24 + 5300: e0bffd15 stw r2,-12(fp) + * indicates the highest file descriptor ever allocated. This is used to + * improve efficency when searching the file descriptor list, and + * therefore reduce contention on the alt_fd_list_lock semaphore. + */ + + for (i = 0; i < ALT_MAX_FD; i++) + 5304: e03ffc15 stw zero,-16(fp) + 5308: 00001d06 br 5380 + { + if (!alt_fd_list[i].dev) + 530c: 04000034 movhi r16,0 + 5310: 84181e04 addi r16,r16,24696 + 5314: e0bffc17 ldw r2,-16(fp) + 5318: 01400304 movi r5,12 + 531c: 1009883a mov r4,r2 + 5320: 00031140 call 3114 <__mulsi3> + 5324: 8085883a add r2,r16,r2 + 5328: 10800017 ldw r2,0(r2) + 532c: 1000111e bne r2,zero,5374 + { + alt_fd_list[i].dev = dev; + 5330: 04000034 movhi r16,0 + 5334: 84181e04 addi r16,r16,24696 + 5338: e0bffc17 ldw r2,-16(fp) + 533c: 01400304 movi r5,12 + 5340: 1009883a mov r4,r2 + 5344: 00031140 call 3114 <__mulsi3> + 5348: 8085883a add r2,r16,r2 + 534c: e0fffe17 ldw r3,-8(fp) + 5350: 10c00015 stw r3,0(r2) + if (i > alt_max_fd) + 5354: d0e00817 ldw r3,-32736(gp) + 5358: e0bffc17 ldw r2,-16(fp) + 535c: 1880020e bge r3,r2,5368 + { + alt_max_fd = i; + 5360: e0bffc17 ldw r2,-16(fp) + 5364: d0a00815 stw r2,-32736(gp) + } + rc = i; + 5368: e0bffc17 ldw r2,-16(fp) + 536c: e0bffd15 stw r2,-12(fp) + goto alt_get_fd_exit; + 5370: 00000606 br 538c + * indicates the highest file descriptor ever allocated. This is used to + * improve efficency when searching the file descriptor list, and + * therefore reduce contention on the alt_fd_list_lock semaphore. + */ + + for (i = 0; i < ALT_MAX_FD; i++) + 5374: e0bffc17 ldw r2,-16(fp) + 5378: 10800044 addi r2,r2,1 + 537c: e0bffc15 stw r2,-16(fp) + 5380: e0bffc17 ldw r2,-16(fp) + 5384: 10800810 cmplti r2,r2,32 + 5388: 103fe01e bne r2,zero,530c <__alt_data_end+0xfffe530c> + * file descriptor pool. + */ + + ALT_SEM_POST(alt_fd_list_lock); + + return rc; + 538c: e0bffd17 ldw r2,-12(fp) +} + 5390: e6ffff04 addi sp,fp,-4 + 5394: dfc00217 ldw ra,8(sp) + 5398: df000117 ldw fp,4(sp) + 539c: dc000017 ldw r16,0(sp) + 53a0: dec00304 addi sp,sp,12 + 53a4: f800283a ret + +000053a8 : + * Return: 1: BADADDR (bad_addr argument to handler) is valid + * 0: BADADDR is not valid + */ +int +alt_exception_cause_generated_bad_addr(alt_exception_cause cause) +{ + 53a8: defffe04 addi sp,sp,-8 + 53ac: df000115 stw fp,4(sp) + 53b0: df000104 addi fp,sp,4 + 53b4: e13fff15 stw r4,-4(fp) + switch (cause) { + 53b8: e0bfff17 ldw r2,-4(fp) + 53bc: 10bffe84 addi r2,r2,-6 + 53c0: 10c00428 cmpgeui r3,r2,16 + 53c4: 18001a1e bne r3,zero,5430 + 53c8: 100690ba slli r3,r2,2 + 53cc: 00800034 movhi r2,0 + 53d0: 1094f804 addi r2,r2,21472 + 53d4: 1885883a add r2,r3,r2 + 53d8: 10800017 ldw r2,0(r2) + 53dc: 1000683a jmp r2 + 53e0: 00005420 cmpeqi zero,zero,336 + 53e4: 00005420 cmpeqi zero,zero,336 + 53e8: 00005430 cmpltui zero,zero,336 + 53ec: 00005430 cmpltui zero,zero,336 + 53f0: 00005430 cmpltui zero,zero,336 + 53f4: 00005420 cmpeqi zero,zero,336 + 53f8: 00005428 cmpgeui zero,zero,336 + 53fc: 00005430 cmpltui zero,zero,336 + 5400: 00005420 cmpeqi zero,zero,336 + 5404: 00005420 cmpeqi zero,zero,336 + 5408: 00005430 cmpltui zero,zero,336 + 540c: 00005420 cmpeqi zero,zero,336 + 5410: 00005428 cmpgeui zero,zero,336 + 5414: 00005430 cmpltui zero,zero,336 + 5418: 00005430 cmpltui zero,zero,336 + 541c: 00005420 cmpeqi zero,zero,336 + case NIOS2_EXCEPTION_MISALIGNED_TARGET_PC: + case NIOS2_EXCEPTION_TLB_READ_PERM_VIOLATION: + case NIOS2_EXCEPTION_TLB_WRITE_PERM_VIOLATION: + case NIOS2_EXCEPTION_MPU_DATA_REGION_VIOLATION: + case NIOS2_EXCEPTION_ECC_DATA_ERR: + return 1; + 5420: 00800044 movi r2,1 + 5424: 00000306 br 5434 + + case NIOS2_EXCEPTION_TLB_MISS: + case NIOS2_EXCEPTION_ECC_TLB_ERR: + return 0; + 5428: 0005883a mov r2,zero + 542c: 00000106 br 5434 + + default: + return 0; + 5430: 0005883a mov r2,zero + } +} + 5434: e037883a mov sp,fp + 5438: df000017 ldw fp,0(sp) + 543c: dec00104 addi sp,sp,4 + 5440: f800283a ret + +00005444 : + 5444: 200b883a mov r5,r4 + 5448: 000f883a mov r7,zero + 544c: 000d883a mov r6,zero + 5450: 0009883a mov r4,zero + 5454: 000550c1 jmpi 550c <__register_exitproc> + +00005458 : + 5458: defffe04 addi sp,sp,-8 + 545c: 000b883a mov r5,zero + 5460: dc000015 stw r16,0(sp) + 5464: dfc00115 stw ra,4(sp) + 5468: 2021883a mov r16,r4 + 546c: 00056240 call 5624 <__call_exitprocs> + 5470: 00800034 movhi r2,0 + 5474: 109c9604 addi r2,r2,29272 + 5478: 11000017 ldw r4,0(r2) + 547c: 20800f17 ldw r2,60(r4) + 5480: 10000126 beq r2,zero,5488 + 5484: 103ee83a callr r2 + 5488: 8009883a mov r4,r16 + 548c: 00057a40 call 57a4 <_exit> + +00005490 : + 5490: 01c000c4 movi r7,3 + 5494: 3980192e bgeu r7,r6,54fc + 5498: 2144b03a or r2,r4,r5 + 549c: 11c4703a and r2,r2,r7 + 54a0: 10000f26 beq r2,zero,54e0 + 54a4: 20800003 ldbu r2,0(r4) + 54a8: 28c00003 ldbu r3,0(r5) + 54ac: 10c0151e bne r2,r3,5504 + 54b0: 31bfff84 addi r6,r6,-2 + 54b4: 01ffffc4 movi r7,-1 + 54b8: 00000406 br 54cc + 54bc: 20800003 ldbu r2,0(r4) + 54c0: 28c00003 ldbu r3,0(r5) + 54c4: 31bfffc4 addi r6,r6,-1 + 54c8: 10c00e1e bne r2,r3,5504 + 54cc: 21000044 addi r4,r4,1 + 54d0: 29400044 addi r5,r5,1 + 54d4: 31fff91e bne r6,r7,54bc <__alt_data_end+0xfffe54bc> + 54d8: 0005883a mov r2,zero + 54dc: f800283a ret + 54e0: 20c00017 ldw r3,0(r4) + 54e4: 28800017 ldw r2,0(r5) + 54e8: 18bfee1e bne r3,r2,54a4 <__alt_data_end+0xfffe54a4> + 54ec: 31bfff04 addi r6,r6,-4 + 54f0: 21000104 addi r4,r4,4 + 54f4: 29400104 addi r5,r5,4 + 54f8: 39bff936 bltu r7,r6,54e0 <__alt_data_end+0xfffe54e0> + 54fc: 303fe91e bne r6,zero,54a4 <__alt_data_end+0xfffe54a4> + 5500: 003ff506 br 54d8 <__alt_data_end+0xfffe54d8> + 5504: 10c5c83a sub r2,r2,r3 + 5508: f800283a ret + +0000550c <__register_exitproc>: + 550c: defffa04 addi sp,sp,-24 + 5510: dc000315 stw r16,12(sp) + 5514: 04000034 movhi r16,0 + 5518: 841c9604 addi r16,r16,29272 + 551c: 80c00017 ldw r3,0(r16) + 5520: dc400415 stw r17,16(sp) + 5524: dfc00515 stw ra,20(sp) + 5528: 18805217 ldw r2,328(r3) + 552c: 2023883a mov r17,r4 + 5530: 10003726 beq r2,zero,5610 <__register_exitproc+0x104> + 5534: 10c00117 ldw r3,4(r2) + 5538: 010007c4 movi r4,31 + 553c: 20c00e16 blt r4,r3,5578 <__register_exitproc+0x6c> + 5540: 1a000044 addi r8,r3,1 + 5544: 8800221e bne r17,zero,55d0 <__register_exitproc+0xc4> + 5548: 18c00084 addi r3,r3,2 + 554c: 18c7883a add r3,r3,r3 + 5550: 18c7883a add r3,r3,r3 + 5554: 12000115 stw r8,4(r2) + 5558: 10c7883a add r3,r2,r3 + 555c: 19400015 stw r5,0(r3) + 5560: 0005883a mov r2,zero + 5564: dfc00517 ldw ra,20(sp) + 5568: dc400417 ldw r17,16(sp) + 556c: dc000317 ldw r16,12(sp) + 5570: dec00604 addi sp,sp,24 + 5574: f800283a ret + 5578: 00800034 movhi r2,0 + 557c: 10800004 addi r2,r2,0 + 5580: 10002626 beq r2,zero,561c <__register_exitproc+0x110> + 5584: 01006404 movi r4,400 + 5588: d9400015 stw r5,0(sp) + 558c: d9800115 stw r6,4(sp) + 5590: d9c00215 stw r7,8(sp) + 5594: 00000000 call 0 <__reset> + 5598: d9400017 ldw r5,0(sp) + 559c: d9800117 ldw r6,4(sp) + 55a0: d9c00217 ldw r7,8(sp) + 55a4: 10001d26 beq r2,zero,561c <__register_exitproc+0x110> + 55a8: 81000017 ldw r4,0(r16) + 55ac: 10000115 stw zero,4(r2) + 55b0: 02000044 movi r8,1 + 55b4: 22405217 ldw r9,328(r4) + 55b8: 0007883a mov r3,zero + 55bc: 12400015 stw r9,0(r2) + 55c0: 20805215 stw r2,328(r4) + 55c4: 10006215 stw zero,392(r2) + 55c8: 10006315 stw zero,396(r2) + 55cc: 883fde26 beq r17,zero,5548 <__alt_data_end+0xfffe5548> + 55d0: 18c9883a add r4,r3,r3 + 55d4: 2109883a add r4,r4,r4 + 55d8: 1109883a add r4,r2,r4 + 55dc: 21802215 stw r6,136(r4) + 55e0: 01800044 movi r6,1 + 55e4: 12406217 ldw r9,392(r2) + 55e8: 30cc983a sll r6,r6,r3 + 55ec: 4992b03a or r9,r9,r6 + 55f0: 12406215 stw r9,392(r2) + 55f4: 21c04215 stw r7,264(r4) + 55f8: 01000084 movi r4,2 + 55fc: 893fd21e bne r17,r4,5548 <__alt_data_end+0xfffe5548> + 5600: 11006317 ldw r4,396(r2) + 5604: 218cb03a or r6,r4,r6 + 5608: 11806315 stw r6,396(r2) + 560c: 003fce06 br 5548 <__alt_data_end+0xfffe5548> + 5610: 18805304 addi r2,r3,332 + 5614: 18805215 stw r2,328(r3) + 5618: 003fc606 br 5534 <__alt_data_end+0xfffe5534> + 561c: 00bfffc4 movi r2,-1 + 5620: 003fd006 br 5564 <__alt_data_end+0xfffe5564> + +00005624 <__call_exitprocs>: + 5624: defff504 addi sp,sp,-44 + 5628: df000915 stw fp,36(sp) + 562c: dd400615 stw r21,24(sp) + 5630: dc800315 stw r18,12(sp) + 5634: dfc00a15 stw ra,40(sp) + 5638: ddc00815 stw r23,32(sp) + 563c: dd800715 stw r22,28(sp) + 5640: dd000515 stw r20,20(sp) + 5644: dcc00415 stw r19,16(sp) + 5648: dc400215 stw r17,8(sp) + 564c: dc000115 stw r16,4(sp) + 5650: d9000015 stw r4,0(sp) + 5654: 2839883a mov fp,r5 + 5658: 04800044 movi r18,1 + 565c: 057fffc4 movi r21,-1 + 5660: 00800034 movhi r2,0 + 5664: 109c9604 addi r2,r2,29272 + 5668: 12000017 ldw r8,0(r2) + 566c: 45005217 ldw r20,328(r8) + 5670: 44c05204 addi r19,r8,328 + 5674: a0001c26 beq r20,zero,56e8 <__call_exitprocs+0xc4> + 5678: a0800117 ldw r2,4(r20) + 567c: 15ffffc4 addi r23,r2,-1 + 5680: b8000d16 blt r23,zero,56b8 <__call_exitprocs+0x94> + 5684: 14000044 addi r16,r2,1 + 5688: 8421883a add r16,r16,r16 + 568c: 8421883a add r16,r16,r16 + 5690: 84402004 addi r17,r16,128 + 5694: a463883a add r17,r20,r17 + 5698: a421883a add r16,r20,r16 + 569c: e0001e26 beq fp,zero,5718 <__call_exitprocs+0xf4> + 56a0: 80804017 ldw r2,256(r16) + 56a4: e0801c26 beq fp,r2,5718 <__call_exitprocs+0xf4> + 56a8: bdffffc4 addi r23,r23,-1 + 56ac: 843fff04 addi r16,r16,-4 + 56b0: 8c7fff04 addi r17,r17,-4 + 56b4: bd7ff91e bne r23,r21,569c <__alt_data_end+0xfffe569c> + 56b8: 00800034 movhi r2,0 + 56bc: 10800004 addi r2,r2,0 + 56c0: 10000926 beq r2,zero,56e8 <__call_exitprocs+0xc4> + 56c4: a0800117 ldw r2,4(r20) + 56c8: 1000301e bne r2,zero,578c <__call_exitprocs+0x168> + 56cc: a0800017 ldw r2,0(r20) + 56d0: 10003226 beq r2,zero,579c <__call_exitprocs+0x178> + 56d4: a009883a mov r4,r20 + 56d8: 98800015 stw r2,0(r19) + 56dc: 00000000 call 0 <__reset> + 56e0: 9d000017 ldw r20,0(r19) + 56e4: a03fe41e bne r20,zero,5678 <__alt_data_end+0xfffe5678> + 56e8: dfc00a17 ldw ra,40(sp) + 56ec: df000917 ldw fp,36(sp) + 56f0: ddc00817 ldw r23,32(sp) + 56f4: dd800717 ldw r22,28(sp) + 56f8: dd400617 ldw r21,24(sp) + 56fc: dd000517 ldw r20,20(sp) + 5700: dcc00417 ldw r19,16(sp) + 5704: dc800317 ldw r18,12(sp) + 5708: dc400217 ldw r17,8(sp) + 570c: dc000117 ldw r16,4(sp) + 5710: dec00b04 addi sp,sp,44 + 5714: f800283a ret + 5718: a0800117 ldw r2,4(r20) + 571c: 80c00017 ldw r3,0(r16) + 5720: 10bfffc4 addi r2,r2,-1 + 5724: 15c01426 beq r2,r23,5778 <__call_exitprocs+0x154> + 5728: 80000015 stw zero,0(r16) + 572c: 183fde26 beq r3,zero,56a8 <__alt_data_end+0xfffe56a8> + 5730: 95c8983a sll r4,r18,r23 + 5734: a0806217 ldw r2,392(r20) + 5738: a5800117 ldw r22,4(r20) + 573c: 2084703a and r2,r4,r2 + 5740: 10000b26 beq r2,zero,5770 <__call_exitprocs+0x14c> + 5744: a0806317 ldw r2,396(r20) + 5748: 2088703a and r4,r4,r2 + 574c: 20000c1e bne r4,zero,5780 <__call_exitprocs+0x15c> + 5750: 89400017 ldw r5,0(r17) + 5754: d9000017 ldw r4,0(sp) + 5758: 183ee83a callr r3 + 575c: a0800117 ldw r2,4(r20) + 5760: 15bfbf1e bne r2,r22,5660 <__alt_data_end+0xfffe5660> + 5764: 98800017 ldw r2,0(r19) + 5768: 153fcf26 beq r2,r20,56a8 <__alt_data_end+0xfffe56a8> + 576c: 003fbc06 br 5660 <__alt_data_end+0xfffe5660> + 5770: 183ee83a callr r3 + 5774: 003ff906 br 575c <__alt_data_end+0xfffe575c> + 5778: a5c00115 stw r23,4(r20) + 577c: 003feb06 br 572c <__alt_data_end+0xfffe572c> + 5780: 89000017 ldw r4,0(r17) + 5784: 183ee83a callr r3 + 5788: 003ff406 br 575c <__alt_data_end+0xfffe575c> + 578c: a0800017 ldw r2,0(r20) + 5790: a027883a mov r19,r20 + 5794: 1029883a mov r20,r2 + 5798: 003fb606 br 5674 <__alt_data_end+0xfffe5674> + 579c: 0005883a mov r2,zero + 57a0: 003ffb06 br 5790 <__alt_data_end+0xfffe5790> + +000057a4 <_exit>: + * + * ALT_EXIT is mapped onto the _exit() system call in alt_syscall.h + */ + +void ALT_EXIT (int exit_code) +{ + 57a4: defffd04 addi sp,sp,-12 + 57a8: df000215 stw fp,8(sp) + 57ac: df000204 addi fp,sp,8 + 57b0: e13fff15 stw r4,-4(fp) + ALT_LOG_PRINT_BOOT("[alt_exit.c] Entering _exit() function.\r\n"); + ALT_LOG_PRINT_BOOT("[alt_exit.c] Exit code from main was %d.\r\n",exit_code); + /* Stop all other threads */ + + ALT_LOG_PRINT_BOOT("[alt_exit.c] Calling ALT_OS_STOP().\r\n"); + ALT_OS_STOP(); + 57b4: 0001883a nop + 57b8: e0bfff17 ldw r2,-4(fp) + 57bc: e0bffe15 stw r2,-8(fp) +/* + * Routine called on exit. + */ +static ALT_INLINE ALT_ALWAYS_INLINE void alt_sim_halt(int exit_code) +{ + register int r2 asm ("r2") = exit_code; + 57c0: e0bffe17 ldw r2,-8(fp) + __asm__ volatile ("\n0:\n\taddi %0,%0, -1\n\tbgt %0,zero,0b" : : "r" (ALT_CPU_FREQ/100) ); /* Delay for >30ms */ + + __asm__ volatile ("break 2" : : "r"(r2), "r"(r3) ALT_GMON_DATA ); + +#else /* !DEBUG_STUB */ + if (r2) { + 57c4: 10000226 beq r2,zero,57d0 <_exit+0x2c> + ALT_SIM_FAIL(); + 57c8: 002af070 cmpltui zero,zero,43969 + 57cc: 00000106 br 57d4 <_exit+0x30> + } else { + ALT_SIM_PASS(); + 57d0: 002af0b0 cmpltui zero,zero,43970 + ALT_SIM_HALT(exit_code); + + /* spin forever, since there's no where to go back to */ + + ALT_LOG_PRINT_BOOT("[alt_exit.c] Spinning forever.\r\n"); + while (1); + 57d4: 003fff06 br 57d4 <__alt_data_end+0xfffe57d4> diff --git a/Top/software/semafor/mem_init/hdl_sim/niosII_mem.dat b/Top/software/semafor/mem_init/hdl_sim/niosII_mem.dat new file mode 100644 index 0000000..59ec0be --- /dev/null +++ b/Top/software/semafor/mem_init/hdl_sim/niosII_mem.dat @@ -0,0 +1,7332 @@ +@0000 00400034 +@0001 08408C14 +@0002 0800683A +@0003 00000000 +@0004 00000000 +@0005 00000000 +@0006 00000000 +@0007 00000000 +@0008 DEFFED04 +@0009 DFC00015 +@000A D8400215 +@000B D8800315 +@000C D8C00415 +@000D D9000515 +@000E D9400615 +@000F D9800715 +@0010 D9C00815 +@0011 000B307A +@0012 DA000915 +@0013 DA400A15 +@0014 DA800B15 +@0015 DAC00C15 +@0016 DB000D15 +@0017 DB400E15 +@0018 DB800F15 +@0019 DBC01015 +@001A D9401115 +@001B EBFFFF04 +@001C DBC01215 +@001D 0009313A +@001E 2880004C +@001F 10000326 +@0020 20000226 +@0021 00000FC0 +@0022 00000706 +@0023 DF401215 +@0024 E8BFFF17 +@0025 E93FFF04 +@0026 00001D00 +@0027 1000021E +@0028 EBFFFF04 +@0029 DBC01215 +@002A D9401117 +@002B DF401217 +@002C DFC00017 +@002D 2801707A +@002E D8400217 +@002F D8800317 +@0030 D8C00417 +@0031 D9000517 +@0032 D9400617 +@0033 D9800717 +@0034 D9C00817 +@0035 DA000917 +@0036 DA400A17 +@0037 DA800B17 +@0038 DAC00C17 +@0039 DB000D17 +@003A DB400E17 +@003B DB800F17 +@003C DBC01017 +@003D DEC01304 +@003E EF80083A +@003F DEFFF904 +@0040 DFC00615 +@0041 DF000515 +@0042 DF000504 +@0043 0001883A +@0044 0005313A +@0045 E0BFFE15 +@0046 E0BFFE17 +@0047 E0BFFB15 +@0048 E03FFD15 +@0049 00800044 +@004A E0BFFC15 +@004B E0FFFB17 +@004C E0BFFC17 +@004D 1884703A +@004E 10001426 +@004F 00800034 +@0050 109CB904 +@0051 E0FFFD17 +@0052 180690FA +@0053 10C5883A +@0054 10C00017 +@0055 00800034 +@0056 109CB904 +@0057 E13FFD17 +@0058 200890FA +@0059 1105883A +@005A 10800104 +@005B 10800017 +@005C 1009883A +@005D 183EE83A +@005E 0001883A +@005F 0005313A +@0060 E0BFFF15 +@0061 E0BFFF17 +@0062 00000706 +@0063 E0BFFC17 +@0064 1085883A +@0065 E0BFFC15 +@0066 E0BFFD17 +@0067 10800044 +@0068 E0BFFD15 +@0069 003FE106 +@006A E0BFFB15 +@006B E0BFFB17 +@006C 103FDB1E +@006D 0001883A +@006E 0001883A +@006F E037883A +@0070 DFC00117 +@0071 DF000017 +@0072 DEC00204 +@0073 F800283A +@0074 DEFFFB04 +@0075 DFC00415 +@0076 DF000315 +@0077 DF000304 +@0078 E13FFF15 +@0079 00BFFFC4 +@007A E0BFFD15 +@007B E03FFE15 +@007C D0A01817 +@007D 10000726 +@007E D0A01817 +@007F E0FFFD17 +@0080 E1BFFE17 +@0081 E17FFF17 +@0082 1809883A +@0083 103EE83A +@0084 00000206 +@0085 003DA03A +@0086 0005883A +@0087 E037883A +@0088 DFC00117 +@0089 DF000017 +@008A DEC00204 +@008B F800283A +@008C 06C000B4 +@008D DEC00014 +@008E 06800034 +@008F D6BC9614 +@0090 00035980 +@0091 003FFF06 +@0092 DEFFFA04 +@0093 DFC00515 +@0094 DF000415 +@0095 DF000404 +@0096 008000B4 +@0097 10840804 +@0098 E0BFFE15 +@0099 E03FFC15 +@009A 00002106 +@009B E03FFF15 +@009C 00800104 +@009D E0BFFD15 +@009E 00001206 +@009F E0BFFF17 +@00A0 1008923A +@00A1 E0BFFD17 +@00A2 117FFFC4 +@00A3 00800034 +@00A4 1095F604 +@00A5 E0FFFC17 +@00A6 18C7883A +@00A7 18C7883A +@00A8 10C5883A +@00A9 1145883A +@00AA 10800003 +@00AB 10803FCC +@00AC 2084B03A +@00AD E0BFFF15 +@00AE E0BFFD17 +@00AF 10BFFFC4 +@00B0 E0BFFD15 +@00B1 E0BFFD17 +@00B2 00BFEC16 +@00B3 E0BFFE17 +@00B4 E0FFFF17 +@00B5 10C00015 +@00B6 E0BFFE17 +@00B7 10800104 +@00B8 E0BFFE15 +@00B9 E0BFFC17 +@00BA 10800044 +@00BB E0BFFC15 +@00BC E0BFFC17 +@00BD 10800110 +@00BE 103FDC1E +@00BF 00032500 +@00C0 0007883A +@00C1 008000B4 +@00C2 10840D04 +@00C3 10C00035 +@00C4 00C00044 +@00C5 008000B4 +@00C6 10840C04 +@00C7 10C00035 +@00C8 01000034 +@00C9 2115FA04 +@00CA 00003F00 +@00CB 003FFF06 +@00CC DEFFF604 +@00CD DC000715 +@00CE 2021883A +@00CF 2809883A +@00D0 DC400815 +@00D1 DFC00915 +@00D2 2823883A +@00D3 00004040 +@00D4 10C00044 +@00D5 D8800115 +@00D6 00800034 +@00D7 1095FC04 +@00D8 D8800215 +@00D9 00800044 +@00DA D8800315 +@00DB 00800084 +@00DC DC400015 +@00DD D8C00615 +@00DE DEC00415 +@00DF D8800515 +@00E0 80000226 +@00E1 80800E17 +@00E2 10001426 +@00E3 81400217 +@00E4 2880030B +@00E5 10C8000C +@00E6 1800061E +@00E7 29001917 +@00E8 00F7FFC4 +@00E9 10880014 +@00EA 20C6703A +@00EB 2880030D +@00EC 28C01915 +@00ED D9800404 +@00EE 8009883A +@00EF 000084C0 +@00F0 1000091E +@00F1 00800284 +@00F2 DFC00917 +@00F3 DC400817 +@00F4 DC000717 +@00F5 DEC00A04 +@00F6 F800283A +@00F7 8009883A +@00F8 00007FC0 +@00F9 003FE906 +@00FA 00BFFFC4 +@00FB 003FF606 +@00FC 00800034 +@00FD 109C9704 +@00FE 200B883A +@00FF 11000017 +@0100 00003301 +@0101 208000CC +@0102 10002026 +@0103 20800007 +@0104 10002026 +@0105 2005883A +@0106 00000206 +@0107 10C00007 +@0108 18001826 +@0109 10800044 +@010A 10C000CC +@010B 183FFB1E +@010C 10C00017 +@010D 01FFBFF4 +@010E 39FFBFC4 +@010F 00CA303A +@0110 01A02074 +@0111 19C7883A +@0112 31A02004 +@0113 1946703A +@0114 1986703A +@0115 1800091E +@0116 10800104 +@0117 10C00017 +@0118 19CB883A +@0119 00C6303A +@011A 28C6703A +@011B 1986703A +@011C 183FF926 +@011D 00000106 +@011E 10800044 +@011F 10C00007 +@0120 183FFD1E +@0121 1105C83A +@0122 F800283A +@0123 2005883A +@0124 003FE706 +@0125 0005883A +@0126 F800283A +@0127 0005883A +@0128 F800283A +@0129 01400034 +@012A 29491504 +@012B 0000DD81 +@012C DEFFF704 +@012D 00C00034 +@012E DFC00815 +@012F DDC00715 +@0130 DD800615 +@0131 DD400515 +@0132 DD000415 +@0133 DCC00315 +@0134 DC800215 +@0135 DC400115 +@0136 DC000015 +@0137 18C12904 +@0138 24000117 +@0139 20C00F15 +@013A 2080BB04 +@013B 00C000C4 +@013C 20C0B915 +@013D 2080BA15 +@013E 2000B815 +@013F 05C00204 +@0140 00800104 +@0141 2025883A +@0142 B80D883A +@0143 81001704 +@0144 000B883A +@0145 80000015 +@0146 80000115 +@0147 80000215 +@0148 8080030D +@0149 80001915 +@014A 8000038D +@014B 80000415 +@014C 80000515 +@014D 80000615 +@014E 0001A300 +@014F 05800034 +@0150 94400217 +@0151 05400034 +@0152 05000034 +@0153 04C00034 +@0154 B5884404 +@0155 AD485B04 +@0156 A5087A04 +@0157 9CC89104 +@0158 85800815 +@0159 85400915 +@015A 85000A15 +@015B 84C00B15 +@015C 84000715 +@015D 00800284 +@015E 8880030D +@015F 00800044 +@0160 B80D883A +@0161 89001704 +@0162 000B883A +@0163 88000015 +@0164 88000115 +@0165 88000215 +@0166 88001915 +@0167 8880038D +@0168 88000415 +@0169 88000515 +@016A 88000615 +@016B 0001A300 +@016C 94000317 +@016D 00800484 +@016E 8C400715 +@016F 8D800815 +@0170 8D400915 +@0171 8D000A15 +@0172 8CC00B15 +@0173 8080030D +@0174 00800084 +@0175 80000015 +@0176 80000115 +@0177 80000215 +@0178 80001915 +@0179 8080038D +@017A 80000415 +@017B 80000515 +@017C 80000615 +@017D B80D883A +@017E 000B883A +@017F 81001704 +@0180 0001A300 +@0181 00800044 +@0182 84000715 +@0183 85800815 +@0184 85400915 +@0185 85000A15 +@0186 84C00B15 +@0187 90800E15 +@0188 DFC00817 +@0189 DDC00717 +@018A DD800617 +@018B DD400517 +@018C DD000417 +@018D DCC00317 +@018E DC800217 +@018F DC400117 +@0190 DC000017 +@0191 DEC00904 +@0192 F800283A +@0193 0005883A +@0194 F800283A +@0195 DEFFFC04 +@0196 DC800215 +@0197 2825883A +@0198 DC000015 +@0199 01401A04 +@019A 2021883A +@019B 913FFFC4 +@019C DFC00315 +@019D DC400115 +@019E 00031140 +@019F 8009883A +@01A0 11401D04 +@01A1 1023883A +@01A2 0000E9C0 +@01A3 1021883A +@01A4 10000726 +@01A5 11000304 +@01A6 10000015 +@01A7 14800115 +@01A8 11000215 +@01A9 89801A04 +@01AA 000B883A +@01AB 0001A300 +@01AC 8005883A +@01AD DFC00317 +@01AE DC800217 +@01AF DC400117 +@01B0 DC000017 +@01B1 DEC00404 +@01B2 F800283A +@01B3 DEFFFB04 +@01B4 DC000015 +@01B5 04000034 +@01B6 841C9604 +@01B7 DCC00315 +@01B8 2027883A +@01B9 81000017 +@01BA DFC00415 +@01BB DC800215 +@01BC 20800E17 +@01BD DC400115 +@01BE 1000021E +@01BF 00004B00 +@01C0 81000017 +@01C1 2480B804 +@01C2 047FFFC4 +@01C3 91000117 +@01C4 94000217 +@01C5 213FFFC4 +@01C6 20000A16 +@01C7 8080030F +@01C8 10000C26 +@01C9 80C01D04 +@01CA 00000206 +@01CB 18BFE60F +@01CC 10000826 +@01CD 213FFFC4 +@01CE 1C3FFD04 +@01CF 18C01A04 +@01D0 247FFA1E +@01D1 90800017 +@01D2 10001D26 +@01D3 1025883A +@01D4 003FEE06 +@01D5 00BFFFC4 +@01D6 8080038D +@01D7 00800044 +@01D8 8080030D +@01D9 80001915 +@01DA 80000015 +@01DB 80000215 +@01DC 80000115 +@01DD 80000415 +@01DE 80000515 +@01DF 80000615 +@01E0 01800204 +@01E1 000B883A +@01E2 81001704 +@01E3 0001A300 +@01E4 8005883A +@01E5 80000C15 +@01E6 80000D15 +@01E7 80001115 +@01E8 80001215 +@01E9 DFC00417 +@01EA DCC00317 +@01EB DC800217 +@01EC DC400117 +@01ED DC000017 +@01EE DEC00504 +@01EF F800283A +@01F0 01400104 +@01F1 9809883A +@01F2 00006540 +@01F3 90800015 +@01F4 103FDE1E +@01F5 00800304 +@01F6 98800015 +@01F7 0005883A +@01F8 003FF006 +@01F9 00800034 +@01FA 109C9604 +@01FB 11000017 +@01FC 01400034 +@01FD 29491504 +@01FE 0000DD81 +@01FF 20800E17 +@0200 10000126 +@0201 F800283A +@0202 00004B01 +@0203 F800283A +@0204 F800283A +@0205 F800283A +@0206 F800283A +@0207 00800034 +@0208 109C9704 +@0209 11000017 +@020A 01400034 +@020B 29419304 +@020C 0000D141 +@020D 00800034 +@020E 109C9704 +@020F 11000017 +@0210 01400034 +@0211 29412704 +@0212 0000D141 +@0213 30800217 +@0214 10006726 +@0215 28C0030B +@0216 DEFFF404 +@0217 DD400715 +@0218 DD000615 +@0219 DC000215 +@021A DFC00B15 +@021B DF000A15 +@021C DDC00915 +@021D DD800815 +@021E DCC00515 +@021F DC800415 +@0220 DC400315 +@0221 1880020C +@0222 2821883A +@0223 202B883A +@0224 3029883A +@0225 10002726 +@0226 28800417 +@0227 10002526 +@0228 1880008C +@0229 A4400017 +@022A 10002A26 +@022B 05A00034 +@022C 0027883A +@022D 0025883A +@022E B5BF0004 +@022F 980D883A +@0230 A809883A +@0231 90004626 +@0232 900F883A +@0233 B480022E +@0234 01E00034 +@0235 39FF0004 +@0236 80800917 +@0237 81400717 +@0238 103EE83A +@0239 00805A0E +@023A A0C00217 +@023B 98A7883A +@023C 90A5C83A +@023D 1885C83A +@023E A0800215 +@023F 103FEF1E +@0240 0005883A +@0241 DFC00B17 +@0242 DF000A17 +@0243 DDC00917 +@0244 DD800817 +@0245 DD400717 +@0246 DD000617 +@0247 DCC00517 +@0248 DC800417 +@0249 DC400317 +@024A DC000217 +@024B DEC00C04 +@024C F800283A +@024D 800B883A +@024E A809883A +@024F 00022AC0 +@0250 1000EE1E +@0251 80C0030B +@0252 A4400017 +@0253 1880008C +@0254 103FD61E +@0255 1880004C +@0256 1000421E +@0257 0039883A +@0258 0025883A +@0259 90001A26 +@025A 1880800C +@025B 84C00217 +@025C 10002126 +@025D 982F883A +@025E 94C09636 +@025F 1881200C +@0260 1000A11E +@0261 81000017 +@0262 B80D883A +@0263 E00B883A +@0264 00018D40 +@0265 80C00217 +@0266 81000017 +@0267 9005883A +@0268 1CE7C83A +@0269 25CF883A +@026A 84C00215 +@026B 81C00015 +@026C A0C00217 +@026D E0B9883A +@026E 90A5C83A +@026F 18A7C83A +@0270 A4C00215 +@0271 983FCE26 +@0272 80C0030B +@0273 903FE61E +@0274 8F000017 +@0275 8C800117 +@0276 8C400204 +@0277 003FE106 +@0278 8CC00017 +@0279 8C800117 +@027A 8C400204 +@027B 003FB306 +@027C 0005883A +@027D F800283A +@027E 81000017 +@027F 80800417 +@0280 11005A36 +@0281 85C00517 +@0282 95C05836 +@0283 00A00034 +@0284 10BFFFC4 +@0285 9009883A +@0286 1480012E +@0287 1009883A +@0288 B80B883A +@0289 0002F600 +@028A B80B883A +@028B 1009883A +@028C 00031140 +@028D 81400717 +@028E 80C00917 +@028F 100F883A +@0290 E00D883A +@0291 A809883A +@0292 183EE83A +@0293 00BFD816 +@0294 8080030B +@0295 10801014 +@0296 8080030D +@0297 00BFFFC4 +@0298 003FA806 +@0299 0027883A +@029A 0011883A +@029B 0039883A +@029C 0025883A +@029D 90001F26 +@029E 40005A26 +@029F 982D883A +@02A0 94C0012E +@02A1 902D883A +@02A2 81000017 +@02A3 80800417 +@02A4 B02F883A +@02A5 81C00517 +@02A6 1100032E +@02A7 80C00217 +@02A8 38C7883A +@02A9 1D801816 +@02AA B1C03E16 +@02AB 80800917 +@02AC 81400717 +@02AD E00D883A +@02AE DA000115 +@02AF A809883A +@02B0 103EE83A +@02B1 102F883A +@02B2 DA000117 +@02B3 00BFE00E +@02B4 9DE7C83A +@02B5 98001F26 +@02B6 A0800217 +@02B7 E5F9883A +@02B8 95E5C83A +@02B9 15EFC83A +@02BA A5C00215 +@02BB B83F8426 +@02BC 903FE11E +@02BD 8F000017 +@02BE 8C800117 +@02BF 0011883A +@02C0 8C400204 +@02C1 003FDB06 +@02C2 180D883A +@02C3 E00B883A +@02C4 DA000115 +@02C5 D8C00015 +@02C6 00018D40 +@02C7 D8C00017 +@02C8 80800017 +@02C9 800B883A +@02CA A809883A +@02CB 10C5883A +@02CC 80800015 +@02CD D8C00015 +@02CE 00027740 +@02CF D8C00017 +@02D0 DA000117 +@02D1 103FC21E +@02D2 182F883A +@02D3 9DE7C83A +@02D4 983FE11E +@02D5 800B883A +@02D6 A809883A +@02D7 00027740 +@02D8 103FBB1E +@02D9 0011883A +@02DA 003FDB06 +@02DB 94C0012E +@02DC 9027883A +@02DD 980D883A +@02DE E00B883A +@02DF 00018D40 +@02E0 80800217 +@02E1 80C00017 +@02E2 14C5C83A +@02E3 1CC7883A +@02E4 80800215 +@02E5 80C00015 +@02E6 10004326 +@02E7 9805883A +@02E8 003F8306 +@02E9 B00D883A +@02EA E00B883A +@02EB DA000115 +@02EC 00018D40 +@02ED 80800217 +@02EE 80C00017 +@02EF DA000117 +@02F0 1585C83A +@02F1 1DAD883A +@02F2 80800215 +@02F3 85800015 +@02F4 003FBF06 +@02F5 81000017 +@02F6 9027883A +@02F7 902F883A +@02F8 003F6906 +@02F9 900D883A +@02FA 01400284 +@02FB E009883A +@02FC 00016A80 +@02FD 10003E26 +@02FE 10800044 +@02FF 1727C83A +@0300 02000044 +@0301 003F9D06 +@0302 80800517 +@0303 81400417 +@0304 81C00017 +@0305 10A7883A +@0306 9885883A +@0307 1026D7FA +@0308 396DC83A +@0309 B1000044 +@030A 9885883A +@030B 1027D07A +@030C 2485883A +@030D 980D883A +@030E 9880022E +@030F 1027883A +@0310 100D883A +@0311 18C1000C +@0312 18001C26 +@0313 300B883A +@0314 A809883A +@0315 0000E9C0 +@0316 102F883A +@0317 10002926 +@0318 81400417 +@0319 B00D883A +@031A 1009883A +@031B 000178C0 +@031C 8080030B +@031D 00FEDFC4 +@031E 10C4703A +@031F 10802014 +@0320 8080030D +@0321 BD89883A +@0322 9D8FC83A +@0323 85C00415 +@0324 84C00515 +@0325 81000015 +@0326 9027883A +@0327 81C00215 +@0328 902F883A +@0329 003F3806 +@032A 800B883A +@032B A809883A +@032C 00027740 +@032D 103FB926 +@032E 003F6506 +@032F A809883A +@0330 0001B580 +@0331 102F883A +@0332 103FEE1E +@0333 81400417 +@0334 A809883A +@0335 00029240 +@0336 8080030B +@0337 00FFDFC4 +@0338 1884703A +@0339 00C00304 +@033A A8C00015 +@033B 003F5906 +@033C 94C00044 +@033D 02000044 +@033E 003F6006 +@033F 00BFFFC4 +@0340 003F0006 +@0341 00800304 +@0342 A8800015 +@0343 8080030B +@0344 003F5006 +@0345 DEFFF704 +@0346 DD000415 +@0347 DFC00815 +@0348 DDC00715 +@0349 DD800615 +@034A DD400515 +@034B DCC00315 +@034C DC800215 +@034D DC400115 +@034E DC000015 +@034F 2500B804 +@0350 A0002326 +@0351 282B883A +@0352 002F883A +@0353 05800044 +@0354 04FFFFC4 +@0355 A4400117 +@0356 A4800217 +@0357 8C7FFFC4 +@0358 88000D16 +@0359 94000304 +@035A 94800384 +@035B 8080000B +@035C 8C7FFFC4 +@035D 813FFD04 +@035E B080042E +@035F 9080000F +@0360 14C00226 +@0361 A83EE83A +@0362 B8AEB03A +@0363 84001A04 +@0364 94801A04 +@0365 8CFFF51E +@0366 A5000017 +@0367 A03FED1E +@0368 B805883A +@0369 DFC00817 +@036A DDC00717 +@036B DD800617 +@036C DD400517 +@036D DD000417 +@036E DCC00317 +@036F DC800217 +@0370 DC400117 +@0371 DC000017 +@0372 DEC00904 +@0373 F800283A +@0374 002F883A +@0375 003FF206 +@0376 DEFFF704 +@0377 DD000415 +@0378 DFC00815 +@0379 DDC00715 +@037A DD800615 +@037B DD400515 +@037C DCC00315 +@037D DC800215 +@037E DC400115 +@037F DC000015 +@0380 2500B804 +@0381 A0002326 +@0382 282B883A +@0383 2027883A +@0384 002F883A +@0385 05800044 +@0386 04BFFFC4 +@0387 A4400117 +@0388 A4000217 +@0389 8C7FFFC4 +@038A 88000C16 +@038B 84000304 +@038C 8080000B +@038D 8C7FFFC4 +@038E 817FFD04 +@038F B080052E +@0390 8080008F +@0391 9809883A +@0392 14800226 +@0393 A83EE83A +@0394 B8AEB03A +@0395 84001A04 +@0396 8CBFF51E +@0397 A5000017 +@0398 A03FEE1E +@0399 B805883A +@039A DFC00817 +@039B DDC00717 +@039C DD800617 +@039D DD400517 +@039E DD000417 +@039F DCC00317 +@03A0 DC800217 +@03A1 DC400117 +@03A2 DC000017 +@03A3 DEC00904 +@03A4 F800283A +@03A5 002F883A +@03A6 003FF206 +@03A7 DEFFF504 +@03A8 DC800315 +@03A9 DFC00A15 +@03AA DF000915 +@03AB DDC00815 +@03AC DD800715 +@03AD DD400615 +@03AE DD000515 +@03AF DCC00415 +@03B0 DC400215 +@03B1 DC000115 +@03B2 288002C4 +@03B3 00C00584 +@03B4 2025883A +@03B5 18807F2E +@03B6 047FFE04 +@03B7 1462703A +@03B8 8800A316 +@03B9 8940A236 +@03BA 00036140 +@03BB 00807DC4 +@03BC 1441E92E +@03BD 8804D27A +@03BE 1000A126 +@03BF 00C00104 +@03C0 18811E36 +@03C1 8804D1BA +@03C2 12000E44 +@03C3 11C00E04 +@03C4 4209883A +@03C5 04C00034 +@03C6 2109883A +@03C7 9CD71204 +@03C8 2109883A +@03C9 9909883A +@03CA 24000117 +@03CB 213FFE04 +@03CC 24009726 +@03CD 80800117 +@03CE 01BFFF04 +@03CF 014003C4 +@03D0 1184703A +@03D1 1447C83A +@03D2 28C00716 +@03D3 1800920E +@03D4 84000317 +@03D5 24008E26 +@03D6 80800117 +@03D7 1184703A +@03D8 1447C83A +@03D9 28FFF90E +@03DA 3809883A +@03DB 01800034 +@03DC 9C000417 +@03DD 31971204 +@03DE 32000204 +@03DF 82013426 +@03E0 80C00117 +@03E1 00BFFF04 +@03E2 188E703A +@03E3 3C45C83A +@03E4 00C003C4 +@03E5 18811F16 +@03E6 32000515 +@03E7 32000415 +@03E8 10007F0E +@03E9 00807FC4 +@03EA 11C0FD36 +@03EB 3806D0FA +@03EC 01C00044 +@03ED 30800117 +@03EE 19400044 +@03EF 294B883A +@03F0 1807D0BA +@03F1 294B883A +@03F2 294B883A +@03F3 298B883A +@03F4 38C6983A +@03F5 29C00017 +@03F6 2A7FFE04 +@03F7 1886B03A +@03F8 82400315 +@03F9 81C00215 +@03FA 30C00115 +@03FB 2C000015 +@03FC 3C000315 +@03FD 2005D0BA +@03FE 01400044 +@03FF 288A983A +@0400 19406F36 +@0401 28C4703A +@0402 10000A1E +@0403 00BFFF04 +@0404 294B883A +@0405 2088703A +@0406 28C4703A +@0407 21000104 +@0408 1000041E +@0409 294B883A +@040A 28C4703A +@040B 21000104 +@040C 103FFC26 +@040D 02BFFF04 +@040E 024003C4 +@040F 21800044 +@0410 318D883A +@0411 318D883A +@0412 318D883A +@0413 998D883A +@0414 333FFE04 +@0415 2017883A +@0416 31800104 +@0417 34000017 +@0418 31FFFD04 +@0419 81C0041E +@041A 0000FB06 +@041B 1801030E +@041C 84000317 +@041D 81C0F826 +@041E 80800117 +@041F 1284703A +@0420 1447C83A +@0421 48FFF90E +@0422 80800317 +@0423 81000217 +@0424 89400054 +@0425 81400115 +@0426 20800315 +@0427 11000215 +@0428 8463883A +@0429 9C400515 +@042A 9C400415 +@042B 18800054 +@042C 88800115 +@042D 8A000315 +@042E 8A000215 +@042F 88E3883A +@0430 88C00015 +@0431 9009883A +@0432 00036380 +@0433 80800204 +@0434 00001B06 +@0435 04400404 +@0436 89402536 +@0437 00036140 +@0438 00800184 +@0439 01000084 +@043A 04C00034 +@043B 1085883A +@043C 9CD71204 +@043D 1085883A +@043E 9885883A +@043F 14000117 +@0440 10FFFE04 +@0441 80C0D926 +@0442 80C00117 +@0443 81000317 +@0444 00BFFF04 +@0445 1884703A +@0446 81400217 +@0447 8085883A +@0448 10C00117 +@0449 29000315 +@044A 21400215 +@044B 18C00054 +@044C 10C00115 +@044D 9009883A +@044E 00036380 +@044F 80800204 +@0450 DFC00A17 +@0451 DF000917 +@0452 DDC00817 +@0453 DD800717 +@0454 DD400617 +@0455 DD000517 +@0456 DCC00417 +@0457 DC800317 +@0458 DC400217 +@0459 DC000117 +@045A DEC00B04 +@045B F800283A +@045C 00800304 +@045D 90800015 +@045E 0005883A +@045F 003FF006 +@0460 01002004 +@0461 02001004 +@0462 01C00FC4 +@0463 003F6106 +@0464 4009883A +@0465 003F7506 +@0466 81000317 +@0467 003FDE06 +@0468 81C5883A +@0469 11400117 +@046A 9009883A +@046B 29400054 +@046C 11400115 +@046D 00036380 +@046E 80800204 +@046F 003FE006 +@0470 9C000217 +@0471 00BFFF04 +@0472 85800117 +@0473 B0AC703A +@0474 B4400336 +@0475 B445C83A +@0476 00C003C4 +@0477 18805D16 +@0478 05C00034 +@0479 00800034 +@047A 109CA604 +@047B BDDC9804 +@047C 15400017 +@047D B8C00017 +@047E 00BFFFC4 +@047F 858D883A +@0480 8D6B883A +@0481 1880EA26 +@0482 AD4403C4 +@0483 00BC0004 +@0484 A8AA703A +@0485 A80B883A +@0486 9009883A +@0487 D9800015 +@0488 00020BC0 +@0489 1029883A +@048A 00BFFFC4 +@048B D9800017 +@048C A080E826 +@048D A180A636 +@048E 07000034 +@048F E71CAF04 +@0490 E0800017 +@0491 A887883A +@0492 E0C00015 +@0493 3500E626 +@0494 B9000017 +@0495 00BFFFC4 +@0496 2080EE26 +@0497 A185C83A +@0498 10C5883A +@0499 E0800015 +@049A A0C001CC +@049B 1800BC26 +@049C A0E9C83A +@049D 00840204 +@049E A5000204 +@049F 10C7C83A +@04A0 A545883A +@04A1 1083FFCC +@04A2 18ABC83A +@04A3 A80B883A +@04A4 9009883A +@04A5 00020BC0 +@04A6 00FFFFC4 +@04A7 10C0E126 +@04A8 1505C83A +@04A9 1545883A +@04AA 10800054 +@04AB E0C00017 +@04AC 9D000215 +@04AD A0800115 +@04AE A8C7883A +@04AF E0C00015 +@04B0 84C00E26 +@04B1 018003C4 +@04B2 3580A72E +@04B3 81400117 +@04B4 013FFE04 +@04B5 B0BFFD04 +@04B6 1104703A +@04B7 2900004C +@04B8 2088B03A +@04B9 81000115 +@04BA 01400144 +@04BB 8089883A +@04BC 21400115 +@04BD 21400215 +@04BE 3080CD36 +@04BF 00800034 +@04C0 109CA504 +@04C1 11000017 +@04C2 20C0012E +@04C3 10C00015 +@04C4 00800034 +@04C5 109CA404 +@04C6 11000017 +@04C7 9C000217 +@04C8 20C0012E +@04C9 10C00015 +@04CA 80C00117 +@04CB 00BFFF04 +@04CC 1886703A +@04CD 1C45C83A +@04CE 1C400236 +@04CF 00C003C4 +@04D0 18800416 +@04D1 9009883A +@04D2 00036380 +@04D3 0005883A +@04D4 003F7B06 +@04D5 88C00054 +@04D6 80C00115 +@04D7 8463883A +@04D8 10800054 +@04D9 9C400215 +@04DA 88800115 +@04DB 9009883A +@04DC 00036380 +@04DD 80800204 +@04DE 003F7106 +@04DF 00C00504 +@04E0 18804A2E +@04E1 00C01504 +@04E2 18806E36 +@04E3 8804D33A +@04E4 12001BC4 +@04E5 11C01B84 +@04E6 4209883A +@04E7 003EDD06 +@04E8 3804D27A +@04E9 00C00104 +@04EA 1880442E +@04EB 00C00504 +@04EC 18808136 +@04ED 11401704 +@04EE 10C016C4 +@04EF 294B883A +@04F0 294B883A +@04F1 294B883A +@04F2 994B883A +@04F3 28800017 +@04F4 01800034 +@04F5 297FFE04 +@04F6 31971204 +@04F7 28806526 +@04F8 01BFFF04 +@04F9 10C00117 +@04FA 1986703A +@04FB 38C0022E +@04FC 10800217 +@04FD 28BFFB1E +@04FE 11400317 +@04FF 98C00117 +@0500 81400315 +@0501 80800215 +@0502 2C000215 +@0503 14000315 +@0504 003EF806 +@0505 88C00054 +@0506 80C00115 +@0507 8463883A +@0508 34400515 +@0509 34400415 +@050A 10C00054 +@050B 8A000315 +@050C 8A000215 +@050D 88C00115 +@050E 88A3883A +@050F 88800015 +@0510 9009883A +@0511 00036380 +@0512 80800204 +@0513 003F3C06 +@0514 30C00117 +@0515 003EE706 +@0516 5AC00044 +@0517 588000CC +@0518 31800204 +@0519 103EFD1E +@051A 00002406 +@051B 14000317 +@051C 143F251E +@051D 21000084 +@051E 003EBC06 +@051F 8085883A +@0520 10C00117 +@0521 81000317 +@0522 81400217 +@0523 18C00054 +@0524 10C00115 +@0525 29000315 +@0526 21400215 +@0527 9009883A +@0528 00036380 +@0529 80800204 +@052A 003F2506 +@052B 12001704 +@052C 11C016C4 +@052D 4209883A +@052E 003E9606 +@052F 3804D1BA +@0530 11400E44 +@0531 10C00E04 +@0532 294B883A +@0533 003FBC06 +@0534 84FF5926 +@0535 00800034 +@0536 10971204 +@0537 14000217 +@0538 00BFFF04 +@0539 80C00117 +@053A 1886703A +@053B 003F9106 +@053C 60800217 +@053D 213FFFC4 +@053E 1300651E +@053F 208000CC +@0540 633FFE04 +@0541 103FFA1E +@0542 98800117 +@0543 0146303A +@0544 1884703A +@0545 98800115 +@0546 294B883A +@0547 117F2836 +@0548 283F2726 +@0549 2886703A +@054A 5809883A +@054B 183EC31E +@054C 294B883A +@054D 2886703A +@054E 21000104 +@054F 183FFC26 +@0550 003EBE06 +@0551 00C05504 +@0552 18801236 +@0553 8804D3FA +@0554 12001E04 +@0555 11C01DC4 +@0556 4209883A +@0557 003E6D06 +@0558 00C40004 +@0559 003F4606 +@055A 00800044 +@055B A0800115 +@055C 003F7406 +@055D 1805D0BA +@055E 01C00044 +@055F 30C00117 +@0560 388E983A +@0561 2805883A +@0562 38C6B03A +@0563 30C00115 +@0564 003F9B06 +@0565 00C15504 +@0566 18801A36 +@0567 8804D4BA +@0568 12001F44 +@0569 11C01F04 +@056A 4209883A +@056B 003E5906 +@056C AD400404 +@056D 003F1706 +@056E 00C01504 +@056F 18802336 +@0570 3804D33A +@0571 11401BC4 +@0572 10C01B84 +@0573 294B883A +@0574 003F7B06 +@0575 9C000217 +@0576 00BFFF04 +@0577 80C00117 +@0578 1886703A +@0579 003F5306 +@057A 3083FFCC +@057B 103F181E +@057C 99000217 +@057D B545883A +@057E 10800054 +@057F 20800115 +@0580 003F3E06 +@0581 01003F84 +@0582 02001FC4 +@0583 01C01F84 +@0584 003E4006 +@0585 00800034 +@0586 109C9804 +@0587 15000015 +@0588 003F1106 +@0589 00800044 +@058A 002B883A +@058B 003F1F06 +@058C 81400204 +@058D 9009883A +@058E 00029240 +@058F 00800034 +@0590 109CAF04 +@0591 10C00017 +@0592 003F2C06 +@0593 00C05504 +@0594 18800536 +@0595 3804D3FA +@0596 11401E04 +@0597 10C01DC4 +@0598 294B883A +@0599 003F5606 +@059A 00C15504 +@059B 18800536 +@059C 3804D4BA +@059D 11401F44 +@059E 10C01F04 +@059F 294B883A +@05A0 003F4F06 +@05A1 01403F84 +@05A2 00C01F84 +@05A3 003F4C06 +@05A4 98800117 +@05A5 003FA006 +@05A6 8808D0FA +@05A7 20800044 +@05A8 1085883A +@05A9 003E9006 +@05AA 208000CC +@05AB 280F883A +@05AC 10003426 +@05AD 30BFFFC4 +@05AE 30001A26 +@05AF 20C00003 +@05B0 29803FCC +@05B1 30C0051E +@05B2 00001806 +@05B3 10001526 +@05B4 20C00003 +@05B5 10BFFFC4 +@05B6 30C01426 +@05B7 21000044 +@05B8 20C000CC +@05B9 183FF91E +@05BA 020000C4 +@05BB 40801136 +@05BC 10000C26 +@05BD 20C00003 +@05BE 29403FCC +@05BF 28C00B26 +@05C0 20C00044 +@05C1 39803FCC +@05C2 2089883A +@05C3 00000306 +@05C4 18C00044 +@05C5 197FFFC3 +@05C6 31400526 +@05C7 1805883A +@05C8 20FFFB1E +@05C9 0005883A +@05CA F800283A +@05CB 2005883A +@05CC F800283A +@05CD 28C03FCC +@05CE 1812923A +@05CF 02FFBFF4 +@05D0 02A02074 +@05D1 48D2B03A +@05D2 4806943A +@05D3 5AFFBFC4 +@05D4 52A02004 +@05D5 48D2B03A +@05D6 20C00017 +@05D7 48C6F03A +@05D8 1ACD883A +@05D9 00C6303A +@05DA 30C6703A +@05DB 1A86703A +@05DC 183FE01E +@05DD 10BFFF04 +@05DE 21000104 +@05DF 40BFF636 +@05E0 003FDB06 +@05E1 3005883A +@05E2 003FD706 +@05E3 DEFFFD04 +@05E4 DFC00215 +@05E5 DC400115 +@05E6 DC000015 +@05E7 00C003C4 +@05E8 2005883A +@05E9 1980452E +@05EA 2906B03A +@05EB 18C000CC +@05EC 1800441E +@05ED 347FFC04 +@05EE 8822D13A +@05EF 28C00104 +@05F0 23400104 +@05F1 8820913A +@05F2 2B000204 +@05F3 22C00204 +@05F4 84000504 +@05F5 2A800304 +@05F6 22400304 +@05F7 2C21883A +@05F8 2811883A +@05F9 200F883A +@05FA 41000017 +@05FB 1FC00017 +@05FC 63C00017 +@05FD 39000015 +@05FE 53800017 +@05FF 6FC00015 +@0600 5BC00015 +@0601 4B800015 +@0602 18C00404 +@0603 39C00404 +@0604 42000404 +@0605 6B400404 +@0606 63000404 +@0607 5AC00404 +@0608 52800404 +@0609 4A400404 +@060A 1C3FEF1E +@060B 89C00044 +@060C 380E913A +@060D 310003CC +@060E 02C000C4 +@060F 11C7883A +@0610 29CB883A +@0611 5900212E +@0612 1813883A +@0613 2811883A +@0614 200F883A +@0615 42800017 +@0616 4A400104 +@0617 39FFFF04 +@0618 4ABFFF15 +@0619 42000104 +@061A 59FFFA36 +@061B 213FFF04 +@061C 2008D0BA +@061D 318000CC +@061E 21000044 +@061F 2109883A +@0620 2109883A +@0621 1907883A +@0622 290B883A +@0623 30000626 +@0624 198D883A +@0625 29C00003 +@0626 18C00044 +@0627 29400044 +@0628 19FFFFC5 +@0629 19BFFB1E +@062A DFC00217 +@062B DC400117 +@062C DC000017 +@062D DEC00304 +@062E F800283A +@062F 2007883A +@0630 003FF206 +@0631 2007883A +@0632 003FF106 +@0633 200D883A +@0634 003FEE06 +@0635 2005883A +@0636 29000B2E +@0637 298F883A +@0638 21C0092E +@0639 2187883A +@063A 198BC83A +@063B 30004826 +@063C 39FFFFC4 +@063D 39000003 +@063E 18FFFFC4 +@063F 19000005 +@0640 28FFFB1E +@0641 F800283A +@0642 00C003C4 +@0643 1980412E +@0644 2886B03A +@0645 18C000CC +@0646 1800401E +@0647 33FFFC04 +@0648 781ED13A +@0649 28C00104 +@064A 13400104 +@064B 781C913A +@064C 2B000204 +@064D 12C00204 +@064E 73800504 +@064F 2A800304 +@0650 12400304 +@0651 2B9D883A +@0652 2811883A +@0653 100F883A +@0654 41000017 +@0655 39C00404 +@0656 18C00404 +@0657 393FFC15 +@0658 193FFC17 +@0659 6B400404 +@065A 5AC00404 +@065B 693FFC15 +@065C 61000017 +@065D 4A400404 +@065E 42000404 +@065F 593FFC15 +@0660 51000017 +@0661 63000404 +@0662 52800404 +@0663 493FFC15 +@0664 1BBFEF1E +@0665 79000044 +@0666 2008913A +@0667 328003CC +@0668 02C000C4 +@0669 1107883A +@066A 290B883A +@066B 5A801E2E +@066C 1813883A +@066D 2811883A +@066E 500F883A +@066F 41000017 +@0670 4A400104 +@0671 39FFFF04 +@0672 493FFF15 +@0673 42000104 +@0674 59FFFA36 +@0675 513FFF04 +@0676 2008D0BA +@0677 318000CC +@0678 21000044 +@0679 2109883A +@067A 2109883A +@067B 1907883A +@067C 290B883A +@067D 30000B26 +@067E 198D883A +@067F 29C00003 +@0680 18C00044 +@0681 29400044 +@0682 19FFFFC5 +@0683 19BFFB1E +@0684 F800283A +@0685 1007883A +@0686 003FF606 +@0687 1007883A +@0688 003FF506 +@0689 F800283A +@068A 500D883A +@068B 003FF106 +@068C 20C000CC +@068D 2005883A +@068E 18004426 +@068F 31FFFFC4 +@0690 30004026 +@0691 2813883A +@0692 200D883A +@0693 2007883A +@0694 00000406 +@0695 3A3FFFC4 +@0696 31800044 +@0697 38003926 +@0698 400F883A +@0699 18C00044 +@069A 32400005 +@069B 1A0000CC +@069C 403FF81E +@069D 010000C4 +@069E 21C02D2E +@069F 29003FCC +@06A0 200C923A +@06A1 3108B03A +@06A2 200C943A +@06A3 218CB03A +@06A4 010003C4 +@06A5 21C0182E +@06A6 3B3FFC04 +@06A7 6018D13A +@06A8 1A000104 +@06A9 1AC00204 +@06AA 6008913A +@06AB 1A800304 +@06AC 1813883A +@06AD 21000504 +@06AE 1909883A +@06AF 49800015 +@06B0 41800015 +@06B1 59800015 +@06B2 51800015 +@06B3 42000404 +@06B4 4A400404 +@06B5 5AC00404 +@06B6 52800404 +@06B7 413FF71E +@06B8 63000044 +@06B9 6018913A +@06BA 39C003CC +@06BB 010000C4 +@06BC 1B07883A +@06BD 21C00E2E +@06BE 1813883A +@06BF 3811883A +@06C0 010000C4 +@06C1 49800015 +@06C2 423FFF04 +@06C3 4A400104 +@06C4 223FFC36 +@06C5 393FFF04 +@06C6 2008D0BA +@06C7 39C000CC +@06C8 21000044 +@06C9 2109883A +@06CA 2109883A +@06CB 1907883A +@06CC 38000526 +@06CD 19CF883A +@06CE 19400005 +@06CF 18C00044 +@06D0 38FFFD1E +@06D1 F800283A +@06D2 F800283A +@06D3 2007883A +@06D4 300F883A +@06D5 003FC706 +@06D6 DEFFF604 +@06D7 DC800215 +@06D8 DFC00915 +@06D9 DF000815 +@06DA DDC00715 +@06DB DD800615 +@06DC DD400515 +@06DD DD000415 +@06DE DCC00315 +@06DF DC400115 +@06E0 DC000015 +@06E1 3025883A +@06E2 2800B726 +@06E3 282B883A +@06E4 2029883A +@06E5 00036140 +@06E6 A8BFFF17 +@06E7 043FFF04 +@06E8 90C002C4 +@06E9 01000584 +@06EA ACFFFE04 +@06EB 1420703A +@06EC 20C0332E +@06ED 047FFE04 +@06EE 1C62703A +@06EF 8807883A +@06F0 88005816 +@06F1 8C805736 +@06F2 80C0300E +@06F3 07000034 +@06F4 E7171204 +@06F5 E1C00217 +@06F6 9C09883A +@06F7 22000117 +@06F8 21C06326 +@06F9 017FFF84 +@06FA 414A703A +@06FB 214B883A +@06FC 29800117 +@06FD 3180004C +@06FE 30003F26 +@06FF 1080004C +@0700 10008326 +@0701 900B883A +@0702 A009883A +@0703 0000E9C0 +@0704 1025883A +@0705 10011E26 +@0706 A93FFF17 +@0707 10FFFE04 +@0708 00BFFF84 +@0709 2084703A +@070A 9885883A +@070B 1880EE26 +@070C 81BFFF04 +@070D 00800904 +@070E 1180B836 +@070F 00C004C4 +@0710 19809636 +@0711 9005883A +@0712 A807883A +@0713 19000017 +@0714 11000015 +@0715 19000117 +@0716 11000115 +@0717 18C00217 +@0718 10C00215 +@0719 A80B883A +@071A A009883A +@071B 00029240 +@071C A009883A +@071D 00036380 +@071E 9005883A +@071F 00001206 +@0720 00C00404 +@0721 1823883A +@0722 003FCE06 +@0723 A825883A +@0724 8445C83A +@0725 00C003C4 +@0726 18802636 +@0727 99800117 +@0728 9C07883A +@0729 3180004C +@072A 3420B03A +@072B 9C000115 +@072C 18800117 +@072D 10800054 +@072E 18800115 +@072F A009883A +@0730 00036380 +@0731 9005883A +@0732 DFC00917 +@0733 DF000817 +@0734 DDC00717 +@0735 DD800617 +@0736 DD400517 +@0737 DD000417 +@0738 DCC00317 +@0739 DC800217 +@073A DC400117 +@073B DC000017 +@073C DEC00A04 +@073D F800283A +@073E 017FFF04 +@073F 414A703A +@0740 814D883A +@0741 30C01F16 +@0742 20800317 +@0743 20C00217 +@0744 A825883A +@0745 3021883A +@0746 18800315 +@0747 10C00215 +@0748 003FDB06 +@0749 00800304 +@074A A0800015 +@074B 0005883A +@074C 003FE506 +@074D 98C00117 +@074E 9C4B883A +@074F 11000054 +@0750 18C0004C +@0751 1C62B03A +@0752 9C400115 +@0753 29000115 +@0754 2885883A +@0755 10C00117 +@0756 29400204 +@0757 A009883A +@0758 18C00054 +@0759 10C00115 +@075A 00029240 +@075B 003FD306 +@075C 017FFF04 +@075D 414A703A +@075E 89800404 +@075F 8151883A +@0760 4180590E +@0761 1080004C +@0762 103F9E1E +@0763 ADBFFE17 +@0764 00BFFF04 +@0765 9DADC83A +@0766 B1800117 +@0767 3084703A +@0768 20002026 +@0769 80AF883A +@076A B96F883A +@076B 21C05F26 +@076C B8C01C16 +@076D 20800317 +@076E 20C00217 +@076F 81BFFF04 +@0770 01000904 +@0771 18800315 +@0772 10C00215 +@0773 B0C00217 +@0774 B0800317 +@0775 B4800204 +@0776 18800315 +@0777 10C00215 +@0778 21801B36 +@0779 008004C4 +@077A 1180352E +@077B A8800017 +@077C B0800215 +@077D A8800117 +@077E B0800315 +@077F 008006C4 +@0780 11807F36 +@0781 B0800404 +@0782 AD400204 +@0783 00002D06 +@0784 ADBFFE17 +@0785 00BFFF04 +@0786 9DADC83A +@0787 B1000117 +@0788 2084703A +@0789 B03F7726 +@078A 80AF883A +@078B B8FF7516 +@078C B0800317 +@078D B0C00217 +@078E 81BFFF04 +@078F 01000904 +@0790 18800315 +@0791 10C00215 +@0792 B4800204 +@0793 21BFE52E +@0794 A80B883A +@0795 9009883A +@0796 00018D40 +@0797 B821883A +@0798 B027883A +@0799 003F8A06 +@079A 300B883A +@079B DFC00917 +@079C DF000817 +@079D DDC00717 +@079E DD800617 +@079F DD400517 +@07A0 DD000417 +@07A1 DCC00317 +@07A2 DC800217 +@07A3 DC400117 +@07A4 DC000017 +@07A5 DEC00A04 +@07A6 0000E9C1 +@07A7 A8C00017 +@07A8 90C00015 +@07A9 A8C00117 +@07AA 90C00115 +@07AB 00C006C4 +@07AC 19804536 +@07AD 90800204 +@07AE A8C00204 +@07AF 003F6306 +@07B0 9005883A +@07B1 A8C00017 +@07B2 B821883A +@07B3 B027883A +@07B4 10C00015 +@07B5 A8C00117 +@07B6 10C00115 +@07B7 A8C00217 +@07B8 10C00215 +@07B9 003F6A06 +@07BA 9C67883A +@07BB 4445C83A +@07BC E4C00215 +@07BD 10800054 +@07BE 98800115 +@07BF A8BFFF17 +@07C0 A009883A +@07C1 1080004C +@07C2 1462B03A +@07C3 AC7FFF15 +@07C4 00036380 +@07C5 A805883A +@07C6 003F6B06 +@07C7 A80B883A +@07C8 9009883A +@07C9 00018D40 +@07CA 003F4E06 +@07CB 89000404 +@07CC B93FBC16 +@07CD B0800317 +@07CE B0C00217 +@07CF 81BFFF04 +@07D0 01000904 +@07D1 18800315 +@07D2 10C00215 +@07D3 B4800204 +@07D4 21804336 +@07D5 008004C4 +@07D6 11803F2E +@07D7 A8800017 +@07D8 B0800215 +@07D9 A8800117 +@07DA B0800315 +@07DB 008006C4 +@07DC 11803F36 +@07DD B0800404 +@07DE AD400204 +@07DF A8C00017 +@07E0 10C00015 +@07E1 A8C00117 +@07E2 10C00115 +@07E3 A8C00217 +@07E4 10C00215 +@07E5 B447883A +@07E6 BC45C83A +@07E7 E0C00215 +@07E8 10800054 +@07E9 18800115 +@07EA B0800117 +@07EB A009883A +@07EC 1080004C +@07ED 1462B03A +@07EE B4400115 +@07EF 00036380 +@07F0 9005883A +@07F1 003F4006 +@07F2 A8C00217 +@07F3 90C00215 +@07F4 A8C00317 +@07F5 90C00315 +@07F6 30801126 +@07F7 90800404 +@07F8 A8C00404 +@07F9 003F1906 +@07FA 90FFFF17 +@07FB 00BFFF04 +@07FC A825883A +@07FD 1884703A +@07FE 80A1883A +@07FF 003F2406 +@0800 A8800217 +@0801 B0800415 +@0802 A8800317 +@0803 B0800515 +@0804 31000A26 +@0805 B0800604 +@0806 AD400404 +@0807 003FA906 +@0808 A9000417 +@0809 90800604 +@080A A8C00604 +@080B 91000415 +@080C A9000517 +@080D 91000515 +@080E 003F0406 +@080F A8C00417 +@0810 AD400604 +@0811 B0800804 +@0812 B0C00615 +@0813 A8FFFF17 +@0814 B0C00715 +@0815 003F9B06 +@0816 9005883A +@0817 003FC706 +@0818 A80B883A +@0819 9009883A +@081A 00018D40 +@081B 003FC906 +@081C A8800217 +@081D B0800415 +@081E A8800317 +@081F B0800515 +@0820 31000726 +@0821 B0800604 +@0822 AD400404 +@0823 003FBB06 +@0824 A009883A +@0825 00036380 +@0826 0005883A +@0827 003F0A06 +@0828 A8C00417 +@0829 AD400604 +@082A B0800804 +@082B B0C00615 +@082C A8FFFF17 +@082D B0C00715 +@082E 003FB006 +@082F DEFFFD04 +@0830 DC000015 +@0831 04000034 +@0832 DC400115 +@0833 841CA704 +@0834 2023883A +@0835 2809883A +@0836 DFC00215 +@0837 80000015 +@0838 00038200 +@0839 00FFFFC4 +@083A 10C00526 +@083B DFC00217 +@083C DC400117 +@083D DC000017 +@083E DEC00304 +@083F F800283A +@0840 80C00017 +@0841 183FF926 +@0842 88C00015 +@0843 003FF706 +@0844 DEFFFE04 +@0845 DC000015 +@0846 2821883A +@0847 2940038F +@0848 DFC00115 +@0849 0002E500 +@084A 10000716 +@084B 80C01417 +@084C 1887883A +@084D 80C01415 +@084E DFC00117 +@084F DC000017 +@0850 DEC00204 +@0851 F800283A +@0852 80C0030B +@0853 18FBFFCC +@0854 80C0030D +@0855 DFC00117 +@0856 DC000017 +@0857 DEC00204 +@0858 F800283A +@0859 0005883A +@085A F800283A +@085B 2880030B +@085C DEFFFB04 +@085D DCC00315 +@085E DC800215 +@085F DC400115 +@0860 DC000015 +@0861 DFC00415 +@0862 10C0400C +@0863 2821883A +@0864 2023883A +@0865 3025883A +@0866 3827883A +@0867 18000526 +@0868 2940038F +@0869 01C00084 +@086A 000D883A +@086B 0002C340 +@086C 8080030B +@086D 8140038F +@086E 10BBFFCC +@086F 980F883A +@0870 900D883A +@0871 8809883A +@0872 8080030D +@0873 DFC00417 +@0874 DCC00317 +@0875 DC800217 +@0876 DC400117 +@0877 DC000017 +@0878 DEC00504 +@0879 000224C1 +@087A DEFFFE04 +@087B DC000015 +@087C 2821883A +@087D 2940038F +@087E DFC00115 +@087F 0002C340 +@0880 00FFFFC4 +@0881 10C00826 +@0882 80C0030B +@0883 80801415 +@0884 18C40014 +@0885 80C0030D +@0886 DFC00117 +@0887 DC000017 +@0888 DEC00204 +@0889 F800283A +@088A 80C0030B +@088B 18FBFFCC +@088C 80C0030D +@088D DFC00117 +@088E DC000017 +@088F DEC00204 +@0890 F800283A +@0891 2940038F +@0892 00024001 +@0893 DEFFFD04 +@0894 2805883A +@0895 DC000015 +@0896 04000034 +@0897 DC400115 +@0898 300B883A +@0899 841CA704 +@089A 2023883A +@089B 380D883A +@089C 1009883A +@089D DFC00215 +@089E 80000015 +@089F 000390C0 +@08A0 00FFFFC4 +@08A1 10C00526 +@08A2 DFC00217 +@08A3 DC400117 +@08A4 DC000017 +@08A5 DEC00304 +@08A6 F800283A +@08A7 80C00017 +@08A8 183FF926 +@08A9 88C00015 +@08AA 003FF706 +@08AB 00800034 +@08AC DEFFFD04 +@08AD 109C9704 +@08AE DC400115 +@08AF 2023883A +@08B0 11000017 +@08B1 DC000015 +@08B2 DFC00215 +@08B3 2821883A +@08B4 20000226 +@08B5 20800E17 +@08B6 10003126 +@08B7 8080030B +@08B8 10C0020C +@08B9 1009883A +@08BA 18000F26 +@08BB 80C00417 +@08BC 18001526 +@08BD 1100004C +@08BE 20001C1E +@08BF 1080008C +@08C0 1000291E +@08C1 80800517 +@08C2 80800215 +@08C3 18001C26 +@08C4 0005883A +@08C5 DFC00217 +@08C6 DC400117 +@08C7 DC000017 +@08C8 DEC00304 +@08C9 F800283A +@08CA 2080040C +@08CB 10002E26 +@08CC 2080010C +@08CD 10001E1E +@08CE 80C00417 +@08CF 20800214 +@08D0 8080030D +@08D1 183FEB1E +@08D2 1100A00C +@08D3 01408004 +@08D4 217FE826 +@08D5 800B883A +@08D6 8809883A +@08D7 0002C940 +@08D8 8080030B +@08D9 80C00417 +@08DA 003FE206 +@08DB 80800517 +@08DC 80000215 +@08DD 0085C83A +@08DE 80800615 +@08DF 183FE41E +@08E0 80C0030B +@08E1 0005883A +@08E2 1900200C +@08E3 203FE126 +@08E4 18C01014 +@08E5 80C0030D +@08E6 00BFFFC4 +@08E7 003FDD06 +@08E8 00007FC0 +@08E9 003FCD06 +@08EA 0005883A +@08EB 003FD606 +@08EC 81400C17 +@08ED 28000626 +@08EE 80801004 +@08EF 28800326 +@08F0 8809883A +@08F1 00029240 +@08F2 8100030B +@08F3 80000C15 +@08F4 80C00417 +@08F5 00BFF6C4 +@08F6 1108703A +@08F7 80000115 +@08F8 80C00015 +@08F9 003FD506 +@08FA 00800244 +@08FB 88800015 +@08FC 20801014 +@08FD 8080030D +@08FE 00BFFFC4 +@08FF 003FC506 +@0900 DEFFFD04 +@0901 DC000015 +@0902 04000034 +@0903 DC400115 +@0904 841CA704 +@0905 2023883A +@0906 2809883A +@0907 DFC00215 +@0908 80000015 +@0909 00031780 +@090A 00FFFFC4 +@090B 10C00526 +@090C DFC00217 +@090D DC400117 +@090E DC000017 +@090F DEC00304 +@0910 F800283A +@0911 80C00017 +@0912 183FF926 +@0913 88C00015 +@0914 003FF706 +@0915 28003926 +@0916 DEFFFC04 +@0917 DC400115 +@0918 DC000015 +@0919 DFC00315 +@091A DC800215 +@091B 2023883A +@091C 2821883A +@091D 20000226 +@091E 20800E17 +@091F 10002726 +@0920 8080030F +@0921 1000071E +@0922 0005883A +@0923 DFC00317 +@0924 DC800217 +@0925 DC400117 +@0926 DC000017 +@0927 DEC00404 +@0928 F800283A +@0929 800B883A +@092A 8809883A +@092B 00025580 +@092C 1025883A +@092D 80800B17 +@092E 10000426 +@092F 81400717 +@0930 8809883A +@0931 103EE83A +@0932 10001616 +@0933 8080030B +@0934 1080200C +@0935 1000151E +@0936 81400C17 +@0937 28000526 +@0938 80801004 +@0939 28800226 +@093A 8809883A +@093B 00029240 +@093C 80000C15 +@093D 81401117 +@093E 28000326 +@093F 8809883A +@0940 00029240 +@0941 80001115 +@0942 000080C0 +@0943 8000030D +@0944 00008100 +@0945 9005883A +@0946 003FDC06 +@0947 00007FC0 +@0948 003FD706 +@0949 04BFFFC4 +@094A 003FE806 +@094B 81400417 +@094C 8809883A +@094D 00029240 +@094E 003FE706 +@094F 0005883A +@0950 F800283A +@0951 00800034 +@0952 109C9704 +@0953 200B883A +@0954 11000017 +@0955 00024541 +@0956 2880030B +@0957 DEFFFB04 +@0958 DCC00315 +@0959 DC400115 +@095A DFC00415 +@095B DC800215 +@095C DC000015 +@095D 10C0020C +@095E 2823883A +@095F 2027883A +@0960 1800311E +@0961 28C00117 +@0962 10820014 +@0963 2880030D +@0964 00C04B0E +@0965 8A000A17 +@0966 40002326 +@0967 9C000017 +@0968 10C4000C +@0969 98000015 +@096A 18004826 +@096B 89801417 +@096C 10C0010C +@096D 18000626 +@096E 88C00117 +@096F 88800C17 +@0970 30CDC83A +@0971 10000226 +@0972 88800F17 +@0973 308DC83A +@0974 89400717 +@0975 000F883A +@0976 9809883A +@0977 403EE83A +@0978 00FFFFC4 +@0979 10C04426 +@097A 88C0030B +@097B 89000417 +@097C 88000115 +@097D 197DFFCC +@097E 8940030D +@097F 89000015 +@0980 18C4000C +@0981 18002C1E +@0982 89400C17 +@0983 9C000015 +@0984 28000526 +@0985 88801004 +@0986 28800226 +@0987 9809883A +@0988 00029240 +@0989 88000C15 +@098A 0005883A +@098B DFC00417 +@098C DCC00317 +@098D DC800217 +@098E DC400117 +@098F DC000017 +@0990 DEC00504 +@0991 F800283A +@0992 2C800417 +@0993 903FF626 +@0994 2C000017 +@0995 108000CC +@0996 2C800015 +@0997 84A1C83A +@0998 1000131E +@0999 28800517 +@099A 88800215 +@099B 04000316 +@099C 003FED06 +@099D 90A5883A +@099E 043FEB0E +@099F 88800917 +@09A0 89400717 +@09A1 800F883A +@09A2 900D883A +@09A3 9809883A +@09A4 103EE83A +@09A5 80A1C83A +@09A6 00BFF616 +@09A7 88C0030B +@09A8 00BFFFC4 +@09A9 18C01014 +@09AA 88C0030D +@09AB 003FDF06 +@09AC 0005883A +@09AD 003FEC06 +@09AE 88801415 +@09AF 003FD206 +@09B0 28C00F17 +@09B1 00FFB316 +@09B2 003FD706 +@09B3 89400717 +@09B4 000D883A +@09B5 01C00044 +@09B6 9809883A +@09B7 403EE83A +@09B8 100D883A +@09B9 00BFFFC4 +@09BA 30801426 +@09BB 8880030B +@09BC 8A000A17 +@09BD 003FAE06 +@09BE 98C00017 +@09BF 183FBA26 +@09C0 01000744 +@09C1 19000626 +@09C2 01000584 +@09C3 19000426 +@09C4 88C0030B +@09C5 18C01014 +@09C6 88C0030D +@09C7 003FC306 +@09C8 8880030B +@09C9 88C00417 +@09CA 88000115 +@09CB 10BDFFCC +@09CC 8880030D +@09CD 88C00015 +@09CE 003FB306 +@09CF 98800017 +@09D0 103FEA26 +@09D1 00C00744 +@09D2 10C00226 +@09D3 00C00584 +@09D4 10C0031E +@09D5 9C000015 +@09D6 0005883A +@09D7 003FB306 +@09D8 88C0030B +@09D9 3005883A +@09DA 18C01014 +@09DB 88C0030D +@09DC 003FAE06 +@09DD DEFFFD04 +@09DE DC000115 +@09DF DFC00215 +@09E0 2021883A +@09E1 20000226 +@09E2 20800E17 +@09E3 10000C26 +@09E4 2880030F +@09E5 1000051E +@09E6 0005883A +@09E7 DFC00217 +@09E8 DC000117 +@09E9 DEC00304 +@09EA F800283A +@09EB 8009883A +@09EC DFC00217 +@09ED DC000117 +@09EE DEC00304 +@09EF 00025581 +@09F0 D9400015 +@09F1 00007FC0 +@09F2 D9400017 +@09F3 003FF006 +@09F4 20000526 +@09F5 00800034 +@09F6 109C9704 +@09F7 200B883A +@09F8 11000017 +@09F9 00027741 +@09FA 00800034 +@09FB 109C9604 +@09FC 11000017 +@09FD 01400034 +@09FE 2949DD04 +@09FF 0000DD81 +@0A00 DEFFFB04 +@0A01 DCC00315 +@0A02 04C00034 +@0A03 DC800215 +@0A04 DC400115 +@0A05 DC000015 +@0A06 DFC00415 +@0A07 2821883A +@0A08 9CD71204 +@0A09 2025883A +@0A0A 00036140 +@0A0B 98800217 +@0A0C 14400117 +@0A0D 00BFFF04 +@0A0E 88A2703A +@0A0F 8C21C83A +@0A10 8403FBC4 +@0A11 8020D33A +@0A12 0083FFC4 +@0A13 843FFFC4 +@0A14 8020933A +@0A15 1400060E +@0A16 000B883A +@0A17 9009883A +@0A18 00020BC0 +@0A19 98C00217 +@0A1A 1C47883A +@0A1B 10C00A26 +@0A1C 9009883A +@0A1D 00036380 +@0A1E 0005883A +@0A1F DFC00417 +@0A20 DCC00317 +@0A21 DC800217 +@0A22 DC400117 +@0A23 DC000017 +@0A24 DEC00504 +@0A25 F800283A +@0A26 040BC83A +@0A27 9009883A +@0A28 00020BC0 +@0A29 00FFFFC4 +@0A2A 10C00D26 +@0A2B 00C00034 +@0A2C 18DCAF04 +@0A2D 18800017 +@0A2E 99000217 +@0A2F 8C23C83A +@0A30 8C400054 +@0A31 1421C83A +@0A32 24400115 +@0A33 9009883A +@0A34 1C000015 +@0A35 00036380 +@0A36 00800044 +@0A37 003FE706 +@0A38 000B883A +@0A39 9009883A +@0A3A 00020BC0 +@0A3B 99000217 +@0A3C 014003C4 +@0A3D 1107C83A +@0A3E 28FFDD0E +@0A3F 01400034 +@0A40 295C9804 +@0A41 29400017 +@0A42 18C00054 +@0A43 20C00115 +@0A44 00C00034 +@0A45 1145C83A +@0A46 18DCAF04 +@0A47 18800015 +@0A48 003FD306 +@0A49 28004126 +@0A4A DEFFFD04 +@0A4B DC400115 +@0A4C DC000015 +@0A4D 2023883A +@0A4E 2821883A +@0A4F DFC00215 +@0A50 00036140 +@0A51 81FFFF17 +@0A52 00BFFF84 +@0A53 01000034 +@0A54 81BFFE04 +@0A55 3884703A +@0A56 21171204 +@0A57 308B883A +@0A58 2A400117 +@0A59 22000217 +@0A5A 00FFFF04 +@0A5B 48C6703A +@0A5C 2A005726 +@0A5D 28C00115 +@0A5E 39C0004C +@0A5F 3800091E +@0A60 823FFE17 +@0A61 22400204 +@0A62 320DC83A +@0A63 31C00217 +@0A64 1205883A +@0A65 3A406526 +@0A66 32000317 +@0A67 3A000315 +@0A68 41C00215 +@0A69 28CF883A +@0A6A 39C00117 +@0A6B 39C0004C +@0A6C 38003A26 +@0A6D 10C00054 +@0A6E 30C00115 +@0A6F 3087883A +@0A70 18800015 +@0A71 00C07FC4 +@0A72 18801936 +@0A73 1004D0FA +@0A74 01C00044 +@0A75 21400117 +@0A76 10C00044 +@0A77 18C7883A +@0A78 1005D0BA +@0A79 18C7883A +@0A7A 18C7883A +@0A7B 1907883A +@0A7C 3884983A +@0A7D 19C00017 +@0A7E 1A3FFE04 +@0A7F 1144B03A +@0A80 32000315 +@0A81 31C00215 +@0A82 20800115 +@0A83 19800015 +@0A84 39800315 +@0A85 8809883A +@0A86 DFC00217 +@0A87 DC400117 +@0A88 DC000017 +@0A89 DEC00304 +@0A8A 00036381 +@0A8B F800283A +@0A8C 100AD27A +@0A8D 00C00104 +@0A8E 19404A36 +@0A8F 100AD1BA +@0A90 28C00E44 +@0A91 18C7883A +@0A92 29400E04 +@0A93 18C7883A +@0A94 18C7883A +@0A95 1909883A +@0A96 20C00017 +@0A97 01C00034 +@0A98 213FFE04 +@0A99 39D71204 +@0A9A 20C04426 +@0A9B 01FFFF04 +@0A9C 19400117 +@0A9D 29CA703A +@0A9E 1140022E +@0A9F 18C00217 +@0AA0 20FFFB1E +@0AA1 19000317 +@0AA2 31000315 +@0AA3 30C00215 +@0AA4 21800215 +@0AA5 19800315 +@0AA6 003FDE06 +@0AA7 29C00217 +@0AA8 10C5883A +@0AA9 00C00034 +@0AAA 18D71404 +@0AAB 38C03B26 +@0AAC 2A000317 +@0AAD 11400054 +@0AAE 3087883A +@0AAF 3A000315 +@0AB0 41C00215 +@0AB1 31400115 +@0AB2 18800015 +@0AB3 003FBD06 +@0AB4 39C0004C +@0AB5 10C5883A +@0AB6 3800071E +@0AB7 81FFFE17 +@0AB8 31CDC83A +@0AB9 30C00317 +@0ABA 31400217 +@0ABB 11C5883A +@0ABC 28C00315 +@0ABD 19400215 +@0ABE 10C00054 +@0ABF 30C00115 +@0AC0 00C00034 +@0AC1 18DC9904 +@0AC2 18C00017 +@0AC3 21800215 +@0AC4 10FFC036 +@0AC5 00800034 +@0AC6 109CA604 +@0AC7 11400017 +@0AC8 8809883A +@0AC9 00028000 +@0ACA 003FBA06 +@0ACB 28C9883A +@0ACC 21000117 +@0ACD 2100004C +@0ACE 2000391E +@0ACF 29C00217 +@0AD0 29000317 +@0AD1 1885883A +@0AD2 10C00054 +@0AD3 39000315 +@0AD4 21C00215 +@0AD5 30C00115 +@0AD6 308D883A +@0AD7 30800015 +@0AD8 003FAC06 +@0AD9 00C00504 +@0ADA 19401536 +@0ADB 28C01704 +@0ADC 18C7883A +@0ADD 294016C4 +@0ADE 003FB406 +@0ADF 280BD0BA +@0AE0 00C00044 +@0AE1 38800117 +@0AE2 194A983A +@0AE3 2007883A +@0AE4 2884B03A +@0AE5 38800115 +@0AE6 003FBB06 +@0AE7 21800515 +@0AE8 21800415 +@0AE9 10C00054 +@0AEA 31C00315 +@0AEB 31C00215 +@0AEC 30C00115 +@0AED 308D883A +@0AEE 30800015 +@0AEF 003F9506 +@0AF0 00C01504 +@0AF1 19400536 +@0AF2 100AD33A +@0AF3 28C01BC4 +@0AF4 18C7883A +@0AF5 29401B84 +@0AF6 003F9C06 +@0AF7 00C05504 +@0AF8 19400536 +@0AF9 100AD3FA +@0AFA 28C01E04 +@0AFB 18C7883A +@0AFC 29401DC4 +@0AFD 003F9506 +@0AFE 00C15504 +@0AFF 19400536 +@0B00 100AD4BA +@0B01 28C01F44 +@0B02 18C7883A +@0B03 29401F04 +@0B04 003F8E06 +@0B05 00C03F84 +@0B06 01401F84 +@0B07 003F8B06 +@0B08 10C00054 +@0B09 30C00115 +@0B0A 308D883A +@0B0B 30800015 +@0B0C 003F7806 +@0B0D DEFFFD04 +@0B0E 2805883A +@0B0F DC000015 +@0B10 04000034 +@0B11 DC400115 +@0B12 300B883A +@0B13 841CA704 +@0B14 2023883A +@0B15 380D883A +@0B16 1009883A +@0B17 DFC00215 +@0B18 80000015 +@0B19 00034BC0 +@0B1A 00FFFFC4 +@0B1B 10C00526 +@0B1C DFC00217 +@0B1D DC400117 +@0B1E DC000017 +@0B1F DEC00304 +@0B20 F800283A +@0B21 80C00017 +@0B22 183FF926 +@0B23 88C00015 +@0B24 003FF706 +@0B25 2880030B +@0B26 10C0008C +@0B27 1800411E +@0B28 DEFFEC04 +@0B29 DC000F15 +@0B2A 2821883A +@0B2B 2940038F +@0B2C DC401015 +@0B2D DFC01315 +@0B2E DCC01215 +@0B2F DC801115 +@0B30 2023883A +@0B31 28001C16 +@0B32 D80D883A +@0B33 0002EB00 +@0B34 10001816 +@0B35 D8800117 +@0B36 00E00014 +@0B37 10BC000C +@0B38 14C80020 +@0B39 10C03726 +@0B3A 80C0030B +@0B3B 18C20014 +@0B3C 80C0030D +@0B3D 00C80004 +@0B3E 10C0521E +@0B3F 8140038F +@0B40 8809883A +@0B41 0002F0C0 +@0B42 10004C26 +@0B43 8080030B +@0B44 80C010C4 +@0B45 80C00015 +@0B46 10800054 +@0B47 8080030D +@0B48 00800044 +@0B49 80C00415 +@0B4A 80800515 +@0B4B 04810004 +@0B4C 00000706 +@0B4D 8080030B +@0B4E 10C0200C +@0B4F 18001F1E +@0B50 04810004 +@0B51 10820014 +@0B52 8080030D +@0B53 0027883A +@0B54 900B883A +@0B55 8809883A +@0B56 0000E9C0 +@0B57 10002C26 +@0B58 80C0030B +@0B59 01000034 +@0B5A 21012904 +@0B5B 89000F15 +@0B5C 18C02014 +@0B5D 80C0030D +@0B5E 80800015 +@0B5F 80800415 +@0B60 84800515 +@0B61 98001A1E +@0B62 DFC01317 +@0B63 DCC01217 +@0B64 DC801117 +@0B65 DC401017 +@0B66 DC000F17 +@0B67 DEC01404 +@0B68 F800283A +@0B69 288010C4 +@0B6A 28800015 +@0B6B 28800415 +@0B6C 00800044 +@0B6D 28800515 +@0B6E F800283A +@0B6F 04801004 +@0B70 003FE006 +@0B71 81000A17 +@0B72 00C00034 +@0B73 18C87A04 +@0B74 20FFC51E +@0B75 8080030B +@0B76 04810004 +@0B77 84801315 +@0B78 1484B03A +@0B79 8080030D +@0B7A 0027883A +@0B7B 003FD806 +@0B7C 8140038F +@0B7D 8809883A +@0B7E 0002F0C0 +@0B7F 103FE226 +@0B80 8080030B +@0B81 10800054 +@0B82 8080030D +@0B83 003FDE06 +@0B84 8080030B +@0B85 10C0800C +@0B86 183FDB1E +@0B87 10800094 +@0B88 80C010C4 +@0B89 8080030D +@0B8A 00800044 +@0B8B 80C00015 +@0B8C 80C00415 +@0B8D 80800515 +@0B8E 003FD306 +@0B8F 04810004 +@0B90 003FC306 +@0B91 0027883A +@0B92 04810004 +@0B93 003FC006 +@0B94 DEFFFD04 +@0B95 2805883A +@0B96 DC000015 +@0B97 04000034 +@0B98 DC400115 +@0B99 300B883A +@0B9A 841CA704 +@0B9B 2023883A +@0B9C 380D883A +@0B9D 1009883A +@0B9E DFC00215 +@0B9F 80000015 +@0BA0 00036980 +@0BA1 00FFFFC4 +@0BA2 10C00526 +@0BA3 DFC00217 +@0BA4 DC400117 +@0BA5 DC000017 +@0BA6 DEC00304 +@0BA7 F800283A +@0BA8 80C00017 +@0BA9 183FF926 +@0BAA 88C00015 +@0BAB 003FF706 +@0BAC DEFFFD04 +@0BAD 2805883A +@0BAE DC000015 +@0BAF 04000034 +@0BB0 DC400115 +@0BB1 841CA704 +@0BB2 2023883A +@0BB3 300B883A +@0BB4 1009883A +@0BB5 DFC00215 +@0BB6 80000015 +@0BB7 00032E00 +@0BB8 00FFFFC4 +@0BB9 10C00526 +@0BBA DFC00217 +@0BBB DC400117 +@0BBC DC000017 +@0BBD DEC00304 +@0BBE F800283A +@0BBF 80C00017 +@0BC0 183FF926 +@0BC1 88C00015 +@0BC2 003FF706 +@0BC3 DEFFFD04 +@0BC4 DC000015 +@0BC5 04000034 +@0BC6 DC400115 +@0BC7 841CA704 +@0BC8 2023883A +@0BC9 2809883A +@0BCA DFC00215 +@0BCB 80000015 +@0BCC 00033D40 +@0BCD 00FFFFC4 +@0BCE 10C00526 +@0BCF DFC00217 +@0BD0 DC400117 +@0BD1 DC000017 +@0BD2 DEC00304 +@0BD3 F800283A +@0BD4 80C00017 +@0BD5 183FF926 +@0BD6 88C00015 +@0BD7 003FF706 +@0BD8 20001B16 +@0BD9 000F883A +@0BDA 28001616 +@0BDB 200D883A +@0BDC 29001A2E +@0BDD 00800804 +@0BDE 00C00044 +@0BDF 00000106 +@0BE0 10000D26 +@0BE1 294B883A +@0BE2 10BFFFC4 +@0BE3 18C7883A +@0BE4 293FFB36 +@0BE5 0005883A +@0BE6 18000726 +@0BE7 0005883A +@0BE8 31400236 +@0BE9 314DC83A +@0BEA 10C4B03A +@0BEB 1806D07A +@0BEC 280AD07A +@0BED 183FFA1E +@0BEE 38000126 +@0BEF 0085C83A +@0BF0 F800283A +@0BF1 014BC83A +@0BF2 39C0005C +@0BF3 003FE706 +@0BF4 0109C83A +@0BF5 01C00044 +@0BF6 003FE306 +@0BF7 00C00044 +@0BF8 003FEE06 +@0BF9 20001716 +@0BFA 000F883A +@0BFB 2005883A +@0BFC 28001216 +@0BFD 2900162E +@0BFE 01800804 +@0BFF 00C00044 +@0C00 00000106 +@0C01 30000A26 +@0C02 294B883A +@0C03 31BFFFC4 +@0C04 18C7883A +@0C05 293FFB36 +@0C06 18000526 +@0C07 1806D07A +@0C08 11400136 +@0C09 1145C83A +@0C0A 280AD07A +@0C0B 183FFB1E +@0C0C 38000126 +@0C0D 0085C83A +@0C0E F800283A +@0C0F 014BC83A +@0C10 003FEC06 +@0C11 0109C83A +@0C12 01C00044 +@0C13 003FE706 +@0C14 00C00044 +@0C15 003FF106 +@0C16 200D883A +@0C17 2900152E +@0C18 28001416 +@0C19 00800804 +@0C1A 00C00044 +@0C1B 00000206 +@0C1C 10000E26 +@0C1D 28000516 +@0C1E 294B883A +@0C1F 10BFFFC4 +@0C20 18C7883A +@0C21 293FFA36 +@0C22 18000826 +@0C23 0005883A +@0C24 31400236 +@0C25 314DC83A +@0C26 10C4B03A +@0C27 1806D07A +@0C28 280AD07A +@0C29 183FFA1E +@0C2A F800283A +@0C2B 0005883A +@0C2C F800283A +@0C2D 00C00044 +@0C2E 003FF406 +@0C2F 2005883A +@0C30 2900122E +@0C31 28001116 +@0C32 01800804 +@0C33 00C00044 +@0C34 00000206 +@0C35 30000C26 +@0C36 28000516 +@0C37 294B883A +@0C38 31BFFFC4 +@0C39 18C7883A +@0C3A 293FFA36 +@0C3B 18000626 +@0C3C 1806D07A +@0C3D 11400136 +@0C3E 1145C83A +@0C3F 280AD07A +@0C40 183FFB1E +@0C41 F800283A +@0C42 F800283A +@0C43 00C00044 +@0C44 003FF706 +@0C45 0005883A +@0C46 20000726 +@0C47 20C0004C +@0C48 2008D07A +@0C49 18000126 +@0C4A 1145883A +@0C4B 294B883A +@0C4C 203FFA1E +@0C4D F800283A +@0C4E F800283A +@0C4F DEFFFE04 +@0C50 DFC00115 +@0C51 DF000015 +@0C52 D839883A +@0C53 D0A00917 +@0C54 10000326 +@0C55 D0A00917 +@0C56 103EE83A +@0C57 00000106 +@0C58 D0A01104 +@0C59 E037883A +@0C5A DFC00117 +@0C5B DF000017 +@0C5C DEC00204 +@0C5D F800283A +@0C5E DEFFFB04 +@0C5F DFC00415 +@0C60 DF000315 +@0C61 DF000304 +@0C62 E13FFF15 +@0C63 E0BFFF17 +@0C64 10000816 +@0C65 01400304 +@0C66 E13FFF17 +@0C67 00031140 +@0C68 1007883A +@0C69 00800034 +@0C6A 10981E04 +@0C6B 1885883A +@0C6C 00000106 +@0C6D 0005883A +@0C6E E0BFFD15 +@0C6F E0BFFD17 +@0C70 10001926 +@0C71 E0BFFD17 +@0C72 10800017 +@0C73 10800417 +@0C74 10000626 +@0C75 E0BFFD17 +@0C76 10800017 +@0C77 10800417 +@0C78 E13FFD17 +@0C79 103EE83A +@0C7A 00000106 +@0C7B 0005883A +@0C7C E0BFFE15 +@0C7D E13FFF17 +@0C7E 000379C0 +@0C7F E0BFFE17 +@0C80 1000070E +@0C81 000313C0 +@0C82 1007883A +@0C83 E0BFFE17 +@0C84 0085C83A +@0C85 18800015 +@0C86 00BFFFC4 +@0C87 00000706 +@0C88 0005883A +@0C89 00000506 +@0C8A 000313C0 +@0C8B 1007883A +@0C8C 00801444 +@0C8D 18800015 +@0C8E 00BFFFC4 +@0C8F E037883A +@0C90 DFC00117 +@0C91 DF000017 +@0C92 DEC00204 +@0C93 F800283A +@0C94 DEFFFD04 +@0C95 DF000215 +@0C96 DF000204 +@0C97 E13FFE15 +@0C98 E17FFF15 +@0C99 0001883A +@0C9A E037883A +@0C9B DF000017 +@0C9C DEC00104 +@0C9D F800283A +@0C9E DEFFFC04 +@0C9F DF000315 +@0CA0 DF000304 +@0CA1 E13FFD15 +@0CA2 E17FFE15 +@0CA3 E1BFFF15 +@0CA4 E0BFFF17 +@0CA5 E037883A +@0CA6 DF000017 +@0CA7 DEC00104 +@0CA8 F800283A +@0CA9 DEFFFE04 +@0CAA DFC00115 +@0CAB DF000015 +@0CAC D839883A +@0CAD D0A00917 +@0CAE 10000326 +@0CAF D0A00917 +@0CB0 103EE83A +@0CB1 00000106 +@0CB2 D0A01104 +@0CB3 E037883A +@0CB4 DFC00117 +@0CB5 DF000017 +@0CB6 DEC00204 +@0CB7 F800283A +@0CB8 DEFFFB04 +@0CB9 DFC00415 +@0CBA DF000315 +@0CBB DF000304 +@0CBC E13FFE15 +@0CBD E17FFF15 +@0CBE E0BFFE17 +@0CBF 10000816 +@0CC0 01400304 +@0CC1 E13FFE17 +@0CC2 00031140 +@0CC3 1007883A +@0CC4 00800034 +@0CC5 10981E04 +@0CC6 1885883A +@0CC7 00000106 +@0CC8 0005883A +@0CC9 E0BFFD15 +@0CCA E0BFFD17 +@0CCB 10001026 +@0CCC E0BFFD17 +@0CCD 10800017 +@0CCE 10800817 +@0CCF 10000726 +@0CD0 E0BFFD17 +@0CD1 10800017 +@0CD2 10800817 +@0CD3 E17FFF17 +@0CD4 E13FFD17 +@0CD5 103EE83A +@0CD6 00000A06 +@0CD7 E0BFFF17 +@0CD8 00C80004 +@0CD9 10C00115 +@0CDA 0005883A +@0CDB 00000506 +@0CDC 00032A40 +@0CDD 1007883A +@0CDE 00801444 +@0CDF 18800015 +@0CE0 00BFFFC4 +@0CE1 E037883A +@0CE2 DFC00117 +@0CE3 DF000017 +@0CE4 DEC00204 +@0CE5 F800283A +@0CE6 DEFFFE04 +@0CE7 DFC00115 +@0CE8 DF000015 +@0CE9 D839883A +@0CEA D0A00917 +@0CEB 10000326 +@0CEC D0A00917 +@0CED 103EE83A +@0CEE 00000106 +@0CEF D0A01104 +@0CF0 E037883A +@0CF1 DFC00117 +@0CF2 DF000017 +@0CF3 DEC00204 +@0CF4 F800283A +@0CF5 DEFFED04 +@0CF6 DFC01215 +@0CF7 DF001115 +@0CF8 DF001104 +@0CF9 E13FFF15 +@0CFA E0BFFF17 +@0CFB 10000816 +@0CFC 01400304 +@0CFD E13FFF17 +@0CFE 00031140 +@0CFF 1007883A +@0D00 00800034 +@0D01 10981E04 +@0D02 1885883A +@0D03 00000106 +@0D04 0005883A +@0D05 E0BFEF15 +@0D06 E0BFEF17 +@0D07 10000E26 +@0D08 E0BFEF17 +@0D09 10800017 +@0D0A 10800817 +@0D0B 1000021E +@0D0C 00800044 +@0D0D 00000D06 +@0D0E E0BFF004 +@0D0F 100B883A +@0D10 E13FFF17 +@0D11 00032E00 +@0D12 E0BFF117 +@0D13 10880020 +@0D14 10803FCC +@0D15 00000506 +@0D16 00033980 +@0D17 1007883A +@0D18 00801444 +@0D19 18800015 +@0D1A 0005883A +@0D1B E037883A +@0D1C DFC00117 +@0D1D DF000017 +@0D1E DEC00204 +@0D1F F800283A +@0D20 DEFFFE04 +@0D21 DFC00115 +@0D22 DF000015 +@0D23 D839883A +@0D24 D0A00917 +@0D25 10000326 +@0D26 D0A00917 +@0D27 103EE83A +@0D28 00000106 +@0D29 D0A01104 +@0D2A E037883A +@0D2B DFC00117 +@0D2C DF000017 +@0D2D DEC00204 +@0D2E F800283A +@0D2F DEFFF904 +@0D30 DFC00615 +@0D31 DF000515 +@0D32 DF000504 +@0D33 E13FFD15 +@0D34 E17FFE15 +@0D35 E1BFFF15 +@0D36 E03FFB15 +@0D37 E0BFFD17 +@0D38 10000816 +@0D39 01400304 +@0D3A E13FFD17 +@0D3B 00031140 +@0D3C 1007883A +@0D3D 00800034 +@0D3E 10981E04 +@0D3F 1885883A +@0D40 00000106 +@0D41 0005883A +@0D42 E0BFFC15 +@0D43 E0BFFC17 +@0D44 10001026 +@0D45 E0BFFC17 +@0D46 10800017 +@0D47 10800717 +@0D48 10000926 +@0D49 E0BFFC17 +@0D4A 10800017 +@0D4B 10800717 +@0D4C E1BFFF17 +@0D4D E17FFE17 +@0D4E E13FFC17 +@0D4F 103EE83A +@0D50 E0BFFB15 +@0D51 00000506 +@0D52 00BFDE84 +@0D53 E0BFFB15 +@0D54 00000206 +@0D55 00BFEBC4 +@0D56 E0BFFB15 +@0D57 E0BFFB17 +@0D58 1000070E +@0D59 00034800 +@0D5A 1007883A +@0D5B E0BFFB17 +@0D5C 0085C83A +@0D5D 18800015 +@0D5E 00BFFFC4 +@0D5F E0BFFB15 +@0D60 E0BFFB17 +@0D61 E037883A +@0D62 DFC00117 +@0D63 DF000017 +@0D64 DEC00204 +@0D65 F800283A +@0D66 DEFFFD04 +@0D67 DFC00215 +@0D68 DF000115 +@0D69 DF000104 +@0D6A 0009883A +@0D6B 0003A400 +@0D6C 0001883A +@0D6D 0003A780 +@0D6E 01800034 +@0D6F 31960104 +@0D70 01400034 +@0D71 29560104 +@0D72 01000034 +@0D73 21160104 +@0D74 0004C840 +@0D75 00048400 +@0D76 01000034 +@0D77 21122804 +@0D78 00054440 +@0D79 D0A01217 +@0D7A D0E01317 +@0D7B D1201417 +@0D7C 200D883A +@0D7D 180B883A +@0D7E 1009883A +@0D7F 00002480 +@0D80 E0BFFF15 +@0D81 01000044 +@0D82 00031780 +@0D83 E13FFF17 +@0D84 00054580 +@0D85 DEFFFE04 +@0D86 DF000115 +@0D87 DF000104 +@0D88 E13FFF15 +@0D89 0001883A +@0D8A E037883A +@0D8B DF000017 +@0D8C DEC00104 +@0D8D F800283A +@0D8E DEFFFE04 +@0D8F DF000115 +@0D90 DF000104 +@0D91 E13FFF15 +@0D92 0001883A +@0D93 E037883A +@0D94 DF000017 +@0D95 DEC00104 +@0D96 F800283A +@0D97 DEFFFE04 +@0D98 DFC00115 +@0D99 DF000015 +@0D9A D839883A +@0D9B D0A00917 +@0D9C 10000326 +@0D9D D0A00917 +@0D9E 103EE83A +@0D9F 00000106 +@0DA0 D0A01104 +@0DA1 E037883A +@0DA2 DFC00117 +@0DA3 DF000017 +@0DA4 DEC00204 +@0DA5 F800283A +@0DA6 DEFFF904 +@0DA7 DFC00615 +@0DA8 DF000515 +@0DA9 DF000504 +@0DAA E13FFD15 +@0DAB E17FFE15 +@0DAC E1BFFF15 +@0DAD E0BFFD17 +@0DAE 10000816 +@0DAF 01400304 +@0DB0 E13FFD17 +@0DB1 00031140 +@0DB2 1007883A +@0DB3 00800034 +@0DB4 10981E04 +@0DB5 1885883A +@0DB6 00000106 +@0DB7 0005883A +@0DB8 E0BFFB15 +@0DB9 E0BFFB17 +@0DBA 10002226 +@0DBB E0BFFB17 +@0DBC 10800217 +@0DBD 108000CC +@0DBE 10800060 +@0DBF 1000181E +@0DC0 E0BFFB17 +@0DC1 10800017 +@0DC2 10800517 +@0DC3 10001426 +@0DC4 E0BFFB17 +@0DC5 10800017 +@0DC6 10800517 +@0DC7 E0FFFF17 +@0DC8 180D883A +@0DC9 E17FFE17 +@0DCA E13FFB17 +@0DCB 103EE83A +@0DCC E0BFFC15 +@0DCD E0BFFC17 +@0DCE 1000070E +@0DCF 000365C0 +@0DD0 1007883A +@0DD1 E0BFFC17 +@0DD2 0085C83A +@0DD3 18800015 +@0DD4 00BFFFC4 +@0DD5 00000C06 +@0DD6 E0BFFC17 +@0DD7 00000A06 +@0DD8 000365C0 +@0DD9 1007883A +@0DDA 00800344 +@0DDB 18800015 +@0DDC 00000406 +@0DDD 000365C0 +@0DDE 1007883A +@0DDF 00801444 +@0DE0 18800015 +@0DE1 00BFFFC4 +@0DE2 E037883A +@0DE3 DFC00117 +@0DE4 DF000017 +@0DE5 DEC00204 +@0DE6 F800283A +@0DE7 DEFFFC04 +@0DE8 DFC00315 +@0DE9 DF000215 +@0DEA DC000115 +@0DEB DF000204 +@0DEC E13FFE15 +@0DED E0BFFE17 +@0DEE 108000D0 +@0DEF 1000111E +@0DF0 04000034 +@0DF1 84181E04 +@0DF2 E0BFFE17 +@0DF3 01400304 +@0DF4 1009883A +@0DF5 00031140 +@0DF6 8085883A +@0DF7 10800204 +@0DF8 10000015 +@0DF9 04000034 +@0DFA 84181E04 +@0DFB E0BFFE17 +@0DFC 01400304 +@0DFD 1009883A +@0DFE 00031140 +@0DFF 8085883A +@0E00 10000015 +@0E01 0001883A +@0E02 E6FFFF04 +@0E03 DFC00217 +@0E04 DF000117 +@0E05 DC000017 +@0E06 DEC00304 +@0E07 F800283A +@0E08 DEFFF904 +@0E09 DF000615 +@0E0A DF000604 +@0E0B E13FFF15 +@0E0C 0005303A +@0E0D E0BFFE15 +@0E0E E0FFFE17 +@0E0F 00BFFF84 +@0E10 1884703A +@0E11 1001703A +@0E12 E0BFFE17 +@0E13 E0BFFB15 +@0E14 D0A00A17 +@0E15 10C000C4 +@0E16 00BFFF04 +@0E17 1884703A +@0E18 D0A00A15 +@0E19 D0E00A17 +@0E1A E0BFFF17 +@0E1B 1887883A +@0E1C 008000B4 +@0E1D 10800004 +@0E1E 10C0062E +@0E1F E0BFFB17 +@0E20 E0BFFA15 +@0E21 E0BFFA17 +@0E22 1001703A +@0E23 00BFFFC4 +@0E24 00000B06 +@0E25 D0A00A17 +@0E26 E0BFFD15 +@0E27 D0E00A17 +@0E28 E0BFFF17 +@0E29 1885883A +@0E2A D0A00A15 +@0E2B E0BFFB17 +@0E2C E0BFFC15 +@0E2D E0BFFC17 +@0E2E 1001703A +@0E2F E0BFFD17 +@0E30 E037883A +@0E31 DF000017 +@0E32 DEC00104 +@0E33 F800283A +@0E34 DEFFFE04 +@0E35 DFC00115 +@0E36 DF000015 +@0E37 D839883A +@0E38 D0A00917 +@0E39 10000326 +@0E3A D0A00917 +@0E3B 103EE83A +@0E3C 00000106 +@0E3D D0A01104 +@0E3E E037883A +@0E3F DFC00117 +@0E40 DF000017 +@0E41 DEC00204 +@0E42 F800283A +@0E43 DEFFF904 +@0E44 DFC00615 +@0E45 DF000515 +@0E46 DF000504 +@0E47 E13FFD15 +@0E48 E17FFE15 +@0E49 E1BFFF15 +@0E4A E0BFFD17 +@0E4B 10000816 +@0E4C 01400304 +@0E4D E13FFD17 +@0E4E 00031140 +@0E4F 1007883A +@0E50 00800034 +@0E51 10981E04 +@0E52 1885883A +@0E53 00000106 +@0E54 0005883A +@0E55 E0BFFB15 +@0E56 E0BFFB17 +@0E57 10002126 +@0E58 E0BFFB17 +@0E59 10800217 +@0E5A 108000CC +@0E5B 10001826 +@0E5C E0BFFB17 +@0E5D 10800017 +@0E5E 10800617 +@0E5F 10001426 +@0E60 E0BFFB17 +@0E61 10800017 +@0E62 10800617 +@0E63 E0FFFF17 +@0E64 180D883A +@0E65 E17FFE17 +@0E66 E13FFB17 +@0E67 103EE83A +@0E68 E0BFFC15 +@0E69 E0BFFC17 +@0E6A 1000070E +@0E6B 00038D00 +@0E6C 1007883A +@0E6D E0BFFC17 +@0E6E 0085C83A +@0E6F 18800015 +@0E70 00BFFFC4 +@0E71 00000C06 +@0E72 E0BFFC17 +@0E73 00000A06 +@0E74 00038D00 +@0E75 1007883A +@0E76 00800344 +@0E77 18800015 +@0E78 00000406 +@0E79 00038D00 +@0E7A 1007883A +@0E7B 00801444 +@0E7C 18800015 +@0E7D 00BFFFC4 +@0E7E E037883A +@0E7F DFC00117 +@0E80 DF000017 +@0E81 DEC00204 +@0E82 F800283A +@0E83 DEFFFD04 +@0E84 DFC00215 +@0E85 DF000115 +@0E86 DF000104 +@0E87 E13FFF15 +@0E88 D1600604 +@0E89 E13FFF17 +@0E8A 000479C0 +@0E8B E037883A +@0E8C DFC00117 +@0E8D DF000017 +@0E8E DEC00204 +@0E8F F800283A +@0E90 DEFFFD04 +@0E91 DFC00215 +@0E92 DF000115 +@0E93 DF000104 +@0E94 E13FFF15 +@0E95 00051280 +@0E96 00800044 +@0E97 1001703A +@0E98 0001883A +@0E99 E037883A +@0E9A DFC00117 +@0E9B DF000017 +@0E9C DEC00204 +@0E9D F800283A +@0E9E DEFFFE04 +@0E9F DFC00115 +@0EA0 DF000015 +@0EA1 D839883A +@0EA2 01C0FA04 +@0EA3 000D883A +@0EA4 000B883A +@0EA5 010000B4 +@0EA6 21040004 +@0EA7 00045B80 +@0EA8 01800044 +@0EA9 000B883A +@0EAA 01000034 +@0EAB 21188804 +@0EAC 0003C3C0 +@0EAD 01000034 +@0EAE 21187E04 +@0EAF 0003A0C0 +@0EB0 0001883A +@0EB1 E037883A +@0EB2 DFC00117 +@0EB3 DF000017 +@0EB4 DEC00204 +@0EB5 F800283A +@0EB6 DEFFFA04 +@0EB7 DFC00515 +@0EB8 DF000415 +@0EB9 DF000404 +@0EBA E13FFD15 +@0EBB E17FFE15 +@0EBC E1BFFF15 +@0EBD E0BFFD17 +@0EBE 10800017 +@0EBF E0BFFC15 +@0EC0 E0BFFC17 +@0EC1 10C00A04 +@0EC2 E0BFFD17 +@0EC3 10800217 +@0EC4 100F883A +@0EC5 E1BFFF17 +@0EC6 E17FFE17 +@0EC7 1809883A +@0EC8 00041000 +@0EC9 E037883A +@0ECA DFC00117 +@0ECB DF000017 +@0ECC DEC00204 +@0ECD F800283A +@0ECE DEFFFA04 +@0ECF DFC00515 +@0ED0 DF000415 +@0ED1 DF000404 +@0ED2 E13FFD15 +@0ED3 E17FFE15 +@0ED4 E1BFFF15 +@0ED5 E0BFFD17 +@0ED6 10800017 +@0ED7 E0BFFC15 +@0ED8 E0BFFC17 +@0ED9 10C00A04 +@0EDA E0BFFD17 +@0EDB 10800217 +@0EDC 100F883A +@0EDD E1BFFF17 +@0EDE E17FFE17 +@0EDF 1809883A +@0EE0 000431C0 +@0EE1 E037883A +@0EE2 DFC00117 +@0EE3 DF000017 +@0EE4 DEC00204 +@0EE5 F800283A +@0EE6 DEFFFC04 +@0EE7 DFC00315 +@0EE8 DF000215 +@0EE9 DF000204 +@0EEA E13FFF15 +@0EEB E0BFFF17 +@0EEC 10800017 +@0EED E0BFFE15 +@0EEE E0BFFE17 +@0EEF 10C00A04 +@0EF0 E0BFFF17 +@0EF1 10800217 +@0EF2 100B883A +@0EF3 1809883A +@0EF4 0003FA80 +@0EF5 E037883A +@0EF6 DFC00117 +@0EF7 DF000017 +@0EF8 DEC00204 +@0EF9 F800283A +@0EFA DEFFFA04 +@0EFB DFC00515 +@0EFC DF000415 +@0EFD DF000404 +@0EFE E13FFD15 +@0EFF E17FFE15 +@0F00 E1BFFF15 +@0F01 E0BFFD17 +@0F02 10800017 +@0F03 E0BFFC15 +@0F04 E0BFFC17 +@0F05 10800A04 +@0F06 E1BFFF17 +@0F07 E17FFE17 +@0F08 1009883A +@0F09 00040100 +@0F0A E037883A +@0F0B DFC00117 +@0F0C DF000017 +@0F0D DEC00204 +@0F0E F800283A +@0F0F DEFFFA04 +@0F10 DFC00515 +@0F11 DF000415 +@0F12 DF000404 +@0F13 E13FFD15 +@0F14 E17FFE15 +@0F15 E1BFFF15 +@0F16 E0BFFD17 +@0F17 00C00044 +@0F18 10C00815 +@0F19 E0BFFD17 +@0F1A 10800017 +@0F1B 10800104 +@0F1C 1007883A +@0F1D E0BFFD17 +@0F1E 10800817 +@0F1F 18800035 +@0F20 E0BFFE17 +@0F21 E0FFFF17 +@0F22 D8000015 +@0F23 E1FFFD17 +@0F24 01800034 +@0F25 318F3F04 +@0F26 180B883A +@0F27 1009883A +@0F28 00049000 +@0F29 E0BFFD17 +@0F2A 10000915 +@0F2B E0BFFD17 +@0F2C 10800204 +@0F2D D0E01617 +@0F2E E1FFFD17 +@0F2F 01800034 +@0F30 318FC204 +@0F31 180B883A +@0F32 1009883A +@0F33 00046340 +@0F34 1000040E +@0F35 E0FFFD17 +@0F36 00A00034 +@0F37 10BFFFC4 +@0F38 18800115 +@0F39 0001883A +@0F3A E037883A +@0F3B DFC00117 +@0F3C DF000017 +@0F3D DEC00204 +@0F3E F800283A +@0F3F DEFFF804 +@0F40 DF000715 +@0F41 DF000704 +@0F42 E13FFF15 +@0F43 E0BFFF17 +@0F44 E0BFFB15 +@0F45 E0BFFB17 +@0F46 10800017 +@0F47 E0BFFC15 +@0F48 E0BFFC17 +@0F49 10800104 +@0F4A 10800037 +@0F4B E0BFFD15 +@0F4C E0BFFD17 +@0F4D 1080C00C +@0F4E 10006D26 +@0F4F E0BFFD17 +@0F50 1080400C +@0F51 10003526 +@0F52 00800074 +@0F53 E0BFF915 +@0F54 E0BFFB17 +@0F55 10800A17 +@0F56 10800044 +@0F57 1081FFCC +@0F58 E0BFFE15 +@0F59 E0BFFB17 +@0F5A 10C00B17 +@0F5B E0BFFE17 +@0F5C 18801526 +@0F5D E0BFFC17 +@0F5E 10800037 +@0F5F E0BFF915 +@0F60 E0BFF917 +@0F61 10A0000C +@0F62 10001126 +@0F63 E0BFFB17 +@0F64 10800A17 +@0F65 E0FFF917 +@0F66 1809883A +@0F67 E0FFFB17 +@0F68 1885883A +@0F69 10800E04 +@0F6A 11000005 +@0F6B E0BFFB17 +@0F6C 10800A17 +@0F6D 10800044 +@0F6E 10C1FFCC +@0F6F E0BFFB17 +@0F70 10C00A15 +@0F71 003FE206 +@0F72 0001883A +@0F73 00000106 +@0F74 0001883A +@0F75 E0BFF917 +@0F76 10BFFFEC +@0F77 10000F26 +@0F78 E0BFFB17 +@0F79 10C00817 +@0F7A 00BFFF84 +@0F7B 1886703A +@0F7C E0BFFB17 +@0F7D 10C00815 +@0F7E E0BFFC17 +@0F7F 10800104 +@0F80 1007883A +@0F81 E0BFFB17 +@0F82 10800817 +@0F83 18800035 +@0F84 E0BFFC17 +@0F85 10800104 +@0F86 10800037 +@0F87 E0BFFD17 +@0F88 1080800C +@0F89 103FBE26 +@0F8A E0BFFD17 +@0F8B 1004D43A +@0F8C E0BFFA15 +@0F8D 00001406 +@0F8E E0BFFC17 +@0F8F E0FFFB17 +@0F90 18C00D17 +@0F91 E13FFB17 +@0F92 20C7883A +@0F93 18C20E04 +@0F94 18C00003 +@0F95 18C03FCC +@0F96 18C0201C +@0F97 18FFE004 +@0F98 10C00035 +@0F99 E0BFFB17 +@0F9A 10800D17 +@0F9B 10800044 +@0F9C 10C1FFCC +@0F9D E0BFFB17 +@0F9E 10C00D15 +@0F9F E0BFFA17 +@0FA0 10BFFFC4 +@0FA1 E0BFFA15 +@0FA2 E0BFFA17 +@0FA3 10000526 +@0FA4 E0BFFB17 +@0FA5 10C00D17 +@0FA6 E0BFFB17 +@0FA7 10800C17 +@0FA8 18BFE51E +@0FA9 E0BFFA17 +@0FAA 103F9D26 +@0FAB E0BFFB17 +@0FAC 10C00817 +@0FAD 00BFFF44 +@0FAE 1886703A +@0FAF E0BFFB17 +@0FB0 10C00815 +@0FB1 E0BFFB17 +@0FB2 10800017 +@0FB3 10800104 +@0FB4 1007883A +@0FB5 E0BFFB17 +@0FB6 10800817 +@0FB7 18800035 +@0FB8 E0BFFC17 +@0FB9 10800104 +@0FBA 10800037 +@0FBB 003F8C06 +@0FBC 0001883A +@0FBD 0001883A +@0FBE E037883A +@0FBF DF000017 +@0FC0 DEC00104 +@0FC1 F800283A +@0FC2 DEFFF804 +@0FC3 DF000715 +@0FC4 DF000704 +@0FC5 E13FFB15 +@0FC6 E0BFFB17 +@0FC7 E0BFF915 +@0FC8 E0BFF917 +@0FC9 10800017 +@0FCA 10800104 +@0FCB 10800037 +@0FCC E0BFFA15 +@0FCD E0BFFA17 +@0FCE 1081000C +@0FCF 10000B26 +@0FD0 E0BFF917 +@0FD1 10800017 +@0FD2 10800104 +@0FD3 1007883A +@0FD4 E0BFF917 +@0FD5 10800817 +@0FD6 10810014 +@0FD7 18800035 +@0FD8 E0BFF917 +@0FD9 10000915 +@0FDA 00000A06 +@0FDB E0BFF917 +@0FDC 10C00917 +@0FDD 00A00034 +@0FDE 10BFFF04 +@0FDF 10C00536 +@0FE0 E0BFF917 +@0FE1 10800917 +@0FE2 10C00044 +@0FE3 E0BFF917 +@0FE4 10C00915 +@0FE5 D0A01617 +@0FE6 E037883A +@0FE7 DF000017 +@0FE8 DEC00104 +@0FE9 F800283A +@0FEA DEFFFD04 +@0FEB DF000215 +@0FEC DF000204 +@0FED E13FFE15 +@0FEE E17FFF15 +@0FEF 00000506 +@0FF0 E0BFFF17 +@0FF1 1090000C +@0FF2 10000226 +@0FF3 00BFFD44 +@0FF4 00000B06 +@0FF5 E0BFFE17 +@0FF6 10C00D17 +@0FF7 E0BFFE17 +@0FF8 10800C17 +@0FF9 18800526 +@0FFA E0BFFE17 +@0FFB 10C00917 +@0FFC E0BFFE17 +@0FFD 10800117 +@0FFE 18BFF136 +@0FFF 0005883A +@1000 E037883A +@1001 DF000017 +@1002 DEC00104 +@1003 F800283A +@1004 DEFFFA04 +@1005 DF000515 +@1006 DF000504 +@1007 E13FFD15 +@1008 E17FFE15 +@1009 E1BFFF15 +@100A 00BFF9C4 +@100B E0BFFB15 +@100C E0BFFE17 +@100D 10DA8060 +@100E 1800031E +@100F 109A80A0 +@1010 1000181E +@1011 00002906 +@1012 E0BFFD17 +@1013 10C00117 +@1014 00A00034 +@1015 10BFFFC4 +@1016 18802126 +@1017 E0BFFF17 +@1018 10800017 +@1019 E0BFFC15 +@101A E0BFFC17 +@101B 10800090 +@101C 1000061E +@101D E0FFFC17 +@101E 00A00034 +@101F 10BFFFC4 +@1020 18800226 +@1021 E0BFFC17 +@1022 00000206 +@1023 00A00034 +@1024 10BFFF84 +@1025 E0FFFD17 +@1026 18800115 +@1027 E03FFB15 +@1028 00000F06 +@1029 E0BFFD17 +@102A 10C00117 +@102B 00A00034 +@102C 10BFFFC4 +@102D 18800C26 +@102E E0BFFD17 +@102F 10C00917 +@1030 E0BFFD17 +@1031 10800117 +@1032 1885803A +@1033 10C03FCC +@1034 E0BFFF17 +@1035 10C00015 +@1036 E03FFB15 +@1037 00000206 +@1038 0001883A +@1039 00000106 +@103A 0001883A +@103B E0BFFB17 +@103C E037883A +@103D DF000017 +@103E DEC00104 +@103F F800283A +@1040 DEFFF304 +@1041 DFC00C15 +@1042 DF000B15 +@1043 DF000B04 +@1044 E13FFC15 +@1045 E17FFD15 +@1046 E1BFFE15 +@1047 E1FFFF15 +@1048 E0BFFD17 +@1049 E0BFF515 +@104A 00004706 +@104B E0BFFC17 +@104C 10800A17 +@104D E0BFF715 +@104E E0BFFC17 +@104F 10800B17 +@1050 E0BFF815 +@1051 E0FFF717 +@1052 E0BFF817 +@1053 18800536 +@1054 E0FFF717 +@1055 E0BFF817 +@1056 1885C83A +@1057 E0BFF615 +@1058 00000406 +@1059 00C20004 +@105A E0BFF817 +@105B 1885C83A +@105C E0BFF615 +@105D E0BFF617 +@105E 10001E26 +@105F E0FFFE17 +@1060 E0BFF617 +@1061 1880022E +@1062 E0BFFE17 +@1063 E0BFF615 +@1064 E0BFFC17 +@1065 10C00E04 +@1066 E0BFF817 +@1067 1885883A +@1068 E1BFF617 +@1069 100B883A +@106A E13FF517 +@106B 000178C0 +@106C E0FFF517 +@106D E0BFF617 +@106E 1885883A +@106F E0BFF515 +@1070 E0FFFE17 +@1071 E0BFF617 +@1072 1885C83A +@1073 E0BFFE15 +@1074 E0FFF817 +@1075 E0BFF617 +@1076 1885883A +@1077 10C1FFCC +@1078 E0BFFC17 +@1079 10C00B15 +@107A E0BFFE17 +@107B 00BFCF16 +@107C 00000106 +@107D 0001883A +@107E E0FFF517 +@107F E0BFFD17 +@1080 1880141E +@1081 E0BFFF17 +@1082 1090000C +@1083 1000131E +@1084 0001883A +@1085 E0BFFC17 +@1086 10C00A17 +@1087 E0BFF717 +@1088 1880051E +@1089 E0BFFC17 +@108A 10C00917 +@108B E0BFFC17 +@108C 10800117 +@108D 18BFF736 +@108E E0BFFC17 +@108F 10C00A17 +@1090 E0BFF717 +@1091 18800726 +@1092 E0BFFE17 +@1093 00BFB716 +@1094 00000506 +@1095 0001883A +@1096 00000306 +@1097 0001883A +@1098 00000106 +@1099 0001883A +@109A E0FFF517 +@109B E0BFFD17 +@109C 18801826 +@109D 0005303A +@109E E0BFFB15 +@109F E0FFFB17 +@10A0 00BFFF84 +@10A1 1884703A +@10A2 1001703A +@10A3 E0BFFB17 +@10A4 E0BFFA15 +@10A5 E0BFFC17 +@10A6 10800817 +@10A7 10C00054 +@10A8 E0BFFC17 +@10A9 10C00815 +@10AA E0BFFC17 +@10AB 10800017 +@10AC 10800104 +@10AD 1007883A +@10AE E0BFFC17 +@10AF 10800817 +@10B0 18800035 +@10B1 E0BFFA17 +@10B2 E0BFF915 +@10B3 E0BFF917 +@10B4 1001703A +@10B5 E0FFF517 +@10B6 E0BFFD17 +@10B7 18800426 +@10B8 E0FFF517 +@10B9 E0BFFD17 +@10BA 1885C83A +@10BB 00000606 +@10BC E0BFFF17 +@10BD 1090000C +@10BE 10000226 +@10BF 00BFFD44 +@10C0 00000106 +@10C1 00BFFEC4 +@10C2 E037883A +@10C3 DFC00117 +@10C4 DF000017 +@10C5 DEC00204 +@10C6 F800283A +@10C7 DEFFF304 +@10C8 DFC00C15 +@10C9 DF000B15 +@10CA DF000B04 +@10CB E13FFC15 +@10CC E17FFD15 +@10CD E1BFFE15 +@10CE E1FFFF15 +@10CF E03FF515 +@10D0 E0BFFD17 +@10D1 E0BFF715 +@10D2 00003706 +@10D3 E0BFFC17 +@10D4 10800C17 +@10D5 E0BFF915 +@10D6 E0BFFC17 +@10D7 10800D17 +@10D8 E0BFF515 +@10D9 E0FFF917 +@10DA E0BFF517 +@10DB 1880062E +@10DC E0FFF517 +@10DD E0BFF917 +@10DE 1885C83A +@10DF 10BFFFC4 +@10E0 E0BFF615 +@10E1 00000B06 +@10E2 E0BFF517 +@10E3 10000526 +@10E4 00C20004 +@10E5 E0BFF917 +@10E6 1885C83A +@10E7 E0BFF615 +@10E8 00000406 +@10E9 00C1FFC4 +@10EA E0BFF917 +@10EB 1885C83A +@10EC E0BFF615 +@10ED E0BFF617 +@10EE 10001E26 +@10EF E0FFFE17 +@10F0 E0BFF617 +@10F1 1880022E +@10F2 E0BFFE17 +@10F3 E0BFF615 +@10F4 E0BFFC17 +@10F5 10C20E04 +@10F6 E0BFF917 +@10F7 1885883A +@10F8 E1BFF617 +@10F9 E17FFD17 +@10FA 1009883A +@10FB 000178C0 +@10FC E0FFFD17 +@10FD E0BFF617 +@10FE 1885883A +@10FF E0BFFD15 +@1100 E0FFFE17 +@1101 E0BFF617 +@1102 1885C83A +@1103 E0BFFE15 +@1104 E0FFF917 +@1105 E0BFF617 +@1106 1885883A +@1107 10C1FFCC +@1108 E0BFFC17 +@1109 10C00C15 +@110A E0BFFE17 +@110B 00BFC716 +@110C 00000106 +@110D 0001883A +@110E 0005303A +@110F E0BFFB15 +@1110 E0FFFB17 +@1111 00BFFF84 +@1112 1884703A +@1113 1001703A +@1114 E0BFFB17 +@1115 E0BFFA15 +@1116 E0BFFC17 +@1117 10800817 +@1118 10C00094 +@1119 E0BFFC17 +@111A 10C00815 +@111B E0BFFC17 +@111C 10800017 +@111D 10800104 +@111E 1007883A +@111F E0BFFC17 +@1120 10800817 +@1121 18800035 +@1122 E0BFFA17 +@1123 E0BFF815 +@1124 E0BFF817 +@1125 1001703A +@1126 E0BFFE17 +@1127 0080100E +@1128 E0BFFF17 +@1129 1090000C +@112A 1000101E +@112B 0001883A +@112C E0BFFC17 +@112D 10C00D17 +@112E E0BFF517 +@112F 1880051E +@1130 E0BFFC17 +@1131 10C00917 +@1132 E0BFFC17 +@1133 10800117 +@1134 18BFF736 +@1135 E0BFFC17 +@1136 10800917 +@1137 1000051E +@1138 E0BFFE17 +@1139 00BFD016 +@113A 00000306 +@113B 0001883A +@113C 00000106 +@113D 0001883A +@113E E0FFFD17 +@113F E0BFF717 +@1140 18800426 +@1141 E0FFFD17 +@1142 E0BFF717 +@1143 1885C83A +@1144 00000606 +@1145 E0BFFF17 +@1146 1090000C +@1147 10000226 +@1148 00BFFD44 +@1149 00000106 +@114A 00BFFEC4 +@114B E037883A +@114C DFC00117 +@114D DF000017 +@114E DEC00204 +@114F F800283A +@1150 DEFFFA04 +@1151 DFC00515 +@1152 DF000415 +@1153 DF000404 +@1154 E13FFF15 +@1155 0007883A +@1156 E0BFFF17 +@1157 10C00035 +@1158 E0BFFF17 +@1159 10800104 +@115A 10800037 +@115B 0005303A +@115C E0BFFD15 +@115D E0FFFD17 +@115E 00BFFF84 +@115F 1884703A +@1160 1001703A +@1161 E0BFFD17 +@1162 E0BFFC15 +@1163 00050200 +@1164 E0BFFC17 +@1165 E0BFFE15 +@1166 E0BFFE17 +@1167 1001703A +@1168 0001883A +@1169 E037883A +@116A DFC00117 +@116B DF000017 +@116C DEC00204 +@116D F800283A +@116E DEFFF804 +@116F DFC00715 +@1170 DF000615 +@1171 DF000604 +@1172 E13FFC15 +@1173 E17FFD15 +@1174 E1BFFE15 +@1175 E1FFFF15 +@1176 E0BFFF17 +@1177 E0BFFB15 +@1178 D0A01617 +@1179 1000021E +@117A E0BFFB17 +@117B D0A01615 +@117C E0BFFC17 +@117D 10800104 +@117E 00C001C4 +@117F 10C00035 +@1180 D8000015 +@1181 E1FFFC17 +@1182 01800034 +@1183 31915004 +@1184 E17FFE17 +@1185 E13FFD17 +@1186 00049000 +@1187 0001883A +@1188 E037883A +@1189 DFC00117 +@118A DF000017 +@118B DEC00204 +@118C F800283A +@118D DEFFF504 +@118E DF000A15 +@118F DF000A04 +@1190 E13FFC15 +@1191 E17FFD15 +@1192 E1BFFE15 +@1193 E1FFFF15 +@1194 E03FF615 +@1195 D0A01617 +@1196 10003C26 +@1197 E0BFFC17 +@1198 10003826 +@1199 E0BFFC17 +@119A E0FFFE17 +@119B 10C00315 +@119C E0BFFC17 +@119D E0FFFF17 +@119E 10C00515 +@119F 0005303A +@11A0 E0BFF915 +@11A1 E0FFF917 +@11A2 00BFFF84 +@11A3 1884703A +@11A4 1001703A +@11A5 E0BFF917 +@11A6 E0BFF815 +@11A7 D0A01717 +@11A8 E0BFF615 +@11A9 E0FFFD17 +@11AA E0BFF617 +@11AB 1885883A +@11AC 10C00044 +@11AD E0BFFC17 +@11AE 10C00215 +@11AF E0BFFC17 +@11B0 10C00217 +@11B1 E0BFF617 +@11B2 1880042E +@11B3 E0BFFC17 +@11B4 00C00044 +@11B5 10C00405 +@11B6 00000206 +@11B7 E0BFFC17 +@11B8 10000405 +@11B9 E0BFFC17 +@11BA D0E00C04 +@11BB E0FFFA15 +@11BC E0BFFB15 +@11BD E0BFFB17 +@11BE E0FFFA17 +@11BF 10C00115 +@11C0 E0BFFA17 +@11C1 10C00017 +@11C2 E0BFFB17 +@11C3 10C00015 +@11C4 E0BFFA17 +@11C5 10800017 +@11C6 E0FFFB17 +@11C7 10C00115 +@11C8 E0BFFA17 +@11C9 E0FFFB17 +@11CA 10C00015 +@11CB E0BFF817 +@11CC E0BFF715 +@11CD E0BFF717 +@11CE 1001703A +@11CF 0005883A +@11D0 00000306 +@11D1 00BFFA84 +@11D2 00000106 +@11D3 00BFDE84 +@11D4 E037883A +@11D5 DF000017 +@11D6 DEC00104 +@11D7 F800283A +@11D8 DEFFFE04 +@11D9 DFC00115 +@11DA DF000015 +@11DB D839883A +@11DC D0A00917 +@11DD 10000326 +@11DE D0A00917 +@11DF 103EE83A +@11E0 00000106 +@11E1 D0A01104 +@11E2 E037883A +@11E3 DFC00117 +@11E4 DF000017 +@11E5 DEC00204 +@11E6 F800283A +@11E7 DEFFFA04 +@11E8 DFC00515 +@11E9 DF000415 +@11EA DF000404 +@11EB E13FFE15 +@11EC E17FFF15 +@11ED E0BFFE17 +@11EE 10000326 +@11EF E0BFFE17 +@11F0 10800217 +@11F1 1000061E +@11F2 00047600 +@11F3 1007883A +@11F4 00800584 +@11F5 18800015 +@11F6 00BFFA84 +@11F7 00001306 +@11F8 E0BFFE17 +@11F9 E0FFFF17 +@11FA E0FFFC15 +@11FB E0BFFD15 +@11FC E0BFFD17 +@11FD E0FFFC17 +@11FE 10C00115 +@11FF E0BFFC17 +@1200 10C00017 +@1201 E0BFFD17 +@1202 10C00015 +@1203 E0BFFC17 +@1204 10800017 +@1205 E0FFFD17 +@1206 10C00115 +@1207 E0BFFC17 +@1208 E0FFFD17 +@1209 10C00015 +@120A 0005883A +@120B E037883A +@120C DFC00117 +@120D DF000017 +@120E DEC00204 +@120F F800283A +@1210 DEFFFD04 +@1211 DFC00215 +@1212 DF000115 +@1213 DF000104 +@1214 00800034 +@1215 1095F504 +@1216 E0BFFF15 +@1217 00000606 +@1218 E0BFFF17 +@1219 10800017 +@121A 103EE83A +@121B E0BFFF17 +@121C 10BFFF04 +@121D E0BFFF15 +@121E E0FFFF17 +@121F 00800034 +@1220 1095F604 +@1221 18BFF62E +@1222 0001883A +@1223 E037883A +@1224 DFC00117 +@1225 DF000017 +@1226 DEC00204 +@1227 F800283A +@1228 DEFFFD04 +@1229 DFC00215 +@122A DF000115 +@122B DF000104 +@122C 00800034 +@122D 1095F504 +@122E E0BFFF15 +@122F 00000606 +@1230 E0BFFF17 +@1231 10800017 +@1232 103EE83A +@1233 E0BFFF17 +@1234 10BFFF04 +@1235 E0BFFF15 +@1236 E0FFFF17 +@1237 00800034 +@1238 1095F604 +@1239 18BFF62E +@123A 0001883A +@123B E037883A +@123C DFC00117 +@123D DF000017 +@123E DEC00204 +@123F F800283A +@1240 DEFFF904 +@1241 DFC00615 +@1242 DF000515 +@1243 DF000504 +@1244 E13FFC15 +@1245 E17FFD15 +@1246 E1BFFE15 +@1247 E1FFFF15 +@1248 E0800217 +@1249 D8800015 +@124A E1FFFF17 +@124B E1BFFE17 +@124C E17FFD17 +@124D E13FFC17 +@124E 0004AB00 +@124F E037883A +@1250 DFC00117 +@1251 DF000017 +@1252 DEC00204 +@1253 F800283A +@1254 DEFFF904 +@1255 DF000615 +@1256 DF000604 +@1257 E13FFE15 +@1258 E17FFF15 +@1259 E0BFFF17 +@125A E0BFFA15 +@125B 0005303A +@125C E0BFFB15 +@125D E0FFFB17 +@125E 00BFFF84 +@125F 1884703A +@1260 1001703A +@1261 E0BFFB17 +@1262 E0BFFC15 +@1263 00C00044 +@1264 E0BFFA17 +@1265 1884983A +@1266 1007883A +@1267 D0A01517 +@1268 1884B03A +@1269 D0A01515 +@126A D0A01517 +@126B 100170FA +@126C E0BFFC17 +@126D E0BFFD15 +@126E E0BFFD17 +@126F 1001703A +@1270 0005883A +@1271 0001883A +@1272 E037883A +@1273 DF000017 +@1274 DEC00104 +@1275 F800283A +@1276 DEFFF904 +@1277 DF000615 +@1278 DF000604 +@1279 E13FFE15 +@127A E17FFF15 +@127B E0BFFF17 +@127C E0BFFA15 +@127D 0005303A +@127E E0BFFB15 +@127F E0FFFB17 +@1280 00BFFF84 +@1281 1884703A +@1282 1001703A +@1283 E0BFFB17 +@1284 E0BFFC15 +@1285 00C00044 +@1286 E0BFFA17 +@1287 1884983A +@1288 0084303A +@1289 1007883A +@128A D0A01517 +@128B 1884703A +@128C D0A01515 +@128D D0A01517 +@128E 100170FA +@128F E0BFFC17 +@1290 E0BFFD15 +@1291 E0BFFD17 +@1292 1001703A +@1293 0005883A +@1294 0001883A +@1295 E037883A +@1296 DF000017 +@1297 DEC00104 +@1298 F800283A +@1299 DEFFFC04 +@129A DF000315 +@129B DF000304 +@129C E13FFE15 +@129D E17FFF15 +@129E 000530FA +@129F E0BFFD15 +@12A0 00C00044 +@12A1 E0BFFF17 +@12A2 1884983A +@12A3 1007883A +@12A4 E0BFFD17 +@12A5 1884703A +@12A6 1004C03A +@12A7 10803FCC +@12A8 E037883A +@12A9 DF000017 +@12AA DEC00104 +@12AB F800283A +@12AC DEFFF504 +@12AD DFC00A15 +@12AE DF000915 +@12AF DF000904 +@12B0 E13FFC15 +@12B1 E17FFD15 +@12B2 E1BFFE15 +@12B3 E1FFFF15 +@12B4 00BFFA84 +@12B5 E0BFF715 +@12B6 E0BFFD17 +@12B7 E0BFF815 +@12B8 E0BFF817 +@12B9 10800808 +@12BA 1000271E +@12BB 0005303A +@12BC E0BFFB15 +@12BD E0FFFB17 +@12BE 00BFFF84 +@12BF 1884703A +@12C0 1001703A +@12C1 E0BFFB17 +@12C2 E0BFFA15 +@12C3 00800034 +@12C4 109CB904 +@12C5 E0FFF817 +@12C6 180690FA +@12C7 10C5883A +@12C8 E0FFFE17 +@12C9 10C00015 +@12CA 00800034 +@12CB 109CB904 +@12CC E0FFF817 +@12CD 180690FA +@12CE 10C5883A +@12CF 10800104 +@12D0 E0FFFF17 +@12D1 10C00015 +@12D2 E0BFFE17 +@12D3 10000526 +@12D4 E0BFF817 +@12D5 100B883A +@12D6 E13FFC17 +@12D7 00049500 +@12D8 00000406 +@12D9 E0BFF817 +@12DA 100B883A +@12DB E13FFC17 +@12DC 00049D80 +@12DD E0BFF715 +@12DE E0BFFA17 +@12DF E0BFF915 +@12E0 E0BFF917 +@12E1 1001703A +@12E2 E0BFF717 +@12E3 E037883A +@12E4 DFC00117 +@12E5 DF000017 +@12E6 DEC00204 +@12E7 F800283A +@12E8 DEFFF804 +@12E9 DFC00715 +@12EA DF000615 +@12EB DC000515 +@12EC DF000604 +@12ED E13FFB15 +@12EE E17FFC15 +@12EF E1BFFD15 +@12F0 E1FFFE15 +@12F1 E1BFFE17 +@12F2 E17FFD17 +@12F3 E13FFC17 +@12F4 0004E280 +@12F5 E0BFFA15 +@12F6 E0BFFA17 +@12F7 10002216 +@12F8 04000034 +@12F9 84181E04 +@12FA E0BFFA17 +@12FB 01400304 +@12FC 1009883A +@12FD 00031140 +@12FE 8085883A +@12FF 10C00017 +@1300 E0BFFB17 +@1301 10C00015 +@1302 04000034 +@1303 84181E04 +@1304 E0BFFA17 +@1305 01400304 +@1306 1009883A +@1307 00031140 +@1308 8085883A +@1309 10800104 +@130A 10C00017 +@130B E0BFFB17 +@130C 10C00115 +@130D 04000034 +@130E 84181E04 +@130F E0BFFA17 +@1310 01400304 +@1311 1009883A +@1312 00031140 +@1313 8085883A +@1314 10800204 +@1315 10C00017 +@1316 E0BFFB17 +@1317 10C00215 +@1318 E13FFA17 +@1319 000379C0 +@131A 0001883A +@131B E6FFFF04 +@131C DFC00217 +@131D DF000117 +@131E DC000017 +@131F DEC00304 +@1320 F800283A +@1321 DEFFFB04 +@1322 DFC00415 +@1323 DF000315 +@1324 DF000304 +@1325 E13FFD15 +@1326 E17FFE15 +@1327 E1BFFF15 +@1328 01C07FC4 +@1329 01800044 +@132A E17FFD17 +@132B 01000034 +@132C 21182104 +@132D 0004BA00 +@132E 01C07FC4 +@132F 000D883A +@1330 E17FFE17 +@1331 01000034 +@1332 21181E04 +@1333 0004BA00 +@1334 01C07FC4 +@1335 01800044 +@1336 E17FFF17 +@1337 01000034 +@1338 21182404 +@1339 0004BA00 +@133A 0001883A +@133B E037883A +@133C DFC00117 +@133D DF000017 +@133E DEC00204 +@133F F800283A +@1340 DEFFFE04 +@1341 DFC00115 +@1342 DF000015 +@1343 D839883A +@1344 D0A00917 +@1345 10000326 +@1346 D0A00917 +@1347 103EE83A +@1348 00000106 +@1349 D0A01104 +@134A E037883A +@134B DFC00117 +@134C DF000017 +@134D DEC00204 +@134E F800283A +@134F DEFFFB04 +@1350 DFC00415 +@1351 DF000315 +@1352 DC000215 +@1353 DF000304 +@1354 E13FFE15 +@1355 E0BFFE17 +@1356 10800217 +@1357 10D00034 +@1358 E0BFFE17 +@1359 10C00215 +@135A E03FFD15 +@135B 00002306 +@135C 04000034 +@135D 84181E04 +@135E E0BFFD17 +@135F 01400304 +@1360 1009883A +@1361 00031140 +@1362 8085883A +@1363 10C00017 +@1364 E0BFFE17 +@1365 10800017 +@1366 1880151E +@1367 04000034 +@1368 84181E04 +@1369 E0BFFD17 +@136A 01400304 +@136B 1009883A +@136C 00031140 +@136D 8085883A +@136E 10800204 +@136F 10800017 +@1370 10000B0E +@1371 01400304 +@1372 E13FFD17 +@1373 00031140 +@1374 1007883A +@1375 00800034 +@1376 10981E04 +@1377 1887883A +@1378 E0BFFE17 +@1379 18800226 +@137A 00BFFCC4 +@137B 00000806 +@137C E0BFFD17 +@137D 10800044 +@137E E0BFFD15 +@137F D0A00817 +@1380 1007883A +@1381 E0BFFD17 +@1382 18BFD92E +@1383 0005883A +@1384 E6FFFF04 +@1385 DFC00217 +@1386 DF000117 +@1387 DC000017 +@1388 DEC00304 +@1389 F800283A +@138A DEFFF604 +@138B DFC00915 +@138C DF000815 +@138D DF000804 +@138E E13FFD15 +@138F E17FFE15 +@1390 E1BFFF15 +@1391 00BFFFC4 +@1392 E0BFF915 +@1393 00BFFB44 +@1394 E0BFFA15 +@1395 E03FFB15 +@1396 D1600604 +@1397 E13FFD17 +@1398 000514C0 +@1399 E0BFF815 +@139A E0BFF817 +@139B 1000051E +@139C E13FFD17 +@139D 00051DC0 +@139E E0BFF815 +@139F 00800044 +@13A0 E0BFFB15 +@13A1 E0BFF817 +@13A2 10002B26 +@13A3 E13FF817 +@13A4 00052E40 +@13A5 E0BFF915 +@13A6 E0BFF917 +@13A7 1000030E +@13A8 E0BFF917 +@13A9 E0BFFA15 +@13AA 00002506 +@13AB 01400304 +@13AC E13FF917 +@13AD 00031140 +@13AE 1007883A +@13AF 00800034 +@13B0 10981E04 +@13B1 1885883A +@13B2 E0BFFC15 +@13B3 E0FFFE17 +@13B4 00900034 +@13B5 10BFFFC4 +@13B6 1886703A +@13B7 E0BFFC17 +@13B8 10C00215 +@13B9 E0BFFB17 +@13BA 1000051E +@13BB E13FFC17 +@13BC 0004D3C0 +@13BD E0BFFA15 +@13BE E0BFFA17 +@13BF 10001016 +@13C0 E0BFF817 +@13C1 10800317 +@13C2 10000826 +@13C3 E0BFF817 +@13C4 10800317 +@13C5 E1FFFF17 +@13C6 E1BFFE17 +@13C7 E17FFD17 +@13C8 E13FFC17 +@13C9 103EE83A +@13CA 00000106 +@13CB 0005883A +@13CC E0BFFA15 +@13CD 00000206 +@13CE 00BFFB44 +@13CF E0BFFA15 +@13D0 E0BFFA17 +@13D1 1000090E +@13D2 E13FF917 +@13D3 000379C0 +@13D4 0004D000 +@13D5 1007883A +@13D6 E0BFFA17 +@13D7 0085C83A +@13D8 18800015 +@13D9 00BFFFC4 +@13DA 00000106 +@13DB E0BFF917 +@13DC E037883A +@13DD DFC00117 +@13DE DF000017 +@13DF DEC00204 +@13E0 F800283A +@13E1 DEFFFA04 +@13E2 DF000515 +@13E3 DF000504 +@13E4 E13FFF15 +@13E5 0005303A +@13E6 E0BFFC15 +@13E7 E0FFFC17 +@13E8 00BFFF84 +@13E9 1884703A +@13EA 1001703A +@13EB E0BFFC17 +@13EC E0BFFB15 +@13ED E0BFFF17 +@13EE E0BFFD15 +@13EF E0BFFD17 +@13F0 10800017 +@13F1 E0FFFD17 +@13F2 18C00117 +@13F3 10C00115 +@13F4 E0BFFD17 +@13F5 10800117 +@13F6 E0FFFD17 +@13F7 18C00017 +@13F8 10C00015 +@13F9 E0BFFD17 +@13FA E0FFFD17 +@13FB 10C00115 +@13FC E0BFFD17 +@13FD E0FFFD17 +@13FE 10C00015 +@13FF E0BFFB17 +@1400 E0BFFE15 +@1401 E0BFFE17 +@1402 1001703A +@1403 0001883A +@1404 E037883A +@1405 DF000017 +@1406 DEC00104 +@1407 F800283A +@1408 DEFFFB04 +@1409 DFC00415 +@140A DF000315 +@140B DF000304 +@140C D0A00C17 +@140D E0BFFD15 +@140E D0A01717 +@140F 10800044 +@1410 D0A01715 +@1411 00002E06 +@1412 E0BFFD17 +@1413 10800017 +@1414 E0BFFE15 +@1415 E0BFFD17 +@1416 10800403 +@1417 10803FCC +@1418 10000426 +@1419 D0A01717 +@141A 1000021E +@141B E0BFFD17 +@141C 10000405 +@141D E0BFFD17 +@141E 10800217 +@141F D0E01717 +@1420 18801D36 +@1421 E0BFFD17 +@1422 10800403 +@1423 10803FCC +@1424 1000191E +@1425 E0BFFD17 +@1426 10800317 +@1427 E0FFFD17 +@1428 18C00517 +@1429 1809883A +@142A 103EE83A +@142B E0BFFF15 +@142C E0BFFF17 +@142D 1000031E +@142E E13FFD17 +@142F 0004F840 +@1430 00000D06 +@1431 E0BFFD17 +@1432 10C00217 +@1433 E0BFFF17 +@1434 1887883A +@1435 E0BFFD17 +@1436 10C00215 +@1437 E0BFFD17 +@1438 10C00217 +@1439 D0A01717 +@143A 1880032E +@143B E0BFFD17 +@143C 00C00044 +@143D 10C00405 +@143E E0BFFE17 +@143F E0BFFD15 +@1440 E0FFFD17 +@1441 D0A00C04 +@1442 18BFCF1E +@1443 0001883A +@1444 0001883A +@1445 E037883A +@1446 DFC00117 +@1447 DF000017 +@1448 DEC00204 +@1449 F800283A +@144A DEFFFF04 +@144B DF000015 +@144C D839883A +@144D 000170FA +@144E 0001883A +@144F E037883A +@1450 DF000017 +@1451 DEC00104 +@1452 F800283A +@1453 DEFFFA04 +@1454 DFC00515 +@1455 DF000415 +@1456 DF000404 +@1457 E13FFE15 +@1458 E17FFF15 +@1459 E0BFFF17 +@145A 10800017 +@145B E0BFFC15 +@145C E13FFE17 +@145D 00004040 +@145E 10800044 +@145F E0BFFD15 +@1460 00000D06 +@1461 E0BFFC17 +@1462 10800217 +@1463 E0FFFD17 +@1464 180D883A +@1465 E17FFE17 +@1466 1009883A +@1467 00054900 +@1468 1000021E +@1469 E0BFFC17 +@146A 00000706 +@146B E0BFFC17 +@146C 10800017 +@146D E0BFFC15 +@146E E0FFFC17 +@146F E0BFFF17 +@1470 18BFF01E +@1471 0005883A +@1472 E037883A +@1473 DFC00117 +@1474 DF000017 +@1475 DEC00204 +@1476 F800283A +@1477 DEFFFB04 +@1478 DFC00415 +@1479 DF000315 +@147A DF000304 +@147B E13FFF15 +@147C D0A00417 +@147D E0BFFD15 +@147E 00003106 +@147F E0BFFD17 +@1480 10800217 +@1481 1009883A +@1482 00004040 +@1483 E0BFFE15 +@1484 E0BFFD17 +@1485 10C00217 +@1486 E0BFFE17 +@1487 10BFFFC4 +@1488 1885883A +@1489 10800003 +@148A 10803FCC +@148B 1080201C +@148C 10BFE004 +@148D 10800BD8 +@148E 1000031E +@148F E0BFFE17 +@1490 10BFFFC4 +@1491 E0BFFE15 +@1492 E0BFFE17 +@1493 E0FFFF17 +@1494 1885883A +@1495 10800003 +@1496 10803FCC +@1497 1080201C +@1498 10BFE004 +@1499 10800BE0 +@149A 1000081E +@149B E0BFFE17 +@149C E0FFFF17 +@149D 1885883A +@149E 10800003 +@149F 10803FCC +@14A0 1080201C +@14A1 10BFE004 +@14A2 10000A1E +@14A3 E0BFFD17 +@14A4 10800217 +@14A5 E0FFFE17 +@14A6 180D883A +@14A7 E17FFF17 +@14A8 1009883A +@14A9 00054900 +@14AA 1000021E +@14AB E0BFFD17 +@14AC 00000706 +@14AD E0BFFD17 +@14AE 10800017 +@14AF E0BFFD15 +@14B0 E0FFFD17 +@14B1 D0A00404 +@14B2 18BFCC1E +@14B3 0005883A +@14B4 E037883A +@14B5 DFC00117 +@14B6 DF000017 +@14B7 DEC00204 +@14B8 F800283A +@14B9 DEFFFA04 +@14BA DFC00515 +@14BB DF000415 +@14BC DC000315 +@14BD DF000404 +@14BE E13FFE15 +@14BF 00BFFA04 +@14C0 E0BFFD15 +@14C1 E03FFC15 +@14C2 00001D06 +@14C3 04000034 +@14C4 84181E04 +@14C5 E0BFFC17 +@14C6 01400304 +@14C7 1009883A +@14C8 00031140 +@14C9 8085883A +@14CA 10800017 +@14CB 1000111E +@14CC 04000034 +@14CD 84181E04 +@14CE E0BFFC17 +@14CF 01400304 +@14D0 1009883A +@14D1 00031140 +@14D2 8085883A +@14D3 E0FFFE17 +@14D4 10C00015 +@14D5 D0E00817 +@14D6 E0BFFC17 +@14D7 1880020E +@14D8 E0BFFC17 +@14D9 D0A00815 +@14DA E0BFFC17 +@14DB E0BFFD15 +@14DC 00000606 +@14DD E0BFFC17 +@14DE 10800044 +@14DF E0BFFC15 +@14E0 E0BFFC17 +@14E1 10800810 +@14E2 103FE01E +@14E3 E0BFFD17 +@14E4 E6FFFF04 +@14E5 DFC00217 +@14E6 DF000117 +@14E7 DC000017 +@14E8 DEC00304 +@14E9 F800283A +@14EA DEFFFE04 +@14EB DF000115 +@14EC DF000104 +@14ED E13FFF15 +@14EE E0BFFF17 +@14EF 10BFFE84 +@14F0 10C00428 +@14F1 18001A1E +@14F2 100690BA +@14F3 00800034 +@14F4 1094F804 +@14F5 1885883A +@14F6 10800017 +@14F7 1000683A +@14F8 00005420 +@14F9 00005420 +@14FA 00005430 +@14FB 00005430 +@14FC 00005430 +@14FD 00005420 +@14FE 00005428 +@14FF 00005430 +@1500 00005420 +@1501 00005420 +@1502 00005430 +@1503 00005420 +@1504 00005428 +@1505 00005430 +@1506 00005430 +@1507 00005420 +@1508 00800044 +@1509 00000306 +@150A 0005883A +@150B 00000106 +@150C 0005883A +@150D E037883A +@150E DF000017 +@150F DEC00104 +@1510 F800283A +@1511 200B883A +@1512 000F883A +@1513 000D883A +@1514 0009883A +@1515 000550C1 +@1516 DEFFFE04 +@1517 000B883A +@1518 DC000015 +@1519 DFC00115 +@151A 2021883A +@151B 00056240 +@151C 00800034 +@151D 109C9604 +@151E 11000017 +@151F 20800F17 +@1520 10000126 +@1521 103EE83A +@1522 8009883A +@1523 00057A40 +@1524 01C000C4 +@1525 3980192E +@1526 2144B03A +@1527 11C4703A +@1528 10000F26 +@1529 20800003 +@152A 28C00003 +@152B 10C0151E +@152C 31BFFF84 +@152D 01FFFFC4 +@152E 00000406 +@152F 20800003 +@1530 28C00003 +@1531 31BFFFC4 +@1532 10C00E1E +@1533 21000044 +@1534 29400044 +@1535 31FFF91E +@1536 0005883A +@1537 F800283A +@1538 20C00017 +@1539 28800017 +@153A 18BFEE1E +@153B 31BFFF04 +@153C 21000104 +@153D 29400104 +@153E 39BFF936 +@153F 303FE91E +@1540 003FF506 +@1541 10C5C83A +@1542 F800283A +@1543 DEFFFA04 +@1544 DC000315 +@1545 04000034 +@1546 841C9604 +@1547 80C00017 +@1548 DC400415 +@1549 DFC00515 +@154A 18805217 +@154B 2023883A +@154C 10003726 +@154D 10C00117 +@154E 010007C4 +@154F 20C00E16 +@1550 1A000044 +@1551 8800221E +@1552 18C00084 +@1553 18C7883A +@1554 18C7883A +@1555 12000115 +@1556 10C7883A +@1557 19400015 +@1558 0005883A +@1559 DFC00517 +@155A DC400417 +@155B DC000317 +@155C DEC00604 +@155D F800283A +@155E 00800034 +@155F 10800004 +@1560 10002626 +@1561 01006404 +@1562 D9400015 +@1563 D9800115 +@1564 D9C00215 +@1565 00000000 +@1566 D9400017 +@1567 D9800117 +@1568 D9C00217 +@1569 10001D26 +@156A 81000017 +@156B 10000115 +@156C 02000044 +@156D 22405217 +@156E 0007883A +@156F 12400015 +@1570 20805215 +@1571 10006215 +@1572 10006315 +@1573 883FDE26 +@1574 18C9883A +@1575 2109883A +@1576 1109883A +@1577 21802215 +@1578 01800044 +@1579 12406217 +@157A 30CC983A +@157B 4992B03A +@157C 12406215 +@157D 21C04215 +@157E 01000084 +@157F 893FD21E +@1580 11006317 +@1581 218CB03A +@1582 11806315 +@1583 003FCE06 +@1584 18805304 +@1585 18805215 +@1586 003FC606 +@1587 00BFFFC4 +@1588 003FD006 +@1589 DEFFF504 +@158A DF000915 +@158B DD400615 +@158C DC800315 +@158D DFC00A15 +@158E DDC00815 +@158F DD800715 +@1590 DD000515 +@1591 DCC00415 +@1592 DC400215 +@1593 DC000115 +@1594 D9000015 +@1595 2839883A +@1596 04800044 +@1597 057FFFC4 +@1598 00800034 +@1599 109C9604 +@159A 12000017 +@159B 45005217 +@159C 44C05204 +@159D A0001C26 +@159E A0800117 +@159F 15FFFFC4 +@15A0 B8000D16 +@15A1 14000044 +@15A2 8421883A +@15A3 8421883A +@15A4 84402004 +@15A5 A463883A +@15A6 A421883A +@15A7 E0001E26 +@15A8 80804017 +@15A9 E0801C26 +@15AA BDFFFFC4 +@15AB 843FFF04 +@15AC 8C7FFF04 +@15AD BD7FF91E +@15AE 00800034 +@15AF 10800004 +@15B0 10000926 +@15B1 A0800117 +@15B2 1000301E +@15B3 A0800017 +@15B4 10003226 +@15B5 A009883A +@15B6 98800015 +@15B7 00000000 +@15B8 9D000017 +@15B9 A03FE41E +@15BA DFC00A17 +@15BB DF000917 +@15BC DDC00817 +@15BD DD800717 +@15BE DD400617 +@15BF DD000517 +@15C0 DCC00417 +@15C1 DC800317 +@15C2 DC400217 +@15C3 DC000117 +@15C4 DEC00B04 +@15C5 F800283A +@15C6 A0800117 +@15C7 80C00017 +@15C8 10BFFFC4 +@15C9 15C01426 +@15CA 80000015 +@15CB 183FDE26 +@15CC 95C8983A +@15CD A0806217 +@15CE A5800117 +@15CF 2084703A +@15D0 10000B26 +@15D1 A0806317 +@15D2 2088703A +@15D3 20000C1E +@15D4 89400017 +@15D5 D9000017 +@15D6 183EE83A +@15D7 A0800117 +@15D8 15BFBF1E +@15D9 98800017 +@15DA 153FCF26 +@15DB 003FBC06 +@15DC 183EE83A +@15DD 003FF906 +@15DE A5C00115 +@15DF 003FEB06 +@15E0 89000017 +@15E1 183EE83A +@15E2 003FF406 +@15E3 A0800017 +@15E4 A027883A +@15E5 1029883A +@15E6 003FB606 +@15E7 0005883A +@15E8 003FFB06 +@15E9 DEFFFD04 +@15EA DF000215 +@15EB DF000204 +@15EC E13FFF15 +@15ED 0001883A +@15EE E0BFFF17 +@15EF E0BFFE15 +@15F0 E0BFFE17 +@15F1 10000226 +@15F2 002AF070 +@15F3 00000106 +@15F4 002AF0B0 +@15F5 003FFF06 +@15F6 0A326464 +@15F7 0A64C864 +@15F8 0AC8FA96 +@15F9 0AFAFAFA +@15FA 64616552 +@15FB 00000079 +@15FC 0000000A +@15FD 00000043 +@15FE 7665642F +@15FF 6C756E2F +@1600 0000006C +@1601 7665642F +@1602 61746A2F +@1603 61755F67 +@1604 00007472 +@1605 7665642F +@1606 61746A2F +@1607 61755F67 +@1608 00007472 +@1609 00000000 +@160A 00005B10 +@160B 00005B78 +@160C 00005BE0 +@160D 00000000 +@160E 00000000 +@160F 00000000 +@1610 00000000 +@1611 00000000 +@1612 00000000 +@1613 00000000 +@1614 00000000 +@1615 00000000 +@1616 000057F4 +@1617 00000000 +@1618 00000000 +@1619 00000000 +@161A 00000000 +@161B 00000000 +@161C 00000000 +@161D 00000000 +@161E 00000000 +@161F 00000000 +@1620 00000000 +@1621 00000000 +@1622 00000000 +@1623 00000000 +@1624 00000000 +@1625 00000000 +@1626 00000000 +@1627 00000000 +@1628 00000000 +@1629 00000000 +@162A 00000000 +@162B 00000000 +@162C 00000000 +@162D 00000000 +@162E 00000000 +@162F 00000000 +@1630 00000000 +@1631 00000000 +@1632 00000001 +@1633 00000000 +@1634 ABCD330E +@1635 E66D1234 +@1636 0005DEEC +@1637 0000000B +@1638 00000000 +@1639 00000000 +@163A 00000000 +@163B 00000000 +@163C 00000000 +@163D 00000000 +@163E 00000000 +@163F 00000000 +@1640 00000000 +@1641 00000000 +@1642 00000000 +@1643 00000000 +@1644 00000000 +@1645 00000000 +@1646 00000000 +@1647 00000000 +@1648 00000000 +@1649 00000000 +@164A 00000000 +@164B 00000000 +@164C 00000000 +@164D 00000000 +@164E 00000000 +@164F 00000000 +@1650 00000000 +@1651 00000000 +@1652 00000000 +@1653 00000000 +@1654 00000000 +@1655 00000000 +@1656 00000000 +@1657 00000000 +@1658 00000000 +@1659 00000000 +@165A 00000000 +@165B 00000000 +@165C 00000000 +@165D 00000000 +@165E 00000000 +@165F 00000000 +@1660 00000000 +@1661 00000000 +@1662 00000000 +@1663 00000000 +@1664 00000000 +@1665 00000000 +@1666 00000000 +@1667 00000000 +@1668 00000000 +@1669 00000000 +@166A 00000000 +@166B 00000000 +@166C 00000000 +@166D 00000000 +@166E 00000000 +@166F 00000000 +@1670 00000000 +@1671 00000000 +@1672 00000000 +@1673 00000000 +@1674 00000000 +@1675 00000000 +@1676 00000000 +@1677 00000000 +@1678 00000000 +@1679 00000000 +@167A 00000000 +@167B 00000000 +@167C 00000000 +@167D 00000000 +@167E 00000000 +@167F 00000000 +@1680 00000000 +@1681 00000000 +@1682 00000000 +@1683 00000000 +@1684 00000000 +@1685 00000000 +@1686 00000000 +@1687 00000000 +@1688 00000000 +@1689 00000000 +@168A 00000000 +@168B 00000000 +@168C 00000000 +@168D 00000000 +@168E 00000000 +@168F 00000000 +@1690 00000000 +@1691 00000000 +@1692 00000000 +@1693 00000000 +@1694 00000000 +@1695 00000000 +@1696 00000000 +@1697 00000000 +@1698 00000000 +@1699 00000000 +@169A 00000000 +@169B 00000000 +@169C 00000000 +@169D 00000000 +@169E 00000000 +@169F 00000000 +@16A0 00000000 +@16A1 00000000 +@16A2 00000000 +@16A3 00000000 +@16A4 00000000 +@16A5 00000000 +@16A6 00000000 +@16A7 00000000 +@16A8 00000000 +@16A9 00000000 +@16AA 00000000 +@16AB 00000000 +@16AC 00000000 +@16AD 00000000 +@16AE 00000000 +@16AF 00000000 +@16B0 00000000 +@16B1 00000000 +@16B2 00000000 +@16B3 00000000 +@16B4 00000000 +@16B5 00000000 +@16B6 00000000 +@16B7 00000000 +@16B8 00000000 +@16B9 00000000 +@16BA 00000000 +@16BB 00000000 +@16BC 00000000 +@16BD 00000000 +@16BE 00000000 +@16BF 00000000 +@16C0 00000000 +@16C1 00000000 +@16C2 00000000 +@16C3 00000000 +@16C4 00000000 +@16C5 00000000 +@16C6 00000000 +@16C7 00000000 +@16C8 00000000 +@16C9 00000000 +@16CA 00000000 +@16CB 00000000 +@16CC 00000000 +@16CD 00000000 +@16CE 00000000 +@16CF 00000000 +@16D0 00000000 +@16D1 00000000 +@16D2 00000000 +@16D3 00000000 +@16D4 00000000 +@16D5 00000000 +@16D6 00000000 +@16D7 00000000 +@16D8 00000000 +@16D9 00000000 +@16DA 00000000 +@16DB 00000000 +@16DC 00000000 +@16DD 00000000 +@16DE 00000000 +@16DF 00000000 +@16E0 00000000 +@16E1 00000000 +@16E2 00000000 +@16E3 00000000 +@16E4 00000000 +@16E5 00000000 +@16E6 00000000 +@16E7 00000000 +@16E8 00000000 +@16E9 00000000 +@16EA 00000000 +@16EB 00000000 +@16EC 00000000 +@16ED 00000000 +@16EE 00000000 +@16EF 00000000 +@16F0 00000000 +@16F1 00000000 +@16F2 00000000 +@16F3 00000000 +@16F4 00000000 +@16F5 00000000 +@16F6 00000000 +@16F7 00000000 +@16F8 00000000 +@16F9 00000000 +@16FA 00000000 +@16FB 00000000 +@16FC 00000000 +@16FD 00000000 +@16FE 00000000 +@16FF 00000000 +@1700 00000000 +@1701 00000000 +@1702 00000000 +@1703 00000000 +@1704 00000000 +@1705 00000000 +@1706 00000000 +@1707 00000000 +@1708 00000000 +@1709 00000000 +@170A 00000000 +@170B 00000000 +@170C 00000000 +@170D 00000000 +@170E 00000000 +@170F 00000000 +@1710 00000000 +@1711 00000000 +@1712 00000000 +@1713 00000000 +@1714 00005C48 +@1715 00005C48 +@1716 00005C50 +@1717 00005C50 +@1718 00005C58 +@1719 00005C58 +@171A 00005C60 +@171B 00005C60 +@171C 00005C68 +@171D 00005C68 +@171E 00005C70 +@171F 00005C70 +@1720 00005C78 +@1721 00005C78 +@1722 00005C80 +@1723 00005C80 +@1724 00005C88 +@1725 00005C88 +@1726 00005C90 +@1727 00005C90 +@1728 00005C98 +@1729 00005C98 +@172A 00005CA0 +@172B 00005CA0 +@172C 00005CA8 +@172D 00005CA8 +@172E 00005CB0 +@172F 00005CB0 +@1730 00005CB8 +@1731 00005CB8 +@1732 00005CC0 +@1733 00005CC0 +@1734 00005CC8 +@1735 00005CC8 +@1736 00005CD0 +@1737 00005CD0 +@1738 00005CD8 +@1739 00005CD8 +@173A 00005CE0 +@173B 00005CE0 +@173C 00005CE8 +@173D 00005CE8 +@173E 00005CF0 +@173F 00005CF0 +@1740 00005CF8 +@1741 00005CF8 +@1742 00005D00 +@1743 00005D00 +@1744 00005D08 +@1745 00005D08 +@1746 00005D10 +@1747 00005D10 +@1748 00005D18 +@1749 00005D18 +@174A 00005D20 +@174B 00005D20 +@174C 00005D28 +@174D 00005D28 +@174E 00005D30 +@174F 00005D30 +@1750 00005D38 +@1751 00005D38 +@1752 00005D40 +@1753 00005D40 +@1754 00005D48 +@1755 00005D48 +@1756 00005D50 +@1757 00005D50 +@1758 00005D58 +@1759 00005D58 +@175A 00005D60 +@175B 00005D60 +@175C 00005D68 +@175D 00005D68 +@175E 00005D70 +@175F 00005D70 +@1760 00005D78 +@1761 00005D78 +@1762 00005D80 +@1763 00005D80 +@1764 00005D88 +@1765 00005D88 +@1766 00005D90 +@1767 00005D90 +@1768 00005D98 +@1769 00005D98 +@176A 00005DA0 +@176B 00005DA0 +@176C 00005DA8 +@176D 00005DA8 +@176E 00005DB0 +@176F 00005DB0 +@1770 00005DB8 +@1771 00005DB8 +@1772 00005DC0 +@1773 00005DC0 +@1774 00005DC8 +@1775 00005DC8 +@1776 00005DD0 +@1777 00005DD0 +@1778 00005DD8 +@1779 00005DD8 +@177A 00005DE0 +@177B 00005DE0 +@177C 00005DE8 +@177D 00005DE8 +@177E 00005DF0 +@177F 00005DF0 +@1780 00005DF8 +@1781 00005DF8 +@1782 00005E00 +@1783 00005E00 +@1784 00005E08 +@1785 00005E08 +@1786 00005E10 +@1787 00005E10 +@1788 00005E18 +@1789 00005E18 +@178A 00005E20 +@178B 00005E20 +@178C 00005E28 +@178D 00005E28 +@178E 00005E30 +@178F 00005E30 +@1790 00005E38 +@1791 00005E38 +@1792 00005E40 +@1793 00005E40 +@1794 00005E48 +@1795 00005E48 +@1796 00005E50 +@1797 00005E50 +@1798 00005E58 +@1799 00005E58 +@179A 00005E60 +@179B 00005E60 +@179C 00005E68 +@179D 00005E68 +@179E 00005E70 +@179F 00005E70 +@17A0 00005E78 +@17A1 00005E78 +@17A2 00005E80 +@17A3 00005E80 +@17A4 00005E88 +@17A5 00005E88 +@17A6 00005E90 +@17A7 00005E90 +@17A8 00005E98 +@17A9 00005E98 +@17AA 00005EA0 +@17AB 00005EA0 +@17AC 00005EA8 +@17AD 00005EA8 +@17AE 00005EB0 +@17AF 00005EB0 +@17B0 00005EB8 +@17B1 00005EB8 +@17B2 00005EC0 +@17B3 00005EC0 +@17B4 00005EC8 +@17B5 00005EC8 +@17B6 00005ED0 +@17B7 00005ED0 +@17B8 00005ED8 +@17B9 00005ED8 +@17BA 00005EE0 +@17BB 00005EE0 +@17BC 00005EE8 +@17BD 00005EE8 +@17BE 00005EF0 +@17BF 00005EF0 +@17C0 00005EF8 +@17C1 00005EF8 +@17C2 00005F00 +@17C3 00005F00 +@17C4 00005F08 +@17C5 00005F08 +@17C6 00005F10 +@17C7 00005F10 +@17C8 00005F18 +@17C9 00005F18 +@17CA 00005F20 +@17CB 00005F20 +@17CC 00005F28 +@17CD 00005F28 +@17CE 00005F30 +@17CF 00005F30 +@17D0 00005F38 +@17D1 00005F38 +@17D2 00005F40 +@17D3 00005F40 +@17D4 00005F48 +@17D5 00005F48 +@17D6 00005F50 +@17D7 00005F50 +@17D8 00005F58 +@17D9 00005F58 +@17DA 00005F60 +@17DB 00005F60 +@17DC 00005F68 +@17DD 00005F68 +@17DE 00005F70 +@17DF 00005F70 +@17E0 00005F78 +@17E1 00005F78 +@17E2 00005F80 +@17E3 00005F80 +@17E4 00005F88 +@17E5 00005F88 +@17E6 00005F90 +@17E7 00005F90 +@17E8 00005F98 +@17E9 00005F98 +@17EA 00005FA0 +@17EB 00005FA0 +@17EC 00005FA8 +@17ED 00005FA8 +@17EE 00005FB0 +@17EF 00005FB0 +@17F0 00005FB8 +@17F1 00005FB8 +@17F2 00005FC0 +@17F3 00005FC0 +@17F4 00005FC8 +@17F5 00005FC8 +@17F6 00005FD0 +@17F7 00005FD0 +@17F8 00005FD8 +@17F9 00005FD8 +@17FA 00005FE0 +@17FB 00005FE0 +@17FC 00005FE8 +@17FD 00005FE8 +@17FE 00005FF0 +@17FF 00005FF0 +@1800 00005FF8 +@1801 00005FF8 +@1802 00006000 +@1803 00006000 +@1804 00006008 +@1805 00006008 +@1806 00006010 +@1807 00006010 +@1808 00006018 +@1809 00006018 +@180A 00006020 +@180B 00006020 +@180C 00006028 +@180D 00006028 +@180E 00006030 +@180F 00006030 +@1810 00006038 +@1811 00006038 +@1812 00006040 +@1813 00006040 +@1814 00007270 +@1815 00007270 +@1816 000057F8 +@1817 00000000 +@1818 00000000 +@1819 00000000 +@181A 00003278 +@181B 00000000 +@181C 00000000 +@181D 00000000 +@181E 00006050 +@181F 00000000 +@1820 00000000 +@1821 00006050 +@1822 00000000 +@1823 00000000 +@1824 00006050 +@1825 00000000 +@1826 00000000 +@1827 00000000 +@1828 00000000 +@1829 00000000 +@182A 00000000 +@182B 00000000 +@182C 00000000 +@182D 00000000 +@182E 00000000 +@182F 00000000 +@1830 00000000 +@1831 00000000 +@1832 00000000 +@1833 00000000 +@1834 00000000 +@1835 00000000 +@1836 00000000 +@1837 00000000 +@1838 00000000 +@1839 00000000 +@183A 00000000 +@183B 00000000 +@183C 00000000 +@183D 00000000 +@183E 00000000 +@183F 00000000 +@1840 00000000 +@1841 00000000 +@1842 00000000 +@1843 00000000 +@1844 00000000 +@1845 00000000 +@1846 00000000 +@1847 00000000 +@1848 00000000 +@1849 00000000 +@184A 00000000 +@184B 00000000 +@184C 00000000 +@184D 00000000 +@184E 00000000 +@184F 00000000 +@1850 00000000 +@1851 00000000 +@1852 00000000 +@1853 00000000 +@1854 00000000 +@1855 00000000 +@1856 00000000 +@1857 00000000 +@1858 00000000 +@1859 00000000 +@185A 00000000 +@185B 00000000 +@185C 00000000 +@185D 00000000 +@185E 00000000 +@185F 00000000 +@1860 00000000 +@1861 00000000 +@1862 00000000 +@1863 00000000 +@1864 00000000 +@1865 00000000 +@1866 00000000 +@1867 00000000 +@1868 00000000 +@1869 00000000 +@186A 00000000 +@186B 00000000 +@186C 00000000 +@186D 00000000 +@186E 00000000 +@186F 00000000 +@1870 00000000 +@1871 00000000 +@1872 00000000 +@1873 00000000 +@1874 00000000 +@1875 00000000 +@1876 00000000 +@1877 00000000 +@1878 00000000 +@1879 00000000 +@187A 00000000 +@187B 00000000 +@187C 00000000 +@187D 00000000 +@187E 00000000 +@187F 00000000 +@1880 00005814 +@1881 00000000 +@1882 00003B98 +@1883 00003AD8 +@1884 00003B38 +@1885 00000000 +@1886 00000000 +@1887 00003BE8 +@1888 00021038 +@1889 0000000A +@188A 00000000 +@188B 00000000 +@188C 00000000 +@188D 00000000 +@188E 00000000 +@188F 00000000 +@1890 00000000 +@1891 00000000 +@1892 00000000 +@1893 00000000 +@1894 00000000 +@1895 00000000 +@1896 00000000 +@1897 00000000 +@1898 00000000 +@1899 00000000 +@189A 00000000 +@189B 00000000 +@189C 00000000 +@189D 00000000 +@189E 00000000 +@189F 00000000 +@18A0 00000000 +@18A1 00000000 +@18A2 00000000 +@18A3 00000000 +@18A4 00000000 +@18A5 00000000 +@18A6 00000000 +@18A7 00000000 +@18A8 00000000 +@18A9 00000000 +@18AA 00000000 +@18AB 00000000 +@18AC 00000000 +@18AD 00000000 +@18AE 00000000 +@18AF 00000000 +@18B0 00000000 +@18B1 00000000 +@18B2 00000000 +@18B3 00000000 +@18B4 00000000 +@18B5 00000000 +@18B6 00000000 +@18B7 00000000 +@18B8 00000000 +@18B9 00000000 +@18BA 00000000 +@18BB 00000000 +@18BC 00000000 +@18BD 00000000 +@18BE 00000000 +@18BF 00000000 +@18C0 00000000 +@18C1 00000000 +@18C2 00000000 +@18C3 00000000 +@18C4 00000000 +@18C5 00000000 +@18C6 00000000 +@18C7 00000000 +@18C8 00000000 +@18C9 00000000 +@18CA 00000000 +@18CB 00000000 +@18CC 00000000 +@18CD 00000000 +@18CE 00000000 +@18CF 00000000 +@18D0 00000000 +@18D1 00000000 +@18D2 00000000 +@18D3 00000000 +@18D4 00000000 +@18D5 00000000 +@18D6 00000000 +@18D7 00000000 +@18D8 00000000 +@18D9 00000000 +@18DA 00000000 +@18DB 00000000 +@18DC 00000000 +@18DD 00000000 +@18DE 00000000 +@18DF 00000000 +@18E0 00000000 +@18E1 00000000 +@18E2 00000000 +@18E3 00000000 +@18E4 00000000 +@18E5 00000000 +@18E6 00000000 +@18E7 00000000 +@18E8 00000000 +@18E9 00000000 +@18EA 00000000 +@18EB 00000000 +@18EC 00000000 +@18ED 00000000 +@18EE 00000000 +@18EF 00000000 +@18F0 00000000 +@18F1 00000000 +@18F2 00000000 +@18F3 00000000 +@18F4 00000000 +@18F5 00000000 +@18F6 00000000 +@18F7 00000000 +@18F8 00000000 +@18F9 00000000 +@18FA 00000000 +@18FB 00000000 +@18FC 00000000 +@18FD 00000000 +@18FE 00000000 +@18FF 00000000 +@1900 00000000 +@1901 00000000 +@1902 00000000 +@1903 00000000 +@1904 00000000 +@1905 00000000 +@1906 00000000 +@1907 00000000 +@1908 00000000 +@1909 00000000 +@190A 00000000 +@190B 00000000 +@190C 00000000 +@190D 00000000 +@190E 00000000 +@190F 00000000 +@1910 00000000 +@1911 00000000 +@1912 00000000 +@1913 00000000 +@1914 00000000 +@1915 00000000 +@1916 00000000 +@1917 00000000 +@1918 00000000 +@1919 00000000 +@191A 00000000 +@191B 00000000 +@191C 00000000 +@191D 00000000 +@191E 00000000 +@191F 00000000 +@1920 00000000 +@1921 00000000 +@1922 00000000 +@1923 00000000 +@1924 00000000 +@1925 00000000 +@1926 00000000 +@1927 00000000 +@1928 00000000 +@1929 00000000 +@192A 00000000 +@192B 00000000 +@192C 00000000 +@192D 00000000 +@192E 00000000 +@192F 00000000 +@1930 00000000 +@1931 00000000 +@1932 00000000 +@1933 00000000 +@1934 00000000 +@1935 00000000 +@1936 00000000 +@1937 00000000 +@1938 00000000 +@1939 00000000 +@193A 00000000 +@193B 00000000 +@193C 00000000 +@193D 00000000 +@193E 00000000 +@193F 00000000 +@1940 00000000 +@1941 00000000 +@1942 00000000 +@1943 00000000 +@1944 00000000 +@1945 00000000 +@1946 00000000 +@1947 00000000 +@1948 00000000 +@1949 00000000 +@194A 00000000 +@194B 00000000 +@194C 00000000 +@194D 00000000 +@194E 00000000 +@194F 00000000 +@1950 00000000 +@1951 00000000 +@1952 00000000 +@1953 00000000 +@1954 00000000 +@1955 00000000 +@1956 00000000 +@1957 00000000 +@1958 00000000 +@1959 00000000 +@195A 00000000 +@195B 00000000 +@195C 00000000 +@195D 00000000 +@195E 00000000 +@195F 00000000 +@1960 00000000 +@1961 00000000 +@1962 00000000 +@1963 00000000 +@1964 00000000 +@1965 00000000 +@1966 00000000 +@1967 00000000 +@1968 00000000 +@1969 00000000 +@196A 00000000 +@196B 00000000 +@196C 00000000 +@196D 00000000 +@196E 00000000 +@196F 00000000 +@1970 00000000 +@1971 00000000 +@1972 00000000 +@1973 00000000 +@1974 00000000 +@1975 00000000 +@1976 00000000 +@1977 00000000 +@1978 00000000 +@1979 00000000 +@197A 00000000 +@197B 00000000 +@197C 00000000 +@197D 00000000 +@197E 00000000 +@197F 00000000 +@1980 00000000 +@1981 00000000 +@1982 00000000 +@1983 00000000 +@1984 00000000 +@1985 00000000 +@1986 00000000 +@1987 00000000 +@1988 00000000 +@1989 00000000 +@198A 00000000 +@198B 00000000 +@198C 00000000 +@198D 00000000 +@198E 00000000 +@198F 00000000 +@1990 00000000 +@1991 00000000 +@1992 00000000 +@1993 00000000 +@1994 00000000 +@1995 00000000 +@1996 00000000 +@1997 00000000 +@1998 00000000 +@1999 00000000 +@199A 00000000 +@199B 00000000 +@199C 00000000 +@199D 00000000 +@199E 00000000 +@199F 00000000 +@19A0 00000000 +@19A1 00000000 +@19A2 00000000 +@19A3 00000000 +@19A4 00000000 +@19A5 00000000 +@19A6 00000000 +@19A7 00000000 +@19A8 00000000 +@19A9 00000000 +@19AA 00000000 +@19AB 00000000 +@19AC 00000000 +@19AD 00000000 +@19AE 00000000 +@19AF 00000000 +@19B0 00000000 +@19B1 00000000 +@19B2 00000000 +@19B3 00000000 +@19B4 00000000 +@19B5 00000000 +@19B6 00000000 +@19B7 00000000 +@19B8 00000000 +@19B9 00000000 +@19BA 00000000 +@19BB 00000000 +@19BC 00000000 +@19BD 00000000 +@19BE 00000000 +@19BF 00000000 +@19C0 00000000 +@19C1 00000000 +@19C2 00000000 +@19C3 00000000 +@19C4 00000000 +@19C5 00000000 +@19C6 00000000 +@19C7 00000000 +@19C8 00000000 +@19C9 00000000 +@19CA 00000000 +@19CB 00000000 +@19CC 00000000 +@19CD 00000000 +@19CE 00000000 +@19CF 00000000 +@19D0 00000000 +@19D1 00000000 +@19D2 00000000 +@19D3 00000000 +@19D4 00000000 +@19D5 00000000 +@19D6 00000000 +@19D7 00000000 +@19D8 00000000 +@19D9 00000000 +@19DA 00000000 +@19DB 00000000 +@19DC 00000000 +@19DD 00000000 +@19DE 00000000 +@19DF 00000000 +@19E0 00000000 +@19E1 00000000 +@19E2 00000000 +@19E3 00000000 +@19E4 00000000 +@19E5 00000000 +@19E6 00000000 +@19E7 00000000 +@19E8 00000000 +@19E9 00000000 +@19EA 00000000 +@19EB 00000000 +@19EC 00000000 +@19ED 00000000 +@19EE 00000000 +@19EF 00000000 +@19F0 00000000 +@19F1 00000000 +@19F2 00000000 +@19F3 00000000 +@19F4 00000000 +@19F5 00000000 +@19F6 00000000 +@19F7 00000000 +@19F8 00000000 +@19F9 00000000 +@19FA 00000000 +@19FB 00000000 +@19FC 00000000 +@19FD 00000000 +@19FE 00000000 +@19FF 00000000 +@1A00 00000000 +@1A01 00000000 +@1A02 00000000 +@1A03 00000000 +@1A04 00000000 +@1A05 00000000 +@1A06 00000000 +@1A07 00000000 +@1A08 00000000 +@1A09 00000000 +@1A0A 00000000 +@1A0B 00000000 +@1A0C 00000000 +@1A0D 00000000 +@1A0E 00000000 +@1A0F 00000000 +@1A10 00000000 +@1A11 00000000 +@1A12 00000000 +@1A13 00000000 +@1A14 00000000 +@1A15 00000000 +@1A16 00000000 +@1A17 00000000 +@1A18 00000000 +@1A19 00000000 +@1A1A 00000000 +@1A1B 00000000 +@1A1C 00000000 +@1A1D 00000000 +@1A1E 00000000 +@1A1F 00000000 +@1A20 00000000 +@1A21 00000000 +@1A22 00000000 +@1A23 00000000 +@1A24 00000000 +@1A25 00000000 +@1A26 00000000 +@1A27 00000000 +@1A28 00000000 +@1A29 00000000 +@1A2A 00000000 +@1A2B 00000000 +@1A2C 00000000 +@1A2D 00000000 +@1A2E 00000000 +@1A2F 00000000 +@1A30 00000000 +@1A31 00000000 +@1A32 00000000 +@1A33 00000000 +@1A34 00000000 +@1A35 00000000 +@1A36 00000000 +@1A37 00000000 +@1A38 00000000 +@1A39 00000000 +@1A3A 00000000 +@1A3B 00000000 +@1A3C 00000000 +@1A3D 00000000 +@1A3E 00000000 +@1A3F 00000000 +@1A40 00000000 +@1A41 00000000 +@1A42 00000000 +@1A43 00000000 +@1A44 00000000 +@1A45 00000000 +@1A46 00000000 +@1A47 00000000 +@1A48 00000000 +@1A49 00000000 +@1A4A 00000000 +@1A4B 00000000 +@1A4C 00000000 +@1A4D 00000000 +@1A4E 00000000 +@1A4F 00000000 +@1A50 00000000 +@1A51 00000000 +@1A52 00000000 +@1A53 00000000 +@1A54 00000000 +@1A55 00000000 +@1A56 00000000 +@1A57 00000000 +@1A58 00000000 +@1A59 00000000 +@1A5A 00000000 +@1A5B 00000000 +@1A5C 00000000 +@1A5D 00000000 +@1A5E 00000000 +@1A5F 00000000 +@1A60 00000000 +@1A61 00000000 +@1A62 00000000 +@1A63 00000000 +@1A64 00000000 +@1A65 00000000 +@1A66 00000000 +@1A67 00000000 +@1A68 00000000 +@1A69 00000000 +@1A6A 00000000 +@1A6B 00000000 +@1A6C 00000000 +@1A6D 00000000 +@1A6E 00000000 +@1A6F 00000000 +@1A70 00000000 +@1A71 00000000 +@1A72 00000000 +@1A73 00000000 +@1A74 00000000 +@1A75 00000000 +@1A76 00000000 +@1A77 00000000 +@1A78 00000000 +@1A79 00000000 +@1A7A 00000000 +@1A7B 00000000 +@1A7C 00000000 +@1A7D 00000000 +@1A7E 00000000 +@1A7F 00000000 +@1A80 00000000 +@1A81 00000000 +@1A82 00000000 +@1A83 00000000 +@1A84 00000000 +@1A85 00000000 +@1A86 00000000 +@1A87 00000000 +@1A88 00000000 +@1A89 00000000 +@1A8A 00000000 +@1A8B 00000000 +@1A8C 00000000 +@1A8D 00000000 +@1A8E 00000000 +@1A8F 00000000 +@1A90 00000000 +@1A91 00000000 +@1A92 00000000 +@1A93 00000000 +@1A94 00000000 +@1A95 00000000 +@1A96 00000000 +@1A97 00000000 +@1A98 00000000 +@1A99 00000000 +@1A9A 00000000 +@1A9B 00000000 +@1A9C 00000000 +@1A9D 00000000 +@1A9E 00000000 +@1A9F 00000000 +@1AA0 00000000 +@1AA1 00000000 +@1AA2 00000000 +@1AA3 00000000 +@1AA4 00000000 +@1AA5 00000000 +@1AA6 00000000 +@1AA7 00000000 +@1AA8 00000000 +@1AA9 00000000 +@1AAA 00000000 +@1AAB 00000000 +@1AAC 00000000 +@1AAD 00000000 +@1AAE 00000000 +@1AAF 00000000 +@1AB0 00000000 +@1AB1 00000000 +@1AB2 00000000 +@1AB3 00000000 +@1AB4 00000000 +@1AB5 00000000 +@1AB6 00000000 +@1AB7 00000000 +@1AB8 00000000 +@1AB9 00000000 +@1ABA 00000000 +@1ABB 00000000 +@1ABC 00000000 +@1ABD 00000000 +@1ABE 00000000 +@1ABF 00000000 +@1AC0 00000000 +@1AC1 00000000 +@1AC2 00000000 +@1AC3 00000000 +@1AC4 00000000 +@1AC5 00000000 +@1AC6 00000000 +@1AC7 00000000 +@1AC8 00000000 +@1AC9 00000000 +@1ACA 00000000 +@1ACB 00000000 +@1ACC 00000000 +@1ACD 00000000 +@1ACE 00000000 +@1ACF 00000000 +@1AD0 00000000 +@1AD1 00000000 +@1AD2 00000000 +@1AD3 00000000 +@1AD4 00000000 +@1AD5 00000000 +@1AD6 00000000 +@1AD7 00000000 +@1AD8 00000000 +@1AD9 00000000 +@1ADA 00000000 +@1ADB 00000000 +@1ADC 00000000 +@1ADD 00000000 +@1ADE 00000000 +@1ADF 00000000 +@1AE0 00000000 +@1AE1 00000000 +@1AE2 00000000 +@1AE3 00000000 +@1AE4 00000000 +@1AE5 00000000 +@1AE6 00000000 +@1AE7 00000000 +@1AE8 00000000 +@1AE9 00000000 +@1AEA 00000000 +@1AEB 00000000 +@1AEC 00000000 +@1AED 00000000 +@1AEE 00000000 +@1AEF 00000000 +@1AF0 00000000 +@1AF1 00000000 +@1AF2 00000000 +@1AF3 00000000 +@1AF4 00000000 +@1AF5 00000000 +@1AF6 00000000 +@1AF7 00000000 +@1AF8 00000000 +@1AF9 00000000 +@1AFA 00000000 +@1AFB 00000000 +@1AFC 00000000 +@1AFD 00000000 +@1AFE 00000000 +@1AFF 00000000 +@1B00 00000000 +@1B01 00000000 +@1B02 00000000 +@1B03 00000000 +@1B04 00000000 +@1B05 00000000 +@1B06 00000000 +@1B07 00000000 +@1B08 00000000 +@1B09 00000000 +@1B0A 00000000 +@1B0B 00000000 +@1B0C 00000000 +@1B0D 00000000 +@1B0E 00000000 +@1B0F 00000000 +@1B10 00000000 +@1B11 00000000 +@1B12 00000000 +@1B13 00000000 +@1B14 00000000 +@1B15 00000000 +@1B16 00000000 +@1B17 00000000 +@1B18 00000000 +@1B19 00000000 +@1B1A 00000000 +@1B1B 00000000 +@1B1C 00000000 +@1B1D 00000000 +@1B1E 00000000 +@1B1F 00000000 +@1B20 00000000 +@1B21 00000000 +@1B22 00000000 +@1B23 00000000 +@1B24 00000000 +@1B25 00000000 +@1B26 00000000 +@1B27 00000000 +@1B28 00000000 +@1B29 00000000 +@1B2A 00000000 +@1B2B 00000000 +@1B2C 00000000 +@1B2D 00000000 +@1B2E 00000000 +@1B2F 00000000 +@1B30 00000000 +@1B31 00000000 +@1B32 00000000 +@1B33 00000000 +@1B34 00000000 +@1B35 00000000 +@1B36 00000000 +@1B37 00000000 +@1B38 00000000 +@1B39 00000000 +@1B3A 00000000 +@1B3B 00000000 +@1B3C 00000000 +@1B3D 00000000 +@1B3E 00000000 +@1B3F 00000000 +@1B40 00000000 +@1B41 00000000 +@1B42 00000000 +@1B43 00000000 +@1B44 00000000 +@1B45 00000000 +@1B46 00000000 +@1B47 00000000 +@1B48 00000000 +@1B49 00000000 +@1B4A 00000000 +@1B4B 00000000 +@1B4C 00000000 +@1B4D 00000000 +@1B4E 00000000 +@1B4F 00000000 +@1B50 00000000 +@1B51 00000000 +@1B52 00000000 +@1B53 00000000 +@1B54 00000000 +@1B55 00000000 +@1B56 00000000 +@1B57 00000000 +@1B58 00000000 +@1B59 00000000 +@1B5A 00000000 +@1B5B 00000000 +@1B5C 00000000 +@1B5D 00000000 +@1B5E 00000000 +@1B5F 00000000 +@1B60 00000000 +@1B61 00000000 +@1B62 00000000 +@1B63 00000000 +@1B64 00000000 +@1B65 00000000 +@1B66 00000000 +@1B67 00000000 +@1B68 00000000 +@1B69 00000000 +@1B6A 00000000 +@1B6B 00000000 +@1B6C 00000000 +@1B6D 00000000 +@1B6E 00000000 +@1B6F 00000000 +@1B70 00000000 +@1B71 00000000 +@1B72 00000000 +@1B73 00000000 +@1B74 00000000 +@1B75 00000000 +@1B76 00000000 +@1B77 00000000 +@1B78 00000000 +@1B79 00000000 +@1B7A 00000000 +@1B7B 00000000 +@1B7C 00000000 +@1B7D 00000000 +@1B7E 00000000 +@1B7F 00000000 +@1B80 00000000 +@1B81 00000000 +@1B82 00000000 +@1B83 00000000 +@1B84 00000000 +@1B85 00000000 +@1B86 00000000 +@1B87 00000000 +@1B88 00000000 +@1B89 00000000 +@1B8A 00000000 +@1B8B 00000000 +@1B8C 00000000 +@1B8D 00000000 +@1B8E 00000000 +@1B8F 00000000 +@1B90 00000000 +@1B91 00000000 +@1B92 00000000 +@1B93 00000000 +@1B94 00000000 +@1B95 00000000 +@1B96 00000000 +@1B97 00000000 +@1B98 00000000 +@1B99 00000000 +@1B9A 00000000 +@1B9B 00000000 +@1B9C 00000000 +@1B9D 00000000 +@1B9E 00000000 +@1B9F 00000000 +@1BA0 00000000 +@1BA1 00000000 +@1BA2 00000000 +@1BA3 00000000 +@1BA4 00000000 +@1BA5 00000000 +@1BA6 00000000 +@1BA7 00000000 +@1BA8 00000000 +@1BA9 00000000 +@1BAA 00000000 +@1BAB 00000000 +@1BAC 00000000 +@1BAD 00000000 +@1BAE 00000000 +@1BAF 00000000 +@1BB0 00000000 +@1BB1 00000000 +@1BB2 00000000 +@1BB3 00000000 +@1BB4 00000000 +@1BB5 00000000 +@1BB6 00000000 +@1BB7 00000000 +@1BB8 00000000 +@1BB9 00000000 +@1BBA 00000000 +@1BBB 00000000 +@1BBC 00000000 +@1BBD 00000000 +@1BBE 00000000 +@1BBF 00000000 +@1BC0 00000000 +@1BC1 00000000 +@1BC2 00000000 +@1BC3 00000000 +@1BC4 00000000 +@1BC5 00000000 +@1BC6 00000000 +@1BC7 00000000 +@1BC8 00000000 +@1BC9 00000000 +@1BCA 00000000 +@1BCB 00000000 +@1BCC 00000000 +@1BCD 00000000 +@1BCE 00000000 +@1BCF 00000000 +@1BD0 00000000 +@1BD1 00000000 +@1BD2 00000000 +@1BD3 00000000 +@1BD4 00000000 +@1BD5 00000000 +@1BD6 00000000 +@1BD7 00000000 +@1BD8 00000000 +@1BD9 00000000 +@1BDA 00000000 +@1BDB 00000000 +@1BDC 00000000 +@1BDD 00000000 +@1BDE 00000000 +@1BDF 00000000 +@1BE0 00000000 +@1BE1 00000000 +@1BE2 00000000 +@1BE3 00000000 +@1BE4 00000000 +@1BE5 00000000 +@1BE6 00000000 +@1BE7 00000000 +@1BE8 00000000 +@1BE9 00000000 +@1BEA 00000000 +@1BEB 00000000 +@1BEC 00000000 +@1BED 00000000 +@1BEE 00000000 +@1BEF 00000000 +@1BF0 00000000 +@1BF1 00000000 +@1BF2 00000000 +@1BF3 00000000 +@1BF4 00000000 +@1BF5 00000000 +@1BF6 00000000 +@1BF7 00000000 +@1BF8 00000000 +@1BF9 00000000 +@1BFA 00000000 +@1BFB 00000000 +@1BFC 00000000 +@1BFD 00000000 +@1BFE 00000000 +@1BFF 00000000 +@1C00 00000000 +@1C01 00000000 +@1C02 00000000 +@1C03 00000000 +@1C04 00000000 +@1C05 00000000 +@1C06 00000000 +@1C07 00000000 +@1C08 00000000 +@1C09 00000000 +@1C0A 00000000 +@1C0B 00000000 +@1C0C 00000000 +@1C0D 00000000 +@1C0E 00000000 +@1C0F 00000000 +@1C10 00000000 +@1C11 00000000 +@1C12 00000000 +@1C13 00000000 +@1C14 00000000 +@1C15 00000000 +@1C16 00000000 +@1C17 00000000 +@1C18 00000000 +@1C19 00000000 +@1C1A 00000000 +@1C1B 00000000 +@1C1C 00000000 +@1C1D 00000000 +@1C1E 00000000 +@1C1F 00000000 +@1C20 00000000 +@1C21 00000000 +@1C22 00000000 +@1C23 00000000 +@1C24 00000000 +@1C25 00000000 +@1C26 00000000 +@1C27 00000000 +@1C28 00000000 +@1C29 00000000 +@1C2A 00000000 +@1C2B 00000000 +@1C2C 00000000 +@1C2D 00000000 +@1C2E 00000000 +@1C2F 00000000 +@1C30 00000000 +@1C31 00000000 +@1C32 00000000 +@1C33 00000000 +@1C34 00000000 +@1C35 00000000 +@1C36 00000000 +@1C37 00000000 +@1C38 00000000 +@1C39 00000000 +@1C3A 00000000 +@1C3B 00000000 +@1C3C 00000000 +@1C3D 00000000 +@1C3E 00000000 +@1C3F 00000000 +@1C40 00000000 +@1C41 00000000 +@1C42 00000000 +@1C43 00000000 +@1C44 00000000 +@1C45 00000000 +@1C46 00000000 +@1C47 00000000 +@1C48 00000000 +@1C49 00000000 +@1C4A 00000000 +@1C4B 00000000 +@1C4C 00000000 +@1C4D 00000000 +@1C4E 00000000 +@1C4F 00000000 +@1C50 00000000 +@1C51 00000000 +@1C52 00000000 +@1C53 00000000 +@1C54 00000000 +@1C55 00000000 +@1C56 00000000 +@1C57 00000000 +@1C58 00000000 +@1C59 00000000 +@1C5A 00000000 +@1C5B 00000000 +@1C5C 00000000 +@1C5D 00000000 +@1C5E 00000000 +@1C5F 00000000 +@1C60 00000000 +@1C61 00000000 +@1C62 00000000 +@1C63 00000000 +@1C64 00000000 +@1C65 00000000 +@1C66 00000000 +@1C67 00000000 +@1C68 00000000 +@1C69 00000000 +@1C6A 00000000 +@1C6B 00000000 +@1C6C 00000000 +@1C6D 00000000 +@1C6E 00000000 +@1C6F 00000000 +@1C70 00000000 +@1C71 00000000 +@1C72 00000000 +@1C73 00000000 +@1C74 00000000 +@1C75 00000000 +@1C76 00000000 +@1C77 00000000 +@1C78 00000000 +@1C79 00000000 +@1C7A 00000000 +@1C7B 00000000 +@1C7C 00000000 +@1C7D 00000000 +@1C7E 00000000 +@1C7F 00000000 +@1C80 00000000 +@1C81 00000000 +@1C82 00000000 +@1C83 00000000 +@1C84 00000000 +@1C85 00000000 +@1C86 00000000 +@1C87 00000000 +@1C88 00000000 +@1C89 00000000 +@1C8A 00000000 +@1C8B 00000000 +@1C8C 00000000 +@1C8D 00000000 +@1C8E 00000000 +@1C8F 00000000 +@1C90 00000000 +@1C91 00000000 +@1C92 00000000 +@1C93 00000000 +@1C94 00000000 +@1C95 00000000 +@1C96 00005824 +@1C97 00005824 +@1C98 FFFFFFFF +@1C99 00020000 +@1C9A 00007268 +@1C9B 00007268 +@1C9C 00006050 +@1C9D 00006050 +@1C9E FFFFFFFF +@1C9F 00000000 +@1CA0 000073E4 +@1CA1 FFFFFFFF +@1CA2 00007288 +@1CA3 00007288 diff --git a/Top/software/semafor/mem_init/hdl_sim/niosII_mem.sym b/Top/software/semafor/mem_init/hdl_sim/niosII_mem.sym new file mode 100644 index 0000000..26c5746 --- /dev/null +++ b/Top/software/semafor/mem_init/hdl_sim/niosII_mem.sym @@ -0,0 +1,169 @@ +00000000 A __alt_mem_mem +00000000 T __reset +00000020 T alt_exception +00000020 T alt_irq_entry +000000fc T alt_irq_handler +000001d0 T alt_instruction_exception_entry +00000230 T _start +00000244 t alt_after_alt_main +00000248 T main +00000330 T _puts_r +000003f0 T puts +00000404 T strlen +0000049c t __fp_unlock +000004a4 T _cleanup_r +000004b0 t __sinit.part.1 +0000064c t __fp_lock +00000654 T __sfmoreglue +000006cc T __sfp +000007e4 T _cleanup +000007fc T __sinit +0000080c T __sfp_lock_acquire +00000810 T __sfp_lock_release +00000814 T __sinit_lock_acquire +00000818 T __sinit_lock_release +0000081c T __fp_lock_all +00000834 T __fp_unlock_all +0000084c T __sfvwrite_r +00000d14 T _fwalk +00000dd8 T _fwalk_reent +00000e9c T _malloc_r +000016a8 T memchr +0000178c T memcpy +000018d4 T memmove +00001a30 T memset +00001b58 T _realloc_r +000020bc T _sbrk_r +00002110 T __sread +00002164 T __seofread +0000216c T __swrite +000021e8 T __sseek +00002244 T __sclose +0000224c T _write_r +000022ac T __swsetup_r +00002400 T _close_r +00002454 T _fclose_r +00002544 T fclose +00002558 T __sflush_r +00002774 T _fflush_r +000027d0 T fflush +00002800 T _malloc_trim_r +00002924 T _free_r +00002c34 T _lseek_r +00002c94 T __smakebuf_r +00002e50 T _read_r +00002eb0 T _fstat_r +00002f0c T _isatty_r +00002f60 T __divsi3 +00002fe4 T __modsi3 +00003058 T __udivsi3 +000030bc T __umodsi3 +00003114 T __mulsi3 +0000313c t alt_get_errno +00003178 T close +00003250 T alt_dcache_flush +00003278 t alt_dev_null_write +000032a4 t alt_get_errno +000032e0 T fstat +00003398 t alt_get_errno +000033d4 T isatty +00003480 t alt_get_errno +000034bc T lseek +00003598 T alt_main +00003614 T __malloc_lock +00003638 T __malloc_unlock +0000365c t alt_get_errno +00003698 T read +0000379c T alt_release_fd +00003820 T sbrk +000038d0 t alt_get_errno +0000390c T write +00003a0c t alt_dev_reg +00003a40 T alt_irq_init +00003a78 T alt_sys_init +00003ad8 T altera_avalon_jtag_uart_read_fd +00003b38 T altera_avalon_jtag_uart_write_fd +00003b98 T altera_avalon_jtag_uart_close_fd +00003be8 T altera_avalon_jtag_uart_ioctl_fd +00003c3c T altera_avalon_jtag_uart_init +00003cfc t altera_avalon_jtag_uart_irq +00003f08 t altera_avalon_jtag_uart_timeout +00003fa8 T altera_avalon_jtag_uart_close +00004010 T altera_avalon_jtag_uart_ioctl +00004100 T altera_avalon_jtag_uart_read +0000431c T altera_avalon_jtag_uart_write +00004540 t alt_avalon_timer_sc_irq +000045b8 T alt_avalon_timer_sc_init +00004634 T alt_alarm_start +00004760 t alt_get_errno +0000479c T alt_dev_llist_insert +00004840 T _do_ctors +000048a0 T _do_dtors +00004900 T alt_ic_isr_register +00004950 T alt_ic_irq_enable +000049d8 T alt_ic_irq_disable +00004a64 T alt_ic_irq_enabled +00004ab0 T alt_iic_isr_register +00004ba0 t alt_open_fd +00004c84 T alt_io_redirect +00004d00 t alt_get_errno +00004d3c t alt_file_locked +00004e28 T open +00004f84 T alt_alarm_stop +00005020 T alt_tick +00005128 T altera_nios2_gen2_irq_init +0000514c T alt_find_dev +000051dc T alt_find_file +000052e4 T alt_get_fd +000053a8 T alt_exception_cause_generated_bad_addr +00005444 T atexit +00005458 T exit +00005490 T memcmp +0000550c T __register_exitproc +00005624 T __call_exitprocs +000057a4 T _exit +000057d8 A __CTOR_END__ +000057d8 A __CTOR_LIST__ +000057d8 A __DTOR_END__ +000057d8 A __DTOR_LIST__ +000057d8 R divisors +00005824 g impure_data +00005c48 G __malloc_av_ +00006050 G alt_dev_null +00006078 G alt_fd_list +000061f8 g jtag_uart +00007258 G _global_impure_ptr +0000725c G _impure_ptr +00007260 G __malloc_sbrk_base +00007264 G __malloc_trim_threshold +00007268 G alt_fs_list +00007270 G alt_dev_list +00007278 G alt_max_fd +0000727c G alt_errno +00007280 g heap_end +00007284 G alt_priority_mask +00007288 G alt_alarm_list +00007290 A __bss_start +00007290 B __malloc_max_total_mem +00007290 A _edata +00007294 B __malloc_max_sbrked_mem +00007298 B __malloc_top_pad +0000729c B errno +000072a0 B alt_argc +000072a4 B alt_argv +000072a8 B alt_envp +000072ac B alt_irq_active +000072b0 B _alt_tick_rate +000072b4 B _alt_nticks +000072b8 B alt_instruction_exception_handler +000072bc B __malloc_current_mallinfo +000072e4 B alt_irq +000073e4 A __alt_heap_start +000073e4 A __alt_stack_base +000073e4 A __bss_end +000073e4 A _end +000073e4 A end +0000f258 A _gp +00020000 A __alt_data_end +00020000 A __alt_heap_limit +00020000 A __alt_stack_pointer diff --git a/Top/software/semafor/mem_init/meminit.qip b/Top/software/semafor/mem_init/meminit.qip new file mode 100644 index 0000000..7589c13 --- /dev/null +++ b/Top/software/semafor/mem_init/meminit.qip @@ -0,0 +1 @@ +set_global_assignment -name SEARCH_PATH $::quartus(qip_path) diff --git a/Top/software/semafor/mem_init/meminit.spd b/Top/software/semafor/mem_init/meminit.spd new file mode 100644 index 0000000..5862863 --- /dev/null +++ b/Top/software/semafor/mem_init/meminit.spd @@ -0,0 +1,5 @@ + + + + + diff --git a/Top/software/semafor/mem_init/niosII_mem.hex b/Top/software/semafor/mem_init/niosII_mem.hex new file mode 100644 index 0000000..fef9a87 --- /dev/null +++ b/Top/software/semafor/mem_init/niosII_mem.hex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diff --git a/Top/software/semafor/transcript b/Top/software/semafor/transcript new file mode 100644 index 0000000..8672e2b --- /dev/null +++ b/Top/software/semafor/transcript @@ -0,0 +1 @@ +# Reading C:/Software/intelFPGA_lite/18.1/modelsim_ase/tcl/vsim/pref.tcl diff --git a/Top/software/semafor_bsp/.settings/language.settings.xml b/Top/software/semafor_bsp/.settings/language.settings.xml index 1e74bad..2eb9a59 100644 --- a/Top/software/semafor_bsp/.settings/language.settings.xml +++ b/Top/software/semafor_bsp/.settings/language.settings.xml @@ -6,7 +6,7 @@ - + diff --git a/Top/software/semafor_bsp/libhal_bsp.a b/Top/software/semafor_bsp/libhal_bsp.a new file mode 100644 index 0000000000000000000000000000000000000000..72049ac20d6a52f35386a9b85e9d86327027fb11 GIT binary patch literal 354074 zcmeEv3t(JTng5xYJL#lJ)3i;R6beisK+!&uHhmBagf>8FOQ}#0q&m&J*``UzBz=h* zu&ZT7EGs^#if>)r6PbIGwH+ujp?&y{K{N zA|GP&War##otyGa=T7f-C0a##EJTzvRM7k~3-UHrWdyZA?+@fYHVf9ae4Iyl|M|Lb)w{uFo)f7vCf z<1TS}MgFy<&n0+WvfE!*zQ-l{zv>d#e9$G{`x2M96Z{AN!X+MA;1ZAjyGuOvj0@a} zE~xmq3(_-PaPs?H(6D~=)zamHOHX#erZ2dl8+=(@uRYEMd;47QK7{=^+`K;hu)iLB zwF{!x&)(qzT*wGIwBy4!}cyE56{ z&dveX-rJw;6kyrHK`rjS~G(?+qbm#MHq9m{(;UupDxgbits5- z_%a>+nf9Un!K@?d5X9o>+}YkaFx1oEm+9+=tGzwb)jHJb^PBSv=@}%ZqqlQV;>}TW zoP(X+$ORZOna(~GLO)8!!{NT1!@;j-u-_-Yb8xV)-wU+F1DwighG23rSQ z=g!Xd?VS$U=oxZd?R`T&^Z7Yt-WE>Fdbg_INKkx_WwjCFtsH&2FJzuCzUg z1(*vXTvBy}*3~~4-hX7?b)l;HO3>ZO$R#2`+{Qr%d!WaYhI+Pj?(XmNQ4e-zJBLUi z2kri@j@Df$xNYtI!+j9bm)X+UsW_92u<-7+w+xrK-Z%<)OjuOI}+|!=v$qr`1 zo5hkLZSZQ`wbnK*5T$hVWD7~2Nnt>INUB3D_1$9l?U~_2uEk%(BQ6u8{OVI zobAkXclLD-whnc6WZGIgGOZmQz77@_lb^AEWVE9Pg*4=P`rEaN_`F(da7nDB=A{zu zd|@E8B44OIsXQy|z4tBRo zPynscecK0wvaPkJk2e+VO@F&RI_lCY?CXQmdf~z*0O{x(>heh%_H|8?H#~$=c7s-T z20ME@TTz%=EeAWdwGL#Y#`t^b3B!F#Le~3QQ7N-+sN-5gea+1FcMa`m9qi1tA5Q8$ zYS>U_sHc5vhWE;evybkq&$BefVKZrMbHC3&`5bG%XSQTyx&}LaX@?YFC=P|fQXclr z`Hoh!0n#QjE>xCGUr&E_5o^vOsoFh#V8|d-$cl?}3B2jz$HZrMWkUyLv~F+h#RKQ_VXbF`-n(y9n$kV=O{VS7aKX!eX`XnLbmQO^fCfk>1c?M z>^sWQ?CdLz8to8@(V`{MQ_!}D{9`xXM`p3MG~~*)%^@k*1V>4QA$$9~+4`c@GpFPL=FQ2<3 z`m?t?5(A(2Bl*iCKbpZF{IPt7vSaydZyglBg?_LWKHD~$Yh02)nro=9$2c#YZfsl@ zj^mRLt z;hsmU4$_6HP791=(OeDu6g0`q3Xl|q17A)P9CUis!zJ=U)^)4 z=2wRg?KympYZ};7+cXfXtO2e1SqdKm#Xv1$oFjC9- z;O~;bfy$D&b95&ICvgVuz`^QYBi`RY9xvh;!u}eVGC`}RE$$JxBmTtnLz=3834UBm zXVc!KD@i!F=hyeR5)|5=hmnW9%ODSB6Fcy5(_HuLeMmm6p0OIiJ)GZRFgW`|fFeH? zG~Wm8gPu#McqMV*Qo*}m3u|r3iW($bSWC_(S@Ah<0Ze&%^J!oy_xQZ)K(F-pf?dE> zu9U1~nM^mNQ=%j<{<5!v;PZ9iseol7lS-S| zm$(s*sY2hEqX(}{e8%X(E15lE39gb{SCT!}%$R9-i(>oVkGnXH&R8>H{H9)YF(vVv z2E6NBW+;Bskaw+Q&f+)ioG#&77=QexP2P2$54Ul~N>FKdt78{by;7aC>0Ey)qN=7Z zoiqPT1Z;M0#`5KRhKti9$spjIsl;fC4@6O0wj!lc5S}~(6QE`05wAl2LO4RdsohvIlyDR~@356*8U@EA% zi8EcIqN(g z598YmB5Ndwe}OCRZpCjb_M3_+_?4y`w=lN)+;t1HgY9m?LZY6&_JvTsbFLg(h>m`{ zi;N&-I%h#UoBdD&T(AZ4h!gsJ&LMhQ&N;Mepfd|6+Q4W?NqbWAk@$j(rT!*}Co0zj zvBcn-b$jj#5{Z}Gx#wv(H?D!l$@JK~W?kwvPe1eLLGa_do_-1(D^e>!UVYsg-?T1X ziEPR!N3*yvjLQ!@ko3OJokK1h8exvgNlGPE!;2STh9ojJ)loTBGhy(`C+2b}H#gj( zi-Q>*u?zcfU$&>aud^d96Az>`xKRA?6YDW@e%8T)|_xBAk z8)5F;z+mV0p8jD!fb$u;VEtw3RSga4B?}fWs87$|*4ICO%Z~Y&&j~TK)+pWyNB2Pg zz|dLUz5Q*i@as;`zqlTwJIWxdP6=rn$ zI^3$JO_%COd(-(FE;x5n&+y{;iyO9WTG!gPXw%02fla29ZpvaTkGZH!TXw*?3s$Xa zO3yu4M#1TZEpcyQ!Z+Zzh~v8=zNfV_SwW#JlN+Fx1b4Q|5xst zWH*Oci*2Fuyc}VdDt^{Y> z27OpDd+^sOW^exn#d8tYjf$7Sez)QmV1HQg6|iqpd=l)t6|;Bwn&Nju=64h~!2WN= z!=OK<7)vvbm6T=m1JKKG5$}dQU-6INzg+QO!Cs|!A<}uV;*WyoD#cmgt%@mUhvM@< ze?x@+R>f}z{T9W5?xTv&0sgGwFT%c?7;(~kMd>dEeo%28@P8|2zJ8&YX-FU(^YsYm z(-i+4^izm&r9oe$cs2035qyPW*2iwe2Y}zK7>|wnC^6)Bfc_c9cY^*;il@M4l`UuG zgyFMgdHL%It|HdQ7}`h3;}&FhZ5wKBgFQ6p?Jb5dgl}CS{mufJ24B#Yh1MX{j?T8> zZcImY^}7Wgx3;yROUmg)Q z{0Ia#$D>irD1e*hcwEHIxM=Q2dGOxy`DS?fv8_H$-3iz0e4j10mx&yQXZ?I$zyxPF!i`-K8A|?nu2nn z{|K%q-^q9$>OeC?KVEFxXq#CLK0i0%DU6rqdR)ZKxM=Q=qsc$pB6=O~Br_*{}4m3Iva<08<^n7oAzXNL1N1mn%wELyZ|sSmJu@_2JL zRctI^e7Vd;8mGG81WUqGL9+K zz;mhhqXpI5eMsi}C{^)r4D#FSZ^qZjqb&s6foMxWx72VG z2e&?`N*S%Avo*pGib9=u7ietDsh6-% ztyWwDk+X<3t8<9ifxwtf%=XoEV$w|~eiFC^7iE3}w&}#L0ydraIp8am=L@hmD;|Jt zIx*X|KBb=zn|%yro`tksqj)RqYZX5V`_06fX2~x*TNt+4Mqd%ZRmAMbU?!?d{Sl~9 zaxYO#Va;P%vaXo18af9A@>vbO!Wh)1AI<5wqWaNTd=22UFbqe&4-=>{KQ{hML$lI1 zz`=UM`$Re~_P4B476#C<-dswc#{4$GZJ1shbEFB>m>=t6G~Q;^58ijiJ4yW*ZwD?5 z1L*qUDAvDc;1`v51>`Y2cmdsH6v+Bu+^F?npIWbqw~UwQfww4mtT#Bfb(N#pW~>PPppfr9bnqH9{BA02oE z?c5_xd(ZrqtYIY_=D0@=Ha&iJCELA#nCm;S1CPiWPu#EZ1iyi96(DQ^`V}tfS2c(4 zIW#lnDuYCGtOjnz*R*$1WuqIR9IPGvc`ap9537D2Yf89In}zs@;a?MsRGwCn@2+_s zdYh(2!o2`qm0bf|F-eM8;-S0gzCTC1JyLrw*49c;iDkVC_s`POQZ*9#7t7ZPxKX@s z_?6qj`Vg8bA>pR`^{KdDpNjkSskmRCg04vZxL==&`*p3jUm;5PH81n?EI|-YNsP(h zS_bs8lfjK}l#s$l(Iw89XD~SX6M)kHUEt!9-$|5`&_bxP$NE%QyYN~a6Y@n6q5b`qYmDNUnzm)1x$1qeg6@l zTz;6~a+2f+>~DuxGPu<^WvYXmAb#11%z+Qi7REw8V&2SDfpmm^!MvGI?;VZz1W+Yy z8$xd)1iT!U=q$-#g)y;AA~FWS2+meeD+ps7-VR8^?iu5OfKg2)VbY0&G~dD%+y5{a z*~YkdGd;KxhmAq;mCQ4i>_jz+ukv04ZU*I6kv+ERCxFR-;XPqJnFN-+nRTL?MTy7T z%~a~}WHw?)7>pq8wjhRjW(#7r%2jfjtoKZcE|8`27syKU7sw{Vse=}-mzCv~$uKL# zWwI$Vu*k)=q>AWznP1*|$4bzr78?-3hf7zL`3qmuus#(TAr-EJRXeV{p@0gPv8rP+ zzjQZq4oau!z{;8p`pb%@dmd=iz$$+gYt-6S;c8ZUQ*Q1a^vRn+{6j{ zO|nO%vm?8yt*38Oci-@)E%SFSUz%CkIDcDDU-yp1i#*4C1l)wBv)uQ&?ow=(oVh zE;-rmIEc-Gxizoc)?c3*%JC^WW+T}!=^T=dF{Ea++cx4wqs(Oh7Pl5L(~ z!-nVXtixUJzyxV@bng|L_G0T_xk0-Qn!_E48C}=n?UTE9l!NG_8#~wWfmOqX7-Q$v z?~m<|w@jJ634`ZHY(Xo^$5y$eqRR7?&rZefh;o`VZ{y(#&G_T&s0K-WG6+Y)Ok13f zYQ+3ctc7umY=(Uh$IE8uKGhts>0W?~X=NF4oZblA4E>wU0h{i;re}1e^wksForim~ zJ&PkRW7s3kAi3>&UZ$;;ahzkA_s`bNHUp#Q60-%rWmM*2dT~uwd^d2QlMeS0tA6=J z1j~3^^cM8=pmSXPudroo0Q`E~E|wSRUk4sFzaYvG-ZfGlj@4wY0sOB3mbnJtw;}9V z%JVwdEsEa@I>S(YCv2H(0Nw<;%ryX?1l+AW(}DXGUkbcK@h5@zDE=t$&5Az{{C>rM z2m7xSp9A}IidjFtp!lP(zoB>;>_duKbSyWf^QEw#Rm^^p8aU}Rm5To!_H4!P1bwdJ zn}8b?v)(l;{xtA9#TUW8QgI9Hs}-lg^IF9m+rC3F%itC0@|cGGz}G5X3jc5|3-tX; zXWC?43vSl)zf<~7&<`s90O*e?z6bahiW`7ANMu~pyk{zARg}8K9~eFtHg_`_e1@sG zl|xCQVcj-5(_!>xrIYr*b$u+VYX!_F4C^6rGcKAsloOw4K8q+q@#8akn!2r}T}{u3 zG3Pwcpx}mM`my-SMm9`WP7kEU-Q(zg!vg6ZS)K|iK%C9bHv9(eE>He>Lz z0zM44UD}u;ej9+J@jirM3hNHzW&SMQ9$=FV=spg4eG!7e4h_>xCYYxokLjj7KE@_* z7?@$|anZ~~JDEa$&Crk6h}yt{$GZfM&+xq8&-L`!M3I2a#Qa%_mg?gPbSt0Tjl?pqVjw3l}f*(>eMZ zu8)l||FfvEe!}xV@6z_e_qn=0_B`fr;+Vg|Rs90?G#`FF*2rR*Gs)l2dj}Hg%aARy zzo(XV>7eMCz?_JbX?*^rOAo++bV3h0|WBa9(jV;(isW%O! zdht$UY{6=A?S%i>YiGEtiRfT7$nEP)`pujr(cxpbYZ8O-{GlV}c%nncqGLd&)l54; z+^xays4?K^QJ*M5c`u*HLK}4(8-__8)BzRtf!3r}$lxbmL67R<1>#1J2}V4zB{BpkC*Op%dQbbKa>J?0B=EOKtFoX}u`?cP{><5(A3D#~>oZo~hY zbvWuEG|XSP4i~K=y4fvCB0nGL!nL@+1dZ)5XCT=&S1YC-I7(Me!4rn@lK&^LMOOwM z02W;tm~+pfD+7NA^cLm69`=QbH-P7I#SF(uF+U6H*FlI^gSJ)aXTaX27_aZU5yclk z4&{=cdijltGq6R6){aATXz;uNbSVdR_%Q4@Oow|#1dE=>&J-r9=N(C(`(s_Bk;Yh)g_la~~ zeCAodEDWHlg=2_7jrnbW+c3RufFn(y#{Aa89gX*1)DPZw#><}1;_U#oFo5n;aC8%> zF+bM7sJw?DkLjj7RKrl-05HSU!T(<_afedK+?9rW?YrHn0KoyfbM2^ zoJyd^z!?w8PNRbbYRvZpL;GI<=#q(Xjet`Cki)n5kTB6!S9&*(Usw9Ab)+=z zZc9Z#9cjw!)nlqid2P!0bEwglfX{pMiKp8?Nr+m^?_#eK+isdPuA(~9kt!C#6w{Tc zPnfR6xen8nIHxzBuJk1MO;^HjDb$tNx0$Z=UC>)_QI~l$Z1zdS9K*`IA{$Q_nO6jE z1>TH{Jk;I#6h8-hSn-9Be~scd!oF5<6YMt;>#dUfq7R^9J8krp5&VBiCrTsVW~{c( z0RhDs*5-}Ipf>$z3U#8f_zHC*@=+f*WAf&Kz%(?gM++RRPrOg0^J0Hgs1to3G~Pcm zCT{}>hUqsKjx>Q9^DESe8Y4Qwk#wTf5b$yn2HWHn>O|`xkLhMUShX!50~)3tHqE;s z;1Ux8HzBV=o#;oX%XOfeF}~|Thy-_)XpS0<2{z-U*@KI?85a%rRhbTWB%Npgd=t@$ zS`hC+$Q@57szM^>OA7ptrG4S@h8H()wX}Z1I?*QHP#9k>suRiHBg|U`xp^zTYtQ{h z++!qri?A1{8t=lFpzQfhJQw_Ho&yi|8ZG_JJ+<5`bXuG=?^jH>`WE8#_n?SwwMCKz zMP&TFK+?+`^}JU}a08;!Xrwe^d_d)Guh@Q$aa^o{RRptNr84`~uv%O@C-=D6Vm7YW z2r{`7Zv(~}y$cojx0@HUJsp$Am>}bfn7#$g?dGNV6J(R~w^|g{_pEr4dKo{*Wz}m; zeJ|WQ;TH|_RIZxDewAWa zvIm)R*!OQ$I(5rkin;DLqPP|Kt%~0T`)0+|jo(9zK7r;VxG3|zu*cFfJIJH_RmA^C z=H8<1Z-9K$%)y1C4fV|ZBt{JVXbSbrvG~}HSr~>R-$Mjy%x@mtOhdEMN1)fRo>eNH zeryX0^-Qk2-=JY=nY;}VOgh%TT$(_Q@fGTsdr?VD?>ds6SqFL7nK0NUuTamt74n#F z<^$DG@<=zjX9-gen}&M|22BXuguDv%%vuyg9q49^?|Kj-!QBe*O+?T9R3yJg(lhS` z-$eAx0mOR{X&Fz?d053+6%T9K8G3j;p`MkM3`&B(rqho+N`gD#m_&;3CU%Fy61RjB5_b%S z4FB-j5Z<{rgm=9ygby;SUf9EwkivylAiZvgwZ41$Jxfc;wr!6N3zzhw|CCf&bf5HymW z_F!zaN5hi{Kvrd*2SciF}TXPqj^#b zp+|!L&8b|mWgD0(gjTP6#6a&cZK{g!4)LQ57?)`t0bt!uW&Nq z!U^I^BQgg*_{Sn4Ut!+NRDpDa-frH^r}vJ=`*!obFZ3otz#81&lHhjpXK_S=e*`@6 zegM>|gt2v$O2e8T+rJcfufz`S*gEDHhn-ap;7o_uI%XWh>`EDCOBlYO&Hl{AT6jy7 zU`It~dAtHLq*|S%3~#sq6wly8oS6&Z3ERs;5uR`b?}iU@q!BynS0Zu}S#(p2?PLi@ z?EWo`abCQzcN)s(;600lWvD#)uVPHey^2xcU&X+i7GoSR#`i2DFIMn93!NG`XV#eS zqFYyeHPKa*AiR{VY27kQnfICRV#XL|m z=(KkxP$f*Qk+&qm)v5bkEH&)kpU-5vdpa_9G_l_tAD)vn#?lfFf^Xvq=K9iu9xX2~ zJ;;j(xnNvuif*2fBjo*Y>U!3ExF)43&4h>1b6-Ao``fmEIZYV}?eFTsuTOMF4n#*3 z*gS)i%VA{)aPD(Mh!%xtafljo^Bhc`Bp{smAVpp`fILJ~WG_%yz*4q&hXAp*%E?|w z`ThV?jkCZgXIpjb+SPz=B|fR)4P%;y^t}W&vAMZ7pJpzuX-IJkY>rQvGxAeArQZk&ivD)z(GnJ^15#m* z_Olj<1sx9~hhhANnO1+ysvnQr-Z7@DT(jI5!Oam2=*qR2M5bbqsbR|_{21D*J_ef@ zKYuBC_qY^AAoF9OuLXV7{FqjNg&Ov0*h>{}gngFc#}JlfP5EuG*DAgc@@0(`bgGh9 zD*Z(8Z&o}4yIV2!Z&_~z{|TVseLj(SJi>Ax8s&c;_VtQy0sUshu-pd}e+P1IQ@jT} z2Nd(HwXZ0C4)~jjKLh(w#V3RQgktW0`laH(haHETY4~UGOi}y^(8)(S>sOuPFN3FE zG4r%S@n68^S|0hE!Lw2Ezkp}6;=4ibReTLF=Yq)3GvxLtUI}}j;`iFQpHloi*gTty zVIPNmuj04EX56Gd2>S<$d8VDb!vgxPkW&HuhCIJOyiY6s5O`i7hE1~!4<31*1J5dA zea5d)`t{)JAjYB{4c~Jh|JlI9#QMzcR{AjLZzR?^oHr|-b^M*g`drJi%*?_tN=whr zBA8_jWmgR6`iy7%{gwXwIDS?oa-Lk)A22uAuQpGf`-3`Tj&tI;4Kn}DOtb#ZBT$3l z6LT7V$%or0W;1^IjF>ULIUo=>tH@7W@|YHy*#OaA*5vW|i)7E82EP5~8Sy(0I2!MA z#Ctz7#dw)N`Z3wr5NFW*OGePz7taxC80 z0$UhBcNgTbk1%6!CkRn_vmuY^raV3lCXde)!?0{=?nWkgE{hrZ@w!=Us?M0y zk9;3gY+jFnuhAT^886LyaYfTNHf$&Veg7al~zN^3YffO<8 zVrUL2n!w(RqWfCqh9=J50x=RtD$)EFV73!3aW0|amBgITdll?S<-ysvn^$lSNuDie zCYh6G{{&kTmEx?dL=>FMnbp$#vtRP|(nBO~K{ja|vlaj4QVPS};~{j1`|th$$@TG~;tAjZ;`ZCNIo#T=C`8Sjs%& z|5tS0gpw%;ApYy=_c;d=C*ZSQt;dvVV z*b4t*e%^dd?l5{`!H_@n4rdm;kAA*z^pwvS^E`$5&Yh+a<~>(j`2}$7rf~`;W(sda zZn7=RH7Bu{z}z_-M><#|H&qkP#YwS=%U*PgoYH@k(_9nUwe9tS=sZiE)oa)*a82Z# z47O4&6}7o@GWPuL&dH!&M8mX=o`aEAU;Ozq`aDW%aXE7|XU0=^Hp31zZ4TIU*W+T^ zSSGnWJ)@uJ{Q5#y?u3?6j{#&+ww-aoGBC{hW#?T;AE-qDl{B1JVSmHDF8=_VIu$X; z?lRW_%sCJ?bv8blLri4}W-c!BzY#nO6)%BJU7qwd(9crb1-nHt$LnhqZ-jk`VxC`k zrQ$ijuU7m{*wmLP^9^exG9ATe)BSfuY;vGmPOXVo@Y?u1wRw_>A7H{G=UeocqUoZu%kSO--OS$G*hP z>b?SxdOa}fJBnHS_#B+3Zs{$7qi0OODZI4j;ev>8yf7A@jcFN%hJ2r3glY^nz#UEB zUI@Ppo=hL>IQ^KumAEVnp!+=TaWro8yR3lk>&Q@=0n`}Z2Dqc~E=QeY`@wjbKZ}>o zo`nH)KZCrl5vVc04!EQ8Hb5TJO?j;DCXdfA!|)!^9Dv8anh>}Nd5x%TeAnX{r~}Q6 z@$uP@1b4TAZ?iGMX1p}l<05XxMY9QsvU-5wy!csqtqzdy2JqcNpvK~&jav{J`3x9{ z7>b5t=}O>ITv2(IDBSbHP`R`(Y*?)Om@r&~8Sh+(#`-fCdCu|2mbWuwFpcs%7w!9W zJvSZW!=pJDRQ60Eteq=?vpNIU{B=9C6W`1`#IfUv_(mP0h6SFLoxUBn?0O z-h{trMaG2tlxqTGLMija*ym7)e{gmd5+ypEviUAx=_P}62^Fs-=J0R}?2>?ehwan< zFtEr;4&5b8_^D04iwPGw$#*l1;`h7{5PRlHc<*Zb;(SBmTiH`${hatC*8*3m%pFtV zC<$(ZM=J2q>^>Q!(na{0Tx~zD%nv%tN!~;%&_ zaLLH+PmKDuOhy ziLuU)Y{j7UoTvBv!VgffS%P~G@JVeu_|fDK7WwMEr@5SN%|kyJVCUo) zGyeVr=^7y28@}IMqnHi!iysS9W67BpKNglQKNOHa4{&Pe@?)5j3fvq&(xh;4|G_HQ zOgqbrW93)CHY3uD)S6LMlRUY{JM!>`&q9Qj+l|xH*CE?i7>|vgsTUirBbH9hxedgc z-7A3YyhwIQc3vc4xc14u)Yd^cq_gi!P(9-~?GD)N)rk4-G;}k;&jXJdhf}GBnTw13 zkAa8fNPIDD8HWRZ3UnEV178Pvi}HLK^a~Zg4EE)U2Vis7n{wU;o({$AaeEYh81|52 z-m4MC)DLb@Or4p14dwg?!hS|EW#6s%b)es;nD_nx#UBIxkm3&jKcN_wKRXgK-wrI} zaA1zzWN!g5H6-pGV7xKdH!0@Y{ks%%F5tb2yJ0gv8%y7z^v}Wmg3?(Rzpixh{zP#F z>|ZIS{=)vs?(bA$q~UKsPb*#m{8GhSvzIzB2}BrHBTK{W5nM&A`aA2P@i0wBZ&o^K zM>)2)HS9mQwd*vpgrdq@yWR)4nLxquu!)=1y%EjWYGAHwFg*QOjI1kkTdR35aMaL` zW*#oq$?^DXoDV)}2`G=@%rIV>23*9=xM=nxeY~GcAC-UlF?}m>SsDP{{kWE*&X_Sj zKGRWow?ke4KFVX2GI{HPEexQnOExB0b3FzMJH?$QKmjBgX%(RlAb9k+ZG8|Pd2 z(-7zpbHFxvY;U6S4niKwf%%|KKib#gVwiedH2Bz+?4|K8???X|a7FpfL}An!llsx0 z?+DU1vl)Dw%>kS7(y*P0rtcx7k7;1~c*8AyY`3kh0LruZF#%Ej%>llLSlRR?HhOy0tVr48wb8F${GVNtzjAAjE9APXL*QGWlh=MA`rj%9~m zDH=_>P~1Lm*}(ls-}I1s_&+vpkiCluX6kB_*D~RL9^kqyBzgRX$h^TD%75@?AdJIV0&)fKr)lum4g@eRXCl% z=8caA<{5N4VOsul!ss~!awqR%X;v{BKD3xlsE44EfW5LOtY?%0f9KN)oGjox0!~Zd zmnJ6r>4oT5FLE;rQ`lEkj4`KhOul-dQwW7?+f{!+a|$|u)zK$z8TI=AsX2wbF{Zp% zQ84n5u|#x1-264!te+&=>_TKn9u7Hd#sRNPw0AmkWOIkz7z6=WH734LM(dEu}BIL{TERKm!DcFtU1j+AmR)PJ~Z@a#} z5iYLd|D5GlNV@Xp6qGw}P9Y*e9{#T1=bXZms3SI~a1F4{DI7+ZH{P7W ze}c#66sRxToWebz+nfS-z_sAwSeNT~7b-pvw#_Mg9N6X*xWSBiEcssv+@ts**h7k2 zVUH+|&MEu{Xw<10_TLfK<`fozcDK_13iSIFv;TZR@kc;Eq<9{}1-36;sQ(UGdeh`E4#6tA9=D7eW4mN~gB-xYC(6nL9vS z+;900w1Lg`v1f zcq;B}$Nx~~6z)L!Y%I<}f~9YzrWcl&`|-9 z1XKRWNKl$Ujqz=Qn`v!U`U9xrmX9ONDXc`GiOeZH0eQSnyl=FvT&@LX8tQS;%t4_Q z&b84023%3TS76Lu#{g>R$E$Eo;TrI5Rvuc6m!@z|;aQ}QX<+*J*jxGv=M?55@ofLh zFislUk=yAWLc9~1Q{Y~%4w2x0Or9uH{h9Wm{=qB{VhQ)TFE75&9XsF~CpxF_w2WSJ za|$z%<3PSqf&B#f9e4YdD9&LyR8oR{=&PyCH-o!H{Ey_JabQNc)nl3 zKJ(Wjd%o<8%nfYT0+0fXE#iGh1nlYj41TPM{+n^d_FsixBnNN>A=b0OdMeN){`BHX z`BN8Si(BD9>4LS9u;>DH^cDVuZHx{$_Cj|MT`~?ocF2)qNp7^poy(K#HU88bL{pmc zJZILJ+mtsmMQSdC9hVayN39Cm#$-`qI;=j(+wi`>LP<5neu2S#g5UQ``~!YsC3luQ zjdaCg?fre(p}}ERqGPuQ_b4^;jHTXXGOIRTd`V{Ag_my3WSk^UN$0I^0VQwLmCN$P zHqEka8B3?%3_pAiB3%EAH;=slXwM;npx*xMFl{pldD_jzIYOD_2??XmH`WJEIer&= zU)g5SY{td)y5s0jL%-9_0b6+U8klxB>h}11k$R{@aKR<9|q=gFiIazfe6F?-S)jMS9}BPvx&9grEW)_55wN3 z_#Lo!D*gm)NsrzRmVpFi>?;)mcPbO#_;6hedK+i+n#`%fuqKrqj@0mR*uKVR^P%<4&}XpK#ln|z|Ax?EByvI z_5m|}yuFsbmB1DT&~f+GE&?^?$GT^jUi;uk6R0u24RA-}osGy?M;I^jXYuv`TNpsu zPkJSR8uRObJ1UQ7EZg%@Oz+}7py5WWfAdg&DUa8P+Q#=y@YR84#`tao!O}p#=fKD3 z$_&#)b3LwT`kEv8PeYQ3pEno~NDYyr|4f}2NB&b%Qp7a)A(~~X-9#2pD zFnHLWQy=;?>|%P-KY(s}(mw+4RDM{0(vwgI>|_3B2!Ty%~9}dBLS#W7RF`_qo{G4QtnK*bome)v;S- zGJZe5L_`zqO-IW+$}XBJ!nv2G7cE${WWkaK7aNI9bCo5SB8nwa$EQwB&EN>6`0MGj z#b^AV(Q^z@t$8AI4mxnws7CK0wwBE^@XRyQsY#&@&K)3@Ud#~6cf;vNLw`}WI(N2X zQ+ZE+U%o5T*N=5NyMYCMAw9FDwXdVMbI|Mk3EfZ2l$T;YmWE@3$%;{robjAXKModP zn3KFY2F$(EFpb8;u*A%d@cUbo$8)6deerKQhMCVU@KrR-nOoq`De7z+?#BLjtYk+C zJ$+sMP7f$*ZEG9E7KNyH>tJhNcV`w!ov=9`oVBa9LUbWqP? zMWD5yn=yF{7uDlrotz2xHiGImG5X(z`g)wilTI&MKJjl3J)qC2uUm6bj?+f1Lsa9v zMy@yDOq_#3tT~4Cf1PO@Yn|cq@M9kFD_LNj3Qe=3iN3pdgl`Gi$FuGG+7hR2v5U!5 zC@oBECF5kovHjG;#V59MH6Yh6qy%E~=^X#~VbqEI*XVNl)Q+%Lkvp|T)+(Z_6C@OU zXRR4@Gg|@T@;$FHd+YGsHSH3t+0TUSBVG-`?cijM?HiLys$KaPTr73g-n(SYBDX7v zn}+gsCXLaTTL*+VtUD1Qd0`oK*f{6Xl^N{p#>-3SfpYQYg$o_B$t$Vri?e|48Xf*KLY7 zBkbM8oUDOi4KrPoMP^~CUl^voz&%7XY=Mn_B>l7anIPG=Y&K7GoSKCan z#po8a_ix(kDx+E}GlWYu3S@j*H>x z$9i^}x=jZff;(!+OEV7_pX%}W8ghJ6vnkKg1Q_BKz$Tk?^8FKm8uP>WHZLC!ARlRX zG9RoHmJjyy76#BQf#YfdHRg8}+=l7(Q8>~BYRr%IpR${k{xl+EonpLv)GXe9U<(82 zz6b|tX3X!;K#0oY`OhqW%43x^d9MLxn0j0^OYn@`WJ2I30ijqi)NF?FDsF}|BY zhy-^}fN!}m!DhTPY$KxSt3~=Ozf;wZ>1BIlbpX)SgYN?bYAi0=NP1|v&Qu8^_W|*d zW?ZZwEX$}ouG6s$%$PiBg!}MHG`&3Oko=3SHIEu0?wG!Jv-Eu zL%kT=vVz!whnwcQXM+jwd=?LlFXJM5Gb=64@8F9(^`}CvM4ZTdoF{QQn{t#eygFli zq)URt22N4qF5*61^0p9ON~%jSg=a*Jy$+Y8StF`TF8K{eUdpmuf|JXooIL$ZVvVVZSYvt_ai!;3L0skW zS;Vy-pG`d5<8z49UhPQ5lB-{a2f_PXNT_%X@d%$Mmt4m*VQ5?C9AbxZR5e2KCmGvJc{Eu0mZL6>}77%MV6T=EBmnt~r*46J4OBjSpR zOk0;rKJih+q2>Bx@@rW>&5UbVKGTy#S^6|R`!3)*kAHJF@LZ3d`zG+bip+4EOCF}o z`WcyFq|C*bwB>LhZL!#?h+#z)eq1t$J%k|5-jR$w0mrHtnO=lX#VDY~`;2}P zdo6-)@Q$f5HfS5YV@5271YhAD)iFL9o4jMD2JrPH_wIK`o8L_GO zsu)ycmKQS?DQIz$jsS0i*DQvb8ua70dM~J~NfCCE?7qB@Jg0)d8kXZh=r4dEtt0D> zJWO%#e$L#;Ap*MOrWntimwjX9$+p;8$cZnr4#Dc^l$xS9w=2dwokBFs_tbzgML&;I$A5`q$UD9te?L-l zjd%Qa{L^sk@s7tOp8ekO0||4hcl=NsANP(QiQ_i!ctRX^c*l>$alku%BGT@2Dc*ZV zDFrgiyBVvvCwcch=B{zaKbd?g{-1DrG0zBA$1meg!DFXOv6Ued87H_D3zhX;-Ls!e zeoG$16F_&#pT>DZJbpILxO}WjEJUq4kq@9$H{5*Erv`iqPvkRURr6IsUWeZlt49_< z;)}bJ7)|V@{H-I}{|@-2(LTps%GQTp{F+10*kb;A7V%ze-bJ_c<|f4w3Nh{rq8oja z>PYhS&yt$RDaey@MoK>g)P}s_A)tId0Ipk3Q3MUh7PKMkxs@?rA+Fq&K-I+)4$1D6ADdomvU`{A3|sO z)3lBY6DUl_YnH?@TgyTmC**?TmfB0RCym||{mzy0I&C`tbmuum8F3@II)I7@gPW{o zwE@_C0Xi<{Dvmkd*xs}$+ut>`17}Tb!bDtWZ`VL~D^^*CIyW^eU(m3ructq|sIw!x zsja7PQ+MC+rY-Y#E?=5i+Bkn(Phalt#HuHM$}?4qN6YcjK~4PV^L@WW-DF4McTu{F~++~xG+SDDO? z_AMZ{b;%d3GMVkIy~E(kWXY6!(Gycl*_+}Gqf$Ut)q39%XCW;5FSz>%cNk% z*pICFiZW?2=0_ zy!^b(MjuzU6Fa0c=U=$)JOmva?CVFwokRH6NGF{xGu$_v?d;HW^*|W1nCTksL#V!O zt-Z(vZVhguyrYZptg0OaB&N8Lr`;hTUeVk&K*cI z3koTTJRR-BgM)Z1GwrAZ-Ti~8NK)s9`{W*Fda{}Jovpq!^D>`7fp@m{LGQPlPuVMb zy5)AG<>GzD2LUZfnO&W&s9~6y8|vSh>21aB#mnieY|H@bHmlAqc^ER6t;2C|;=dLC z@)&BR#QOX(Tdv5ob|88*ocsaWfp<8zwP|T(Iz~KI&K<|#yLD7AaGtt;w{9I)C?0Cz zd~q6=nX!1x-)wYXp&5S-!}o<2VElJUpp-?-=yyqUf?avW|CU|3AFzX;`Qw@d=VXb^ z?bi~bElsXjaKalKA)E9~nP^S#8I z6>mn^k1O5+`)?J$2|Qm{{2tg3EB+yDUd$KjtN&IT@Ewp7M|`B8hp;?2hPV{vcAVmW z2G41V`Q75P6#qN$g^J$~{>v2eQ^0Rfd;#!V6~7wxEsA+Qo1D3ZxL%2P|5oWgN80#q zIn!_wWPU~Qw;_k`T9bY+Y`&LHd>HhfDxL&6&nf;IFyB!i&qJW$oMOQ@LMA`(O!|D- z@*Otdn;~bN(s^jjm5Q0)PQ|Z5*sB%uY`E7dW?Uar%=ZlcR`FDXy+`qXBJ95_eg^ok z;(r5w8TgnUe1qPdqL}q!p<;f%`DKa+5sqa_{s+Kwsp4snnNfT;cy3bsUC`gB_0g3A%i^20Ir4J*Xn-%{(><=hLwC-;d^E=UZDXxKipW=Ps`G(^6 z!2X_Mu3!9A@!7!7Ddv5^K|GR|7eK>MMlkC@o#NxcvqS1Z06X?~Yt)|Zbc zehm1tihlr}dlY{MX}Di;8axjw{s?%!ulPiy;VH#yz|UE4rvDP~PfxG^{;R{l8%Zd?84=}imX@0$vq!efCl7U1T`S4mL);{7kE10lZ!5}xyn6&x5$O!@dfH#?o^@XlEV*D_R zaK&ZY!kE)trhiKT%bd}%8_ON#F0$Or4hH~*Qxcj`_z?L~_ypfelQN0?SREtj*pHe(YC!6G#Ot3UkHrHKkOITUXXtTwv3~I{~CCu(%BZRC)O-ns`QV*X8Tyq z!7vP;68k3ji|St^Ta}Lfpkv=ZkGW$>QaG_6j&WJHagJ5`BF5V;ZvH4(_W?VFBB$Rc7fmplgNB1gRmM1{jTk~fGYRvC! zxTEssLEawFC@y*;R)-;TLi}DRTG1{qM4Tpo=-V`SDTH;^LJz zahzk$BZrP68`Q(fbL{kmygm3*FWZY9bjms3&W^OC$uF2l@{iRf_E7yeDy_nvE#B8- zuTxl$Lg{QXw8QpJxcTJg%;@>qyr&KPQ~XvY1mQDD9RgZtXwpRCea0&gA*L=NOI5;a z{d%mi+RE zWwxQNG*jP;wdyAps^8vqqP38Bcr!=6r_?)2eWp_2Eo-z-9te4HWX!yNt-UjNu zr1PTtEL_p&ZaLf^#bw6)NRP^^f`k1h=Ba*kUZ>dj{u3Qfora-B z9$tJtr6Yt*!&B$q?4kUcXxhH-@}4OKIt0j@$ow!L#LQDv-s5nb1ezI>w{X#79jp5h z`?&A_H7>?mfHdQe?YSk5#`KRG43dK%V?VRwcMY4rRh=05R&{XTTh&STV0B6JgVmEp z9;{9scyM=pnX4{!->#nA{O#(pk#AR*ANY3l6!)F#istWBPaXMAb>)HYR8MPuFgSep zN7d7Tr#C->cL*O34xjS_#&;r$!2D{!S3`fqxqBcsw+8XnAl@3pTZ4FO5N{3QtwFps zh_eQ9)*#Lr#94zlYY=A*;;ccO!3^xTPL2HZ(}-h2@3-e(!|EFv+*R;?`*SXqn6_qZ zfqD7bwQ;`hZu>y~ITlOIS(8WF8;@O-C|k23pYeO~*xI%0)_HMwZ(dBIbj`~p>~={q z3&*Q`M0s8PsH7g{#6j^_=PxUXzBteN;Oj7Jt2Z0fw%)X=fKDgRvr+PIZ6(5%!HY`d zJym>mqE8RqxwJAwLPlS?WF*3orpXgsHe?vB7oa1InDO5|!+<_w67t4Ij*!4pZ_hNT z`1)u#H$gYs1g|^Tofa3q5-+C?;r;%|OOsfq$A$;V@fi|O$FzEL&V{IQeYU%awg25s ztl8d2%ti=?-Zq!PHp=>Oiq6wpv`B=m^4XS~{4}x3pG&OrnJi0>$VXV#Cy}FRV|}6= z@`_BAGn;f^`lpFiCfjIY%6U%Ymcv z_QK7&W5)RUKp>wP)_c|^Gsf2g0&%l?976NLdPrTIRgr$IyVv20%KI?fQDc7Z1~HmG z@)gSm`zi}VInUza7{HAA{S^q&^gWC8)q}?LvE{M!9RRj4fbNrU@VPf*es_TomB)P| zb)Zq6&66;{_v5lKfbLXu4ATkJnBOCC8>ZKC@GVy!T6S+ZE{Vpw4i1i47%$rc`Z3<8 za7E<}KpyjJ#^n73gs8l|aBK#R@|aGOSE#=|4iEI=p)p7sQHADKIQTx=Oi)axW;)pn zMSCF5mn{rK<o`(eg3pj~a2pG|l+>+sy^~+jOMx*V9TWe_ffXy|c1B z-Sj|ddVl3e!|rJn_4iM0o;JJkKyCR+yha*epBelNx?ZLG7Ru_O>R9tb)$x&ssuKqu zst(+DtCP*&tu7h)ZuO)C->sfJ@^E$8frqQh@lIQ6B+<0@OD95Ks|`vIBu+d1z)PF< z{@YTVP2I5j>#6kpQ{9|B6)t|_#qK4iO+IkSz2un#I|lnC*h$zYz@7yA_yec3!2iUS z1E&P8IoMQs;FJ@SZfDbzADo4En`)cx`;voQ-*n$wV(?Gw-gn%VrjZkCn{NB|*4_I` zYL~`GdNv{*P50f>bMN7MvM2uXoPnmjGiobNaeJHYyEI-hhv!sGt#8^pC4FzHqYNlp zBk`uaHK5I^Z$b6gy>I&Jb?!j>X$i!;_Zu6UMjqMFbj69+NW6RhWA*NXRU4Xad*IUD z`^xKgAFSOh@%;RpfrpUR(@Gg;#)gMtz$I>{PxF7Qc_;~YT-;YQ-S;1>oA!R~>}uEC zRD*J^K{?l;oNG|d*thZB8kBPl%DD#RT*LC6df;KUk+i=7J1(R9G3UGTRR->!?C9BE zpve9h2Q`HzF=Nfzi_`@>F?kJiP`KBvUB5PQ68zQy`oF~dHS5FuEEbDIM!2A;}QEthQhB|it-$-?uKpKgQibK6f(Y+Fs3#Z z(Y1c(Cqn(c=8fi|%%fGd5$>olzf~X->#Y@iELzvuZ_*U5N9}5CL2532L<>alfii&%5L^#w=WVe`+ke}T3`!9)(0W)^#p3n?;5zH^7g|~ z4;u5qVU5Xq3$TR&bXTBXXFWD!ez$^Pn0^D`OB1Lu{p1$7qw(Gk2lYzE8`ZN4^^?cI zN8Qkj#YG!g49z~odk`*$H$9ND3-y!DkXILm%B6kbqU8-<-|)ZTxrAfrCk;!ME%Kb> z>n9tLHX2_C{oawMD-O7uE52QOV*Eg5)2(Mo{ks@Eakm)16Yb}Q23rRTdbuyLm&3Hn zI_Y6BI@V&ENDrgpejoiyv~D5IW12sP{w10h*1`O)B*Rk9CxL~0*1t3ZTi@|w`WMFg zr~UH4Z_Ai?tuO3XS-$&|SY9Sz6E++3* zgfk2%-!e)Qs9_pu3j3EE5bs3#mk)z)BK-^3R}Lbc@%ooElfI@-?aD4Z(&?|5Mw*+92_~wZzq)?VHg7* zY}&i*P))%%l7io0JRBfw0^=}T)z6>vX!Q%Pe-z(YdX!N#?VVH^%pAeo!bmN~fpCwO zfp=p2@Q!O!x>GWp?#ZSxd6@3mHqeWA9`UYhM;fnI4Lc}G${C+jP4Ce zL%kp=CkF_F{3LS_X!QD;^-!K|^!ge{D>*a%`dUTad@}ph!ugB_(4<^p^F7M^=P18M zU~|s){;i!n8hUF+8*Qwx@saSsbn6b|!Ko2q*smJqJ(@~Sm@9DkFXgEos&gT{|6EIZ zGi>&s#K*(t+%)kCNa8GF-df3B?HzMqHinFlaq?q4^y@^=U{6VE(0Q*x)=RLSf z=__IXtKwH7?9UWm3!D3!7%%7gqxwFJ)#P6m!PJv%J(Bmrco?72o0U%5k>-|)pXZ3P zDi&yEz=EJuhUk*rQLNC7xmP+W_eGxWm`2u6ba`_(BXFAu6tJ!lH>-OO3Yqtt>mm$K zKNSC{vmI{-Eo#V1GY=P^YHK;h;^T8@VE`TZmJ_Hkzcp|hrq>g=rbGw^&jUT0kK2)t zt?l$@7)kk2bvk<`ydFB z;O+qUHX9Rc#!K^FT*S?|XeyCDt1oN<>Bsc4EwefR=$gSdk+DP?T}B!SnSn4SuM(JL znI{i7btPzKEbU$`!sQJ`(8k#3ef?M}s`PZWoF%&9X zaqkzGF=4}*Po*Pd zf~mq4J9qL8uy9hkbW0?azmxP!@be;0e_9apM>U;3D=p_OC3C7b(gp=P#gmwLFw>-{ z!v3b%EU&TSh$A#Txj;POt@MOggDCV@lwFC`O-{Oo8Kjq$VfZi|Rj9mCDMgPwk^*2Z zV7B3kOLsa-VK)^$UvXE>0#z~GSDx+JR&Yd6W9(N@&3E6)^|3vu4ba;YS-(~62r9Z9 zz0%&_(OE<<&jriV#q+g#NtB%6QgCXFXzC(@mG79HM#85Ona7zUIJspUJzZk|y=+2f zyA{>NQBgv}s(|y+_3V+590F^9NElzQj)&n0#^0yL9xP|Ze+N#U#4x~Uy}4Z4XfiNg z5j{9kF?_#i`?gp%+)MQa*lgR0sSApp3XFB_(R!-18o9GVLOqp@ISlt3Q_i!nTNFP5 zd#&Pb*la||!3fM{pIfOlo)?!FZ0OgBIWO{)hh# zJnNMi-`6=AyWm!Y{rDDRP@8@Yn1*Kc;J)m6@Z^0W zomV+7)<+8i=s3P%JuqW_8$d8jzvtmd6R0si*5hcreD9uZ3gcyeZ1HvgTNprh0_xP& z1ZvDL19w#3M#y8jDGyaRls5p(F!i`-c>d0M69P9Ouh*z;d=H_(>p(MOeAj~z2`&+_ zOwBM&G<$GG)3-U2-y^MK?gZaEM1udZxM(Bkp_vEa2jP+GHOQ69{EIbtOkCJn{&^NZUp5rQrWck&ugi3HtkKi z>fb;Y#dX?CFvpGW^c->rDo;$f+H`EB^2AB_DjGK1)4vAmt&Mm~2lLjIO#^#sOHM%< z$43rTKMy}#LF_ib}wGfM2=Id>%s6AtY2HulL5i zz8v@Za@_07(6h;Jp9S)Ic09%B6Uw*f;OZyQBr4B^hlf>*Ls;7s!s7`wNHU0fja>o+ zyBW45xPuH4RXn&Q3?1CD)dU6quswu#UK_%@ZV2In9U**}5=w&U$nYeJXY=V+B#(O% z1U?=vIr|&5JU-zbz_`aJJ_8(hd{PYTDUZ{KK%eaKoF4(RmxP>?DW}5YQ@#vb>2bry zfU7)SbS`kM$BRjy?QtXNX^)qXUgz;r(&u`-jCh{M%ZckfK9gB#bdyP9t57Cm41Xs| z=9YoNPsi!4DQP2%xF?;#rpiP4hLgb5*=K=4N-33UZUdHBQs)vXUP&CFR2PX&dQ%oq zLd`9`=m}s6Rl1%WiZ3olI(-?IZs5)kUv8x@-v;cH__a;KX8YHnKIB5PXj!5wLfd}4C!kgWJi*2Zr+}h$)Nx)dxYq+v| z2x;a*WNiOmfKj@N_)2=jZ`v}WeOre!S8r8y7hEkYr}#~qW-?&>ymN(fvv-|84J8`C zsl(MUQg-hywu+}}l>`*-3F|3b;N&;6u1sbT{}a4tDRuaBa2#o{4Ba`ATi(h)D%qcE zTw?27ll&pVsqheC8BUk#QNb8N^Pu9%c0@{<9g!l3BPS5g6maTTo17K7GmNMDGmI<8 zSV)@|9hVm@q)pEsnB(i476-@URV|x0tpN)Pc1vU{qF$GA8t(boTP-T==3)=|l^abMUBFxS;8Q-qp&p zhkeW<82t*K3RLm27B2@W#Ag z=@&XPneLtroZh-)5GPMNe~xZ+F2u6Goo7?qvAi+`kvDyk9m46nID9uNIpZhSc(AS% zO=R_xb0*OxM}+FZImF?7N(QH*_TZ@GJ{-&JqtkNAWL;N>KN#9cid>&8It{u0t}dkB z=OM!)g$rVbdpc-k2XMT0Lx>iIXmN-db2B_l-sr3i;LD~x{li%YnG`O|pm~{>rQYxc z`x02r2wHjS^U)q;?O%LnCU62ZrETMc)-juM3Rh#J^GW>!JViQ#C7kxWTYyI1?u0-7 z*%!MnZaLa|$jK8FmICe=k}P*ASHLoR<_nNzEyo*!tF3pd5_%FtXme?qqk%er2iM!eN^@kz!><*mr= zVE2HOx!gfiQizz)iZ%7?=p0!cA6qqCPn*bkHM`!Hin`VBPwWp`%4b(5Qn4{ttl37J z8Gl|4ZB)c?y_(}r8m6t-dbN1!&**tIQT0NW@%PtrhAU?{@5VVJGgMBh%>kS4Qw!V+ z;AUD`Mx2+6&ZCHOBllDWvHl#1Ts_KFmkeL>$o4GOwnVFe)G5laZw9i{HO%`sRg>Qf z9B}ak2GzoqiR$FP(>Gah9nxp(*()L#4Se{1C+iC5yKaNOjM;&!Kw}w@-VQqY?{Kbc z4zXyw{tP?vTn*YnV*MNyDV1L*!_-|7@9p!MhVzwOjDJ}t>vKY1; z_>kf+!v3-1=V9{{CGs%6(-eOKa$chN|Ficka8_0I{`1EJYtea=4XyMF7pe(SN_N4>QzYfFOsq-dYbJ2)0F@qu$!*>F4mAFt((C*d) zvyCJ>{n&O+P&aOom@7P4B$#s&FzZ`id~7={3}8TcKej}9eigue`X=ICz=1f^M`f9% zZ=M$hFla)ZV4Gvc;0h27)9=~=L69O)V}7-8`|%b*r^>p+c$q(ow;9;N00wtL-isc= zV55eqA`?s*cMvv{LENfwDEX`Wn(~qp&-C|VZ64ednW(PZ3CHY@NezJ zp5zkpQHMP)hBex+-Vsp0U4Vinf3@khvHpA1t9$p1{M{WQ7yEIc8^6Zsv=LtP+|-Hh z-TQm!z#oBz3g^=x%`vB!F2oIU_c<=b$@>_ZdlbDMYdrAL`}q4H-&SkKSsM#T!Bc|z z@wSB_oJ6gZP-7?D1juJBNXDujgvB}%#O4wzo<|(V*?-r=mQeATe*~0J6XU0_Hz%Ru zOUR*k={B-BdhNYnaUHz)sgD9Xb!dE947Jv&L*vW&l5^_Nc->rJrw)y;SPPtTa!%U{ zZ0gYXJnD1?aqttbCe(-*@D1kFq45*h%T6NckHAjEKZ<{sfs>f%Li+vyph*6h;3AUb z5A4^#D-q`*3Bt*Q3nz#_HzIT3f}ih&BDw~p^pqip%(yNcSMLuw|B1XD@3#Jkbfg{sc09cYg zv^j{#$4sFQ9omNFEAlnd=w&UUDvg|kP;P}*koX|)^#~TxOOR43>$ZZ#W_D}PN+Ai? zigtGYR_h~}DKiV4GIN0cZMZ%(o7w8i!i@S7^YdIjGpS~{L(G8Pxg2gbD!MAjq_-;XOAu-tm6Dor^V zD)u}TA5XIk>7K%m>I637;W8vs+aV2X;!SOb7K=_iNl?_OL)CUjC%vF1fIebl8~i2Z zs34zLUrR+$w{A&I&e7g;{-meUN<-?9hA2=pYOUd*XY$ly{X z3Y3GUr^=H`_X#o4TlG}exr`w9PA8PD<`Q;hS5$VPN-?97($K6AZuwW1E;Ottp`1`B z1&yZ=bzzoMq*B`E>2`0N(&_*=$o5D1#CNE#dzuKh89qPN#iMJkj&w(N#GqA5Mn6+@ zXi@be_(s$&`AU>=IvbB^XbwcL6b1(9d5)O8T|$NXWS|~N8g8Yq zGsAu{4f9PsQJJ{hAN|X7-1-1mOAu;^yb2MrP!CSJK6=xVun|)tmzR5*T1pF8-4N(Zm*|Fo&jrsJ%5yR7dc|J=eVyX}fZeM27|=OpU^?r7yA*#N z_;SVf0aKSio?C#wp!i#`Z&u87-Km&k!XGRCGVDEyIW~S$aRRpJgCO%LVCt?Y|18+{ zO)8LsLzVs`*heb)qc;!9L=Py^+AfBL`Aur7& zTuEHG70#GPjx$%+KzaPuml+Gjd&#s`sYeR;s|xp=>ErFCAJaDvmxTeuSB%k-^9Tl4 z|mu5RI;woG;U0#0KgwxN`>)ocH8^r$T z8g{T!AR!zfP!8qsxkPo#)D_?zARG_djHO-QL+;a@SfAI|zKyAs)B3${<92NW`0nD& z0?$BmYxA(S4&!$2+u*u5u4Tg*U)R53JsW5o=X0%^tUbeiajb!Rc;>|5rLW+gxw3@> z80I-NRf_(;N%cGxv;052b>wyZ%1ey)DvnV z7VtBPxr6%q;hi<8XQE}`{B3|D`P&AbtU>+9;N^z)!pVdSCx}0^;LL#we!c~V_ao-b zOyx+2_g~GM`E=e#c%eCWAu-Bf5|TC|1mw(w4(ewa6U)Stp@Vu3|M|=YZbJ@H6*`9p3zoAxnxx9}(LK$<0C=JNp2 z;8Oe_tbw$)Pk-A`8g~RY3QuAsJ`nz;#ZjF4n>#k{eLPG>SI(d~5cgvYoYiI@ zypveWT%bI`WdzWvY@4%)U;-g`gD*h4*qpz`JA$V-; zcoMLU9X|kEt#TH?wz1=>z&3W=2p$_dZUMa>7t_EoUQ+|L#BU9(4V0`^mi4~PAd;s(fJuZ3Z0xK4uj zqp-&+{tD<56@L)8QZdW2N-@(|qj);(GZasT{cgo0!1Er(Yhiy}@w-7k9aWFtg4V#Gp;Wh1mi(KQH6c(4r5T8el$no zVkgh~`n~a0fXBiB%6cGvyV;ESO#*>ws8Ww-2B5ydlN}J!dGT50vt?lbgCmiEpAx7s zzgoBr(~I9#P7$avKR%OwypN+kaU92Zk%TbbMqmpA7>q$6>I%%5AD>lU9tUqsH|6nh zp wa4}3dE}FaG@u&%bn~)cKjy~UYsKjNUnK8cYAP}3m2Yi+0fX#Smx^NL!;i6$( zxBRmANI#};C@#CVfI%7f*iM+SxM+K~QwnVtXbev|lxKPO5jsF zCY=3@Io=C@WAnu0uxFqC1<0fDnH842>UvOI9emb<$aDtceWr}u@90B2tCb_*N zcRsgYV@-RWS!Q2l8ObuygEQ8&HuNA3H8DrK`CBh9OFrHeYr8cz{8qO+B4^xpcY4+qo!c<$4IYBNKGU#&)1b4rfu{dv%xnqCOjl>ktcIHn-oWWqdEfi< zt7WX$YFO1VzZ#w~7v0qBlKrfHOyA>AzgNLNi+g)oyl=$Zs!~O%Xfdr zy#L5+s&`1P-Ji7-wJOtSs;U z`E++NK`>e4!&eJ20wWu9K-JAc)BP59`fV75?OoQ3(vSg@YnQ=`m2u1F_yQq$+#T; zGA<{dj^{ask0Vdx?&*D8{#)EcS4Z-U%aeL{{yi>d_4)UD?765c-dgG-SSpG5L0ylX z8JDy2MY``rlv(5Q?2&kj8;Q?k*8*d9eVP;L)1%Y!}2gE;}r zaj-Pp2X9n9J2vp5n&b&sH2tjri>A4P8g{H8`53L_7ngR$Hr*O4?R&u9WA${85xl0H zub|vvd)8~|pf0TL$2{_zVh}GS(4Kn;#J<*2zsY8fU4Nvv@jIUi|BU$P1g--G|n%2NaTPR0JXpX-nJ zD4l74Qn5eop8%ST`#TU1gOtX#qnfG1nYCz`KLI1U4?z3kV$v5uj7{zo6 z|7zoY|IP0D@=i}iT!o9~a+GB`Y(7sY#&EpHdPBF3`TTv#Ad4SuK7Sk+_r;ex?x(!p z(99VEy&a}E{Ob_ycKX6rU%Z|3Q`1W%&!)1zg#$XYU5z$ zkA6kCnsHeez+ejG-AACt{2Jl*<#A63!?T?CHSXtk+g>yw;3eeMsW$Hw%_|sJmpLf^ z#+N(pPa*OB8TXeUahBhGjr%8puRr7dl`J$QY8Wi$n`Kdg3%4_@D}cdD5M~glVLoW2 zd(qO+zFsHB!+G)PsZ_blf4l#R^73iZrlnGqGp0B~Kfdw&jJ{`V++T`R#$Ux=>KOJ? z<6L?>n?4>?-8Ty3f2POA>-avg8>f0=BT0#HSY`FTxt1 zDFaJ~k0;iZ&9jJg&-85KA{Tr7grC462}v9`9%4k~Es15nFp-Hm#^l5&R$K(=#3WAp z4OsY|!BFvZzCYY5?ZnDSz;2ax;*1x8-74+GJNQo1Q#;T6DR9b#UG*v8GKb%JE~HI# z_+3oqB!|<)`#yzZbxB=f-!*@Ph`lpXXf*hRt6ksC$XZ#N;fOuYo@^=vg!6(By zpKGoYaW0}BBrzJRidt}-_|GLQnbg&QPPyM^LA+=UXv?Mn#o z5nx-h435a|Wv{Iec76s#*jet5{X@*}V5a*_(2CpO8lb9%ipxNuS&u8yO&8m=AX0G= z{_#bJp&Tbhrt)S`>yU`Erm+bOBJ60&PNGQntq3=q%g$$00)okmL=c(G%E&`)T$eT| z_@LCzH&RKF+5BDTHh&kfBQV(ZV;9@umwoO0_7)E0t`zY1jAwm2F87Q}e@OwC9PqqL zmAjAi?AFe)6n}*)D%8q{|3%N7OkrMdm0x7rSXLoXc(AmURoQn>Va(YD;fi zn{I1b*SxV+H<(M3xz0g!9(hhTWVAfcF%x}ebF+&?x1ZY*hfG9zB&5IQAtQx*taFYA z%y{zDHYZ%pE)rEj&js#b+P%c#YjW*P?l8(g;&-r>S*a z$9fb2>x(PL-jzCjq^^d@9d>5|@P5jjR9S*w$F1&US2nawh2_e1mguj!BuLm1!wpSvh;F)#cO8K;oIF4IuVxLP@|tf(_Oj-F~5 zx*8Y#SVoyW308e<(TBNn8>z6pk!Md=Pk9wM^B{5zZ@Qtez@{?-48K;Ew{c3@G!P zutk3ad_V9qrLO?b8HyWW*DKx%`Z~pbfi3zYg#9(*68#bIAnJxt#c$MN)f!7j)k7l#dSw2@NUI{s$QXW22w<$dhp6?Uu z`lx%9&f%=obN<2bc{KUo@GzepJ4+D#sgP%uN3T*k<2}HA|Lu(p9Swp1Eyg$Td4qf| z%&^^{ITROh6)u|Hste))O}I7U$F_uBW4du0#5|+6S@ffsge!@wFFwYe)>iu zeU#1gm8c)n$#;{NoM0jNJ`b81i;FgHQ)oI6FZZVLIcF2WxG0ys17BVj>dQ*d%$PiR z`EG1G%l{T+#q=ptW)7qIHFt9TL7UspGjjLG z-7YrfA?utnHUXIv(ldj>100%#1JnK-S0-(AZ(}r8`Tm@h&-(B2t=z{DEvwBwkTDwD zY8s|3-&y&Gh_C(e&73iB#JAmDTkGQFdtgK+dU-EQhMQ?;nPtXou3BeTguGvNm&!vv zSC`zo#?C7n4Vi|^h?xMG8eDb`KASEZU$HFN?|2Vv8JhvKA6BaL5qL?VNeTO`FBqa8pNpUGM7 zVct_453tOQhjNWxrF7EvzfbRfzg=$mo3Lje1Sw<YOpg#narAF#h~#{3q7V3>aF=l+yHjrq-o zn`y05dL{Caf+zFAdSm&h1GX?^y%7$MYt5J+>$qY1O-7wf5vVc0)o}aq)(vodcE-zo zrp0?cu!RAX?+RQ%pvL^phTE6d0(mTd%H!j0@;(5}FuYeZKSKJrC&UaPuaBs0e1}6o znK7v!`L0n6OH4KR>J`&syfjzgBCf(kvjyq1`hsqGn7-UT`*q;^l7w)7mQ>nEdT2fa z;VBR~1~7Rgz`1?)yYTurAqYYFNAO0#RLZ~ZAD4M@ z%w5MabJtuC&$Z^&f4L(lKt?!^9S?S5&HUayHgC=~=cV`_rmR;FU}Ju~l(w!*=lb=~ zpBR4Oo^kISvA?45+`Y-u6YrFSzCJziKF$e`dFO!=2*AR>Y1Ke-oV}7JWzT)2N4hUW4d6cq<(|lhEmI+!5e(cH)Y3 zKM8&}J3NEuv*E047C546Rwc$=Og+xgzRJisrf4G zsPw;j3?X$M%{%=&>nw1OE{nw7M1*T(!1$HG_>cZ+Rs&Ll5pT{C{NN;dll@EMU8 zZ{Hq|#!CNu+jGyxBe7yG3?!<*T&Fbz5&i0N+dp-ONZBb|rWDFbz z26!X(o)>F`ov=A(<(SY6`%T%;s@`Svj*Koh9BdPD**v0*v-k(YE)U0~kHVI5DDb_& zG7cq1f%O=NasU7`85hGI4IcLGi8lkwI28DL;A*AQUaYtl_A1d0|&z9fLM{5TDkka{*wzIY04*VIVzYF-w#JFg&}Rqfu+u{Kwwz!EbJ8?W|`Vt;AoG&|6}lfjBj^!B9CU`3aG;&u2T1_ zcvkp~@!3K#iXYt*)NSMFo8a~hd1)r$;^WpAAD=%91Bi8$=nYsP&#wa5PaoHRR^mQ0 zeZ0N&WBTUd^5uO4vXUOb;EEi+Z$m%|G&9D>XWox@7CJkuBaD~%vv^s5Eev4rFg$K1 zP-A|LaQpJ=AkWGf(?X#@}mx4fSW+(Xg z+?ZjSXtv|>(^v21mu))zEWO@s3bufcV{kJT7i}-u!E=ar4`|dIP!8qsxy0=Z>k6Qp zb8rNK8k6VV6#Q~C4q=1oYaD&-)Z_X+j*c@?Fs@v59Gx?N#XaU&vRXph){w`ExAk1_ z9namP&ToFn`tv*XjKn(fIKJV)Kz_b?vA~Zft*dz_B{z6lfdBc&bNq;ysCPgzdcpar8`g7j6pg3;w0pd7nb_oT7s| zizczfO5O?C4vttF!qKON@KC~7994)@Wd(66ZgfR^LHt%Y29P2W;^&3J;#Y+d;LAz;+uaS^b%Mem8j zxe`ZLtEZj>&mhM${smyZr6A`md+=ZE@LTyt*H!EleADVG_9@Jdu411`x~^icBt7NA z9!t8eVxLC3u3|rqc#`9pPOPigXD}<3!61_OlooQ}8gbb#5JicU#DEhSB^JYGsw;%8SGw*MO-b4t<&>6V;RXLJc;Nj5P}$E4BDJqE z7!0Q!BHabD34l#1YlQMQlJI`7foLO;S>{i?l>1Dz_uM$Pi>Z20HTXK(0ZWad@&hz_f zNq%h2o#fX;l~QW+^drZ!aL3|8>B6wEoO#Xw>@}zd(O?+2nLaH& zt@7v%&8_RsnL5RB9F2eozoun#W7Dd>6*jqx0jQ~Ps{wpvji3d7uo~H!yV% za!sex@2ErdI|-IiLP{>SD~LQB$m)IkM{mVnqF`}6nw%dSm_*g)vVM9bAH{$CWd0S@ zy#Kk_pyUm=ec;&|S>B)K=j!p9d8ce~E2zUY>KTxz}Rf+IZ9FjVW|gL}LinSvBWRA!9^t08l;y5%g( z)EX%w7M{1Lqa)phn4B7mUbxwk3Sw6*?o)Yb-d?0c5AnCc`oU}spnC*a+LAETKFE5*)`swHlXa%U6}_(x!J{3T1JR3d{T&Toe)-mhh^PL= zWR+!xaxlJs$8onAzI02?0h?}n1CvbmF}RpkmJ#)8m9WjoOIvQSwxPtC3YDoh;aBo9 zpMbQ=MH!}V!z(GoWyBl+!ZhNt_53Zsh0-Z-XNM0`d=Gh~rNyfl*lkI4V?OFycwPWm ziND7_f?}R;&_i!09lOlh{$1dmru++G&sO|BgsoQ04*O!oV<2Djc8KdpVA0zFzXJby z6~hXyRs1dR->A4AJUbP0-t0$;xmofT zia!MVH;VrZo~IP^rT(H~4o{-+W4V1CVYv>Tcq{0m70(AQQ~XKrlq+5hI`{LFpL-A% zDlP#J=jchl7CiNeKMXqgNM8xOMR6nSF2y{jV~67F5Vl+KufTtu;;(^zlVbL!!@d@DPnh5fY0G_9qI>b{Ha}0Qf z;_a}T6h966yA}Tw^53JF)t-Emc_-|TDdyPbTE#cR{*vO`V1HBb`LJ2P8TJO)4=dga z`F~V=0p!Hc118TeL4QK=$?$)c7&c8DeH`*oelam@wgrbNy#nqN6+a9)rzvKh)+y#5 zj`t{LzUA5E9}J&;i|cUk8D=@!8ZNd8MrYhcuTnZ`|EzjbK8I#dgko~_re%1c)WL^s z8;Vf6=0&h4s2jIa%tU&6#+;KtW4-E&kL{m@p%}{BW{L9rDu79@!bP(M>Fb7YrjN}t z{g}RaxO{mx!jpBtjKLK-eD|X6q>Rb)s|EJs9f1g0cNj18XYsP#HQ9i{(~!5$BN%Md zFjZuNnFK*hH|61x2<2@CW|(qZG^Zi5Cs5DL(2rNA+Qv5rW4toZ%oyLLAP}2r1Yf;5 zU^5>yd>0T`;i8$2gi$ur$M%DMmR`HJAO-8e&>y|&U5Gb@gbYI%_E{*e1lK@ZzPv{f zNfl^jEbZ16SligY^+%Nzl{mqJrtduivzQ>5O8M{k{fg39up4dHBc;%F#$UNZbeg5F z&e>Cdmn*`sS?l8WDB{qE+L@R*YqOK*V2&Fa;6(d<@aP((QCP=B8O71g(X^r86t|oQ ziaJGFHP6+AObN~~M5h|xyZ85_qB|eK`{j{Q*hUnOxidhDP_Qg#{7W(N{)Of) zMF&+6s=CcS)-XlAHBA5h=Ec9xH!ljRk3gl1Iknw1Vj5P&NH_bDB^=_p1300Z8`>O1 zrczkD&`8dUO^A*NKRoBtP%;p9qOOF8?Yk9$Ku zjiD;FBgZTnoVhAsEVi$N-CC`y9LxU5qwMwp7QbUX`VEH0D}jB6r@tW6;P z8LnvXN&NTFzL~x))WUfSnX>d{lQYYjIE+Kv9WHA!wHK1Wrrp$DXtC%-MZmHqb!3(` z(F;R)C=WKa!Cw-tiZFaf1-BN&Mq%O8Xk;bS zjUWmrpeWIXj=Aff)DOyrP;H@KUe_dMQVvPJ0wT<;uLTRWP?>c-A&IIg7hOK3l+(=7 z-!c!qNCQNgb*@`LAPBD}F`Ur;$pKjXHta>{J zkiufla1w~G<8pdRdi;IYLQUkeqK1zTzQs{^m-7~N)1v<|Ic}-q;jPP9{wIW9u5j%CgUPM&$bjD4=~ph%~tyDz}1RdVJ}w9wN}d%e+WFH<3ZSKL918# z=Rp@859r%KV|d0@1vR@U&Fpx@hPzHRLpVVj}_ko zdynD;u%A?%fGv6*#LK?3o&R|u=b*o$n4K6Np+)&Kfu;ViKwwz+O{SDH!qAMsMg0ejuZQ?&)iQa^}u}2P`u*D=Wl|#QN&_iQrj%@(oDj|N3SowTzv!Ol~^EPlUD)kr;p!ftit_c z`gnWk$Mns^Wnln=Bs{3oGh=WC2!`ob3Lz;1HRi|m%8$1Zk@QF3a4h8g(uBb_d5t;p zE`U6yoB3cBw0yALV3=}TG;9N@Z#F|eUY%+i-w`PEGSJKz-=!cBo2dbx=+u?3^jSf|rQVSR zCq3-c!H%b-IK3rERJ0-Fh+s&34D4hv{w~5LY1W9!636}pBqv3dDk+wwN^wV@!Hj53 zGl?~(?@9?bfC5*i(oGXlVs#@CvQh2aVF;poV-jtH799Kt7uN@nelBX9EwWvtRh}2 zb4dKNa14{1kxaDz2o5K?gHZ8ikqil}4Z^xU@p~*{!o9+|mVXe=;&fY(cw88Z)8_<< zCkQnKf1C=eW%(p=adCR}+92`N=MaaM>z~N4W%(R4u4VZ`GpcLKrRk+BfXf{I%k97u z9e(u}z>|v8n^y;ky_8u#JiQqy3nEO~bU2Wd!khcqa}53luxCW)GlGu!nsd;7P>G$jh=`wT2en3 zHZ7@NNOrWOUXI4VwvsQ+An{t1wRd5}x&9hm3|Ec1qOsG#xSK1Mz&Rk6M9%l9D;Zk@ zzo()ox7EmkHl9PURuivomKQVT6|^|Dpt;0(3_}fxZ^VD;Hn6iMdF&+Fefbo57?d?E z!-LS@0YO?v)*X46qR#!Qxsh%J3=*p%_aS445Ems@M`j}@uFM(*tD{M3ir(C{5#H$} zqG7&=#3@q*6er#rd2cA-LcuDaQyc|O^!p<0?-pTwB&jdQZs&MH!d&AVe-y`c&hex;Zgh^P#Bqyr{7D=; zo#TH++TB5t_nuKofsAo(#wzXs&V7fuM+JvIlXy1zJGh;g7X+*0#ptu}*b*e!$`Fc- z!-6CWmGxZRW1mSpAdlf;pa+Skqr4#wzZ7L$F4ki$#OPogA3&*YxcQ_HiSsEO$7jN- z<~xPVu3M7Tqa8rvi@HyEM5aoOhww^Vk?s`!gT#AcY=8EpWJSL&rr#fi#CX=CM|C6? z4M)deRHU$w>j?RI-~m|2sCrB!$8?wjZJFbs;T~^chL>v|aV{6Xa6EW8_Kao(7H2Pv zgfgHI3l{OR5VnNHJJgefG8o3Si_ofSV5E~Vj8GvtZJocEW$CTSzPN!Oirb#;WqKW27v2OotxKkUu}~7Ed;|Z%nUmXl=xjIJd+v-3C|X^dQ}|p{Z?MQ|p>@ zgR~q}m_BDsTgS!?tJ750g8Q5`?c#1%f@nA8FH0?qp2J#MW|!Wu8vN(1$%OZ%r8_pH z+ne;5s8HSN>z~nu*}OJL^GM+!P4@L0(RWFw+d;%ByHvZT8`{>j2kA8%H=fl@ruKC$ zo0~H0HhD5K{hQmEI%l!v}Xb>i#0LM@?H1fZFGn9m9Np^A-@OqQ8 z%MyjYezi#7xEWHBV15%gPoc{i$21lUuWrw$k;j@)mRGq;cSB2B^4-ub>mZ%OB@RhL zl)*X31hXY46T4!Za-b_p({4IIZsEC24F~aP=xm+6E+$QLT4b%MZbcn0QE%9Y`{jy( zHKnl`bpeWdU1FMEiwDQ8Ijvbbe{p)*g83_!Enjrnf^=QZx>CqLCrGc?6``3`rY?Vt z4S0Og?NYpQGt%i37u75XShx5Xu~5_PStQg8Jb{vIvUwE_Yr0W+TTye?Y;J4AbItqF zz$Z7orlqN&75#c@oX=@QeU#@Ox3{SY?06zJvlgb$Zr}q!Dk@#`hE1E>n$nv(P*2xx z+StytxOJ^wDe(c>fLa=8g7BfLj*VxfaX>LhYg=U+4CUC!SXR_*Y+Z-C-qe8xqlvOI zDP04~x{cV0pWfWMxxJ}TGKofnY30Lwj+B%JiIK99MZv=1CRoyKY|1vQ_8Xo}$7-A#7-zp$)v~{c^L=3=LF9tNiw@1w z9q|R^ouyXS`)XOdme>NT`A3*Dk;!hPmwDtI3H6_5sE<0*9I)y3A2iICdTOYw3dgsY z@Y`BfPUps{IDm9b4+Y+?cmVpD zmn-Ia*y|LJ2mZR^FGJ>iihm6H!-^k+oIfi56R^!+YyplU4D-7Lw#{EGMqE65mh?32 z35q$Y<~h=&??Tu`iWkCOq4+}ZaL+n<-Vgi3ink&Ds}vs!9?t8K=f6S!y5g5WzeDl! zNdJ!%p9lO)#a-a}o#J;O4Noh+1N2uFe-APTA`Imp4_c{WkOP(%>9fIqjN<1&uTji1 zkYt@Hc$i&)`@0o$e(dLppN0Kf#iPOhv|_IBepNBm zMguVJ!4__vV%3&pG>gVAPF4(s6<#s3X@hvFx||6XF) zG~1Q_7w{vCP#+0d-YLeDLYfSZAkV+h+-0RJ4*5qhipib3T#t%rb95-GfP8G8(SmC`$rK0Z%;@ALLr`sM*!7_zc+J^0>FdjKM|_e0g_67|WIU zU==iZxpS9K7UCVQ^%!gt7iD%TE{9F?Cv>#R9F%|Z@VZoOA>xl~zNec5Hsht)j*GYo z7tQ@%e%S@1pQYF80QsH*Uw`HIh2Pa_n5n!1qRk3Ggf}uY24K8t(D%_ z+-1e|ifR3wyIiP+;GU0(NaK(I>yIBsfAW!;+h#nF?<~a=kU$qK&pc&HQ!njOZk>e8t5nRV_4>p-^kqFAW8PJc6m5o&LR3CP+^fFJ`rs^1Q5uDMprazUxzp4R z+ETj%++>=w_RysiLj-^W9iOGFgkTd_7sq+V*Wj`IX3_3Ei`l?q^$!sD`~UXRHWSP8 zWt%5A+`QrTpA`CQMN^KR=UC(A=b4y07$Ky@-29^FmdQoWT_pP&NJ!!0*ev@_TlwW5 zPcaZhNnoyiK4nOgS!^W8vs_Q2y!1>wLA1?>-RAgv0$A zAK#V4X1I5h_t*^MrRl;&T!o9~3NOEWa_PtP@|lBIXl{gqWo^ddqV2aZli=6|nmn_x zDX#<<9>*+sJXeL!vKf=7@6?Ws{d}iZR`&lp!DXtqapj`lEO`#^)Ixl}cM$d+4GbPC zP43)XI-qlRX+hO)tRdSSC;erd$Mg!m2mA{53%!7SLD(}?`l8w|!NxTqt!F)Ldt1q< zm%#htoZm2S*q?L_&r%xoGWQzobmu{l2jORK8;>)W-WrhqIW*ky9VO#km?Joy@6^ad zr(oOg!;y4;a zVw7HU?7X>%N+Xb1VuwXYl2>gqK9uXW*tiDqVSN4-5C0G;#&q28LJwL0q)f8@37=eM zu*A^|`7dztX|VVZ2GQjV8FRQ*u#7k3r`T*Wiy+cXEgCxdfF}_~FI%OqHVV0{o~=X> zS@bdSt97oW6c@d$&bexxYvtescxYGzk)?xQ7Kb`(u|@ex5Q^i>HEJE+QIbLj&-^OF zmQWB|lpt~}xws(M2-6tY5%P9lbs`*(zSm#HsRW#{PWV&f1^(3dfN-i@ZnvXSm)xGqRf6FItL$y1CcZ5BNy8&`H&2on^G=0HsI{} zP@gV~X{eEQEwHQ8EE=oC#MDMc<&1z{yWZgjqsiP>^tVqC%Knmay(iak1U7{ zJQ+KfhF=`rH1zq!w_kkmlH}~z*~uGX#kVd_&Q5+IIk)S!Z*5&1oru|a3dS5GQpeM2 zT05H8oYgMLIB#QXlSFGD&n1Hpk#?jFjF>#a40O(@!R1nTR9ZN9D)U(ktR6ilOfypR z9IDfCUK%q@l1@OJwY0gTsr{TLOgfQIe9Fp?V03uOrx#Y*xJZ> zyG>R`>9aYV$9oP*8`pAzE1VVWI6KWC0qmYL?IM9uhh?>ST4hGAP9lfN34zYC`V;kb zm)g^t@ZDsW$OD}$?$_)bTSb3vZWbR;HGE>Li_2GWPt)bGkH$ZexU68u0Pbyy-4H1{ zbgXP{y1)8o)jzGiFYhKN$kA3-B=$Wnls43&N8c>ddXU(2pOcLoT#%k;+QX8<$t5J6FZ#EpI{@5Hl?AWbQdT_kbpScJu}2 z0n0cWm~&lY$nRb;!FXc5)f0)q^Aqq)R=fr_^{AwO1$3FW1ik{aYNdY@^u>xVfW1ud z`#?WK@!NsdDLxFiRWZ+MK1cC)fEk`~eHZq2#aDoSsp7f7|EahX_;ZTS1OA#~t~|fP zcwqlX@i$=qQt=mIKdN{f?57of5@BCa{43xBTujfqK^vxc6>QEsSQ#Frbaty|C_V-D zNs2!Udxc_FgEfkor_IE;7aYTMDQ4a2RvtbRGS7)LoDTfoN}mAyKg9YNa*r6(Npn9j zBZ85-!9N&QDNFOq9%ePQ^ISMqHlF?8U)BC*RhI-Qw%b&rm)t#u%6G&oA^dz|0-u1;-k>QgkpYpT`5P6n9)(w+Q5Ar?e z5eyy&KR+K_mOmD}%m=H3u?qhb)EiIId!ta$GbkkjV+&J)s}3_p5Du z=i}y-fo8_|E(d|w%r)SvH3w{_iRQz&{PeB&@;gNRm|nKURtErsPVikzpvK~&?Ik;S zhVg>P*9wA2T&x!?OJ80U>S-%zW=tMmbnCF@Opnd(^W5y?Dl04dJEw60UnDSWySVCQ z6c%B}<@;06zeMp3DqN*|=lq8H715dCyHm#`I!DL%x}xP-E%CjbcsJq97V2Q|%_%w0 z1$@wNj5fN3oq4y?z?W}dSNsL&eg-1$B;v+}vu1u%`r3uRfn1!wy;ssP0cUvQUAT)W zru>=ZIBWYai1#mh_jK`G>`h&xXKsp=i~_Bcx(&oNZV1op)-*GXyk6bwzJ~>jyq56X zZKOT(-7LEQ3LenHu+TSA=M;Wx3mCc-tSxcjM$x8DO681t1>s4fu0{1yOxsLs+|8T+ zoU#wg6lomC?|%iIK>;eelri&;@;vXpcu6VK>dG9l2eNvF_hiDT06H${XF~tM;3=eT zijOs9xqDj)+3^79>>#~{CI1CHhkOX?2=rP(XGJx3U8BCRnHkUU;k;J_dLDj9Eb4SR zt{!E+V^;dw9NhE7<2=`gak31j4aJ#1ijOIFctR1=>-@v~@qRH6uu-p&o~_u`v=imA z6AQ~}cOo4)51sKxT>N}yv|Gevib<($mOW#1r;#E2VDjjo?$#&z4LCE1ZF>-xgMu79 zx)B6{(M3lXOq_5Npi@sJsvZPJGXwp}Ttdb3h@p9kUk_VYM;FYRh&&5xfqX&R3cj?E14 z{2O?Rx+5gw?0qt`dKzPK&yNce@t-lmZ#rPUh0VGr3H0Jl%)A4}V+AS&@Q6xFV+!9>%gh6+nc~QQ_~J3yO<< zU)oUIIn#!s-*4Jb(>qB ze1~Kdrl?IBU;EHpFK47@WKu0U+}Fs4pE+mbBm6JYkx*KQPw)*xn=-OeKTbEwXF-3| zK3X>>TUmQZ&$MA-1VtW-#CYdNjdlBv4zpcV<7`*e;h99w8N+0!e8Q|Ts0>c+`%MTtFKQt_13*sy9^pdM8VHXGyUi7%F6&+urTevFsvx+F8+)oV%&1J)@Vk zQ>M^<_{^x9sGK08_HB(sl5?KBZR^F)CQn!#D@x8vKG}r>)nhdmbv*|~?W~h)w%&eQ z*Ryxre%tNOf_!Rn7N}D$!ink~@mTED_x>5qZIP1~U%V9x+Y`1Xznq-?eDW)clk=ZF zbz2~3QQ<#&Ye5&hZ*;3i+~$IInXM0#g%hRPHewb3*-dSMsIAkR*0eWl*woU59SqoK zkvaRRHNBp@VS3SWQXonw-PGEEtq>@mmQXj`o?hR)Zaw4%a`IJ10F{$j3dy!L2+z*| z3J<+kZ(eg&6V9xHkHqfllR~v|sN~F|Y>@n7?}N&e^$D`WDUa$n%ycU@Vz`_`EvhBa z9)!s4y~1XWmembw&T?6t9;|KKxPkdQz-2X>()N}HY}(@S?JP`p!j^i;J`3v751Ix$ z)HX{|n|2sqBw#+;xg)84N>~7{x@Rl$-LnyvF7?>d2D(CTgT}k9F_RC}GP!dZ^?i7& zM33!n$I09`x#OXpo3*R4xjn32?bu0j4pY0SZR1)u)8NVs%66&a*?Ew}P=;G-V1fvQK*I5;*i|t~>b!t6-?Jt1h{FAbLj@bA) zj%FXs+$R9jaG(`|{(J@O41Y3cf;+>X49;2Xhig1stC%wDJ={&KkI-j4d>ydOkKEwVcayF&58#jY?tD10B={k9C9vKya_f(6<-AV z$BK`Iy+<+2=_$qBYr-~>#YI%@Fa)uuBy4cF0^3=#PWv1f>tbpi=h6fzH9U%pUMoy7VqbAKG;y%%ymq5OOEvU2%vij9fB5k}j(91L@v^R2yz_uHUXeGc z7kQ_EkMWuz-x`YyMDtq+?8nPWTMYu^9jJaxFW*rX1~B+Aorpml=*R05Y8&5PsLjiaN&U$8S;gkXwc9tC12*HOxdxY?zV%37J!niHyCRl8HYr|m z0`7JD8fa!1Ck<`yaR_!HUcRH)+^`%ej}?UX(3iId#33dGeg-E`EuZS=AnnbDB1ns^1X~=l{I1%NFs$Q8^ zGiv~%!O8gVTlMO+qRnd>)~s*BAvK%Z*Jre>wxm_+pz2EB9*MmzIZMt#%2pnRN+i)F z%Aiabvgny0S>(_m3Z{&f$xBt1!Ye1a2Tc(t$&>W={7R&+92Gv((+MjB9iYZB)c$cW z%=Nnmq`OMl&49<5|Z~PXAZubOJ7R~FicaME7 z8s;zDyO&cJScpD}{A`+X&w1Yt8rxy6ALO`;Z8R~*Ha*6INqE9AUh@1eY#A2<^C^>Y zAu!k5$+!^s*PvG`|4(5rQd|q3(-e<~&9RBCVQf@974}(*(c}l`D(2e0PQ_fGw_Wi{ z*q17%yvvF8cFDL9>0uvA%0c_`d~X=f=^kbo+gdy{Jt5D&`l0`*dk%R&%~*i+COAN!CN1~4Gs?F4GfZxY;0LzU8Rha(A3-Y3#|v9H7WWnlp2 z`-vR{YRs<|Zo~AFaiH?hGC%4o{CMv|{os9PynORnyp6yX1~9k*4)*WNm|q$MU*2xW zW4b91)i9K|37BEZanTG%eO+ln;3nk7KA+FWGeXKhGh=*w7KqL40AHm!U^7iLUAX-8 zJ?`a~t0w5j^yc=_`0#ujbTbwgZNFu_3-Rs(P1+XNlxKOjx&o4%@p1%#8k0A9>XiNK zqfMFC?=^2c{FTO)OTK;lx;D$}o3UMC9`G+oWZ8r!rKlf$vwd~Q?AUR)EzLOHo;Qg* z@-{}q*dpD1^|&&fthj$#fSY!g-c{cI6NQ`MGZ77r#ec8;CpUxT^-rLtZgD-Qa9a6o zk#G0aPs)8;QA(bp3H#q|3VSdItxx3d81i4Nn&CY2x37Ossb4)L_Z<6sTvB#}VH5u| zo^Qamni)T72x? z$JbJNuEmdb?!o%|2S#ySKGx@t!aDs?Sg%hJdDpg!Jjg15EY*W?O?oNT%kOgyzkL67 zXTWv!Gq1qfexBXHHS0Hah5HL$;d+1Y$=Y(PFL!I~6G%tTzFhoZrK7wH@-;2kbAYng z#dPggT4cSyu94R=d4>BKkO%H7kTv_3XUP}xzcXHP&m4XqCwskn4eA%4N&K_wOPfGj z%#A`qht6sJ^lP;-_uZSA>vG0iUo#eA>lMV@d15j5y&QB-h3FKDG5b&s+kqe+*O0;z zm*wgL-EwsYPx&-B3*1Wdn*fs>&dXQ`E3squ07E^E^zHZ%twODMyk|3~(3!e$>T=tGg2+OXzl}n> zmqktfLMOk&$ye8w5iAUvmAE1&m%aomdRgO0BaMn8f%s7DXnv4u3`><}EUws@$3eC* z(m853{*Zi3-SKYFByq*+OLxNJ5_cF(%pjKKmc&cw>V7^<;_|E{s%y&#o3mI&_7$ba zNQzt%Ng6d>lQ_Re5*PidKS^BDGl}&~2~7>INH=G6U1^I4m)Of)Qr8w)Oc7b_lD|po z%B8&PuG)M^D!@K;+9;}6M!ju|wS6r&4r_7WRv2L4D!`-0(;^0DRw$=rt@daFu9P0*FWTlJ>_ajsW^{Rnpw?3HcK!iZG`JA|y$(Uc z_0n=sM#d#;rbDOd_wn}3zj(T(X&7NeCfpSRdMdvS)kq|`O*0in}E7#h*Lme1_TVmaK@YVubW-Q}bNp#<0=tUdA z5^D^YR)1BkmZM8&U_RlTLq-;hAT#sgtB#ir2l%@jVJ_`7v1hD&s4vhr&8(@ z>$?A_3em53VN;);m>&ZIuCPPX*}f~uJo|z9ry>s z(xuMgyRiB8CcXx|re}$xbAXphsE-&=oWviD=~meHFx|>~fK9jZL*Q!gQRXjzO}E1G zHQmZrfX`5#ZLsSV-wFP8iqD2^dX@^%P0!K+{9fhZHwG?NJO%cpig$p1rDAreZdN=O z_MM7b!1H6pb+D-uVwy>RLhSDp^cK)x^)T0nlArV=JUmPBEub$a zhWuf`TyIH!J`XKQr~FHl{v7E1<|28Bzv*GFpECL*idhCP5JUcNfvLzL51%it)wH}H zrTCj*nW>oNwm>n+z0M(C0zb;68G$R`9O5jGUPTOkmSH`yHaU$-XWqL!I_ssSd0%sp zvJmbcU_vs5{P0$26Cmv)>zJ9i0_rP?tJGbM#)8iW+X=GM52PMvdOYgMg17L}o`j1{ z2X3jD-uT#-_#(*1b(v<&uL1-=eODkcx8S}qeSGU!`sM*!7{Gu!wKX2WAm0Oq$@d8q zP>Mi}`LW*k@zxG;^OlU4`LlSNfh`PR@ZXU4B!L?9YlPdEw;u9Xu9U~BY4X_aF-$ow zn*V|#;CSTM4E=a@s%?A^;n^z#&5ZG}jU+aMGd_dQm;*NBrP+>)xC$3d2hvv$o9W}& zjeeG1?=}VZgOBZ<8Hh+N z6Uk&}$+#nfl6~sjplb`yfOu)|o>z7hK=1ZloQ<&;IzR7x2+uE$b4H;H6n~!6Fd>0+ z9B}3Z&YFO3&gliYCOMZT=|g;SRtL_>pzh9{#R~ma&Y2Qizs`Gte<^Y;5}}Avoapt} zamDsq$CZp%Jcy)8^B%AKG?VG@^m75@SqiV`L6MB9!b|#GP?Axn!%A*SGSq22HH+Do zpm_#Yq?;@MS!3aG5&og#87jIDb+rr?4b|c+#LuaHBQ;C|v?MN^x`JWYa~N4d={P=g z7zS05rOvU^>4j>kG2;;h%oxpBT#2 z+7GH?ydCMopd0a=!?RT-S=yKV+#+v#+z+um?%WHn9~Rxm$1z!-%={7{8hjA{`>Tk_ zyN$g$XN=N|R>m|iXvt+jg5h`vH`_u-BaMJ6W$p=}mAQB;)GKAT%2xGyDrAzsM?(-P zxCsBz-oO1nAv-L(AFDNU;l(M2s^}iue^}CdX(@6T9JB6 z9P;+2G?`mdmtM5&)bzr+OR8%YEIZKErTtNnu*vn;Nt9XThs|f9x_DBkABpbr6eJ!W zAsa3;{|Gt@G)1A|8(>1{a&Hp$foWI<)Io6UY=->H%>kS4rX08FEOM)vJwfh#1#?-Q z%KNM+lats}rQs;1!@#>GD3o`k>mv?Qyv3tm0BrOdfbGoAoy6=^!fPFR-TUlvz*CKdzs>o!ahTB zC+vE~)ODDSg8r>a9|rnn#hh<39RG!&u`?Y7 zU-`dM`f;%TsF?H@6!SUav=rr&Zu*L|fJc+AWp$+TybboLin+%wt@s?+A5hHlzLFSW zX8~X5;X4%X0-fVE#!DG;9}^(Lu%24H>? zQH=8HWn0O1f^NK>#gx(E8FNkojgLTIe7R$7%6r;`AiTi}V5YSS7tIw&-`((J`gnWk z$Mns^Wnln=;Ya{=TxJZe0KqW*E`Wd(fg1Cxh1-v}zOa8|?QR76wF!f5@)~pGZGk+d zoB80_fN{~y=a*s1anYQEOg?5p;3nkNskZU0!kD}aG&9D>XWa`Pbb@cXF~MfMG~00z zSK*@R^76}J2>mR*c5lho4Zi-2wQoVZDI}z?vGxO~JZ!VgSlTB~J+5MZ#@feLPVe^` z;Tw1pU~H^C8ZCVMuNZ4bFwVv$XJTUQO4ncSD47`FSu*aBVDzm!3c_;}c|IZ5f|Sf$ z?*?N|+JXI72b}@Gd&zSaxwj%bZ_!(yjPGnbTpEWSAf{_caAxOSI17{ONU+vM&Rd)? zAakywtk>Cl;cs{b19bfi{bQ%C$G=N57d6D*#eZRR{}Bu~P|3CFi>*Es z-fRhjNHsG@Q;#dseJNaM#ptmR{}_skav*Y|bG2l-HaTTMWZu-5e$wAD7OwnnnKia7*~;rSaLM=^1tuDo8cXGiw+}yDISc0FjW|ANh3O*$_#Zg zNLD4d{%R{efW8@X#W<{~Y0H`>d^UlIhl^!~zc%7ULfO|1`Sc_=+%CyN?5-dZ8=0JS z@hRJ5Q<66T2fYjbg=;MW@u(EI4JslDY-eE~2baDT|3C_FS3Oi;zWT7wKNwGv)K=u2{Yx zy<}-!x^~%u(h|g4J-2RddTw=fPcbYe@y%B{%+j(2bF0%R?^DvJ zEm~SLmp7nCOv@J3tys1Mtc#W}U$G!3kFs=NbwguAV`E!(ok*`~z(+aq?32hX3NI&h zbC;d80C}FDPwkK!u5Q`f+WfWSl-d>IAyouroXML@Q?4ZPOEIxZW%1{7vOrH} zREDf)fM0vq+|tpb_*l%y;_`#H`Xo0|dV`iPUerr@NabC*VE&4_1-Uo77iCIz&ZN}R zO+B)f`>6I_5f|a{Shj3MZC#EICOaNif`}-iVG5fVo?A!m=H4G&C!6Y;Y_Tr+>zZ1d z_;sDeG^%S_>TAz}T)v<#>wv{v4wmHU%NF%4$6Utn$(uiS{=x+=%)Ght7l-vGe?CmT zkSlIJYN)h^Yz^vAuTNdEAWyTHdqdcEx|ZSZw|1u*5#PL*?g;+Ir#c3*F7@%eXqGeKf*IHccybliMg|!J-2q;l@fDnSUvw?!CTeE zZUDb&vq0VTu!o`J)}(HhS7yyk?@tBZ-n~CJR^NK($f&7+YY^@^F>nZLq67%&s8$Nv~1NupHx(-U+)-F?m)hCeJDl*DEGZqeow_xD$4Z zV)AVAaED^bOyRP%LK8jA+G6@Mjya0($9*2W%fyU_x*+1U7%YhHO?t~gFrIX+K9otG z%i^ks1HKs*UG#9k+;bs%IN6=M~x%&L(7bMRlL^tU08pHTc|*winyz^vck6LZ~k_2TSM!*rfexK&G=5=F^x^DH?PCNm2JT>Yc_7!fOatJGtFz;8gPE^ zewY+^acgt{?sPXSXEQ8&nq2+nEhzj4Kp%;V;pHB|o}g}2J2AV|HjBJ8lW_4~_Qh9` z;VS`@{qdG2x()Mw`uSkI^|;I!ANB3TRqC+=b%6DP`Czr8AM4dAxGb%JK{*_M@CXJM z!q1m?9pqhux<`4;pUFD|*unq?qmc341ZvE$1#ZLidKP>Wm4}x3tpoPsU5L^t1C8;r z`L=jFfh`PRz=QdjUo+-+J_x?N7RW0Hjq>=Im^{v)_-2wu!8GgrVl{sKDUYf7tBCf(k za}&}>*~~9gB4PT50$Uvg3?2pFcL~&3T(q^x5g)!oQy@YaB)u)hg`hpwL`_0vyF@~` zKa)4PvV7Y9=xHmb9y`VH_q`@6Nkue_>1l@}W%=~9+?$tgFW|?JNLP+|^qP~L?1ORU zmc;Qdpx0zyNgBjRcPol^Af1tuIcrCKvm*UBH< z@`I&`43ER$ike(KWJbA&?*&u2h?l<8C3+vr*vI-At3J%t4{$|;uQFr%t2_+%Fip;U z1|t91);8z#Tb-Cair1lv?(uIA8-Po31-M*<`hasZ-m9>5?1-BW#i!!>uy53ZO zS^dp}ulv)1vL>o;-5+z5G5Pk}ag1h$eNxvCbX^eY?oKyP*!16rrq1fOs`J8DpdUf@ z!D0;yqUQMBz9G%=S=QJeJtdw?&OSQVD^cGgdM#k;aK@0Xo$~R-9FW0qJSFS4(809z zW%r@~G)?IzfIeF>&l#^)yb$z7ieG_!n&M{>_MM8yfTvOMRty}P6?X%lt9U&4MX!Z) z^2=vimHs5~2NmxH|7D72BMqNW{6pBxC)2+e_NNtdo!a$^Io&CGE%0y+ndr5Ev2We! zwSZ~v#6>wgQ(g30!0SQ#vC`iG`p*<^g`5W!w0Pi0d(>zYq9H!?6EP@fSgV zQSlM5UsJpuGDWWiIb81}dM#k;2}Q33d?e_i*8=_$=%UvG=4l0@*8=_%!u@$E}MR zr@3|QMpNlDtX|#Lbas|9r{N9K0;P~26i1d0$nS@vh{WXTfbKzgu(jdy$MEE%o9zqT zD1I^ZYMVtr8pgp#vM)Y9mlg&vsK-T}qZxx0ATSM8>hS>5w-Wc7>ErFS^vwgdFl2oh z4n8kt%x?tmqfiU1I>)_QD;MJW)k@9-9Q@SrP+>)xC$3d zotIy#7U*Z`wR=mx7Vup~pvK~&jiL=rJ>q=^uD;f|)uJ%irkXK%lm9<^-vVG|QTG3y z>z-woWw|Viin`c}n8C{C*abG0UD}I)S+0r+XWH01jP-DxIKS7O$t?TFy62O9WX2oeUb8*$ zMzQD2czMq9t8SkeWj*oTyPqnL;!I+!*Mscpv3N|+CC*(d_d4$H4cy=E`?hbrU`qt+ z?IP4=g>)mhmtia;(xlA+F5wmWEW|2Pi{knfm zQj3=Iqwe6K(?d9fpM6I?h)}gcCF05WSLk$$?UM1k;3yzPcw^^yX1&KTIV1XJS&K8F&(8w0llRnhpD$*+)o+0Rtprb= zD4S^=o<8LpY#DGC^&_g?n__pwl9$%X1IhT`2l+rUdec0{0b*CE}S4vG9vH5 z1^=QKlB&=o3~wqYc7$GG-n>ue?Wg-O^S(FqCPF|oCHVc4`Lj5@;9md_ynh60Kf(w# zF9bV?HciKUmoCK~$|<~8?1p7a{=vLS*}$BTAC0}6p>-Kr7Gp(2VFFK zMmAlHs9lKpE;bE+mUF2Vva_A5U4|h+>M~Z9J}lwu5WGyO9aq4yC)q1%s=w>fUl5w0 zbQJe*Lec_v!fq;ivt?oSX3IXdH?hd}CKiK1w?R%ubbT{YSnRW;6c#%yO}AB+W-IQl z^!88YD!R(qT{+5IRhkvepv>}9C)+I>#-bjI3nd1_5^~1cYr3YOW<&$7$n93ZyJX73 zww9&stLs`D7DDQQgH2a0t4lXEwl^#sJ9*UDg>qtGLw(!A#f{Aimo;}RTz>SL$rIBP ztBzjT*t~4@_;HToXaq#~B~2amkP)oK%<5>$JVD8ze7dmQAhiYJ-TFoxD%kF%#A$EE zsRyZ33i4mvF$F^Af!ac+oh6Ktpc5^C6BX03v{ANaCRirAR&`1wT3MDleqD6L!01T) z4_X&1P2HCITB?J8Sfm??q|?h9>(lMQYG}V|2$nRpU|nN)%x{)NH!J_$tgUNnPcLq2 zS+b(do$kwf$ypWW>t+UbV{;3%XhCI@+)VZ=H{2bLid|ZdQ$gyMAx6wyuRu;)mM+Dw z%XOMX02gBFzz#`RZL9E*$A*YHx*TnMh^m6DWvp^5S(Ii@ennTj?ng$c?*Px!g3i zENO4T*_`@V+UpxyTO}@c%r63?Mg$Dzssm$Uc-pT1Xl!jkQ(HsBieRZ!&Q_jcjle4< zJE)Ba-J2b&ZfxT3SslUmPR$P3H6<0bJiGU*n4I2wPw_#;HBUfg*Ml`BS>vYjh1Xj{ z=$-Rx@tKV_E;RchBh+Dsd>DDAt#4v7y3J7D3LRaCW}+7viC<==@H;xk|Ck*247gdg zEVIn|z^sPJuTeP3wWF!6aeUy_aaWtj1rbU^T^r6Ea`nD36OD|8<2t`xjkIhpYYnjN zS)B)LI=Pm6JWU=C^JzRAJ)Ublp3NT5R$>+e413s2m*W)9*)m=AkB4fRSpXN zf53mD@-K#coZ^2VEX$B_u7W*F@eIT@SMgcE=O{iD{EHM*uCPoo<&n*bC&6x0d?3Qg zK3l|3x!VS%e-(6=KhveT2^aCRi05|2p8(Gm#YX`DL@_(Tzf$}S*xMBk1JARH4?sL3 zn?#&YNFTpcLG3yJT7wOl-o}u^z@XS;E1Msl> zL!KXk-lX_rz-ts=1ACp~Ghtt)_@@ZFNpT$awOR46LBB(B2jbbHcsuA1C|(5mBZ_B( zzC-adz;cEu@>5G1_?S1!Sj!dj%+hx$UI6~liU$EtQ~Vh0(-eOS^m7z{4|aoM*8LAE zW||*X%vW`TVxB+yUy4(p-=z2j*k4in3T)9?hI~>U_XDN>8TR9fN5Q6>_i_{BiJ`oR zxnJ*3ig~^#*Pzls^-H3b;lwpYy52pzYxOD*a;MWr|n8uS4;- zf!8VS3;b!tn}Fs0BtYP|{hPJk-~Z2Qb5o!9{Z`3X^-t&Crimr`pE%T?|_*K{I1~SAallW(W9~7c+4M z{9X`Ot9v8z$GDk4wlVax{Ce35wt}xWGU*uzT!D;$JzNi23QTE}AK!A+Kem%*Ebr{L zNJm&tBIrpbT{YpDUa#ld$s2^Jl3$fc%f4&Kq%oZ~W&JUaP{;o8nA^)NdzX>1xUBE% zsxQpx`bSth!@!gEmSb=9;8ai=hn%_`bS6oC{-*bgd$?`Cbs5eU;Q0c>A>*c94!QHl z;HuK$C2&L6*@D<-O0mW~)N@w$+I`!S=%CQ=+I)T}e2E8p#LEjiONSR~yy}MDf1Ih{ z&Qst$N_=?9w!VTr-HaRU?bc@;E{7Yk5k5l6KiyOAzXW}y&LM(%PC$+vdO9Aot8a06 ztgKI=nWs;BzsjLiwv1Cp;};?&J24>6w<1Z3^!#EX8Wk8n{!5^;0Si*`>Yu@41r6dS z5-OfX91jw4N>?RRV#*VM5^6-^v<0{m36+>l4#lUhCyS%c*$Nidb4;A^D6kWaCg$!0 zcB0Y5ne0J0(P(1cL|`WxP0W8UaD|KKES_p^qS3^(4G7(bqrOB9p(cD1w;DLnXhKD! ziTK0tPQ)o2cQl=N-7XSnIs&KrJDR%wZRa zW-6}8rbL`ciRd)?0YaJ~$WUYx`-xJ)od;#4tqmUx%(be2UF$Nqm;$x^V>A_Q;=S>J z2B@)%u4HtOy2{uydJ`iK1~GLsDu{nN&xudq91A0e+B@+}UdEa;m<4_;yvtpPWXBjd z_CiwXgrg!Or4Tdegrfz%0JR56X|`OnC?gjwc5>0a1F?;sTK7vv>3;ew<^Li$?Yfix zlhY31+Sk2PP1i>g;3|hfWdYMc!Q~202b*lSd>9LPC@%N7?L+5=6ZE6uq)k+m4|S2C zh7wMZYpOgjBkjx}Z;31^JNXtnZO4xnZRAP1x{qw zjfOgrv4*nUjJ!}{XIj~^X9)iwH5X6S@9#v_ymyFPY4H(C(e9(zwRd7a>Hqn1ze;(9 z+z%U5to3vIf%^{4lH~b(Y*~Gs|IwY9eZyXK0>K)ZeUbUemjN*_Z*Papk7Fw{{EkS| zt^~U6YeN#R!E! zXpk={W-+WPcQlL3#otd0lw)Yae73f}a5*rJr4h3VzeOB8z_jD)qxg2KLPqO#pl6pRy-Q?4#i7=*C}SPY*hR`&~H%u zeb`@CJOlQ(6%T^_KZ;5FrQ!*&w<%7*epd03uy-mx5Am~y&3w{OhDv-l?4gQp1D$eH z(r*E-Qv5F9YQ@$ucYFpP)sonnDJzp=o~Yc(#K+mXNCtY7>&0*&+%FgPD^ z7-oF0;f1RpP-A{`;P%ty{y?^oEEnD%{a7xIxGW4{a1iPu*Fl>xzj_e-_$m+|!!tfq z_b@)TGYm5Z7fl)3OsmIq(~noD+Qv5w?PDcqW{mF&5Qxpp0N)~Wz-FFkHsJE}Hxl_{ z+{_-=4w2l(B{Q7I%(7y)VU8K`%U7`94JDtKMaBVZ#ac$(F;cnAbC1`D>5= zXHcg;YgROlwF?E>Zed3uF<3^2}Flxfs zAkrD>ACxBNCu6CDQirDo@?!+UcUm(dZvK=ivif5-$?Q+6_dZ*(tXj)D=W<{X#uOdT!e7D9y#F*m~-x>0{JWn(-?G0EjGD`L& zWP4ay=ksfAXzyrk4n}z)np@ft+bB)Q^JrMp*luKR>x0u~;Su&>FNAK7Kq>Xk@cYj; zR*OAre8L#4{T-&*+J4tolEWF}I|5Frhf42`ZzTMClkUtse5@yC?5(c>fw)>do;Y!uB+91oq>rl~^)A^rQI-F2i(p$M>T=d@C40jm5DQZa;rRkiSaMc)xtjEq}iOwlILf zf8%N=P-A`^+xYRZ&u;Ot-Lv?f0=6)W;wU(DC`o7N_lk!}uLj>30yWmuy$H9T?jod1 zKHdl8wRE|T!B2M;93$Xw#^OtX?#Fi(;^Q-Be1mXVe7XIiN5FTGmw-Xq{+H}p5Gru7 zu3EXUezQ&>iqP;3sG~zyChw!GCXTQ0Oi%ry@e_LAFY44by-Ly@fnL$TAa@>2_9u+R zIn$V*;v5y{l*(Q|VOvem8OU7MYrAE>YYNV_9zKZsIyzBin5%l-I|~T=E;95Jw!eB+ zssg%+`^R|>5O}%w19Mk6Hwb5NGcD*#=6Tjhyoj9p!u(pPq=k7nq+3c|#mVg_$ov-P ztq%F^35bK|ck3D6IdfPSqx|_C@yo}P^W`T6=B=5-ve_%9;LZX{x)~hK6?i9Gry`U7 z7ZiCQktrW1yTix3h6SS{Wbuy|;Wx&4D>hX@^iOoV$&|<(!iRB1HZ|Zb*{2U8a@aLy zGo0&evSXs7Utye#>J`|`HXN7Dn~ouAl^|2 zf|s=d94bm>g2)NXOTtz3J?IR^+ZfBJ58k_DlCzhM@BJA)nW>Jf<=viwU{Md8y)3*m z_rT)*cDON4=NUVYAqM&^C?A{TD6<9ZgZ+6BhR8ef!AIJixdk3kSsKvoU?qD-By_3V z5xq_A^SDLcItt6Xpc~II%8bM?sSzvTnZ=h!PF)A(&evRU>g-vuJ{SEXI(0CpzYK(W z>jf9xeNS!ehFQ3?NJK>8p|ITgS(;pU%&su>MueYx*oz#490rux3;79C+;0;Mc~)5a zGblEU#Uf#>9$pssNB-JLzp_S~a|U6C>Db2+o+W5S8qQzjjwCbBnL(%sxmPm?YzMlV zKVa3&nisfZf6WU>MPp?X)ALx+dbh@JUw3o!Ynw4$$AatuWlYaw!BW{=Bi|HhFrVMe zel`uuWB|W5IS&T!mr~5OH_!EHi=>Hmvh6;K7a%U9F9bF^@7Bgzd_Ek%b;4$|Ox(&= zSTW`3l*gKUeHgKR_eU#F8F)n2E?*gywF7gIU!y#a!JehK9`;-bHp`v#ov^nl zrtJGEVjZvkL+Rv^J^0{H0}lfqc?JQ?+E&Gk-Dc9@xS#j_ru=%LHo7kMF-9-;Icm?Hlvwk5M-i!o|cZRG#Zrr_lThmrd}+4C4Lj=>o6Wu*0TPFkSx zY}=Y(OHfX}Ymo=6&HRy&bV!- z+l_)_XB|$z<8kl(u)i)Q<6rqY#}<%FTCvzU^bh)96o#siQ0*3~hCVLT$(c~6NGQsf zyrXnk%8^aa<1HSD^z0@U&d#I_+A}pxm;o6{qE%&J?3pSF;O&<&8`wdi9KQ z-Y^ZN;F0&djL6wOi}Qd8TsU7BRgkhRntEK3^l~JA;KAZqBitk(aD)&R9yAViR2QBZC z;LQ8-B#2CACpcRZY(ivNK9M^0nPboI3O)(K0Tnm1Jso%H%Luq;v;PFy+djbG zmOgW!lU(RwN0`?0_qPwqBu*g%S6_O&-#sA&ean5J1=o8HF}cP8Cf7JHp#lyZ4m@@s z^EA{CgvVi$jzdh+kyo%`4HO=dXsn9LJoDZPGTcJWJaP?BOBHWz?x zpIBeUQ^CrvhHq(2NwuB@6I&kXMk6HHLbEUHKEuEOJ9B4y#ArCx~?-A%oRFt3MXN7|c#<#d33hwx=5( z!EjIaa$uwLvuS(1?+5ND-$!?LfZ>NdI^`TjpX_1o^E5h#BR0R!Izk!Jr(tt^LwqxM zIaVS588AKt;aa9)#QK;-&IFzpKodC=@CfkBnoi*Jfon7#OyM{=6X=|yovZY#V4tJ- z!>|`AraJItidVpHR*Xj$o@dN`*&=5`oU4H^R-Qv)U!nMP(63WG0QR>Ob6oj7#Z>C^ zQ^liTbFP&4&U<>wqd%{BChRD}kca#witmHX`zQSf(8nuI!>&>M2hiW|@laMqe)4dZ zMbd?R0WtblG+bkAX?;mC^So6t)A|)L_(uXi>ET_9uLXS|{K-Rnw1>G}$LMD&-T|JK zigy98^YCXq{1p#xRm^p&vVR%wdSLE(wtIX-F`^6(h&ejtaZ)D}q`LRi?tL@dhS}dX z87KNQA$?D>G!dQU7pOVO*FMP4-U?w^1?~sMkR`KX8)XK47crEn5m$=~Yjz=!Sp&>> zm+bW8J3U<8C}J^pcyW8HE7#0`d+F7MCMZ3MP3fWb!)-+Yf?uwKJd zlL=-E;$yioK8|TEz7Al98H0=F{~*J0^J&gaKVF?`8{Z>%=PN-oV|-VDKx}3g_^QkS zo9WVQz(rh*i{=6EegVV$F~8np3UF2CNCS3W%B^%6lXnKD(F}nbIY;QCrNkvn5sv37>#0LT0)OVsfq>ju`{jsS(E=9>_ zA6wy%0XaxZxG|vgVcfHDcY{R4)Z#Z9oQ&U|M^l-hjywT~CbGvujGxbl6NdY(+wRYp z?s1^Nl=BQ>Fm3Jky1PyrhaLV*XPjO0u8i4z|J>fV7Rf$N&Gx42EzisUvG;L$ z+25S1cnjptaWwAueH_Jwb$*_9A6J}d6Kza{IHLK;?C-e_`&E$GYl88)lUCAMStVt^YQx_ z-SLgg@bOb4-#x#k8y*KgO?Quc$15M>qM3|qy&(5v`8x(q#$D~m!PB^*M}Rps!e>c8 z*3VOMSs1`z3$AKhX3UTE&9L~vf#y9l=C=S8Kiw^GFde4LH`LN?0=6)KvL|s4fg1B$ z12^#)rBh@($voAMPS#bQ?*=qCl^TW?{dn;`v}X$#Jb(;cPN0T7G_?H|i{pUjK;y&V ztHS#r<~{lG-2ul~(9BqT(v-B-wYPJ_2qv<6>N`xR8dufZ`L4;AVQ=>J|AL=7MmE9uZ=$vEKY_G-O~wA_jJP3J)Q7$PbY@GgisvqBJ5$?@auF>Cp_KL2~YQQ!qYvS z@N`cnJl)d?Pxo}f(>7GtzbWay}x~B^=x~B^~-O~kKbx)@}-P5Uz z?&*}LdphOmo=$nXr&FHp>6E8?I_2q}PI}PR7Ur7%F{ia@^nw9y3;+K z`p?ikJ%`8W(y;3j*_0IBDr|;>=-k6;!Q6%`vWaKGu&q}Q)1NYrimGE*-*Ew^i{Lk( zBB$8ZcV6IRtc9XLx{QeoqJL!i5=4`XpNU^b5EUw-Vfq5l25Yd6b~yYYQV-L2zJip0 zo`z|i*UM3$QkrjeP8F#1pl&)d*5gR8tBz??qB3|G3v(zg_eEu4Ib#hpUCZz&qQOA? zb{PqVQ)XGJQkMJ^RZnM5DHJUS8AU3M?wc+;Si(s;)E}Fl15~xsK^-VjK7$~|dt<$c~>`xKJ zc@3;qSxQ_&{X43JH`L*>f0R#)79Xh-rh(eiJDt%B0*;L4X_fWMmm?G+4XrE?KMDteZovzeYiWWaOz0p6zuTM=$t`wDBU7<5wI-u* zM%sX^y5+PuF)q_5!!fI2K3~%r-7-zUrdx*jHr+B?h;^Le%SMVp=X?(3 z{_KAc{{Xhge1N&eNn}1cxEw|v=~-v=$xv3qvSHX6u#Z#x2*TDVJ`46N#YZ8oxr&bg zK1Xo^{EHMf!d|ABU)^TK2g7bt{5tq$?h)x;2igXue+F@GR17P)N%7CYf4kyV@N7}s z2lyw7p8@`r;*Z1Lt{96zLftaEL3>&0*T9x}NaW!g;4vM~mw-M5>9I_v16L}hu3=dR z5Bg%zIVVh>)v#wMJ`6nb6yF4%MT&0)y-D#0fY&H)fxS-gk+82)ycuCPDgHh9H!G%$ zj&o5=mvfC<6yF2-1B$7Ko6nCt9H;M4yc+ZfF4DPPn)8ChyjM|X2s{`x)A3CCPd**b zTflRghHVAUIf}mkyFu}*pqq|ork_v8^Y6e*6@tt|3j8-Ieh9YAi-P`ZV3s?1J_Y*+ zitmRlbEKg62R0qg=OZ4|@%$&GYdW46g69P^Yc>}eN1upsa-O(^82)T84p#bfxQ{Um z`b@>of!}mIe;hm?P&((KI~7wuki4h-fnl3q=~jTxFuVDbf6}n6Fgo*L^lGJ(wkKKW z|IhQZY$ME|2*pr_N?a{2*pTBa0%kjhA{0Nif5X*{M=NG1Jw0R2k)W}T^~A@v(84ep z#>aK^X3URrH$Q(jB7d6^IrGP+ntsgRG+Y)2F!&-o5A_HJDLXezz8|3OR1l~!zd3OG z>CQlctUFAX_h;#{4Yn|V!BdEDg-0-0uVJdm1hWVcG2e_2uSXbP2Qb5o!9{Zh68k&q zxf%NL>QvkKrlEdUf@a3}t^k49%yRH8G6!tl2Mxaq#MQWHcr+8^X8zbGp`Yc~o-Ihh zCNNx2pvKao?PWW-AL+79r&tq@P~t0vU5Lw%ZzmF&0h$?$k9~s`jZICe%2{NsV<(Rr zcg*LmBrg(V{g19yDkBlE%&{ne)Aqm;+@$4rZhYx?tSOpgyBh- zXW7@l{Sc7zZpD6!r10dI_r8u4Ts@4-<7Pbz4L{~Iy_grzI~g8}CpcI&zqrhIMN^WV z#wi#&eqBQHd7_SAPLJSjk@Q$X8rI;*rYC?3R$;xp^S}=E0?a6fu6DK$L3BI=LH}=1 z$cXulL-zDH{3hazz!7Fk%zIcQj(5)%i6h#0HyU#@>U#Ge`6 zn_$F^e)r#sg{}u{`HL7_YLWq6^qW1h$|#K8$F&6Ew(j06D&YI;ujj2~B~iovRd2@J zeA8-5GUq(SO1bivCqnUSlx?(y5Ms}37W}UbzddpJt}!t4_I8Z950sp{AAS?rUo}HF z%a3_;-_J;Jq4?pbTM?C(=Jo+{d?@-M9ecHp%diXgHzv$teikXL99%iSF<;*zwRWw{ocPSWQ zI4<6kyn=l?_TM=ES^~RFG4%#@C$AWxJWs-=Y=>dzz&6=Me}p|w>A!$oqxf9dvlMeo zF;_9HPgJXf2*J@leI}rIu zcrt%`8Z%NZa)X3$KNjD7I1SUM8X2u1P-A|4PyBRCQTJGPm@e;+e#N*NaakC^U=iZG znm~>D)x+(_Hv;i7JmUil(Q5%Q{z^4BtOlENwFiZaif;%;Tz|xGJkB7FAhZ2@F|Ti@L?^l#dL?@p zag|IdI<{!0Xyn2oZGY?QUXHlzaqza}M)R+*?)`{MUX9+3xZaBq*XqG9^gOyk<=f*b z=d7`qKZerGg#MY4*4sX;LZ`W{oyxTSoyJ$ys6{m;rQw|BqHaf5+24Y*!wl&ls0BeSKV4A+J-xxQdsQ!nW5X}IIDrfb9A-BW{4tM#Ry4?0 zC#_AgI5H9s#KAcG^}`Pg`v-DvQS5kr^0 zz4l|JKMx*$o25SwOe6hy;8%caa52uuVY6>dyb!kZ=YhGF=NzT~1ok4uEwH6;51tBO z>DvR31D3u$Fz4%-Z^ron*q18ioF@A}*2n&w(%H|vP3in7+^zKIVE<0>8rXkT{AbwG zx7Tkoi_+rk(zn-Y(WP&%^lA;uboah*zpS}qls^7ZtSI}mO5Rh{j5r#CQEu5hG*Abl zmb9$ocRefKrL8=OeJ@M}cv1A>8^*qj|CMn)gRcGkg(&1P;AOvz;mLTx;lgX03;2kVX9 z2j4vlL)ItY$Tu!vefRl35)Fb122f*ui{bXuy|ci*_e__swWa$ZU<(5nd=~M2m_UvB zoeQ@g--C#c<lFXnROImV7=vu#s1 zS$iCnh*LUcc1P!Pbap4gw^@ez7yuA1#}(Q1WBiIwWI7?|ZQ5IcNR4x}7eHrf5IM=Y z*5E8pwkz`4jY=fzB$7V(#pDkC9IVQ~2^lys11DwRm-K*xIsE`efW!noi@(neFdLnIjc6SoZKl#seXV_0ZDl5-`s$Q{!!I z@$zL&Q@X8TNqb{U^Zr{9zq17Pf=I%%SFOeIaFF~DYH;mvbJ z>;DPkE9UF%n7=w0=`wBJGsj26VVmK1d6GF`(|u}=JDuZh&v9Rt4f`^`%DPG=L@ym(ClYj2lV!nI2lfyZqg(nh&A zG7~{o<6(ibh|GWelDZ|!8_*7RpdGBkgf@wdxkQ=gGkwn-2bjL+PGHmX!)I)Ie%6yN z1?c7i`zXE&bQ`;FBo8}!FxTR;b+?;aeH3p2E*gv$Fw-J5_fSIoZmCy2GPeUs98e-A1C zF8C=-CC_r$zgIe};4g{~gS}Jn55X_Gu8|g>zvv(Wt^)l4T+G9A*p-T#z{B$}NuK~b zMe)V3GJ;Q$8;NUSs1{e z67gL@pvL^_;r8R(i1-+u@u6CW@pS+*%otoW-#~o*v>wwv;ME)2z^M~<8m_NF$4gdxZf{$Z+GnN)@FWUk2S6>Apn{s_>O?ne_y-f%YE*w zr+nAVSbVho=g#=5aWTIv)$X2qJNzsTzz~m~FlJnZXL@?>lkKRfUOe}QH9>dJBk&#; z?7y+qJ`P2)2T{wYX{fn9-Pcg5w)UCUm>I()264|ShF#>%w2)qMip_|%U-ycBEoiK+XfptE#% zVYVBx+3n?TT(G@-*9qHko?I#Q@Kf)}y2tO6v*MC?7+arlnCHfo6u9B1td)m*%Kevw zYdjd1Jo(mo%*LzAwiUnfsM)s&4L6z5^g_m(SFoDBUS5)km7d55op3dQo#;YM#xKt# zBb4}^D?mxcZ^mx{J%yS$a-_lJ@%I6;>IJD}^$u99ib3*3LdDaF1Jp zFI|PhXI%wc=@Kq3n05nV>VqBEK|u|nCVbKtfa5N}$sCZSko7;qPR754UnzltQ<&)@ z`tmbWEI-U}v5esO1NK|tm5hJeIGJ(b1aX@Yc?T}|M=dzK|6$&|shrs1JqT1uocHOx z{dC8h_tMat2mza5vHu1**O*u)UK}5G-rVshFK}cIH(=6mkVS1COp22Z^0nzUEi-5v@G%zDw4nj?=v@p$-VAdZT6O(zMgJuvB#~G&iil{6-^G zwu^!jxy!&QUU1t)cpGfT!rOte1yvy7?hwJw>2J z-P%xx5ZG{oyfvKL*n)jGZaaUN7m0R1Z=T7@c4i(Ui_8pUYa&2-wgpaoMK~{#3Ct1@ z#Uo(D{L->EZ@Q!VnT;h)Eo}{Qi%>+uB>50UW5zxXA$m1ZVE@3M%cx|fRKsT4o2OXl zPgD4OY_qe@TD-^SwAh@D)*v)Jk3-}Y3HdqhkZ%qs%-h>BpTY5j8GfP4%mJJ3!*krD z;AUP~Mx6hs%JG*cZLLk$isov2sPe+Suw=70nrZg5U#AH?rt6{|*ktZ&fKBGUgFNVu z(r}EPE7xYXg<}iKUfFr!eG~s0540z_Hb2uQ*KPzhx%PDkdz{8|73>UodM4`twM6?3d|k>aldU#a*u;Ejse{rIxt{{{V9ihl)ri{evZ zKcILR>_-&;5cV^Qr@?+nF^kc3TJT;?u6-HeKM)u5Ni$sWy|Bj_2A$^yk>~Tkrz#!; ze3s$|ffp-g?~(c|$ln0_QpHDs=NiS7xAC6IvjOy#=o1nD4>0vP5T6Zy(ft574Rvvl z&UEh~#>IO46QvIaF2n0do^8Ox6_aPI;vXZ~k|`Hcnk^LG{Uw*b$H`Qtl5Kjv>5E=3QOr%hV^wd zdYh)lb~Jivqd(AIw=CVkwL?cEMfaHUh+ocUBry9&{9<|voaiMUzaSGcU$!86{MGoC z<~9|r{x&eGC&(ufDxO9h#~zrE!WJn?yoO)v7-|4+lpkJM^&8prERYm^WWJ8Noo0;K zWem!(Fv}&XgEwRzyMk| z)o=iv@<+)PAQbw;W491aRbP55*|-p{D0g97u}>gJUd;#ggn64c;)=@p_AUco748S& z+BVU1nQ>*bPs(c)9(-WRBk{6I9}ojMH;$yMxrJ)=T3T~PmcIxhuYNREd}^%ksjajg1+)>&*e1 z?&ci#Q8{k*9n6q_l{sM3Embl1RSI7L0;#82H5Ug)rW>1~#evkewQU(kV@tZV0Wwo8 z91YU-El}Or(h9*2P`#i!oGyiMP6Q$1QPX=k6j_O*Wu>XWRU{u2*MI4wc!WnE32gK+ z9-ZH7qfZ8ov!f3)6<3@O3#J;Et;MPVwzXI@fNlL%EwJfuoI@<~W7iM1{ZV`twnmHP z8s`8NW(}^mZYX2cbIW4HzEtr= zpnpQ~$6?Eq0{H(6^e-#@aNv6t9}D|Oin;FM=fwEV&^(TdY1M%K7saDs?^OI=*zE4u z*&&6*;J*!Y^vQx^eMan4lFohGBZ;M=XUiK%vUKIXG!LvU+#5#2cFuVAe*EVSC~@l` zv-U{+i>%mk?-`26_1$3e-C;XrCaxfNJh-j^`V8R5*OlSP$08f9ZW{~S4!3W}OEVHz z3Rh2jV>5j61R0+`8X%W0?Mb*8w?0DIqoq}W-jYAsHMlGfbaNtbtVb|-0_eP_YFsqS zky+M%-Y;%Fyx%#%76veQ4vxDB)R^BAxDC_m%gAU2fg1CBKiq!0FQRwMI>>a{3|Ki+ zR%KxTgC8Tln+epIUn|^xd~8ctevFT{#dk3ZG7AeQwf?G zB?Ec+0F8jElA1RF291wlQn1)4N|FZBKC_kr&mSLP1D1f|3tOm(=Yz{wx ze3gRO+-pL3CaFP+Is6%}LjGV)kQ;dRNySck2?Vt3pvu|tis#(`3I>Sre*EOn40>7jhEIM)71RCr3YqSW}uptSKE!TG08YG^* z1!-ux{)PNnmM`+gwJcw1OrR`Xo_1afTOXm3!I}Y!Z%}~a}1UU>Vq<-%@Bj-hxa#lArc)-ItupP@PhP-Jc+xb z^l{8RH!HM?a2as+{A; z;xpMf9*9;WjF!~TqkJp1q#hJDEu4qMXNC*&i|EM+qb2oAVbhZOmE4Y&)GN^#*cR}^ z5+q)avi8o1IM*A|S#Z^=D;hf+jE{-XB}f#+Qn>SN>Pp3y!0*{8%55=jL6yezi?c>b zLG#AEf)=NgW54({@H&8@`o&xDTecnvcjRS? zI`=Mf;|>upNGyy{ueN+*iW7??$Kg&~nbiwcM}yQ9eYi^_e9|c-!~5nK6J{LyLLej-Siq;hfpgBC~fy8g3SE8|ZY>!2yE8rZzlLw_acwD%& zdOZFXGtZ9DkMA?hjIY_DoU= z6UM_+&kHU_hmA(S`{4pJ;s)^l|aeJ=5c zyoLvZ9wh!2UTc7CFYn<3SGA_d+-s8-BPZCMc#E>-xfn_VIT|UPw)UK@U-Ct8c6q`I=kR zGj3mHzUh^Vn;M$AZ5&IX(oGYq>e7olmfAY2bb9rY2&+b^ve3WwLyBBWC7t33*xd^TB{}_op#8?!L35XG_=aKE^BCBf?!L|ZO5Gk z>1MgV+S8}cO3yuM`uw?P&OGa+^gNdUcC!PVJhS$sK+gr@Vk_)>Z=h2%0ogq7C%v?z z8Qje)u~D@JU@4xNERjmfd9&%I&5&v$m#$=)eQdT}>t+nXl~>@x!~_@{TNdM&6$61N z!BHU@x=3k3|cTrGR%*?k>OsLLTs>EEu03>zL+eHSUK+xN>o;_s%ToXl=#InqGp3 zv8<&PHLbBNy<|;Yp2?;(o<>7mGsXZ`F&N#n#%1zw(T1=NtgKsO`ADyAs6(xTCZ+b4 z6=`UP05?`B;%V@HSl?N#*2-Ivo?i>i;Np)eq!U5nzjA-7XGqu8BY8A$`~g}G@%_rh zT0rUgwwCmAWDSct)2k-nX80UjZncO}fB61bp`rZi$Smz0W0RJ_qU(@H?V6stHYl11 z8-+Iaj#8asZ)T_1=ggj;s_?!*?2TY;q3TJfH8lxAZ+N_D1fq2hP0w>#?z?CKdfwZF z4w`3TPCOD)y3S>x7vUM6JMJ6KZQ(;MhGoFHr(v*(&8>4k{s%0(2?<@=CLt_yX?lxU z?%d-rHtu9}#1kP{llcr_rr%{g1ARG~(YVNeD~f5N;=576$0_EyMm37p1J6?Ye&D%^ zKMGssFc8mEpf6JTUlGqT#a}>vniX#ZPn+Ts!SfNtb+9)mJ`6k?6`zZ+n-wpI{Y}L@ z^XDPOpN9Ro;y=UY#rvX+_GQK2Lp)KWCuN1O{S*&Cxyd>`@IL?^S*Hg)2lzPU`7Q8F z#hbxDU-4O>b3Z!cd@t~oiqC|7z2eodzo>W~(*365=aH}b6puihKT-S##KU!#jFama z|Dc$AZDcD5=%npZ`i~H2AujU&2sG%875pji4^w;q>`{t8gZOI|SAbv6l0sPCZ-dg; zAuRX5FwW0|N6wOB{GfeN>0E*GO~r)>d!OQG5%w2~cLVQM{8R83fsb)=TgJN-vtEo= z9D&Vk802X}I9X2!{4jXVRQiF4Gp+dD;JI4yQ=orV@v+GBor+7r^L@piM?Ak!{2A~( zp_t|Utm4hUuPEl*eH7wlejbD^=TiYM0nf=w{|;;(Axj>v<6ES7Ez(`7n8(SUr+5T- zc&;`1FGD&T6>ouklVT(rd{yy(gXeC=6|nDDd=+?psrd7-f3KM9K>wzAJTP^nF|AjS zpF)gUh@tr@zz|0;>p-Q^!81;AC+O9RzX$qk#kV5e2E|+x`nuwU;4ehO#Q1lCXRu<{ zt9L1W7>J|SEJReld^1D{?k>L4);=cfYL-F(Axli$Lk%tEq z9|)dD6|-Dl}0R96NpAD8H6yFY9rT7Dg=S0OHM%dYk zd6wFH6|?PGZgj-iq4*xebCKdZ!T%%0t-!xh{4s>>i#D5iV?8`T@gk(fLuN>y0{-)e zVbgp{=`SPfXOvzEI&PO6jKpvr#`r72XP7b%oAaiS&ghdp`c$Pe&OOb`VzB|VQ%aA0 z)Z(_bf5}N$&aLA1v*uuD@V?Zb$i)o9FZodHV$N6FEc($LfeS_6Bi~r?SQtQ=_x&~l zsxd#xm6(TWr9UzNVp=>%K0nfVu^+(iwuJ!<#-U!^;SmhZ$>DpdPY_fvfEwfD_ufx; z5IPd94@?(TGfcN0*unq?Ni>{Q9>HK*!&H+AW+dWcz8N2~8pgK@m|@1?qL~6FtFv^| zj~C|)eZG@1k5UPm8ROdk0u?cQSmPfG<`!`r) zyAx||tFh*G&Xo22&;&M6k0w+Bu{K`>o4h)6Mep z*TapJe7m1bu%gu^Ll)eST$i{c{?XW_(e;s4B|+-YR6LbPB~t~d)Kc;UN8z_yshI1U zyYfEMRUnlVO^M8cIinUov-xw{X>`w;%^wGAPopCmN9-Szl<=dww25f4M$4AUK5qZw z>c|t%tQa?H+=NjR#s-m2OevNovFJ4tOC6LtJT*{T{QS~FmRD~~R~;yRtz{k7N~Do> zT`S0%o-r{u&lnQAj<<@l-dnyaHlAXjQuyLk2@Tykr7tw{Qy?RS3&~A-xky@^JL7?s zaxJa(U}f%$G>dG8`zT&cKUO#x`8MipvMsdl zDq(ZI5W~}t8w<~JP#KfXnXkN3y;{O{;Fa9bF_;5<0E_Roy@%>%)YuL<#u0gdso z%3A&!fGrH5j2~I=%$VOA5De2Vg^yMRff|-A4ae<%x|_Um;r&>;{Jy~}GlFkTX*5yPE-P>E8k$)2>+m+?K$dE>Y+{q z@!h+hLSKO2@h8eLiyx1KMH<3PuR{!!??^VcufU|B}k!^4+_k`vv-6 zUeD-%Nur{&d?YWZyLPXPr-Zs=G+&Hg$`o{82MojWUh?UHp?rYv9e%;(+7;Sj*6G`| zU)Pz1C-)@c7a|oqyC;4H97$5-YZp6`t)qh@-xtE8>kY;|9We2X4w(3fJHR2Ye-Kw4 zFp2mb7AoQCfJtO@z$82!Fp1OGgWKtVNq9P75}pp2gr@^0;pu=$csgJbo(`BqmJXOi zMh8s7(*cw4bigD|MxDb)DIq#w67g%o8*n;c==(4pm{Xy`3>T9mKL|waBnhVjhGY@^ zksZWsu!=~`=z!rk$U^?ZyfZpr!q^d7bigolEvkk~+)r0@z>u&s^d>^UCRiffPB}moH*;r3<#u zxtg78k#n^;7nXBTQa%{*L&FROJ&8DT;Rv(IBrrOO)wP_@@Md@qanxkTb;g^#>9Z0? z|H$Db1B+rM2bFMp8k*!Fn$a*5^A=mUr4X6Jecj4~0)KN{DqMyjo8t;~u>lrGaH&Hd zI92}(o%^P2#_hJFmYS^HbW}Ct>6YoAty^Y*zic5VBt|8+gO5wRJnb`dmYG(X!TxqU zD#R(bg(O3Uu^fluVkNbPkjPp-;v@LbMd@sppJFM~#Bw&{tQ1}q&w ztYL>EpIUl)+9p2bMJ3DxT4HYd`4=`-5b`Wj{k1z$XRMQt)d>rovs`f3U#Wq)h&g( z6wF}aSUQTGfn9P`d>V{{p&Y+=7d4ChS=R-D;Teg#yx*U6NVxv9bjVUNVt# zPP;qJGfaam9;T2R*0?Zamtv(Ko{dDL8yw#rbu=;t@?|)cd|TB_h25P#ni0kXo8J$d z4_$jhWK;C2SWWTJRCH_cLB(=H^n*1eS@J-BIBH5pg!?38Q~!BqNmDMtdt!dy4qZ0> zc!^^w`Le;Lp}R_u`=Oh%WHWTnGzV-01P#?(sa};fH~Z{}GRW z7jQonge}1H=r;%im_@+0-?E)px+mzj5u>+3a|baW5X?Qm7XKDt%ky*OQK1g|jke!% z4spVTrFZTg%fEw0Spc^HT!=ViO#*O#gu`b%oL3q~j5!FJ(YVOZy_6Fbe-2pIB!K=5 zaE;Pgr)DYUeDqw!)J@O&$arpny-4w|KwqZ#v2u7`gYJwl*YfXCM(^dz1)L7(W{l8wB;VXN$I@bJMt6JHgXUGvOQpU@)hG6u5LUMF`NVU4S8us;!5G_iH~iDg#ip0 z-`y6-^BW7yJXGVNsYb;hFZ0KD(egJ9*pIIbH$KB77@VKOcQ@)(1!!iBZw?54x;v2| zzadPQ_h;!g0$Uis;J1kHD34&UUc*$A38oa^0hTM{W0Pv}v3+A0zT-5>Lfi-L*9`r5 zb*gQAv+>SUf@a3}t^k49Ogs24HwSE{OS1tNaWyWQp~&A1*vucQM3_Iiy~h;PgYSCK z%vf5qy==?P@_PpMFuqb?++J3ES3!7@FFteJT;L0mc6`tTMRPY1pLV}ywUM4$QKR`D0v$3%lX$3~O`=nf-yiZ=%0C}jH$-H$kj-v}44dUaIc>by-M6F#_tvsZ zIapcwc_1!%66xW^lX4h}xXSX}8|1KjPbjCAGJe&S@dKC(A6n5_8s7$4vFeK=56j>8 zJLK;tly>)g4*3|;M`^kJ#Sz$(wv|U9JLf**gp-?>!QZ_{d1SjI^(_!9I)d+OOqd;E z@!=qdJ2IZNkL;06&jTfvu51q?;|ZZcIVs=1$ON{V(W~oItAofS=QSgRy%dvo3cXfp z@=#!Y7gOg3ku#X3=+%pyr1n8jf2%%c7m3dT7x4n;TIgKoxKMMPdZ~E~(H2BH21p1v zi{iUMD~`z5r7zPiaR)mVyA8-iankZ`Q}TsjF$&CLXkOyJ^eIbfmB^M_u_^=w89@^T zP^oN5R$)q^69|w_N+kaG?k=FHf(z%k1C@-&s%AOzZ=S5smQ6si!Wzc=kOzQ(wLJ#d}i6-E(j1#B~?XzIfJmQn%Me zD+k{F;@z>ecLges>T}nR)WNyuG~Edtqx8HNQRUg@^wjZ2|xhnpxeUyOa-6V#g( z_56l+CmUhasVSK}2SOi_ybI4n5-r#2s zCSzjmH4h0r>w4-d-*5)tJyNc~aiST1*S+E&wZwBc*wHQ`F0iqW5tj*zAn5e)Z64m{ zVHqDQ|HCLW3(J-$cdb20(tqK)%PWD)$OF6uHuGC7FAUaS6KjzSCkD@Xu*WH$344;_ zR@l=Ne+xGAZ}BWu`t7jU$0B_r>{}FXfqfq_;@<%Kr;2Ze{TMO$H{qUMQ~FBSGQI@= zdx4Xrv(pR1GPZOre$oe#u48nzmB#b$I;I(^&ye?SZ^1!|t4V~}gzC@d#J(%vGxG7h z9jd_Nl?wE%0QR9UfgJVHp9;(%22lBTAnEB)5wfs#3wlILf zT4aEA%Z&NW2f;A?jzk0%1ZvE04%~ja_v5BmN0=_}&(duKwlILfOnB@hP-A}eaQpFX zLnJI$#@RhzQRAlC0p=g=-J?rpps_m$zf7%sbj2ndU9rf zU%o}%>dBGZW5|u%%AKgj6~Ru_=IHyTCnuBP#AGj}V~91SDa4x6vBah)huHMw5UXyN z+9Elmq8dw|Txpk)}M;M)+975BR!x5U6B%7-1>3!z9AR*o?zNsA zhADMna`fcTXN>d7(UU`;Ug*goTaAlgPkM65Hpj8O<$7|o@l-uIOj#7;NNIC5@?de2 zj)Ly3o*ZQtJvqer_2lqJDf_G^hsnfUne7KXIa3fD^yJXmlb#%Y1KeC` zqStzIn9)#A&RKB0MS60W&L&qN`$10*WBVuR$zh?sb$W7mBdEze>B$)^$k9B7!IE6UA z<_V`T!c=5B)i9y*AJLtXU1}&E=!sz#MK6yk;pr;Ss`*Bh!8lDfq5daTJ;f9HpnGQJs*cu%G!Nno zH66J{R_?~6u&8Lp=~9x#p{RrzJvyEY0x_7KUuCbT7G=ZLZRj$r{hUg zRE4UcGHSI@c};JWv;r;?lp`LlQ}&dr|4Q{YiFT_jZA4DNj@&4pRBwd#__R=7)1BO& zpCJF7hO9&O;O85Y<&gIOgWMj=C&cg`DYvJr)C^@;N0LQb$mXf5gmOa4!nw}OWb+e%yOYh2P=2m+8m)K)WTKS2GM*aX;}ri4 z52i-(4%o94e+~4xim!%!j$-;RQ+yeCnic;X`Ds%;81b7P9IlDlp!C;3->5hNyjgJ( zc)qFlTEzK~;vax+dT<^E|DTn<9hh=a-V65%$~rUP*$CSY7wNOm%{4tZY0zYy8R(c} z5B1<|MOf2=!!B0F6=%xqfdx+EY;4B8+ z^x!-UYB0Fr=%xoJhIqb@Ff2o^!!kWM zlpmWOoFfpw>A|@Gyru_-TZv2$&I6#E9vrSWFg-ZC5Rd7>;Vzp+@MBtM1DhV48xhv@ z;2a2k(}P1^(}VM6@Z6+fUqHI12j?!(O%F~Cbkl=#KH@h$I4>aF?=>vf6Pg|z);rUK zLy3p!!J(ck(}Tl0Pzg~5^Sm8ArU&PXh_71dk0H;d2j_dh4NC6=9@B%k+@{!Py2L(}Qyj=-jr)JUj`y>A~T=rRl->4Ctl@=g)}K^x*LM zn;skA^V)bkl=FL5%6aVcj=9IKRbnF+Dh}BYiPWXWm#3O%Kk0 zgKm0os0ZyMf5z>nh0JI`5xJQ+XbDgR0Hocvy!LD7lHo#)($ifQwCC@SQs9SY?=cQQKf_OYkXJ0WqF`SHTWhAhx=ikXg1*T z^T!U9-7mXf^t1e09RMj90>0}B)L2@y^|chAHAwe4Ahvsqhw+u-!ea{S3Sh7t3?m8D zSbU==j6bHrGd<07PM$bfxqH@!!!;l@Rq{Im&!b@fjYIcQ%S)ayHwHOa2Gh&2iQJ0! zW93Lv&tnY#$?^I4Pn>S6H7q^O?OS(ztiu*JV7KY=T_m5q$BgefTxRIUYr5LT_fxnl zahWkbwkLKE^kW~r)|gIZvkqZ@pVz~Qr+3yN+=7-S|9L$u zpLfJL?ee&Lncq>tWfvvkoChbbDS;JqqY;Ux!d7RhUg4uE?hA z(GRIWk12>$ab3VWbV;MQ0IZ?nw`<z|fX5 zH_lUCh)=t%K{y$7imhy}X&5mDYcL~lk^eieZ4JUW2<>bQ0_VMtQ=Y#f&KkvUz~&kQ zhW$C{a}{$Q_#DOWfo*FLZUv96LD+`;*cyZi#BXa5`T=jyun7poHYy$q{>_Sy0=6{> zcOuS*l+H6RYz@L65Ra`vcm~+kAn;5dTZ6D1Vf*1?{<$B_)*!3}&DJ3Be4OKy=Vu6O zYY?c<#?~Nw7(BKH;TF)ZRQ}H)U$zF}0Pxrv1m@S)AiM;+twG?Nv#mj(UK3k`a1nTH z4Fc!<|EBTZ3%adA*oHW54FdC(Zwoo$5Vix`8iWrbtgS)#E3mCW_#}924Fc1* zH3%;tPFsWUYvkG1AbcNTZ4JWLK({pr2O}O^gD?u%)*w)ZYikfDBYs1dA0^Qai^hNx(24OJbv^5BPo{Qkevi%^itwG@W0$YP{B+|7t2=524twEr^YFmR4 z2evf`T>oNg5Z;CS*cyaQh~L&A{2lSw8U(KEu{8*s^S3n!tk<>%p&C5427z_J)*wWH zZ4JT~5T~s{cnNv7H3+{2wlxTYz+-C=S`eqLLAV@rTZ6!QWor=rh&gOPt*gTQjKH3*z1ur&yuK>W4_;c@WT z8U&tEWor=r0J^O~U^`@M5UvN^)*$pjTDAt^4A5;2!YQ~%TZ3>3!rB^yiJ;pW1g<-< zH3&0-Z4JUn$b+pxcoy;78iY?UKWMXAuUHRl4Z^oTw>1b{E8(v}*rfcd%bat{x7Yem z&8t&wo0A-jh$=xdV|-VD;AJP63ckt4 z1e-!AWd+25m|<=5%}NCD3(>dhL2cBK0p_}MNo9>&MMAs$m$R{%vH71t}7 zvAna_$W{8iKXc%iUe9sP80b>t_c7ET^#=4uK~E6+lut}qf6OD?XFZ&{?x~Oe>ElJG zP+WBLd-{ZQuXX$tgiRuBf;xtvdkQ+9%HFtOd)aR4tA|c|=z@xOdmg|gLz1{@(POVV zpN1cXbcwOg`vK@aT7>J6AxZ--Pe3>Rplmnv<3oQ{Uz{xf{rIn)u$_4}olYeMZfUUS zg@PWZEFJiGIMnr&WTk{5Pfe^7ee2F4Nv$kG8{}v_S0LYh^V`7d*z?TuR_jyUKl{xk znb;%s$gRM5>4_m6G(Lnw_z7|h2NCKX@&r^gJ1S^F;yi{;#{ZWLPCb%%Caw=dC+<8K zo{svR3qyF<3*`}8SuEnbCSc@Vd2LT@f@}dzc}!K_+7umZ>hs$zX3eR;c+JdvylYP zc+!VDTt#|?!xKoaba*1^BOIPYJksIG#A6&jhPP4`^dX6Br;9!Z5OGCSaO6lhq|{Q! z^T15G{Zy)&gEa}BI+0NEG~zgli~So3RXF8rKnXRX@U#bkB~;;TawtB%92szhQ#fZT znkiQxg=bKsr7MKOxvPL(Arzi@C9o@m!g*f>c7;$lpQE1&7yns*0j_ij7Z*xDeqym?bOu_N@k=FR(b-hR6OW#0FP-b4s^7_yj*v#%~WVsUuE zzXTq5^T3qaP{uR|lZNvG0F!aX?Fh5UB(TqAtSWt2 z!Z*XaT&W$`!LhG9Pu+vGXaLNn1|v*S;DaFoUumz|FQQi z@O2f{`um)-lb$@9rZ1X8#ZwHWU}%#z>5I~)B|vElltK}tnxsu=18Exb=mStfDFT8B zUPP4Yt%?d-FI-<2rAQT2R8;(nijS+si&w4}5e1c(_Wylr*4k&zD@kkX>oUKy&wR6H z&127=J$ucX7hRmK91pJJV~)L4F%ti5!q653vHV8?9Qx&&e|ZY|7n(-*Gn_*VM1qk+ z46u-}t^Go5`i9!rEJxh~qcA<#i>>?}k;0F8Ko+EP7$+W}3)J7$frMNn__)aP6oHr}$wn!V(-w-1N4Z*&awV?m%T z#u*3Lh1?wm(1m%67b6pHC85h#voIllea-zHZM1s3TYK8;A~YjHGb2=w!qerhOe%M! zr<@6Z_;};%H}>?UGWh)g9DVB1W0QRM&tX!nJPZCn_qTHk1$jFtc*Z6t7aZDEoYCIy zXpXJ;WsCP_SK@peeSw^C-{+34h;AE?33-$UlrCFY=9pfwDOpYvC#Zo4H=L=!?B@(VK9F>0B#fMtu|%<&O{f}vDw`@MMQ16*pD4HmA)D98 z3_Z^n4UcCyubBCz;S7nt#n}mmOX}{A5`%o@l}5K;E_5G{i+N=kaU5a>uo;m!_*A`@ zFI|7=k#&}VJY>HrIjP0QBG~sg%zW6n1nYrAU6k1kZ2S77hD7`N?Mjv>~G8a`T_Fr zZ%W?_{3*p_!2cD+mjHi5@zcQHS9~+<#}!kj_mtw7V9OW?;(sr&jDY}u20SB?2GhMB z^w%g}3QV01>D*7B>l%qWK&Nh#_^q(dR(u?Inibyxo{JUV3HoNmJXc)CNf7oepuI!s zb70Fj3Fvnr>_?UU3-HT03Fw~#UB*d(F92P}Nq`>!o#n;+@Jt99CjmYI^xr6*bJJNC zr55PW8@mA2=6n_YIx8gs5ewpH@5dSrb zSx;|PychOP#e7Y6DSi|-_km}+KZ5D&Hg(VO3z%eP(*+oGZP*cMtC#>4pTwoG|`b-;f9Zb$yu4`%+@oLl}Ddtm^B zYSamiyO}Y#3IxOSTZ#5QMWDv~R>1A2d)}Dg>7IKK-_sTe;xO2j6<;^vW4ZD^P?e+m z=m%z)T3j?O_@+FEdTxe(yav=ZzH<;+HE3px?*xE}E~0yUNvZ7dqP7T@%S zhS@34aQ$1u+=hmR+WhCi&zv84RQN%=ZJjEQBlM>+5*V z+6{J`u?Q2cd>nY3!)LQ9RXKdltAQsuys8N}94$_H`9l( zO_TY=>=v2+3589k>7P(v=|pXZmPs9XPTZ`CCHr^d*n;GL$0tD>7-QD=;%1UOHrcWk2EdP|Iby;INehot&CGmIn_Xk_m06L>1PUm9a_%Gt(Ptgt zis|8KLtLZa>qDkm^@Yva+*DENpD(%EKVQ;g6^KS4G6$mThynxTuA!DsQ^ayG&Rd}D zVTQ5?WnUWJH+2`siOc=bU!G0+d7?&SoVu9y5k`A?oE%mrEvnN6lq#NsC~Vpw(>owP&A`tq{dU-toS4roz?F)5e&8gCgF^r2h-(jcALB&j77cF?AHwJy<{U9ZJ6%^bad$KU|)# zNH546+{VAd!{dnAL4c{kWjz0^eN@&7Gob?38RABDcPEE;7R5cvZx)JQObz}KMbz&o z#FyPiB_DMZW-Pus5d8ezgUI*7lkX`XFa7xbF2-eH0E6}TR{xYhjrpyD+c3R2=QBm1 z#{5>m?WcPy>Iv%z)8+kHx*fn41~9k~9(NO{F~2sr{rK)ie9SlFV->Xc`hgjy78ecY zbUtE{z)i?&KyBmW{Ksn0%oyJdAb7!pay0#-hfzkROLHwQ;znFFyS@8m6H7nKugLIZ zf_W5tw>c>PmKJUAaRy6}?lYkAJHdDuUnMTQ-celv4CbJ4SvSmBeA63dH+WrCIbUzE zKI)A6+F|!mIR}*neNLFE$m6IWl(Q%>ze)S10mcK$(5)}>I~~P-SHMQ<-@SMu2l+>2b_S9* zo1mlt>E@OFt>Ea-8;b`^@jndNU&V?It=-LQTi32{Z_6v-Bkxi-6UW99Wy$%=|K{8@cu6WXY@UUz?V<4^T*s zcEx2b`f)V;aHM9o1-{Ijg4+yh0roj<+7ZL)WZ?=74E_WsvF93VBj_`eS<)a z`PIP9JTxl(Avj(J=J%a+UaYUIUls;1;Ful9Rn3^+3J?s_@BMJ32-KJ#>!qLWgQy>r z(U>mZQcJfD*unq?Uw~shfg1B;{r2Pg3F2eEO-`}+xO_;$kMXbIu|EWYUw$nwf)b@|5;X3U;D!|@M2j_{B+yRKZO zq75A#gx)xUFQ+kAoL`=A-gdfO?eB6D!qj6^9lfcpjeV)sO|2cBt!p~lQ)}ASw)Xe7 zr_Siu*qb`-wA88XT{BW^H)3UaYI8^5`V?-qsE6DQ-kJE%++(%<{&+6epi!WUMm$b>+yq2Q&jr z-j^fmC}2Wu?c~V1ZQ|-XgmUC92P#Ke!SP1gnN8WRZvW&+t0#j?l6A4CxXrLOKnEMmH9M#9RI`ls zcxai@Zyoc=kVS4Twq?YPm_@E!8Ixb|J&<(XU=lNzwJNni+St~yx;lsR9U z_Ler@lR>>F-rU^2Y2(H1P@rSd>E_m+F0^gU&CRTx9bK4Zh{=X|YAV0MHfK!aHoYA_ z8_o&gV5od!fOi9n%nDqAmM=$UrE&yjDlUeNWL97rky(MC2X4Yeo~K|hQ%w0#WLD7G zvWml9Ol7MT@1#{-MZ3Vbrcip&bkmgGw1r*2YYR?wM#j?AjxEq+H$ zmX$o{hj$$5+Ss$)jA#FKkT{5Xnm(dwnU84N-=R#K!o8yCGGto6wRYb^*QX(F#6|Nk zWF8jR7+efbKf0%=+ulT9zJpivKGy)VIu6B`E&DP)K3g+Po8~NBhJ^sfHw3sp^IHz5 ze;<$GJ}4veKKPEQjmV)L0xB!|kX0C=z2mW4h>mMd@w_ zwlILf8pPK@pvL?zhue?uDa2>b1Ft|7-;KZwQ;Um+bKTChNZ=;qb(`A8HyM#vgJ#C~ z?gYUL9xMUh9AkpbJki{ai?|UN&GX*HuI+iTCug1ZpfT+Q@oj1{eW| zP-{hVcPfEdmVSH#c)on*W-LC}TyiWjYcJbb`DNspwGG3TksoHohH>wa%E*7oI?qAn z>k*aVVFPHp2dWCKk<`{`UJ3)ZyknEIu`5a^_SNd@Yi~V*_mqX+}yggH+3OI z@Zk5j36?YdrQG%frV&?c#}Du?k;RYS3J3u?$#a{`)fbd7 zD*2wpPWmNa7&3?>oFQ=yGRp&Zl1LvFC@NU4oGL1?aw@Mr){~>T9bVaKW8-KGP;!Z6 z+F3F_;3_O+EPK*MURA9<4%~@!c;hm}nImGSr(tkXhE3WK=|Lu{V|E1|wfA<&DxyeH zTVPF3;sTs3xB0MV51!y1iR*c!Z063*sy}E7TYWQz($i*&< z(@2c`koFh$7qkNW{q+=5xHS}g^p;V!xeS*X`P{?7=Skd%i>4EWTnn3WF2mCgk0qy{ za5reaAuml0F22bo(-q?5yJuklW$b@0fg1B;JvB_P|H1VFfg1BW1#bU7cHlm0;K}=7 zy|MdXUA8cQ!O!9NR{}NW$9K>$y{71WJ0j#kqP@aN8;+L`d|R%J5V{T zc#rJuGX6z`!NoY(UnoW%7{_?*7n2TmRh7hp_-!v2NfSKT^DbCqcc6UT^UTvtu!poi z^DE@j9@mNcI$6VYFI4mHZ>5rW=XT_wC}a)RL?kou)7XdSyBfrM_{m7zgFjR+xclgq zb|r}4h=uB|J^%~7l%BCBzB)9#gV>HP+@w6OSQF!o-^5iW@HmA*;y0~#u2Y#8^f*TD zpeM{ET(Q&UB5;K{N9_XQM&Q9n=7@$ZTop5#OA&Y4!%o4Y#!l$OfKfWbUcqME;@;v&XjeZGCNg=Y6l1r z3#BcJCd+s9ya^pPCZfk4g$J)0oWO za}a0z3^67XJrq}1&F*k!Qh9sO%GljWR<-vS73N>LDC4Hp4dq`vmA*p!ltY zCIhjpF>^4+ZKQccgnUP1Ipa3cs#|i975Q~fn}pNtM1+J$K#>#t(l$o~Y`+#^f&?T7 z_L;Tj5dNn^cQ9v2aIBqlcS3yaKq48G$(mSE*urRidzz z&n=#7JPa6=>n-#9Xni1-G5ddy!yc`e-!a}h={Mn_P9#3ssn0+pJj`|ufJ-Df#J!= z;^Mnbw@6#CpTOlC`qA*2Q<58skMD%W$44dcS)S-NT&K8^M3`FMd zKiy6U*sOm{7cfef@3Msf48DT+UM5gue%)~U@oh&WRxY&dKCS>}m|9#kUxLSXEE2d0 zd0nrz@%;;4rfSg47$4XBc)^2vz*lcfu$eB+yKoUV;-b0HyI($V`Z1quW38?L26uq( zqXcR!E!t=sq|a54{ZI^DJkqvDt*}jtUI4vIpJlu zFDc6a`;QJtzn1>7v_JOsh=n&+PA1QUmo9(Y*0?{1_3q(~D~>gH6rTNY^r_1z9Q%fngc^pntZ4LZSZo@C zqA}7Pc6jV{pkR$WJ(5MUABW{U=DdLz87hm=`!`;;8 zT+5wneFeYNG%WG>vWlm~q0Z$&Y4}dWG3wo*mWJ;mEkB>OT!OF1ljaa z{6@%aIe5Vj!7%1=gb;Up8l!P=IwL>OPPq2Sae5XWQ0C(D5t)n2lm2LA3WZqW6QUL3 zxEbr%m*wl4>o_Ik5Px8DWV%Dmu6f0)(C@acIm*m!O^Fx6jb4sUbGz>Mm%sf z0jj<6!nW3}L04Y~w?=dWne@J7V^_Q6h08}Ii$;_=XJl{gY3*yznhZ%!jVrf{pAM9# zuiSS@b6c;=8Q_MFuKvFE-p%d3(H4J<`XG<_@EduIT*M(Ub5-dZ}Vb#k$6g;)& z?)Dxgh|C@6{*J>LO{To!>jbL#imIWEQD`iAsxiUdD}0muwfFZN%C+~DjcAy^eB;UD zseifaiR23vvFyJNVWhNh76Ik6?D66$<_VkrwOQ`DS?-hI=DqC2MV-S6*k%}hwK-tZ zeQ}mszTiruzf|0)!D5X{*W&d1hOI5zIyUuo%uMeL01~#fBq^gA@#}Y1JHhDEjgPCm z+RV7T+Mb8(7Z_$8v;7axBW8yIrUln13coPhaoHSK%JHM9tbn-#m(f2>EH@kk4-(6_ z7;_kb$sfXgj94Gg6N*WH23N+|x(GK;ey8r^A^0;o;?LoW#pH>(XWm3&72GEit6GZh z1bMi7j_68&SAizF65ty^XJ3sxZ-d>W_*T%DDW(oYbS2=S-r#(t^DLTmiYd5|kMW!Z z+^?8=1JRX${vV)St@Jm8&N3uF^*FaG9u0iE;`P9HE2ci;KE=GJuPJ8Pe^2rIVE$fD`D5J7AK!KQS$^%= zf)tzszS{`YSX#8bYzM1RXx$)k>=QvGzDn3^g8ld&2H~xsnX&k$&#KG67hhfe`N0jg z6<=!THFV{gi0Md@S>qX&7qg+BFer8+<4$=${vFXBW`y8bZPtbkm+{g!fO!eZ1dH_+u?r{ zE;AM%MJ4XL<Ai#vSe)AV)t)s&c$4yPUi zE_HbFe*wEuyznT-Q{m{Z`4VuY!*w499_R23mQ9tzGfC%cDx^|RddlGj(yJYwMfy~S zXA{>r><;B|crI_HJ}9C0^MIw)bj941neg4Fz~hsS?Kl;cK`KD3jg zF%{gCaVCRcUQI>M+8|c%9G#fvL*ej16=Bzjf z{3A0}W))C^c~krI>b~qH!0m}t9S=UpJI&U8*_@L@JIjPE4$9p-GX#&u^i+V-B+1MZ zG;469am32oEzdr?-7Nu#PBCyNW4JRLWdA@rI0GE+PzpOb0R(qu1ArVfk)ii+8FFVL zfPu$zkZ(BWYsgs-?s$es)#LOr>GL}Da1c?!L`+oNAQ3t3B9G2WPoe;aoDp%*)*>K6 zcNRrPMkH&w`J)mGIw|gNDVgQLBmOxlqM%BLjdW3I{S%VozL&6gW(6!e6GK8pISB3dS(CxIzluFzk<--h{GPxe8=VpZbeuVKZ&wCfMT@ zKZUkpBC#|EK`@zEz6`o%82lU-5q$?RzYe1B0H!|TM2+V=uo*AoXWv}(9l-n|ioOGw z`jPXMhkAf@in%tpQ}Gk9H!J3sY@6cO!hXAA>N_~5%e1Zn{($0d0)Iqt2k@s9?*P6> z@#|nepqR4tw-mF_@k7No!Dc=fKYqb)6#oJ|FDgD9b|u{8VOg@zO#E@!Qx)^$SFiYM zz>SJ$0&|pv{F`8(r}&ew+Z6vVc$g>h@Y!Cb_$c6O6t@B2tQauZskjSxm*V-b?@_!3 zbUqu#NnOe#ia!DRPZYDfUr@~ZN}yg?-QbxU40}E3Qpbt_U|4UB=PnPABW9-+rV5ww z4Aw)D87ev5l)t|P*eTo(iYcSt!*||Hs32Q!LW!*!etZ`ho_u^qr>NWdDlB8)ke8+g z7hlhz__FmTjPDFQKQk6z9SF=rqk1$V@;2}>e|)@_zs0~7hO9i3V-JBE^IHYCVS2q6 z8BGzWF~1dX`{~|}dcr!wba{W4ZU?Z10SrEm_`XP>#{Amg_T%IJi!4{hH<;e!QUrPp z?!^q_<29f*+gO_Sqrz7^DF4QH16-B|dOQfeIl|%om?xTRaS=D-qIt->UpC?Nv;5k# zCEs4~4M%VCGSX#6s5fCejF0anUe~Cu00x{}@+tx~mUpMx$K>OU)1`MBoncUyzrR{P zXZUkVI@!>|Sby~}%ph|8RrFOkFT~bM;9S`WFK4Zn08Q3QU|oc)bsqTk|6E0VhJ7zq=4;?S_Gz1B`QYBp*d|lNaYMaIMCyR}ECrZTv@0RR0A0 zd0$0)sxWt@O3vinhj6%#4s6V8nU-+rG~rDx z3PHm?yE5Fj7i&u%Ir7{0BOTtqmI2N)p1{31^_*g+Me{DaAFdv=*XQaNZ85iN4LUT- z$^E6|;jv4QqI`2g*{)My{IsKunpp5}fP4{xWTNrAu%x@5SVX9JF>#2!mOcbqSgVTW zABB4m)}jR@D_%%>!!2ehYODhb_kKkNPTq!p?souu>Q?-Z3rfgJmQuPAJ7bjW6`w$< zqKs6mSdm$y4&O>k=!uSmjDC=i=#aeP0fWDXJPAroY$1YppM;T@DYlrD9k^mUsP^Tn z9>f+CVucGEy&%>^cJ#!@Fm{?rxMHVJiWzIpaU3(jR2(!M%o`00Id*CcF^#`aJiCL# z7ONjy=Gc<)X9&HIH#!>-bp~WgRzVWZ*p3zic6m78<*3`aUhiC;&b69_#`QE+3>mAT zvnWCA2s5`K8b_E&KS!O%C*-JusS}XXMAmYD1oPL%3^5bObPgryQyfa&DGpF4*jk#D ztZCsq8`U8|X`S}9l{VBOME;}v2|I2^k8bmdg)qj(`8nPM96HDNt7Eu+XRNKH!P%^C z0S(R*ci=Fe7tbd*Nu42tWoDM-!ih{};vkcJ>`mtrdI?uNcn|&yDmpS3*F>(o2TE{` zNqT>VkZuFma7aR{uQ|btr!D`9F9lpbGt;e~S-x}`CfihR zOP-iK>0XeJ#XOu?V%O!{5~GsypS$J@%fA@#fYaX3gFFNB z*(+-zeS>I6a&--PbRcW7B4-WO8U>6Cb5KO$=3QaiU-gM@PgV%YA+1rq4&IKtQQjkk zMQ;9?EQ?N#4UHBfMcd_OYDuDO;~Wqv4-)*l^k+MywJDL(q-SGiC!SkR&VCT`eBDtP z{;q9|9!F)kGeHiiN=4*4U#F5{Emy-&0dy+1zGu6w@2NHh*le7eD#k^6m-v%~6)#|o z%)wX(6h#Iw^qvot*wbd{J%Lrg)F27>@rfefx**ELG?ZgT*$2E#@eQy=KLnnKKtEsUl;m0z|3A>zDdzL&Qv5p5 z`xS2o=GuDZ`J=#BDlS7_nFi?#VDpnjO#R61ivI}vF2&8T?^O&-);W>?Y2Y6zo#%7@ zw_@r8Z6!d36mvch3-b!5+ zx!Vc6c8?!HT!xu;zO_=kAEVD#e&&Dwby2-*dT}b&-}g$YAV}fXP<*b71G^p<>w=k3 zfwhB;>TW{0w*a#o$xc4D3scmcfKBt57Z=^;tN~^lIuu`BnopiC<6}58mOnl##*O#} z<(my2hH1n_b35(>@~qqk>y6#V5@5?Kpqzyw2gK0+MZSk@FGEDk+@uH>()R^BIxczk3<6W`)Ko+8OF9o(RjN)0uw~s)L`E7#R zk8c3+@pybak&HV8jWceeuIt3W)2Hz(L)G$pN+FrJU2a#?qi2Q~jh{VT=l&u$g z4&SjeKsRIY@dM7Wx?WX`MQg4w%g9aGaR2Ff9CgFqZxB;6#MI0GL0EH@z26}8YNETM zzKi;vV)qXDYn){56YiaQB$ScplelMz=*>u@4vRMRPt=)RGn;z13_a0%P+0DHhEi;- zUy=E=B0c0Y^9&aW6_%Y09^E0NsBc4WP#IQU3liU32sZO*IXs3qba*Ur(&2H$PhRgqeKqq6hSDQAh`w8 z7BcQ&Q&6_1|H2?XlMvbtDV%r%**JgVXRv8l67hzSqWv-F+@K^}4o3x(lW$|}q;9~H zuq7g#Q3D3?E0)|kc)`2DP{|w*rX#`oU^)`qSLiE8iZI0@Syskf4XJB@#?kZyb5LnV z_^XmqAY`mt@|G!;m=-1P4kD_A;0_|*B#WFKL^75sRoMC@>>pxTa_f^S2T@&EC1in| zfTD@Z{O}yrMWm#VlP?eTjEyL@yebh{G~*T^rQ4vq%af#aIv9(RT4hnveA!;)WG+fd zaZys+(&f)yedWDZ>I@O~d3po9rOo|p7j^NG^n#(%$m$AV*cvO2yiMGtM=tHDbf5|@ zI?AK6V3KQHnoR8=FDe?Y9wNQcg89MDkzAA2aY=ig`5OK_821TTyWi`HmI84Dyd;BSZE!Q&&MXw-#lOb1_P;1z1NwUvZw3B<;s)4vC}v;$F2xtZ z{;c9BVBe>BEO;JN%)LRrruZ<}k1Dh) zN(XfFM?mNE5nTZ=pAUy=7q>$J`$L^7>OS?%g*Kpk5w_+`b_% z4MjLa5%q5h@$vnzFo3dO2$#E?F<1wJpTD0Va_U%^zasTx{ubl1Fo3~Ts87EoP-A|p z;5JOJO}L>Hfg1B;z4X%^?wOyrAijkb3F0u=mKEP{&;0xzGVvXYMB}4#KyfW>nvdW` zsCH2P$-|57m=`>F7)>r5I%BItgZ#katDN(3&-lxy1pDB|$^lzv+9XRu|-mwn77N!$r zLQ^mQ+IN*RKQV72hB*YdIIm*@F0vGwV{ixjTzTf{JG#hGC~gzU%)aArdU@_^Wxc$D zeZJUKW|67IcHEBtB027JDj~m4 z2Q%=W({-`VipU0b0!{OUJ?-soTs0aFof=D&Cg*R*VDGSx?97fXcZ4oI1eYO4M-CDD z)1#9zL3=%q`yx7c6R;)9C{anpTaPc9^fq|UxUBx{8 zqk7y9$1}jJw}Z)N;}AishM{Hgt@1GG{qRT;s4>13aQo@rjKo++m@e;+ek`XBTowjU z#uFLVjQO>J;K#QM@mV>u3R--u=L}Pei-t4MIRD)YA+G_ojjs*`UJaTV&@i4whTzHM6x&jz< z!{ZnNHJ113vu3Hh<)p45<+Fx4!J5eZfCkln`M4`}$X;C=Iy1869i7Iy7rx*te6OZkn zOJa>3R|7~e);*T%I@I_&LhYiqv{ z+GhFE=)}3>Vu=(b#c?^3B847kb$tbABuquo4qHmh_{zKB>AjuuNREFkd69RSF$*j` zTP#DyJitj``zJZ_-76$FvZDENBTst&*+Dt$-ES4yrn%Mt$%*-Etf!lxY4IK@H&&~g zRwe)P)E?E4W>v|dWyk9goym^lfq79Tqdu~b>`472dH6lym>%&c@Gnvf%jqvce*ySR zr9TP#T*ck6-=Me%VcQgc5B9~1uY}#BcqZ(vicf|;p!jTry;?EnLh*i>pVhE$Ayzr^ z!%BY+b|INkbf3!qe@&)jT{B}fK3nHkk1rD6N!Aw>ySy26XUmj)mcAh`O${!}T=s?) z;>(sP$#*X>-3~XV@`f4~>|IC=*Di93QkMqk?1ZvE0 z1>AnROHiSPBU9dm2=-WHuq{5`pC4Zf;!J@dC+BHP!X1I=|P^rkY~n(zf8fU zmaPI!4rhu8LRpyYJq^>$H^*R-_}U-8!f7+~ z8VGrnqX7HA2BIS4`&EgU4vS)QvNL4{=ABoxrXhNPSVz!Hvv;_A?AE~k;8#sg%&$U4%ad2>B`S%LYc|tdW#Mh zVV?l4N$LL&w&-v{XI@q+o#XlEE509gi{iDg*C{>%c9-HAu=^FCgRt8azY+G;il2df z6S1^MLGVGPp9XuE(pmoZDxLia>W`WKPS|@D{}i^Am41WyJS|SjYKBEt=U^cW%iris zJzH-nQA76Eg z?^BJ&*h*rzsQY0*a3BeNF~q(B@Cip5t6%(C?3<3n8>g-YjL+GhJ?5XQQ)WGPWtm6*wudz6%NOo*tJih) zuU>!rmN~PUXVo8%{rcB!o;kx)7^|vyH4mA0sx?=aWKTJ7AG!W6oSNR2r7*@$awlGy zM_rsD9cKud7WuGs#ZgqE7w(tvZc?p8@wb{zaPS0W@4SLx%_v}7^M6qnRyRy41G?fp8X^ZrE+MLc!*UWgnD`~>LC zH}gRMD-_QI&)XFbe~6JtRqa9_h;#L09zP9*}Lwu z1ZvE$4Q@ZaN>orQ=fQNq+^hIxiv)2H@)}Uvp6NUAV5&hgV|+J&-~|sp4ZbB*+RkOjfCpIzY-$jVXER#?;xa8cM{tc6zGW=4lP`AGsyC^{!_(!y9=hBUw?F5$F&1Gkk_aQAk>Vkv z#BRB*eUS4HQ0&Z{$jZ0vAnS5{8Ci?qFI4XyJOOoBQmJdV3dyB>L58Et<=f)VYiK)! zx?F2Bn74eoT#zGkDCWl=9>wn3ddgd7*!EZBl5&F`>1wrZum+|zwdwjORUP~cZ|l7H zoifZgZOzwtz=N&r+5~@*h4BHVZge~@&NDp%EpCo1EbUhMj3j;&MgCR!QRH9XKZ4F@ z%y@nQTXeF(D}Y7*1?E~P%FpEaf3RB=uZ2xHmh@v_Q`RM(3%g(ON`$>kF?F(6C{BQW z9kG6NcPO1Q{zsI~vi^+HQ?S3LxD)n|6#o`B8+e<8%yPCk&-Sp$&QxB(u>6gOY5ZSW zOErM|H4`denG-jv`%%b_wZN=vcubKjHAUSC*fgJZR`gF^ni^cbOkIdCTc#!->!2Bn zuMPx1e<22)mXV2VJE`SBg_)2)TTU^3=l zGWBVQ@68q&Y>TfgE50R&k8K|B1FubVAAENhhR=ZJD~OMK5t$+6HK4ZfO-5#`K{I1~ z*)sJK@U<8dY&gYStGLlQgL9BS#?AZ z5A|3AHM|cRk*Ry2Q}gx#X_(v4&`@B1+=ki1*Q>4=>(Zzf>mcj{;+?&WHa$9bdHlZn zALstChb6JY2F^&f{bVU)*9QYA6P~SnRaG%=n)`ytzPs2jcgke!{lW8^v48Eu;F(gT zZr};UaF5G$PuRC_@4Rb9R!#Ub&YF2?;p4K8t?cc4|K5rbZrUExoB$fmW{zb0VpK@d zidi9H$kUW?vVXBw6rqh9yg%?M@H+N9{ek)VL=TRRS&~US)`r{)3@aB!FnxSYm_EKH z^pCG83jG6YiY{T;Vv+oPZFN!l7@MMd_#Kv%iavKm1V4X$1n;F{$1$Xju_=;cY>IJ4 zG&&v+fI&KO^NSCE2?V|YLGh%$v>blb*8$@W9~lEn=VLsg>hR=008`cwZpSWpX%^z;u?qN5Z5|9 zm$y8#Ihlhzx`n=Zx zN~ozNr#}KLp-RpmhvG9QAOo&&N>;`s)P;xf45UvnP&iOZB zR|qAmz6G3e@t^x^;A)p}dCB4!T;)9Tqoj#Y6FzwauzNx!r*JGIiL5V2#)?B0gxq$? zsm%09`pyD(h5RwY6(q?Y*hj*vIIP0Ia5Ce<3F2ZS@(x_^`@E2^GjHBhR_qAP1;Y|0 z@6&nv>AuUnABwz*5b!8uu{fk2MuM|Ayx@0&2i}i@%Aqps%j4D>I7t~pIdT*^Tlt{u z%S=!VqKxg>fMVgNC5W%42X;Un!!@7r)%3u=JYzcByWqN5{QAb?V59gOS!g(#e4GSp zcEQ#o{ayqae;AXN$;z>lwgVQ2eGx%U8yCOQ>sVt-S}xD$hjq9fyH=Ae21C-VM5x|?ej3kmU?93GH&@c8Df+#nQ2HZC@vj_HvKIZ4-@PJhQ8Zr`YRZTp;nwuD2pKDic(c zz3uH62ksE4p7vI$A{%7aDO5q++Hlly?C1TZx;XZonkptmYt!R<3oGNm8kqyxJ6fl& z6`WTYMGkN%baB*4n4$DA-W;&$K03=i4Q}dkSVq*%xqh5u66u3a)!u&MbOlP+lji0P z{hd6T-L+fvKn0L0%{WYFS4e-i9z1sbS2u8(NH-X>11?qk6Y`+h7K6MR=J=mswAFgf z1#DvU#klr^Q4rq@o8>{g81zEAzp2VYF-gY3!C#M0wdnyD1D~io;}K7jV&;9B;^$$n zRD33E(*srmuTwg^A)SgTpl?=OjQF=H{xobE`$isifPSmeVFe#n%>8HoP4VNvpHe&) z{9jRgDeyNG{|5N`itm8^xZ;tZKc#pS;`yUu#vO;7<@Q5upd?Y-{Ak0 z;%|a}zv9)Pe?##PK>vZ_vq1kZ#V3IN8^w+4!6Ny1*dofk% z%i(TT{O`b*D83%}I>p?l>=wl@0Pj*f03LZ~`3J+c!qR;Y_zaID9fCa#+YqBOA4YFf zI%)r`^E&+A0g6xz>l<;SxL`x!$To#-9*R)>%3)7YHy*7R?#1dGbJl>!wrD6mwuKgk z@i4ysuuOS=b-;f9b|HW46ElBo&gsYeEym@?cMR&pOpjo2RTkgtp+il9X2$qdfZ(Uw zGRD;%rpx=YblE;x7{K5X#K-s0jKMY#{P?yYKIWV8;q{2(>j!3-T3j^ipbgf z2Glmb)rhPbG&9C`0|>-s2Ef;14%o~S&9%7v{B1}67&r5WB9HP%w>?|H;M3r{jX;g1 zMcd1Euovm3Km_}sI%p==sBzJ<_@>XAgBcuYGvs~|Gv*9?UPqO-AN7*%ROE3~5bnb{ zXgCjS-ZgU{dl8j0+QW-ygvIv!bl%k9d6um!zdX8Ddl-j&?!{5eHB*c27)*_VN}EXU z_7F}B((@pqlb8_6BwX&fxjTb1qh6gZ{_^%_YZY|lUi!lAJ*~~sSBJdUHG^l+Op|G} zcxff}#8I((hn%jE+qp~kOQX&UJE)OE`XZTA6b@Qf3{q+CU7<6~DHX%td@J3}I=~KR zv~_|vQ6e{ADDC0&j$9k$_m5J1;A&W@nkqt`t21)aa`btA(!{19$JjeckD>cKu69`@ ziHz2SZA-e|ktcVp7#dj5B%CHVq71!OtX$-F2H^eKe1g11ILISslVM}uY{#kbZh_7A zk(e?jWia9wVCVD|_z{7T{sDMcu+l#Orjh;u@P7d};bJ_LJ*9sDOxjAN{|2`74?uqq zxJBu&gDrgp(D|M3Qu^_*`JG{$waDjXiW%P(itmJdHL*S}xnJ!+ReJQ3JS=h+KV~q# zoHdv{^$&2|t&|<>xPB(2kITAaCR8vPHu)0jK2L44=tpx5E?-6}#8(GC3&U{adnbV! z^Q(cId1zGnIdD7!%;!WpFV<1kDGLJ_{0i4%0yXBh0&c_f;+TJmK#lpaF8b*hW zGhM!=mTnucg#ncPug)S+V}8wW`|+(te9SlFLsgC9>jq|+T3j^mgX4UQ1a3lJm#S@i ze?VQX2F;A|T?>L2JeY(B=wt!M$vn|)$K~g5y?4JO)sOkjmXQX)cMIrdEG^pldWqKx zr27bvv>~vWRwXXpyVWVcAO#OUe-_``p1xWgq8C9al96WQKd+;1?o9a5487;+&CCpp z$w*xPR3Gdq9vAHSDaOxX4}72g#rqc0$}#n*o#TSWh4Jff5((QqE{aBv;7& zo$Vf#iV+XQV#}7rLkw}4U~yL*lx2wrVoT2uIvYS&$WBOO%J%8h2oLZ}Ovz%=*{K=R zW;9G|s0(5PvC%QURhW@7V?M|D7e7$^kxf_&J66>&bzlB0~I&D|SQ{vv*wt?T!+R+6?G>)&rxdp8~eTv(=+JJi6 zd)rB&-VweywV`_>#7dll*u1V=<1OJ!3B!^!og&-tk};&ZXIiS5`3dbI1DB8hBViRY z|K<8vnuzrg$ahwYmr>aHbPUGtHI4A-$4KZJ56i~lVcsl$i3dEig4>bKge``-` z*SdB)Rm`y|3E3N#Nr8*Eq(vfal>csN@yK_axDgi(+j;7H_-GlPek`LY>bAFNIo!U{ z;>($DSBOt~ONhYo*8-fvWhSMd4z`~^?(N9?VE)*eSpF6RTOI&|0bG>-%$Og)_kMhw zzhLqC-)GL(v@ne0hqx{#P-A{)!0pF(4dSZ?jrsGx&-{*C7{H(j4t+J~jQn~$O!|4? zn@XUDb(m%YEWZf z%)^Qf#S{>n8xmfD_;nkOqVNVdib)aWad_;K2p->NuxJ5PEN=WIS=2~1pJxp1-sV3Kuo^m{EiQTHXK*+}dx7V03@=Fb2u=nSUuYw9sq9|E-Cm0krUIUnPyd{fX2Cv*|Y~D<;OPNlMoxaP0#?D9wjh!h$CCb`EqfE|=BWQo7jU|3t!L zIN?6lMCkGyJNdtWT@lAlp^`AkrvzG2$j9k!;8bS1l)hsSWtsdj!(}ANAJ{ovv?zSe zIGJ(b1o22<3C=rk!8u54A!nL5Zz?NxgkEXhyie!tr~4-JzCH3LLcou~8Vdw?qcO2e zyg0O4eg@QXa<){KHoORj6jbSK7KAIP(mAXSQ(b|S&gBBUaSV{SJHh!LL2NzqL<4mt z=43UZc{of;dp%1V8{SNyXY3+*=rT+ZKZ}$(r0(E?x`}kg&msi-;YF}%SaIU#;2ySu za0Dl61@YDVZ^0GY@hZajDa>>cE?tpVd^X=aNwWBYPa0I`-5R_R{xL&CEXYf*w<~k&?aE~VU(vj>6Uw+T&q!|pUlH!W9dh_xNeC0^0!{mbqdtcNLz$_%CB4p^2GG z{|&KIp@=nKln9oPe>8OkGU?To=hRvfz zakA4;823-1l%iFWRm6ebcs3zow5q06@=FMBrGpZtX!3yP$@c%po9T)3~D8S{K4mFB)) z9?$ru^bKO=lE410XCuy$$dGCHrD^?li&edeZOn7Z~e{*|R>zdAX zPr&*y6qzNA|RIC4_F(c^H880>&8ISI^|G3lw=W;z(qTd?uX8X6Vj%A22)GY8;!r%$J65f-F5W7OC=RgnHZ2;R7*mJ(soCx*#_%0~fFk<}aU& z!5HNIQwC{RW$L-+Cv&cSy0MR10N#v#Y~5$8cWuO#H@_0QlWpxB3yPef6sCK=;bE?X zZzP@43d{~%WvGr*VeiCMs`z%`Py}1-GXzW?nq9caLvuGSTZ>6y*XCC<$#PD{fEkCY zTqlz-$#RkF*}Va#CrmLen=8fkf#*s53H5$h zmXULK81{p(Wo|a`nGh_Rl+L^_Q_OX2D;0kq_8Nxa(5~| z9QYH8R|9`p@mAQf787BgL_EJzdJF82gHN6j=-*Sk7xbqU?*{!P#dBbDA1U%LMgA)k z{}wz|#0W>zp!9WcFH-y+&`(t!w#nxzozHi@(wBpNsnWlOuvaL(6ZGqqen04P9yP+z zyie&@0N|Ug;5T%Zr_h$6@;LAP_ zhWFLx(bp3rPUe5G^$XtINP%sweXYSX%rnI#$BoY6=m$C%(#@xI^CB@JGnlq^dT$u(S)0wanknvckPe;Ah;6tftv86j>I7xt!11;G|zHn|K>zj9o!RkuD? z@uXa0#^R&Q;K%n+%FVxId<<*x)dBO-T6`^|;qVO8rm=aI`sf4lahG>@H_VdS?Xip#$%pdh%mcKK-Fo3}ld&MtlgbvqFcP ze!SkUw()%ff&j7@8RNT6`OM=P@LgjL*i4t^7F>S*%8|b%pfP`}HkLm=b}u`@IpDhk zG&4+>hPKrKy0<_Twi86Y|Ev#;kMU9p_T#I1HR_N>0zZS(XWO}uTpN)Za{lG4y5a93 z9*UCC$+nb|S6_2qY3gYg}_P9H<9pgD9 zI=18ANdHju<8gOdrk%(mObgxuOKN^H(fA=?7j_Y$;>E<=bgvh7arjyMYfeVo1uW@= z^S&ZX_?sG@z7QFfc)~LnM)8?<0=g`OD?SMpcd$ix)>nZm9skOwfX6v}HuuP@a`>F% zfG0V;ih^y*;d3d_RJ$7}4;NGPNwO~=HW6yVCvO6Fg%X}}8Ch@}_rkk4tigXtSVZ-a zyN^?u_fj(L!c``J%y$_{@`q5|wWBz^0sq3u{0k?D_ZacNjaVj#!QssUD-OSDOtKwf zW*YFOa3~S;rd<~N)F~s1cT?m|gn&z7u>}RJHKwkJN#dY07#S9as{o>UAr(OWs*2`+ z6FyS9MGMkTuV~@f2=;cWD_P1UHQX<-6mRhf+X1Ef zKBscT>jyxTlt!%H1iIoDV)wX4wEi5}r8;5_=_$v*mblvC4UA^0!(F7;IJ}X#*5PjQ z@L*_sD&KS!@Eo?h!H6Eg*mRY=qxp1hf#(?hVKhz42M(}q`ZZW2>n)YZ29D22O-s&Z zO>$XJ&UqimQ{BBL=iULwSbD~0%Hs!Wp=-x+DC%+89V<4I&mKD*N~;^2$(n*44%Njl z7+`UuGYt$Azloa~GHDm>{LE-6)JnATGoqc(`WrRK;4>)GcC|L5@-ZUS#oiv=3*Sx&oSXbF2=R?tb>a+Bg(~DmJ1o;2`}nJL;{P> zK0AUJ@DW$iJ=o|E9#Fy`+X*u_TP*U%YS4|&*_ttPRHj6CBtRounr9S9hipc=A*PDV zQ6cOKWqT&hVaDC4&}bVK8e^kEWBqZOtRo-L5c=CNj?WypIShj_-X@JXBS3jaXY5ijuGdg2~F19DKI*N`b}T7U(H~iJ+Zv`f0?~mSrcv-|N7~^i@6oU# zHqBfsBa?U>$fAGnHJ=fN$!PJF60NojxEs1KuQ!Nsu<>M_i)Lv#VI7QN_y8L%1pzZcgYTr5lai@XI)L;oMrMxn3_>Hi{Z zGxRT5Yo+QJdM~Ug9L&F9%+dQ$D70(C3i(E@_Mi*}Q;(X=Lcv|zxdcUHXDr@9EF!Vf zvxih}z${0(h9Vn6Sc2QeJlMlLp>*o}&*OYc+sY4BXG_)^%{ zDdz3JTQPO}pH%#2gx#(9V%T3*%s1z|itAy^L_y@I9eLwDFmE(}#6`^W?-IC(zW|%# zHpCo1I70C+k=8MaKMI@oLmu`u8x?a0d#*($eKO*vNJsoZgx#)~<3`KiCY@ss)YB8Q z?>M0N4A@sGeiQ7Q6!Tu*r+6{!I~8+}%Fig~thp~L?gc+*=P~}9!Sl4@ZLnWbOkFiM z!zT~*tYZ~V1AevQ7U0(^z7Ke|V(Q~gQhX}nIa6^1@CAzh2)s`5IPmu>{y6CGQT%$~ z_bX;yyHhduIQ^31BS8PQ;unB_r1%@a)U}$9o*D<<%L#~6#wvih34NW?6Tl}B!@mV# zU+3|+D<=Oo#XGkGOvsJfY zIDjn~4#6-)kiz|-$fP`B$#}oteZpfA!#YFUC@z!%3cVJX?IOd|kL@MvJ>7V8Vs53w zGv=g1C5dY&zFFY0FpP%rP1EO2xB1lp`}v!X{82T*{E^-Ax7Z5<7<>g4iEWV?gR4L= zOut`4xtJnQV}2{(_S1a=-x1a|rpx=YbUT183}7%4p3^;o!8Q%kNG6z<5g*Hy@%iHr z{ctl3p8?H$ydxj5!i1ZCyav=ZzW+pI)u5R%z8gRwHp6e`-R6MJ`=GfN7jYvlnj~Jb z7TC-m^+xow{Ce35)`Rah_?fY^XybK?%%_oVBZ!<{z<3y6B`(}vR966l=a5*HMFO|M z>9gx~KP4G97<$~Gezx)ty>G+R!dFTpuSutVtYCj@&QDa4Dm z8hrcq)(1NSoLO2qJ~n`OxPNAzaf#+f4&g+7Ym9}5oj~cr*Q96 zMroXQ2HW@Dk9{%Tia1f0d?v_4^gNh9dmc(>{^VW>Y1sQW3t-j!2SAH2O(L%%NIex#gGjx0-y zTb`NbwLG|@a!MKU>-kIFrW<8B4*pWV;bz_Cxmv``I9|TbbRa27@ymB`Qyc?6caF}RUX_}IVB!cHvQ@TptuY));X3hZIt1Z zB7M&oH)*KPvwnL&-ispC7rYl2wEku4=+3JF<1g!=9~!WLGHcuc^(2y4*-k`*uHz;e>j8>fJ! z+~JeAfnMpbt{*BPD_Kgn0w8wAD0zyX@GTHf>KJmUEPnmhEu~_&en?^|cI$^6Zmj`} zOSRaoA98fJe#qesjAp9CZvBwMZvBwM-Q=lv_)Xsio+HnyxJPg@7+HfXRj~iF7oMYf z05IYqc`n|>rBKqgj%Yd>9tpwmvw4>Ze$Ijzg$1^C_e;3U~EYbK)TMlCi8(j+PWpE(Y z&09cv6e`?!L2R=lb+hjoJc{cvMC7=)IjLBeVh{7y zX?Eg@?Px+xciDT`WpBM??^`Z=EiRHrG?L6)-s|SZ#(vA3$0CNUVqvQ!VcmdDz8p&*lJ9&}R+}P;Y(G_FM5*0^OaLotWiXfgI#7KBMEbF=$ zf2^c9bF5^9H-do*oU|o0CF!A%Qnw(cEOY3C2Ub;Zq04DwIrK5o4SiJD(8nkn`lw8= zbvbP;SG$b%$3imJtYn{0l{peJE^`Tsw`eBXJDLl13J;iYF-uihY!!-YVj0SCOfmTn zcMx?TcNNaN7f&S1BtOSCdZT{cVi|WZR^9;_Yc%K!93>TAq+_Y0_| zqEVY>9HE277#nq&3?p;nK~gL_X!Af2OMY^9^6q%`#O-1HEn)180?%Tbb=TmJ>Z2mkxRXE40I8mOQe--@h zx-an`moH6xJy@D-dUktq!S3WQc4IA0Jn^TuBtJ zd&QSe3KP%8z{c(3Q^o*$C$2P4{2FD+;Niy_YjHH5_zL3zIm4h~j?96K(WDT14=(1L z>uac&dl+~p?A-M>_{e%@|Hp7dJdI*V$O#yc6S@T*7*G=T(_O824{VOPh}f$%3X3K# zkwiE3n`Y?Vg{u=E36>|r7y2H^A`rJ8Chyy%Enr?hYtah52GSbCv$+FnO?rE<&+fX8 zUc9u~BkW|6_Dc8WEfk-7W!<<0Rtk$b5C0I7#88^F^(k9`M~NgI1Ovcj(iL&*DM}UJ zNPa4NV5sjketO%!yL>k|XP)zH86EMts3#PtiK+XiEX;aDGZ|O*`jLM{Sn3kV&&A^> zDy9U|r1(MLWr}|Ud!^zlKtEsci?CZ1UkCa+#ZSWSQp{<8)Xy=kCxJQpkeKCkrQ$~r z_6EhQSMO200Q|Qrz6SQ)ijRZ6TX6$;zO8s4@Q)SqK7OhAQP7`NOx^!J#Y=$8a4|pZ zlpL>^(`4o-9*w+l9EUvbh0Ss&X8FBAF~>JM6n_mi*VT|`Dd<-y{t)on75@GF z-4BUz@jf`+k93YDKCAdn@cfAw*Tb~&E?9n!QOtDb62qVG3da`7GY4)NFM`eXhHF|# z-v$0l6yJ>aISr6>cGlme7*I3>uG{b0F~(2x5-!6~cSY+pcyC5$o1MLmV-IL89=(ki zaXyS|yGLjJCY^cytBj>&>}>6CfPE-NR)Qdf+rlGo8W`C1xcr__2saIT-^7i$Xll^N zwZLYx$nf;TW6K#^Nm>N(qaiO%4Xz|E7As95zU;9T^6j)h2xsxt0sHy89{J-lWB%Ce zTmBY%VE}_WpqP2TMdJCb@-X>MfpR}ZpvHo%fZI>^c~nByJ*Lb1vvk{lEev3AA>ykg zP-A|Z;WkXKTfldnM=;m}KR?|{d}vtyOqWuGrF$hX!&q9A;n|Q?e)MNM@AI8ok$((^ z@`L38Ft`kSw-cygx-{>`<>zk_^49_y^G7Mh^2cwbm!04;@UfjSV` zUH>S)N?;UMMtm(Gemx46&inM)v+LdHi~ApH@9g@zTIC;l490B`lpceTbHZe81@yv2 znd>$leBu5;#xkJqZ5jAcC3e24+Bxvv$|I|wi#`@=Fpg!!oGzL=_$ia2Bj)-D=+SMh z$Bn>876%wBNROvXiM#QOViX$n*xM17<1HL#8UND4y_j&D8+SRvFg@y@C0&dI4P3=> z0eJn~#>s=d&nPLa90ilbd667y%~M^Nkj9m!I<>*67Hc{6y@<3y<~zHB0ob z4qmVk35fnx+#~)W^{=t?DSKJU%h8!r&&OoWH6Lr5(Q%-ws+T&{@oDEEDo=Y4S)>%Q zoA?hQob{)v78l4 z_HR!dmYkpHTAEyNZ$SMcwUCM5;V;~Vzhe0-zLIeH8Oa67Uo1~-UYaP`wjz(BQB-on zQWEJM7gjzNxS3`*SJ2I&W>0v3bd8y+%mYy*`aA0UO?8HUAKF4+FT39gzadn8hjh|4 zWkg?xmx9|2n*-?ZOsDqP!O^Lqb@t5AXHKcoMZ@Ik&?w=h&2Y|foUH7;zrl4}`f?8b z3c9|iW|QT~xqp+;d{UMrri{yP5%KxB{fWd{49tt^jHpW>&qW9;dLLl!Ss{8KV4n5e zq&(CQh~5YE&x5v7=_R0_ubBFv7R6&h7rhVo9|EmQ>6;OMzv52-i{1x3)JyFeGc z5Aa8T->&hq|0jAM&>sO7y$|qq@QB_A_#|M_`vCs|Jfimjo`kXzy$|qt;Gv&AYtBg} zUJiN_F?g6y&VMClAE{mOpAfEJG03it6%l3XJAMVX#V`7fQelxkr_l$~Q~aZzqv?w( zu3zDFs3^9mzgJC%XUusFXuhtY5Fd327KYJ~ZvfB4jQQ1oz&tdX2cAJ2_$rl7Keh{e zk1Y&fa61H$(f_}@vk&sBI`8=Xz3fXBkwjn@(RE3Y(hQM>pklPJpf(8V5VJTKNr8Yb zC?LXuTAfN^aWu^fHfoXyNojOCn(3&SQLA7RwMPBJ7;BnF+fGVmgxX1`iHcD(DE)q( z^PGFn@3*_`qAZ%8nfp86`<&;z-Fxo2=X`(9IX4kRIKNKsK_$>m1b0!7=ReJNxiW!k zAYW!8G2i9FF^-^ZK0GB6M7Y@FbfP48gVLkiq^IVYr?*C!I2}50jq3Zh)?F9Euh)_4 z-Jy#VfOg0q)d+yE&;Z{S{%9x1K}TF5w-LM@ODG{G`~~ zZE!7hrydN4aPritgXR$CP$;=@T_$QVx9m7y zmmTCV?VoU@cmy4z_Q}5N9qs?{?hj>Ww*QyR*+;!0yT4CsZjarc>>EeDDIa{K@LTfV z+`p?u{wdg+IsVi$TUtl`R`GNJs@W46;ZfEi`Ghuc3-2nP@Ja9r;wsQ5-Rf|01L({C zQQ@*LJaR;i?O&1SSkG+RU0F*`%WG5b^5 z6Iwbkud2%BnCrtc7VA18+6?M?Jo&E5(1(reEY*Sbt6!SAJs0@qcuC=ghHt6aVBCg9 z!74C7V_giE&tWQWuxcBO(`RW`ZySu$KWEjj!Fa&4UqX_F4aQAX27(h+ISXzG8;l1$ z^J^p&aM)lx;InU*d_=&JfI$_jx?ms}HW;@uHQEN_qDM8@(3S}7`fV|rf`MSb!9XzJ zu)%o1!9XzJU?3Q9*kC;1U?3Q9Fc1tlY%m^h*kGJaO+1^422oFQtq}e<4sDJaCdODmeRIX zH7K*Cy96X&MkZO3UrHx0Q$If=j>w&wv+mY4+JCBadC)wU(XUJj$*FwnlGfE(>B>ol z=O0T5e>$~lzuVIKAnj^px6*jFFdIuvaL4H={cyj|K=yNdhtA5kF4wY~X4m2}mYz6a zqy;Pz_h03rwG*S^v)uatFP^4K9hILqhh4T0+GsCY;x(q$drh_0d(DXvo?6$C8tug` zs@rWpY;5(M6H)_6P3J;*!Wn78(w709_L??(y;=^crAXK8^=c`pF$MKRsBHHZw2;Wy z<4eW%)@HI7xhvV{O*sbLwp2yy(HdOdUL<({HY@gW+-uqreNw9~dvPV{XyDLX- z`pnKpcQ()1yJcrj^X}L8HgBk`-%%Mp_Xm|$2(fbGT>X|_Yi#bU%zmwM!j79N$8D+{ z!Is!NZrstcSJrqx%I3~9>%2wf@g<#4+_dxcojvnxuLf$BuPHZ{tbgXq*7EmZ#nw+{ zWhb&Um_gN1VTI+GF4@ZB!fMsK@iu+z*p-*yGu~|-js=2Ycmc;e-jrSy2+BVe6MU^lJ zO3s~daLK^@oBn7_95!q1eomd?zE1ep!p7GL-@-kV9=0{N z3o~B_KPBJzI$_p=U*z&B@|QSXB>82I|3$v>b<)2_=^I}syhpglb(sG$k0$-URovSg zFO~l{jweh0J;z5Y?t_ju%YWQ4W5gdjX1=w{F?`hjIKEryyyf@>$(do0?;gom3k@D4 z9}X1!3;8n~?~~3sj*nJ47dqwzZF~MozfE|x%O?oip1+bmE!j;je^~OrcFa%`&W^l( zF8_Ou;X)sByh1v*=dW}aoj&LC$K(jh*0jqoVP)McFGyClcv3mxQt z;+T8RdidC8*zW+H1@fg*50iat-`|??4}qOiI$*4F(d5SJ z0C(!ZEzyHEOg_(vE}eyOPCMmXm)zWZUH{Y(hNGQxYX{P!KZ$V!Gt$F$F1i>-pOKbt zrOLNgx|C0JMqa+z!ZD6ucDn+3PNIu&fdml~HdEbyJHTBGo3HaU-zDm(X*1+Y{Rrc_ zEY}g^2xg0w9(vKmuxliUn6PW4cXc9&aIM19e6Ll$@qRE@kNIvECQgSA+$$>7?&O{j z#=-v@sosy3$au-3i+bB607ti1deh^HeDcM8T}N8Jp5(gl=8NUyU6b6-Y@77%ku18H z7k*OgY?3Pb8_Afz)8~_(qV}?LI!=LSNgiEHZ}Rj{o_0_i<&>!%L4RO7r)DZ8H_d*1 zkJYa$kNJeH@r^uYi+I&yUAxL6zSDS2?4sWFbCt)|jZ;<+&w0#Y z;seETj()n}F$c>f-gYotBAhP#E1abA8$M!V&KtIkNH|i~8S8qr9f%{<`eyB463YF& z%B==l!;8M7dg{JSfU9);Ubss3&7mFZ*P1EMbMD4_>mM4fGOk^&-mDB8VW`ya=*cWT zgBX@En=}xX2CFk3ks2Kxgyk>f*_g083+Q+@7{c;i`KBtulK(JGwP6Ng$1}fyN|1M+ zA(b%1Y&iSNl83MNH8^2;1gfaSFCvnnm8tRcmlb3e55jU+%*hE$OT8%&mH{uFEtQaK zQy?sZJP?)vuOgZ7MZ~5+SO$6WMZ~5x=!7pKHeJ12I2b%Otu-9Kh{(nLtpaPlh}g8@ zJc+E|aKe%oh)BxHiMsD}a>94wF*wP}Yr&OS3S)qtmAfr$G`Q3i2}_zxX&XBkwP119 z1%j|N8gjuV;`!R-(gG(e?UfYIy2rpjE}UeFi^drL=x67SQOHFhB=D7`&rt+1nZ{n0 z7KP-y#!`M9vn+ry= zvZA@WGJHq1JkRLH;m$T56WGS}n|dmLSjSZUpmO1k${%g29647^WM$ZTb2T4f|U;%g-$Vi3_{c(}>Q8ip-wfuX+McQD9uGJh|W9nE>JI)2v5$-Xy z>tJ5h-#;shV>{}Pn+y-UvyZ{9B<|_isAOySM-8byuD+jP5Cgw|7G`(@zE9=q#Xs6$ z()mZ}Tq}L!ABEwsqP^f3xo@5hYXavven$QUj6v5u*W z>_1HB?<7AZklHpCH`hc#G0`#4%|;?UkmIbKHw4VH6w71xOS(9Q_3)KAjkj{0!@@ZxU)UOo)s*e3k1my6 z2YJSeq~pCX=k3igo}q_{o{Ro~_~>!Y{o`Dh*c|JEQ%4w%dq@{CkX}8>`F5xg(MHIZ z`o(-#2*)^r*%GCdSU6>?tU_%0}5$>gZ)x zseH`_Q2YJ0$rjIS|Aa}O@;q63ETepShrigeuh<*2Mc>ww(d{Y1Yxav9UL*p)a&!@g(-En`7bMR| zqD`3AIR=}sV^S53AEHfIjlEh;mZNL=pI)Ei(O#$Lz%LU$P+K%Hjsi37i_qntgg z-$Oyq)J-hd6Gyu?E$fGgiEABIJ|>PBIYVNL9$`eQTR7;!RZvMV*Ox-qWX0o-~Hk-avwr29?gMV?$^f#VepcF5+5+)$A*_ zu%IHwD=a$tXzdx6+fS7j6?#!8`ra4Y>3gxCGUi{gDchmn1FU8QpmCqQNn+7;ew5AI zqktF6={hJ2*8onVOMY~OFN-JgIq$zKqW$#M+L814+mqZ&LHkhF8&+CFtlQ1Y*1<(i zWV?zHzZd`a34TU6?%DOMaNM)&Md7$-m(4RZ=Erfhm`}Frrt*3>EMH?W!yi!(ItCni z`fME7=c|)l2iqg#QWEZ%c7Y2g_@}=xc9RFPUmpT5n z{MC-Hmz??K3o1b9ltC8F2_sc-|v{A(W8!kCI2Umv4#3K z$LGo4;}|=t*BtXNU0LUp2RR%J_}?XObIkk!z6v?^Lmgnho-^hT# zxxVOw;UT~ag{eRK_X%%zIqlf^6v;{RA6f}h9vF7A+6tNXkJ5C-nXK_CbNp70`PSn0r!?K5j!?o#S{yr4* zcDG=$JWDe+D|;(dbE)%gVe8>avw)6ggR5Cnl^#nMSC{(=>%0;xf&=VMo-S-^s9o69 zSi7*PDK3U;F6MZ?T8EA`+~jbnC9X1;r+>XKAg6v@Ej?zC6n*-xK-&TE@e zB{cUuv)=0%=s^nC`}>J{@vE}QpZQ@TX^oG!}B<=dE3_(WCI#+>%J z>Dx`NL;rY^V|n5XLtJe0ZH%F~zmtr|f;2bDpX+$6bUx$wzvM4;e7pR`jv3=!>KNH7 z$CoN@x8tqyuXDUe@*c+<3-CpCM-qKnD{(I4*F~y6aYTFa3i2?zO?c zJfcIf&qOd0@Asb?6<~0Va;qA73km&q5tlIBI33{F()!cmesXIE(wpMx$umcK{Erx2 z%y*ImwB}9^I8G;wv*Y`ZFxnjRk{Aco|J89Nz+DWRuk(lrx=1ICNurBk^CU>~9j`YD z`H*juhjC6@ig5(ZPW#gUcQK5zfID3Nuuk~zFghRyZ4E!_P11!Nuk+}l9?w?1#)N4p zzI`t;)dQoS_7?LM>3+$0-t<&k9Y@Gl6Rt^*fH;>|kfnn^`LwuC!yv~H2lIU{F05Q= zTN5?5Im-U?=02#TRK@NAzPX_oLsYBL4wfuRpID?{8%307Y!$RYM02_GL18Oyc^1&| zY%m}0*&?4ynpLgtf;$#9~UrPWQ$Uz^2J-<+21>n*ck=~@OKT(@Gl!E3vZ zmwrCjAe@Zy0nUea?2=rox({H7x2)H{uS?UtZn0UB^%GXmn$BkCPwcl+X&CbL0o?*?hoI1$R235r{H>zhkS67huh;C)zuQ|ISW@&uXLQB^5keY8e0zo zj^}-jpWf%4weg1cnY@eOcD`i!2mCYPQ7&gZW8*ICm3-VK{c#GnahF%|WY?c2eH(X4 z{%zqiUA{*CT*ntkZsRWL@IJ9|m+)p`8+QqxE_|u$GX}G9m*nRtF7Ga&S4+BO;Vmd#$)JU@9Xr5q0Qq?)j|29 hal default - 19.10.2022 15:24:36 - 1666178676551 + 24.10.2022 23:23:55 + 1666639435860 C:\Software\FPGA\iu3-31m\Lab2\Top\software\semafor_bsp settings.bsp ..\..\niosII.sopcinfo @@ -62,7 +62,7 @@ hal.linker.allow_code_at_reset ALT_ALLOW_CODE_AT_RESET Boolean - 1 + 0 0 none Indicates if initialization code is allowed at the reset address. If true, defines the macro ALT_ALLOW_CODE_AT_RESET in linker.h. @@ -74,7 +74,7 @@ hal.linker.enable_alt_load none Boolean - 1 + 0 0 none Enables the alt_load() facility. The alt_load() facility copies data sections (.rodata, .rwdata, or .exceptions) from boot memory to RAM. If true, this setting sets up the VMA/LMA of sections in linker.x to allow them to be loaded into the .text memory. @@ -98,7 +98,7 @@ hal.linker.enable_alt_load_copy_rwdata none Boolean - 1 + 0 0 none Causes the alt_load() facility to copy the .rwdata section. If true, this setting defines the macro ALT_LOAD_COPY_RWDATA in linker.h. @@ -830,7 +830,7 @@ hal.enable_sim_optimize ALT_SIM_OPTIMIZE Boolean - 0 + 1 0 public_mk_define The BSP is compiled with optimizations to speedup HDL simulation such as initializing the cache, clearing the .bss section, and skipping long delay loops. If true, adds -DALT_SIM_OPTIMIZE to ALT_CPPFLAGS in public.mk. diff --git a/Top/software/semafor_bsp/summary.html b/Top/software/semafor_bsp/summary.html index b186d1d..870f281 100644 --- a/Top/software/semafor_bsp/summary.html +++ b/Top/software/semafor_bsp/summary.html @@ -22,10 +22,10 @@ BSP Version:default -BSP Generated On:19.10.2022 15:24:36 +BSP Generated On:24.10.2022 23:23:55 -BSP Generated Timestamp:1666178676551 +BSP Generated Timestamp:1666639435860 BSP Generated Location:C:\Software\FPGA\iu3-31m\Lab2\Top\software\semafor_bsp @@ -423,7 +423,7 @@ Default Value:0 -Value:0 +Value:1 Type:Boolean @@ -504,7 +504,7 @@ Default Value:0 -Value:1 +Value:0 Type:Boolean @@ -531,7 +531,7 @@ Default Value:0 -Value:1 +Value:0 Type:Boolean @@ -612,7 +612,7 @@ Default Value:0 -Value:1 +Value:0 Type:Boolean diff --git a/Top/software/semafor_bsp/system.h b/Top/software/semafor_bsp/system.h index 6d29be3..d09f74f 100644 --- a/Top/software/semafor_bsp/system.h +++ b/Top/software/semafor_bsp/system.h @@ -4,7 +4,7 @@ * Machine generated for CPU 'cpu' in SOPC Builder design 'niosII' * SOPC Builder design path: ../../niosII.sopcinfo * - * Generated: Wed Oct 19 15:19:38 MSK 2022 + * Generated: Mon Oct 24 11:12:11 MSK 2022 */ /* @@ -137,7 +137,7 @@ #define __ALTERA_AVALON_ONCHIP_MEMORY2 #define __ALTERA_AVALON_TIMER #define __ALTERA_NIOS2_GEN2 -#define __SEMAFOR +#define __SEM /* @@ -239,13 +239,13 @@ * */ -#define ALT_MODULE_CLASS_sem_ctl_slave Semafor +#define ALT_MODULE_CLASS_sem_ctl_slave sem #define SEM_CTL_SLAVE_BASE 0x21030 #define SEM_CTL_SLAVE_IRQ -1 #define SEM_CTL_SLAVE_IRQ_INTERRUPT_CONTROLLER_ID -1 #define SEM_CTL_SLAVE_NAME "/dev/sem_ctl_slave" #define SEM_CTL_SLAVE_SPAN 8 -#define SEM_CTL_SLAVE_TYPE "Semafor" +#define SEM_CTL_SLAVE_TYPE "sem" /* @@ -253,13 +253,13 @@ * */ -#define ALT_MODULE_CLASS_sem_ram_slave Semafor +#define ALT_MODULE_CLASS_sem_ram_slave sem #define SEM_RAM_SLAVE_BASE 0x21020 #define SEM_RAM_SLAVE_IRQ -1 #define SEM_RAM_SLAVE_IRQ_INTERRUPT_CONTROLLER_ID -1 #define SEM_RAM_SLAVE_NAME "/dev/sem_ram_slave" #define SEM_RAM_SLAVE_SPAN 16 -#define SEM_RAM_SLAVE_TYPE "Semafor" +#define SEM_RAM_SLAVE_TYPE "sem" /*