diff --git a/HDL/dec.sv b/HDL/dec.sv index c80033a..e14fc41 100644 --- a/HDL/dec.sv +++ b/HDL/dec.sv @@ -83,6 +83,7 @@ module dec colors <= 3'b001; state <= GREEN; end + if (train) begin colors <= 3'b100; state <= RED; diff --git a/Testbench/dec/dec.qws b/Testbench/dec/dec.qws deleted file mode 100644 index 045a66f..0000000 Binary files a/Testbench/dec/dec.qws and /dev/null differ diff --git a/Testbench/sigdel/db/prev_cmp_sigdel.qmsg b/Testbench/sigdel/db/prev_cmp_sigdel.qmsg new file mode 100644 index 0000000..1a6f05d --- /dev/null +++ b/Testbench/sigdel/db/prev_cmp_sigdel.qmsg @@ -0,0 +1,9 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1673520674097 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition " "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1673520674097 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jan 12 13:51:13 2023 " "Processing started: Thu Jan 12 13:51:13 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1673520674097 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1673520674097 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off sigdel -c sigdel " "Command: quartus_map --read_settings_files=on --write_settings_files=off sigdel -c sigdel" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1673520674098 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1673520674253 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1673520674253 ""} +{ "Error" "EVRFX_VERI_SYNTAX_ERROR" "\"\[\"; expecting an operand sigdel.sv(14) " "Verilog HDL syntax error at sigdel.sv(14) near text: \"\[\"; expecting an operand. Check for and fix any syntax errors that appear immediately before or at the specified keyword. The Intel FPGA Knowledge Database contains many articles with specific details on how to resolve this error. Visit the Knowledge Database at https://www.altera.com/support/support-resources/knowledge-base/search.html and search for this specific error message number." { } { { "../../HDL/sigdel.sv" "" { Text "/home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/HDL/sigdel.sv" 14 0 0 } } } 0 10170 "Verilog HDL syntax error at %2!s! near text: %1!s!. Check for and fix any syntax errors that appear immediately before or at the specified keyword. The Intel FPGA Knowledge Database contains many articles with specific details on how to resolve this error. Visit the Knowledge Database at https://www.altera.com/support/support-resources/knowledge-base/search.html and search for this specific error message number." 0 0 "Analysis & Synthesis" 0 -1 1673520680482 ""} +{ "Error" "EVRFX_VERI_DESIGN_UNIT_IGNORED" "sigdel sigdel.sv(1) " "Ignored design unit \"sigdel\" at sigdel.sv(1) due to previous errors" { } { { "../../HDL/sigdel.sv" "" { Text "/home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/HDL/sigdel.sv" 1 0 0 } } } 0 10112 "Ignored design unit \"%1!s!\" at %2!s! due to previous errors" 0 0 "Analysis & Synthesis" 0 -1 1673520680482 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/HDL/sigdel.sv 0 0 " "Found 0 design units, including 0 entities, in source file /home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/HDL/sigdel.sv" { } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1673520680483 ""} +{ "Error" "EQEXE_ERROR_COUNT" "Analysis & Synthesis 2 s 1 Quartus Prime " "Quartus Prime Analysis & Synthesis was unsuccessful. 2 errors, 1 warning" { { "Error" "EQEXE_END_PEAK_VSIZE_MEMORY" "923 " "Peak virtual memory: 923 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1673520680505 ""} { "Error" "EQEXE_END_BANNER_TIME" "Thu Jan 12 13:51:20 2023 " "Processing ended: Thu Jan 12 13:51:20 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1673520680505 ""} { "Error" "EQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1673520680505 ""} { "Error" "EQEXE_ELAPSED_CPU_TIME" "00:00:17 " "Total CPU time (on all processors): 00:00:17" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1673520680505 ""} } { } 0 0 "%6!s! %1!s! was unsuccessful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1673520680505 ""} diff --git a/Testbench/sigdel/db/sigdel.(0).cnf.cdb b/Testbench/sigdel/db/sigdel.(0).cnf.cdb new file mode 100644 index 0000000..0f55ee1 Binary files /dev/null and b/Testbench/sigdel/db/sigdel.(0).cnf.cdb differ diff --git a/Testbench/sigdel/db/sigdel.(0).cnf.hdb b/Testbench/sigdel/db/sigdel.(0).cnf.hdb new file mode 100644 index 0000000..c9098ef Binary files /dev/null and b/Testbench/sigdel/db/sigdel.(0).cnf.hdb differ diff --git a/Testbench/sigdel/db/sigdel.cbx.xml b/Testbench/sigdel/db/sigdel.cbx.xml new file mode 100644 index 0000000..4c9d94f --- /dev/null +++ b/Testbench/sigdel/db/sigdel.cbx.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/Testbench/sigdel/db/sigdel.cmp.rdb b/Testbench/sigdel/db/sigdel.cmp.rdb new file mode 100644 index 0000000..d161ef5 Binary files /dev/null and b/Testbench/sigdel/db/sigdel.cmp.rdb differ diff --git a/Testbench/sigdel/db/sigdel.cmp_merge.kpt b/Testbench/sigdel/db/sigdel.cmp_merge.kpt new file mode 100644 index 0000000..049df89 Binary files /dev/null and b/Testbench/sigdel/db/sigdel.cmp_merge.kpt differ diff --git a/Testbench/sigdel/db/sigdel.db_info b/Testbench/sigdel/db/sigdel.db_info new file mode 100644 index 0000000..132a34a --- /dev/null +++ b/Testbench/sigdel/db/sigdel.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition +Version_Index = 486699264 +Creation_Time = Mon Jan 16 21:47:58 2023 diff --git a/Testbench/sigdel/db/sigdel.hier_info b/Testbench/sigdel/db/sigdel.hier_info new file mode 100644 index 0000000..388f4ea --- /dev/null +++ b/Testbench/sigdel/db/sigdel.hier_info @@ -0,0 +1,47 @@ +|sigdel +phinc[0] => Add0.IN14 +phinc[1] => Add0.IN13 +phinc[2] => Add0.IN12 +phinc[3] => Add0.IN11 +phinc[4] => Add0.IN10 +phinc[5] => Add0.IN9 +phinc[6] => Add0.IN8 +phinc[7] => Add0.IN7 +clk => acc[0].CLK +clk => acc[1].CLK +clk => acc[2].CLK +clk => acc[3].CLK +clk => acc[4].CLK +clk => acc[5].CLK +clk => acc[6].CLK +clk => acc[7].CLK +clk => acc[8].CLK +clk => acc[9].CLK +clk => acc[10].CLK +clk => acc[11].CLK +clk => acc[12].CLK +clk => acc[13].CLK +clr_n => acc[0].ACLR +clr_n => acc[1].ACLR +clr_n => acc[2].ACLR +clr_n => acc[3].ACLR +clr_n => acc[4].ACLR +clr_n => acc[5].ACLR +clr_n => acc[6].ACLR +clr_n => acc[7].ACLR +clr_n => acc[8].ACLR +clr_n => acc[9].ACLR +clr_n => acc[10].ACLR +clr_n => acc[11].ACLR +clr_n => acc[12].ACLR +clr_n => acc[13].ACLR +phase[0] <= acc[6].DB_MAX_OUTPUT_PORT_TYPE +phase[1] <= acc[7].DB_MAX_OUTPUT_PORT_TYPE +phase[2] <= acc[8].DB_MAX_OUTPUT_PORT_TYPE +phase[3] <= acc[9].DB_MAX_OUTPUT_PORT_TYPE +phase[4] <= acc[10].DB_MAX_OUTPUT_PORT_TYPE +phase[5] <= acc[11].DB_MAX_OUTPUT_PORT_TYPE +phase[6] <= acc[12].DB_MAX_OUTPUT_PORT_TYPE +phase[7] <= acc[13].DB_MAX_OUTPUT_PORT_TYPE + + diff --git a/Testbench/sigdel/db/sigdel.hif b/Testbench/sigdel/db/sigdel.hif new file mode 100644 index 0000000..c478932 Binary files /dev/null and b/Testbench/sigdel/db/sigdel.hif differ diff --git a/Testbench/sigdel/db/sigdel.lpc.html b/Testbench/sigdel/db/sigdel.lpc.html new file mode 100644 index 0000000..fbc5ab5 --- /dev/null +++ b/Testbench/sigdel/db/sigdel.lpc.html @@ -0,0 +1,18 @@ + + + + + + + + + + + + + + + + + +
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
diff --git a/Testbench/sigdel/db/sigdel.lpc.rdb b/Testbench/sigdel/db/sigdel.lpc.rdb new file mode 100644 index 0000000..6da93af Binary files /dev/null and b/Testbench/sigdel/db/sigdel.lpc.rdb differ diff --git a/Testbench/sigdel/db/sigdel.lpc.txt b/Testbench/sigdel/db/sigdel.lpc.txt new file mode 100644 index 0000000..a463804 --- /dev/null +++ b/Testbench/sigdel/db/sigdel.lpc.txt @@ -0,0 +1,5 @@ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Legal Partition Candidates ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/Testbench/sigdel/db/sigdel.map.ammdb b/Testbench/sigdel/db/sigdel.map.ammdb new file mode 100644 index 0000000..46055fc Binary files /dev/null and b/Testbench/sigdel/db/sigdel.map.ammdb differ diff --git a/Testbench/sigdel/db/sigdel.map.bpm b/Testbench/sigdel/db/sigdel.map.bpm new file mode 100644 index 0000000..c0ec0ab Binary files /dev/null and b/Testbench/sigdel/db/sigdel.map.bpm differ diff --git a/Testbench/sigdel/db/sigdel.map.cdb b/Testbench/sigdel/db/sigdel.map.cdb new file mode 100644 index 0000000..4f7368c Binary files /dev/null and b/Testbench/sigdel/db/sigdel.map.cdb differ diff --git a/Testbench/sigdel/db/sigdel.map.hdb b/Testbench/sigdel/db/sigdel.map.hdb new file mode 100644 index 0000000..33b95dd Binary files /dev/null and b/Testbench/sigdel/db/sigdel.map.hdb differ diff --git a/Testbench/sigdel/db/sigdel.map.kpt b/Testbench/sigdel/db/sigdel.map.kpt new file mode 100644 index 0000000..3da6623 Binary files /dev/null and b/Testbench/sigdel/db/sigdel.map.kpt differ diff --git a/Testbench/sigdel/db/sigdel.map.logdb b/Testbench/sigdel/db/sigdel.map.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/Testbench/sigdel/db/sigdel.map.logdb @@ -0,0 +1 @@ +v1 diff --git a/Testbench/sigdel/db/sigdel.map.qmsg b/Testbench/sigdel/db/sigdel.map.qmsg new file mode 100644 index 0000000..94d2057 --- /dev/null +++ b/Testbench/sigdel/db/sigdel.map.qmsg @@ -0,0 +1,12 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1673883395012 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition " "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1673883395013 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Jan 16 18:36:34 2023 " "Processing started: Mon Jan 16 18:36:34 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1673883395013 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1673883395013 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off sigdel -c sigdel " "Command: quartus_map --read_settings_files=on --write_settings_files=off sigdel -c sigdel" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1673883395013 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1673883395187 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "8 8 " "Parallel compilation is enabled and will use 8 of the 8 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1673883395187 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/HDL/sigdel.sv 1 1 " "Found 1 design units, including 1 entities, in source file /home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/HDL/sigdel.sv" { { "Info" "ISGN_ENTITY_NAME" "1 sigdel " "Found entity 1: sigdel" { } { { "../../HDL/sigdel.sv" "" { Text "/home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/HDL/sigdel.sv" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1673883401066 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1673883401066 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "sigdel_tb.sv 1 1 " "Found 1 design units, including 1 entities, in source file sigdel_tb.sv" { { "Info" "ISGN_ENTITY_NAME" "1 sigdel_tb " "Found entity 1: sigdel_tb" { } { { "sigdel_tb.sv" "" { Text "/home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/Testbench/sigdel/sigdel_tb.sv" 3 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1673883401066 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1673883401066 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "sigdel " "Elaborating entity \"sigdel\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1673883401097 ""} +{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1673883401388 ""} +{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1673883401553 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1673883401553 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "32 " "Implemented 32 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "10 " "Implemented 10 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1673883401619 ""} { "Info" "ICUT_CUT_TM_OPINS" "8 " "Implemented 8 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1673883401619 ""} { "Info" "ICUT_CUT_TM_LCELLS" "14 " "Implemented 14 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1673883401619 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1673883401619 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "1047 " "Peak virtual memory: 1047 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1673883401622 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Jan 16 18:36:41 2023 " "Processing ended: Mon Jan 16 18:36:41 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1673883401622 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1673883401622 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:16 " "Total CPU time (on all processors): 00:00:16" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1673883401622 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1673883401622 ""} diff --git a/Testbench/sigdel/db/sigdel.map.rdb b/Testbench/sigdel/db/sigdel.map.rdb new file mode 100644 index 0000000..f833721 Binary files /dev/null and b/Testbench/sigdel/db/sigdel.map.rdb differ diff --git a/Testbench/sigdel/db/sigdel.map_bb.cdb b/Testbench/sigdel/db/sigdel.map_bb.cdb new file mode 100644 index 0000000..8caa875 Binary files /dev/null and b/Testbench/sigdel/db/sigdel.map_bb.cdb differ diff --git a/Testbench/sigdel/db/sigdel.map_bb.hdb b/Testbench/sigdel/db/sigdel.map_bb.hdb new file mode 100644 index 0000000..a750c1f Binary files /dev/null and b/Testbench/sigdel/db/sigdel.map_bb.hdb differ diff --git a/Testbench/sigdel/db/sigdel.map_bb.logdb b/Testbench/sigdel/db/sigdel.map_bb.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/Testbench/sigdel/db/sigdel.map_bb.logdb @@ -0,0 +1 @@ +v1 diff --git a/Testbench/sigdel/db/sigdel.pre_map.hdb b/Testbench/sigdel/db/sigdel.pre_map.hdb new file mode 100644 index 0000000..2016702 Binary files /dev/null and b/Testbench/sigdel/db/sigdel.pre_map.hdb differ diff --git a/Testbench/sigdel/db/sigdel.root_partition.map.reg_db.cdb b/Testbench/sigdel/db/sigdel.root_partition.map.reg_db.cdb new file mode 100644 index 0000000..24e9fc3 Binary files /dev/null and b/Testbench/sigdel/db/sigdel.root_partition.map.reg_db.cdb differ diff --git a/Testbench/sigdel/db/sigdel.rtlv.hdb b/Testbench/sigdel/db/sigdel.rtlv.hdb new file mode 100644 index 0000000..5597348 Binary files /dev/null and b/Testbench/sigdel/db/sigdel.rtlv.hdb differ diff --git a/Testbench/sigdel/db/sigdel.rtlv_sg.cdb b/Testbench/sigdel/db/sigdel.rtlv_sg.cdb new file mode 100644 index 0000000..d586076 Binary files /dev/null and b/Testbench/sigdel/db/sigdel.rtlv_sg.cdb differ diff --git a/Testbench/sigdel/db/sigdel.rtlv_sg_swap.cdb b/Testbench/sigdel/db/sigdel.rtlv_sg_swap.cdb new file mode 100644 index 0000000..96b5aa3 Binary files /dev/null and b/Testbench/sigdel/db/sigdel.rtlv_sg_swap.cdb differ diff --git a/Testbench/sigdel/db/sigdel.sld_design_entry.sci b/Testbench/sigdel/db/sigdel.sld_design_entry.sci new file mode 100644 index 0000000..6849b47 Binary files /dev/null and b/Testbench/sigdel/db/sigdel.sld_design_entry.sci differ diff --git a/Testbench/sigdel/db/sigdel.sld_design_entry_dsc.sci b/Testbench/sigdel/db/sigdel.sld_design_entry_dsc.sci new file mode 100644 index 0000000..6849b47 Binary files /dev/null and b/Testbench/sigdel/db/sigdel.sld_design_entry_dsc.sci differ diff --git a/Testbench/sigdel/db/sigdel.smart_action.txt b/Testbench/sigdel/db/sigdel.smart_action.txt new file mode 100644 index 0000000..e04bbcf --- /dev/null +++ b/Testbench/sigdel/db/sigdel.smart_action.txt @@ -0,0 +1 @@ +FIT diff --git a/Testbench/sigdel/db/sigdel.tis_db_list.ddb b/Testbench/sigdel/db/sigdel.tis_db_list.ddb new file mode 100644 index 0000000..9b5e0bf Binary files /dev/null and b/Testbench/sigdel/db/sigdel.tis_db_list.ddb differ diff --git a/Testbench/sigdel/db/sigdel_partition_pins.json b/Testbench/sigdel/db/sigdel_partition_pins.json new file mode 100644 index 0000000..42834f5 --- /dev/null +++ b/Testbench/sigdel/db/sigdel_partition_pins.json @@ -0,0 +1,81 @@ +{ + "partitions" : [ + { + "name" : "Top", + "pins" : [ + { + "name" : "phase[0]", + "strict" : false + }, + { + "name" : "phase[1]", + "strict" : false + }, + { + "name" : "phase[2]", + "strict" : false + }, + { + "name" : "phase[3]", + "strict" : false + }, + { + "name" : "phase[4]", + "strict" : false + }, + { + "name" : "phase[5]", + "strict" : false + }, + { + "name" : "phase[6]", + "strict" : false + }, + { + "name" : "phase[7]", + "strict" : false + }, + { + "name" : "clk", + "strict" : false + }, + { + "name" : "clr_n", + "strict" : false + }, + { + "name" : "phinc[6]", + "strict" : false + }, + { + "name" : "phinc[7]", + "strict" : false + }, + { + "name" : "phinc[5]", + "strict" : false + }, + { + "name" : "phinc[4]", + "strict" : false + }, + { + "name" : "phinc[3]", + "strict" : false + }, + { + "name" : "phinc[2]", + "strict" : false + }, + { + "name" : "phinc[1]", + "strict" : false + }, + { + "name" : "phinc[0]", + "strict" : false + } + ] + } + ] +} \ No newline at end of file diff --git a/Testbench/sigdel/incremental_db/README b/Testbench/sigdel/incremental_db/README new file mode 100644 index 0000000..9f62dcd --- /dev/null +++ b/Testbench/sigdel/incremental_db/README @@ -0,0 +1,11 @@ +This folder contains data for incremental compilation. + +The compiled_partitions sub-folder contains previous compilation results for each partition. +As long as this folder is preserved, incremental compilation results from earlier compiles +can be re-used. To perform a clean compilation from source files for all partitions, both +the db and incremental_db folder should be removed. + +The imported_partitions sub-folder contains the last imported QXP for each imported partition. +As long as this folder is preserved, imported partitions will be automatically re-imported +when the db or incremental_db/compiled_partitions folders are removed. + diff --git a/Testbench/sigdel/incremental_db/compiled_partitions/sigdel.db_info b/Testbench/sigdel/incremental_db/compiled_partitions/sigdel.db_info new file mode 100644 index 0000000..faa6190 --- /dev/null +++ b/Testbench/sigdel/incremental_db/compiled_partitions/sigdel.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition +Version_Index = 486699264 +Creation_Time = Thu Jan 12 13:26:18 2023 diff --git a/Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.cdb b/Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.cdb new file mode 100644 index 0000000..71d080d Binary files /dev/null and b/Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.cdb differ diff --git a/Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.dpi b/Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.dpi new file mode 100644 index 0000000..96aaa29 Binary files /dev/null and b/Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.dpi differ diff --git a/Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.hbdb.cdb b/Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.hbdb.cdb new file mode 100644 index 0000000..c88e3ee Binary files /dev/null and b/Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.hbdb.cdb differ diff --git a/Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.hbdb.hb_info b/Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.hbdb.hb_info new file mode 100644 index 0000000..8210c55 Binary files /dev/null and b/Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.hbdb.hb_info differ diff --git a/Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.hbdb.hdb b/Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.hbdb.hdb new file mode 100644 index 0000000..d831a4a Binary files /dev/null and b/Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.hbdb.hdb differ diff --git a/Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.hbdb.sig b/Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.hbdb.sig new file mode 100644 index 0000000..af9b8e9 --- /dev/null +++ b/Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.hbdb.sig @@ -0,0 +1 @@ +7aee213afbf8301ed5eefc8c827f49a3 \ No newline at end of file diff --git a/Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.hdb b/Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.hdb new file mode 100644 index 0000000..5fd74e7 Binary files /dev/null and b/Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.hdb differ diff --git a/Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.kpt b/Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.kpt new file mode 100644 index 0000000..7c434b5 Binary files /dev/null and b/Testbench/sigdel/incremental_db/compiled_partitions/sigdel.root_partition.map.kpt differ diff --git a/Top/niosII.sopcinfo b/Top/niosII.sopcinfo index 0f955c5..5862f65 100644 --- a/Top/niosII.sopcinfo +++ b/Top/niosII.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1671833790 + 1673967689 false true false diff --git a/Top/niosII/niosII.html b/Top/niosII/niosII.html index b1d525b..940f164 100644 --- a/Top/niosII/niosII.html +++ b/Top/niosII/niosII.html @@ -67,7 +67,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - +
2022.12.24.02:16:302023.01.17.19:00:54 Datasheet
@@ -2038,8 +2038,8 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - - + +
generation took 0,00 secondsrendering took 0,03 secondsgeneration took 0.00 secondsrendering took 0.02 seconds
diff --git a/Top/niosII/niosII.xml b/Top/niosII/niosII.xml index 73d6d07..78e2a79 100644 --- a/Top/niosII/niosII.xml +++ b/Top/niosII/niosII.xml @@ -1,7 +1,7 @@ + date="2023.01.17.19:00:59" + outputDirectory="/home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/Top/niosII/"> - + @@ -88,249 +88,250 @@ + + + + + + - - - - - - - + + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/nios2_ip/altera_nios2_gen2/altera_nios2_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/nios2_ip/altera_nios2_gen2/altera_nios2_unit_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/altera_avalon_jtag_uart_hw.tcl" /> - + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/altera_avalon_onchip_memory2_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/Top/Semafor_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/sopc_builder_ip/altera_avalon_timer/altera_avalon_timer_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_mm_interconnect/altera_mm_interconnect_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_master_translator/altera_merlin_master_translator_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_slave_translator/altera_merlin_slave_translator_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_master_agent/altera_merlin_master_agent_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_slave_agent/altera_merlin_slave_agent_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo.v" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/avalon_st/altera_avalon_st_adapter/altera_avalon_st_adapter_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/avalon_st/altera_avalon_st_error_adapter/avalon-st_error_adapter_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_irq_mapper/altera_irq_mapper_hw.tcl" /> + queue size: 0 starting:niosII "niosII" @@ -607,36 +608,36 @@ niosII" instantiated altera_nios2_gen2 "cpu"]]> queue size: 59 starting:altera_nios2_gen2_unit "submodules/niosII_cpu_cpu" Starting RTL generation for module 'niosII_cpu_cpu' - Generation command is [exec C:/Software/intelFPGA_lite/18.1/quartus/bin64//eperlcmd.exe -I C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9349_7343411587542223325.dir/0037_cpu_gen/ --quartus_bindir=C:/Software/intelFPGA_lite/18.1/quartus/bin64/ --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9349_7343411587542223325.dir/0037_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] - # 2022.12.24 01:16:53 (*) Starting Nios II generation - # 2022.12.24 01:16:53 (*) Checking for plaintext license. - # 2022.12.24 01:16:54 (*) Couldn't query license setup in Quartus directory C:/Software/intelFPGA_lite/18.1/quartus/bin64/ - # 2022.12.24 01:16:54 (*) Defaulting to contents of LM_LICENSE_FILE environment variable - # 2022.12.24 01:16:54 (*) LM_LICENSE_FILE environment variable is empty - # 2022.12.24 01:16:54 (*) Plaintext license not found. - # 2022.12.24 01:16:54 (*) No license required to generate encrypted Nios II/e. - # 2022.12.24 01:16:54 (*) Elaborating CPU configuration settings - # 2022.12.24 01:16:54 (*) Creating all objects for CPU - # 2022.12.24 01:16:55 (*) Generating RTL from CPU objects - # 2022.12.24 01:16:55 (*) Creating plain-text RTL - # 2022.12.24 01:16:56 (*) Done Nios II generation + Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//eperlcmd -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=/tmp/alt9374_5498523038764792383.dir/0008_cpu_gen/ --quartus_bindir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/ --verilog --config=/tmp/alt9374_5498523038764792383.dir/0008_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] + # 2023.01.17 18:00:58 (*) Starting Nios II generation + # 2023.01.17 18:00:58 (*) Checking for plaintext license. + # 2023.01.17 18:00:58 (*) Couldn't query license setup in Quartus directory /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/ + # 2023.01.17 18:00:58 (*) Defaulting to contents of LM_LICENSE_FILE environment variable + # 2023.01.17 18:00:58 (*) LM_LICENSE_FILE environment variable is empty + # 2023.01.17 18:00:58 (*) Plaintext license not found. + # 2023.01.17 18:00:58 (*) No license required to generate encrypted Nios II/e. + # 2023.01.17 18:00:58 (*) Elaborating CPU configuration settings + # 2023.01.17 18:00:58 (*) Creating all objects for CPU + # 2023.01.17 18:00:59 (*) Generating RTL from CPU objects + # 2023.01.17 18:00:59 (*) Creating plain-text RTL + # 2023.01.17 18:00:59 (*) Done Nios II generation Done RTL generation for module 'niosII_cpu_cpu' cpu" instantiated altera_nios2_gen2_unit "cpu"]]> queue size: 7 starting:altera_avalon_jtag_uart "submodules/niosII_jtag_uart" Starting RTL generation for module 'niosII_jtag_uart' - Generation command is [exec C:/software/intelfpga_lite/18.1/quartus/bin64/perl/bin/perl.exe -I C:/software/intelfpga_lite/18.1/quartus/bin64/perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=niosII_jtag_uart --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9349_7343411587542223325.dir/0031_jtag_uart_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9349_7343411587542223325.dir/0031_jtag_uart_gen//niosII_jtag_uart_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=niosII_jtag_uart --dir=/tmp/alt9374_5498523038764792383.dir/0002_jtag_uart_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9374_5498523038764792383.dir/0002_jtag_uart_gen//niosII_jtag_uart_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_jtag_uart' niosII" instantiated altera_avalon_jtag_uart "jtag_uart"]]> queue size: 6 starting:altera_avalon_onchip_memory2 "submodules/niosII_mem" Starting RTL generation for module 'niosII_mem' - Generation command is [exec C:/software/intelfpga_lite/18.1/quartus/bin64/perl/bin/perl.exe -I C:/software/intelfpga_lite/18.1/quartus/bin64/perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=niosII_mem --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9349_7343411587542223325.dir/0032_mem_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9349_7343411587542223325.dir/0032_mem_gen//niosII_mem_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=niosII_mem --dir=/tmp/alt9374_5498523038764792383.dir/0003_mem_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9374_5498523038764792383.dir/0003_mem_gen//niosII_mem_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_mem' niosII" instantiated altera_avalon_onchip_memory2 "mem"]]> queue size: 5 starting:sem "submodules/dec" niosII" instantiated sem "sem"]]> queue size: 4 starting:altera_avalon_timer "submodules/niosII_sys_clk_timer" Starting RTL generation for module 'niosII_sys_clk_timer' - Generation command is [exec C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/bin/perl.exe -I C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=niosII_sys_clk_timer --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9349_7343411587542223325.dir/0034_sys_clk_timer_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9349_7343411587542223325.dir/0034_sys_clk_timer_gen//niosII_sys_clk_timer_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=niosII_sys_clk_timer --dir=/tmp/alt9374_5498523038764792383.dir/0005_sys_clk_timer_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9374_5498523038764792383.dir/0005_sys_clk_timer_gen//niosII_sys_clk_timer_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_sys_clk_timer' niosII" instantiated altera_avalon_timer "sys_clk_timer"]]> queue size: 3 starting:altera_mm_interconnect "submodules/niosII_mm_interconnect_0" @@ -880,58 +881,58 @@ Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.027s - Timing: COM:3/0.052s/0.076s + Timing: ELA:1/0.000s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.002s + Timing: COM:3/0.021s/0.034s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s - Timing: ELA:2/0.001s/0.002s - Timing: ELA:1/0.011s - Timing: COM:3/0.021s/0.022s + Timing: ELA:1/0.000s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.003s + Timing: COM:3/0.008s/0.009s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.009s - Timing: COM:3/0.019s/0.025s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.003s + Timing: COM:3/0.008s/0.009s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.002s - Timing: ELA:1/0.011s - Timing: COM:3/0.021s/0.024s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.002s + Timing: COM:3/0.008s/0.009s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s + Timing: ELA:1/0.000s Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.010s - Timing: COM:3/0.024s/0.032s + Timing: ELA:1/0.003s + Timing: COM:3/0.008s/0.009s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.008s - Timing: COM:3/0.019s/0.022s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.003s + Timing: COM:3/0.008s/0.009s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.011s - Timing: COM:3/0.022s/0.028s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.003s + Timing: COM:3/0.007s/0.008s 61 modules, 199 connections]]> @@ -1024,15 +1025,15 @@ mm_interconnect_0" instantiated altera_merlin_multiplexer "cmd_mux"]]> queue size: 20 starting:altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_cmd_mux_002" mm_interconnect_0" instantiated altera_merlin_multiplexer "cmd_mux_002"]]> - C:/Software/FPGA/iu3-31m/Lab2/Top/niosII/synthesis/submodules/altera_merlin_arbitrator.sv]]> + /home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/Top/niosII/synthesis/submodules/altera_merlin_arbitrator.sv]]> queue size: 15 starting:altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_rsp_demux" mm_interconnect_0" instantiated altera_merlin_demultiplexer "rsp_demux"]]> queue size: 8 starting:altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_rsp_mux" mm_interconnect_0" instantiated altera_merlin_multiplexer "rsp_mux"]]> - C:/Software/FPGA/iu3-31m/Lab2/Top/niosII/synthesis/submodules/altera_merlin_arbitrator.sv]]> + /home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/Top/niosII/synthesis/submodules/altera_merlin_arbitrator.sv]]> queue size: 7 starting:altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_rsp_mux_001" mm_interconnect_0" instantiated altera_merlin_multiplexer "rsp_mux_001"]]> - C:/Software/FPGA/iu3-31m/Lab2/Top/niosII/synthesis/submodules/altera_merlin_arbitrator.sv]]> + /home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/Top/niosII/synthesis/submodules/altera_merlin_arbitrator.sv]]> queue size: 6 starting:altera_avalon_st_adapter "submodules/niosII_mm_interconnect_0_avalon_st_adapter" @@ -1266,54 +1267,54 @@ + + + + + + - - - - - - + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/nios2_ip/altera_nios2_gen2/altera_nios2_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/nios2_ip/altera_nios2_gen2/altera_nios2_unit_hw.tcl" /> @@ -1334,19 +1335,19 @@ niosII" instantiated altera_nios2_gen2 "cpu"]]> queue size: 59 starting:altera_nios2_gen2_unit "submodules/niosII_cpu_cpu" Starting RTL generation for module 'niosII_cpu_cpu' - Generation command is [exec C:/Software/intelFPGA_lite/18.1/quartus/bin64//eperlcmd.exe -I C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9349_7343411587542223325.dir/0037_cpu_gen/ --quartus_bindir=C:/Software/intelFPGA_lite/18.1/quartus/bin64/ --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9349_7343411587542223325.dir/0037_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] - # 2022.12.24 01:16:53 (*) Starting Nios II generation - # 2022.12.24 01:16:53 (*) Checking for plaintext license. - # 2022.12.24 01:16:54 (*) Couldn't query license setup in Quartus directory C:/Software/intelFPGA_lite/18.1/quartus/bin64/ - # 2022.12.24 01:16:54 (*) Defaulting to contents of LM_LICENSE_FILE environment variable - # 2022.12.24 01:16:54 (*) LM_LICENSE_FILE environment variable is empty - # 2022.12.24 01:16:54 (*) Plaintext license not found. - # 2022.12.24 01:16:54 (*) No license required to generate encrypted Nios II/e. - # 2022.12.24 01:16:54 (*) Elaborating CPU configuration settings - # 2022.12.24 01:16:54 (*) Creating all objects for CPU - # 2022.12.24 01:16:55 (*) Generating RTL from CPU objects - # 2022.12.24 01:16:55 (*) Creating plain-text RTL - # 2022.12.24 01:16:56 (*) Done Nios II generation + Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//eperlcmd -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=/tmp/alt9374_5498523038764792383.dir/0008_cpu_gen/ --quartus_bindir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/ --verilog --config=/tmp/alt9374_5498523038764792383.dir/0008_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] + # 2023.01.17 18:00:58 (*) Starting Nios II generation + # 2023.01.17 18:00:58 (*) Checking for plaintext license. + # 2023.01.17 18:00:58 (*) Couldn't query license setup in Quartus directory /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/ + # 2023.01.17 18:00:58 (*) Defaulting to contents of LM_LICENSE_FILE environment variable + # 2023.01.17 18:00:58 (*) LM_LICENSE_FILE environment variable is empty + # 2023.01.17 18:00:58 (*) Plaintext license not found. + # 2023.01.17 18:00:58 (*) No license required to generate encrypted Nios II/e. + # 2023.01.17 18:00:58 (*) Elaborating CPU configuration settings + # 2023.01.17 18:00:58 (*) Creating all objects for CPU + # 2023.01.17 18:00:59 (*) Generating RTL from CPU objects + # 2023.01.17 18:00:59 (*) Creating plain-text RTL + # 2023.01.17 18:00:59 (*) Done Nios II generation Done RTL generation for module 'niosII_cpu_cpu' cpu" instantiated altera_nios2_gen2_unit "cpu"]]> @@ -1376,21 +1377,21 @@ + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/altera_avalon_jtag_uart_hw.tcl" /> queue size: 7 starting:altera_avalon_jtag_uart "submodules/niosII_jtag_uart" Starting RTL generation for module 'niosII_jtag_uart' - Generation command is [exec C:/software/intelfpga_lite/18.1/quartus/bin64/perl/bin/perl.exe -I C:/software/intelfpga_lite/18.1/quartus/bin64/perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=niosII_jtag_uart --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9349_7343411587542223325.dir/0031_jtag_uart_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9349_7343411587542223325.dir/0031_jtag_uart_gen//niosII_jtag_uart_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=niosII_jtag_uart --dir=/tmp/alt9374_5498523038764792383.dir/0002_jtag_uart_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9374_5498523038764792383.dir/0002_jtag_uart_gen//niosII_jtag_uart_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_jtag_uart' niosII" instantiated altera_avalon_jtag_uart "jtag_uart"]]> @@ -1441,25 +1442,25 @@ + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/altera_avalon_onchip_memory2_hw.tcl" /> queue size: 6 starting:altera_avalon_onchip_memory2 "submodules/niosII_mem" Starting RTL generation for module 'niosII_mem' - Generation command is [exec C:/software/intelfpga_lite/18.1/quartus/bin64/perl/bin/perl.exe -I C:/software/intelfpga_lite/18.1/quartus/bin64/perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=niosII_mem --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9349_7343411587542223325.dir/0032_mem_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9349_7343411587542223325.dir/0032_mem_gen//niosII_mem_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=niosII_mem --dir=/tmp/alt9374_5498523038764792383.dir/0003_mem_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9374_5498523038764792383.dir/0003_mem_gen//niosII_mem_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_mem' niosII" instantiated altera_avalon_onchip_memory2 "mem"]]> @@ -1474,17 +1475,18 @@ - + @@ -1519,21 +1521,21 @@ + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/sopc_builder_ip/altera_avalon_timer/altera_avalon_timer_hw.tcl" /> queue size: 4 starting:altera_avalon_timer "submodules/niosII_sys_clk_timer" Starting RTL generation for module 'niosII_sys_clk_timer' - Generation command is [exec C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/bin/perl.exe -I C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=niosII_sys_clk_timer --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9349_7343411587542223325.dir/0034_sys_clk_timer_gen/ --quartus_dir=C:/software/intelfpga_lite/18.1/quartus --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9349_7343411587542223325.dir/0034_sys_clk_timer_gen//niosII_sys_clk_timer_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/bin/perl -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/common -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=niosII_sys_clk_timer --dir=/tmp/alt9374_5498523038764792383.dir/0005_sys_clk_timer_gen/ --quartus_dir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus --verilog --config=/tmp/alt9374_5498523038764792383.dir/0005_sys_clk_timer_gen//niosII_sys_clk_timer_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'niosII_sys_clk_timer' niosII" instantiated altera_avalon_timer "sys_clk_timer"]]> @@ -1739,151 +1741,151 @@ };set_instance_parameter_value {cpu_instruction_master_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {cpu_instruction_master_agent} {ID} {1};set_instance_parameter_value {cpu_instruction_master_agent} {BURSTWRAP_VALUE} {3};set_instance_parameter_value {cpu_instruction_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {cpu_instruction_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {cpu_instruction_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {cpu_instruction_master_agent} {USE_WRITERESPONSE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_DATA_W} {94};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ID} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ECC_ENABLE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sem_ctl_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {sem_ctl_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sem_ctl_slave_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {sem_ctl_slave_agent} {ST_DATA_W} {94};set_instance_parameter_value {sem_ctl_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sem_ctl_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sem_ctl_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sem_ctl_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sem_ctl_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sem_ctl_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sem_ctl_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sem_ctl_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sem_ctl_slave_agent} {ID} {4};set_instance_parameter_value {sem_ctl_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sem_ctl_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sem_ctl_slave_agent} {ECC_ENABLE} {0};add_instance {sem_ctl_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sem_ctl_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {cpu_debug_mem_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ST_DATA_W} {94};set_instance_parameter_value {cpu_debug_mem_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {cpu_debug_mem_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {cpu_debug_mem_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {cpu_debug_mem_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ID} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent} {ECC_ENABLE} {0};add_instance {cpu_debug_mem_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {cpu_debug_mem_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sem_ram_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sem_ram_slave_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {sem_ram_slave_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {sem_ram_slave_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {sem_ram_slave_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {sem_ram_slave_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {sem_ram_slave_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {sem_ram_slave_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {sem_ram_slave_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {sem_ram_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sem_ram_slave_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {sem_ram_slave_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {sem_ram_slave_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {sem_ram_slave_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {sem_ram_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sem_ram_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sem_ram_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sem_ram_slave_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {sem_ram_slave_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {sem_ram_slave_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {sem_ram_slave_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {sem_ram_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sem_ram_slave_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {sem_ram_slave_agent} {ST_DATA_W} {94};set_instance_parameter_value {sem_ram_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sem_ram_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sem_ram_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sem_ram_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sem_ram_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sem_ram_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sem_ram_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sem_ram_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sem_ram_slave_agent} {ID} {5};set_instance_parameter_value {sem_ram_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sem_ram_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sem_ram_slave_agent} {ECC_ENABLE} {0};add_instance {sem_ram_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sem_ram_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sys_clk_timer_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {sys_clk_timer_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sys_clk_timer_s1_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {sys_clk_timer_s1_agent} {ST_DATA_W} {94};set_instance_parameter_value {sys_clk_timer_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sys_clk_timer_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sys_clk_timer_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sys_clk_timer_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sys_clk_timer_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sys_clk_timer_s1_agent} {ID} {6};set_instance_parameter_value {sys_clk_timer_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sys_clk_timer_s1_agent} {ECC_ENABLE} {0};add_instance {sys_clk_timer_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sys_clk_timer_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {mem_s2_agent} {altera_merlin_slave_agent};set_instance_parameter_value {mem_s2_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {mem_s2_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {mem_s2_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {mem_s2_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {mem_s2_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {mem_s2_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {mem_s2_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {mem_s2_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {mem_s2_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {mem_s2_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {mem_s2_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {mem_s2_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {mem_s2_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {mem_s2_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {mem_s2_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {mem_s2_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {mem_s2_agent} {PKT_DATA_H} {31};set_instance_parameter_value {mem_s2_agent} {PKT_DATA_L} {0};set_instance_parameter_value {mem_s2_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {mem_s2_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {mem_s2_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {mem_s2_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {mem_s2_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {mem_s2_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {mem_s2_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {mem_s2_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {mem_s2_agent} {ST_DATA_W} {94};set_instance_parameter_value {mem_s2_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {mem_s2_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {mem_s2_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {mem_s2_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {mem_s2_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {mem_s2_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {mem_s2_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {mem_s2_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {mem_s2_agent} {ID} {3};set_instance_parameter_value {mem_s2_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {mem_s2_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {mem_s2_agent} {ECC_ENABLE} {0};add_instance {mem_s2_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {mem_s2_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {mem_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {mem_s1_agent} {PKT_ORI_BURST_SIZE_H} {93};set_instance_parameter_value {mem_s1_agent} {PKT_ORI_BURST_SIZE_L} {91};set_instance_parameter_value {mem_s1_agent} {PKT_RESPONSE_STATUS_H} {90};set_instance_parameter_value {mem_s1_agent} {PKT_RESPONSE_STATUS_L} {89};set_instance_parameter_value {mem_s1_agent} {PKT_BURST_SIZE_H} {68};set_instance_parameter_value {mem_s1_agent} {PKT_BURST_SIZE_L} {66};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {mem_s1_agent} {PKT_BEGIN_BURST} {73};set_instance_parameter_value {mem_s1_agent} {PKT_PROTECTION_H} {84};set_instance_parameter_value {mem_s1_agent} {PKT_PROTECTION_L} {82};set_instance_parameter_value {mem_s1_agent} {PKT_BURSTWRAP_H} {65};set_instance_parameter_value {mem_s1_agent} {PKT_BURSTWRAP_L} {63};set_instance_parameter_value {mem_s1_agent} {PKT_BYTE_CNT_H} {62};set_instance_parameter_value {mem_s1_agent} {PKT_BYTE_CNT_L} {60};set_instance_parameter_value {mem_s1_agent} {PKT_ADDR_H} {53};set_instance_parameter_value {mem_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_COMPRESSED_READ} {54};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_POSTED} {55};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {mem_s1_agent} {PKT_TRANS_READ} {57};set_instance_parameter_value {mem_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {mem_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {mem_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {mem_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {mem_s1_agent} {PKT_SRC_ID_H} {77};set_instance_parameter_value {mem_s1_agent} {PKT_SRC_ID_L} {75};set_instance_parameter_value {mem_s1_agent} {PKT_DEST_ID_H} {80};set_instance_parameter_value {mem_s1_agent} {PKT_DEST_ID_L} {78};set_instance_parameter_value {mem_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {mem_s1_agent} {ST_CHANNEL_W} {7};set_instance_parameter_value {mem_s1_agent} {ST_DATA_W} {94};set_instance_parameter_value {mem_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {mem_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {mem_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {mem_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {mem_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {mem_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {mem_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {mem_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {mem_s1_agent} {ID} {2};set_instance_parameter_value {mem_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {mem_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {mem_s1_agent} {ECC_ENABLE} {0};add_instance {mem_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {95};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {mem_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {router} {altera_merlin_router};set_instance_parameter_value {router} {DESTINATION_ID} {3 0 5 6 4 1 };set_instance_parameter_value {router} {CHANNEL_ID} {100000 000100 001000 010000 000010 000001 };set_instance_parameter_value {router} {TYPE_OF_TRANSACTION} {both both write both both both };set_instance_parameter_value {router} {START_ADDRESS} {0x0 0x20800 0x21000 0x21040 0x21060 0x21068 };set_instance_parameter_value {router} {END_ADDRESS} {0x20000 0x21000 0x21040 0x21060 0x21068 0x21070 };set_instance_parameter_value {router} {NON_SECURED_TAG} {1 1 1 1 1 1 };set_instance_parameter_value {router} {SECURED_RANGE_PAIRS} {0 0 0 0 0 0 };set_instance_parameter_value {router} {SECURED_RANGE_LIST} {0 0 0 0 0 0 };set_instance_parameter_value {router} {SPAN_OFFSET} {};set_instance_parameter_value {router} {PKT_ADDR_H} {53};set_instance_parameter_value {router} {PKT_ADDR_L} {36};set_instance_parameter_value {router} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router} {PKT_TRANS_READ} {57};set_instance_parameter_value {router} {ST_DATA_W} {94};set_instance_parameter_value {router} {ST_CHANNEL_W} {7};set_instance_parameter_value {router} {DECODER_TYPE} {0};set_instance_parameter_value {router} {DEFAULT_CHANNEL} {5};set_instance_parameter_value {router} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_DESTID} {3};set_instance_parameter_value {router} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router} {MEMORY_ALIASING_DECODE} {0};add_instance {router_001} {altera_merlin_router};set_instance_parameter_value {router_001} {DESTINATION_ID} {2 0 };set_instance_parameter_value {router_001} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router_001} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router_001} {START_ADDRESS} {0x0 0x20800 };set_instance_parameter_value {router_001} {END_ADDRESS} {0x20000 0x21000 };set_instance_parameter_value {router_001} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_001} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_001} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_001} {SPAN_OFFSET} {};set_instance_parameter_value {router_001} {PKT_ADDR_H} {53};set_instance_parameter_value {router_001} {PKT_ADDR_L} {36};set_instance_parameter_value {router_001} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_001} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_001} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_001} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_001} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_001} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_001} {ST_DATA_W} {94};set_instance_parameter_value {router_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_001} {DECODER_TYPE} {0};set_instance_parameter_value {router_001} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router_001} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_DESTID} {2};set_instance_parameter_value {router_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_001} {MEMORY_ALIASING_DECODE} {0};add_instance {router_002} {altera_merlin_router};set_instance_parameter_value {router_002} {DESTINATION_ID} {0 };set_instance_parameter_value {router_002} {CHANNEL_ID} {1 };set_instance_parameter_value {router_002} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_002} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_002} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_002} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_002} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_002} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_002} {SPAN_OFFSET} {};set_instance_parameter_value {router_002} {PKT_ADDR_H} {53};set_instance_parameter_value {router_002} {PKT_ADDR_L} {36};set_instance_parameter_value {router_002} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_002} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_002} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_002} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_002} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_002} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_002} {ST_DATA_W} {94};set_instance_parameter_value {router_002} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_002} {DECODER_TYPE} {1};set_instance_parameter_value {router_002} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_002} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_002} {MEMORY_ALIASING_DECODE} {0};add_instance {router_003} {altera_merlin_router};set_instance_parameter_value {router_003} {DESTINATION_ID} {0 };set_instance_parameter_value {router_003} {CHANNEL_ID} {1 };set_instance_parameter_value {router_003} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_003} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_003} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_003} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_003} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_003} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_003} {SPAN_OFFSET} {};set_instance_parameter_value {router_003} {PKT_ADDR_H} {53};set_instance_parameter_value {router_003} {PKT_ADDR_L} {36};set_instance_parameter_value {router_003} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_003} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_003} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_003} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_003} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_003} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_003} {ST_DATA_W} {94};set_instance_parameter_value {router_003} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_003} {DECODER_TYPE} {1};set_instance_parameter_value {router_003} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_003} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_003} {MEMORY_ALIASING_DECODE} {0};add_instance {router_004} {altera_merlin_router};set_instance_parameter_value {router_004} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_004} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_004} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_004} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_004} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_004} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_004} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_004} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_004} {SPAN_OFFSET} {};set_instance_parameter_value {router_004} {PKT_ADDR_H} {53};set_instance_parameter_value {router_004} {PKT_ADDR_L} {36};set_instance_parameter_value {router_004} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_004} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_004} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_004} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_004} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_004} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_004} {ST_DATA_W} {94};set_instance_parameter_value {router_004} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_004} {DECODER_TYPE} {1};set_instance_parameter_value {router_004} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_004} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_004} {MEMORY_ALIASING_DECODE} {0};add_instance {router_005} {altera_merlin_router};set_instance_parameter_value {router_005} {DESTINATION_ID} {0 };set_instance_parameter_value {router_005} {CHANNEL_ID} {1 };set_instance_parameter_value {router_005} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_005} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_005} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_005} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_005} {SPAN_OFFSET} {};set_instance_parameter_value {router_005} {PKT_ADDR_H} {53};set_instance_parameter_value {router_005} {PKT_ADDR_L} {36};set_instance_parameter_value {router_005} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_005} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_005} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_005} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_005} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_005} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_005} {ST_DATA_W} {94};set_instance_parameter_value {router_005} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_005} {DECODER_TYPE} {1};set_instance_parameter_value {router_005} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_005} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_005} {MEMORY_ALIASING_DECODE} {0};add_instance {router_006} {altera_merlin_router};set_instance_parameter_value {router_006} {DESTINATION_ID} {0 };set_instance_parameter_value {router_006} {CHANNEL_ID} {1 };set_instance_parameter_value {router_006} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_006} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_006} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_006} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_006} {SPAN_OFFSET} {};set_instance_parameter_value {router_006} {PKT_ADDR_H} {53};set_instance_parameter_value {router_006} {PKT_ADDR_L} {36};set_instance_parameter_value {router_006} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_006} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_006} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_006} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_006} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_006} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_006} {ST_DATA_W} {94};set_instance_parameter_value {router_006} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_006} {DECODER_TYPE} {1};set_instance_parameter_value {router_006} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_006} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_006} {MEMORY_ALIASING_DECODE} {0};add_instance {router_007} {altera_merlin_router};set_instance_parameter_value {router_007} {DESTINATION_ID} {0 };set_instance_parameter_value {router_007} {CHANNEL_ID} {1 };set_instance_parameter_value {router_007} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_007} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_007} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_007} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_007} {SPAN_OFFSET} {};set_instance_parameter_value {router_007} {PKT_ADDR_H} {53};set_instance_parameter_value {router_007} {PKT_ADDR_L} {36};set_instance_parameter_value {router_007} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_007} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_007} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_007} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_007} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_007} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_007} {ST_DATA_W} {94};set_instance_parameter_value {router_007} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_007} {DECODER_TYPE} {1};set_instance_parameter_value {router_007} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_007} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_007} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_007} {MEMORY_ALIASING_DECODE} {0};add_instance {router_008} {altera_merlin_router};set_instance_parameter_value {router_008} {DESTINATION_ID} {1 };set_instance_parameter_value {router_008} {CHANNEL_ID} {1 };set_instance_parameter_value {router_008} {TYPE_OF_TRANSACTION} {read };set_instance_parameter_value {router_008} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_008} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_008} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_008} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_008} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_008} {SPAN_OFFSET} {};set_instance_parameter_value {router_008} {PKT_ADDR_H} {53};set_instance_parameter_value {router_008} {PKT_ADDR_L} {36};set_instance_parameter_value {router_008} {PKT_PROTECTION_H} {84};set_instance_parameter_value {router_008} {PKT_PROTECTION_L} {82};set_instance_parameter_value {router_008} {PKT_DEST_ID_H} {80};set_instance_parameter_value {router_008} {PKT_DEST_ID_L} {78};set_instance_parameter_value {router_008} {PKT_TRANS_WRITE} {56};set_instance_parameter_value {router_008} {PKT_TRANS_READ} {57};set_instance_parameter_value {router_008} {ST_DATA_W} {94};set_instance_parameter_value {router_008} {ST_CHANNEL_W} {7};set_instance_parameter_value {router_008} {DECODER_TYPE} {1};set_instance_parameter_value {router_008} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_008} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_008} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_008} {DEFAULT_DESTID} {1};set_instance_parameter_value {router_008} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_008} {MEMORY_ALIASING_DECODE} {0};add_instance {cmd_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux} {ST_DATA_W} {94};set_instance_parameter_value {cmd_demux} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_demux} {NUM_OUTPUTS} {6};set_instance_parameter_value {cmd_demux} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_001} {ST_DATA_W} {94};set_instance_parameter_value {cmd_demux_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_demux_001} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_001} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_001} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_001} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_001} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_002} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_002} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_002} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_002} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_002} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_002} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_002} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_003} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_003} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_003} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_003} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_003} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_003} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_003} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_004} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_004} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_004} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_004} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_004} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_004} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_004} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_005} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_005} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_005} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_005} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_005} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_005} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_005} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_006} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_006} {ST_DATA_W} {94};set_instance_parameter_value {cmd_mux_006} {ST_CHANNEL_W} {7};set_instance_parameter_value {cmd_mux_006} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_006} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_006} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_006} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {cmd_mux_006} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_006} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_001} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_001} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_002} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_002} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_002} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_002} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_002} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_003} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_003} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_003} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_003} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_003} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_004} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_004} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_004} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_004} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_004} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_005} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_005} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_005} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_005} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_005} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_006} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_006} {ST_DATA_W} {94};set_instance_parameter_value {rsp_demux_006} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_demux_006} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_006} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_mux} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux} {ST_DATA_W} {94};set_instance_parameter_value {rsp_mux} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_mux} {NUM_INPUTS} {6};set_instance_parameter_value {rsp_mux} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {rsp_mux} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux} {ARBITRATION_SHARES} {1 1 1 1 1 1 };set_instance_parameter_value {rsp_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {rsp_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_001} {ST_DATA_W} {94};set_instance_parameter_value {rsp_mux_001} {ST_CHANNEL_W} {7};set_instance_parameter_value {rsp_mux_001} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux_001} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_001} {PKT_TRANS_LOCK} {58};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(93:91) response_status(90:89) cache(88:85) protection(84:82) thread_id(81) dest_id(80:78) src_id(77:75) qos(74) begin_burst(73) data_sideband(72) addr_sideband(71) burst_type(70:69) burst_size(68:66) burstwrap(65:63) byte_cnt(62:60) trans_exclusive(59) trans_lock(58) trans_read(57) trans_write(56) trans_posted(55) trans_compressed_read(54) addr(53:36) byteen(35:32) data(31:0)};add_instance {cpu_reset_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {cpu_reset_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {cpu_reset_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {cpu_reset_reset_bridge} {NUM_RESET_OUTPUTS} {1};set_instance_parameter_value {cpu_reset_reset_bridge} {USE_RESET_REQUEST} {0};add_instance {clk_clk_clock_bridge} {altera_clock_bridge};set_instance_parameter_value {clk_clk_clock_bridge} {EXPLICIT_CLOCK_RATE} {50000000};set_instance_parameter_value {clk_clk_clock_bridge} {NUM_CLOCK_OUTPUTS} {1};add_connection {cpu_data_master_translator.avalon_universal_master_0} {cpu_data_master_agent.av} {avalon};set_connection_parameter_value {cpu_data_master_translator.avalon_universal_master_0/cpu_data_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {cpu_data_master_translator.avalon_universal_master_0/cpu_data_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {cpu_data_master_translator.avalon_universal_master_0/cpu_data_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux.src} {cpu_data_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux.src/cpu_data_master_agent.rp} {qsys_mm.response};add_connection {cpu_instruction_master_translator.avalon_universal_master_0} {cpu_instruction_master_agent.av} {avalon};set_connection_parameter_value {cpu_instruction_master_translator.avalon_universal_master_0/cpu_instruction_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {cpu_instruction_master_translator.avalon_universal_master_0/cpu_instruction_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {cpu_instruction_master_translator.avalon_universal_master_0/cpu_instruction_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux_001.src} {cpu_instruction_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux_001.src/cpu_instruction_master_agent.rp} {qsys_mm.response};add_connection {jtag_uart_avalon_jtag_slave_agent.m0} {jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {jtag_uart_avalon_jtag_slave_agent.rf_source} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.out} {jtag_uart_avalon_jtag_slave_agent.rf_sink} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_src} {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux.src} {jtag_uart_avalon_jtag_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux.src/jtag_uart_avalon_jtag_slave_agent.cp} {qsys_mm.command};add_connection {sem_ctl_slave_agent.m0} {sem_ctl_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sem_ctl_slave_agent.m0/sem_ctl_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sem_ctl_slave_agent.m0/sem_ctl_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sem_ctl_slave_agent.m0/sem_ctl_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sem_ctl_slave_agent.rf_source} {sem_ctl_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {sem_ctl_slave_agent_rsp_fifo.out} {sem_ctl_slave_agent.rf_sink} {avalon_streaming};add_connection {sem_ctl_slave_agent.rdata_fifo_src} {sem_ctl_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_001.src} {sem_ctl_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_001.src/sem_ctl_slave_agent.cp} {qsys_mm.command};add_connection {cpu_debug_mem_slave_agent.m0} {cpu_debug_mem_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {cpu_debug_mem_slave_agent.m0/cpu_debug_mem_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {cpu_debug_mem_slave_agent.m0/cpu_debug_mem_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {cpu_debug_mem_slave_agent.m0/cpu_debug_mem_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {cpu_debug_mem_slave_agent.rf_source} {cpu_debug_mem_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {cpu_debug_mem_slave_agent_rsp_fifo.out} {cpu_debug_mem_slave_agent.rf_sink} {avalon_streaming};add_connection {cpu_debug_mem_slave_agent.rdata_fifo_src} {cpu_debug_mem_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_002.src} {cpu_debug_mem_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_002.src/cpu_debug_mem_slave_agent.cp} {qsys_mm.command};add_connection {sem_ram_slave_agent.m0} {sem_ram_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sem_ram_slave_agent.m0/sem_ram_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sem_ram_slave_agent.m0/sem_ram_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sem_ram_slave_agent.m0/sem_ram_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sem_ram_slave_agent.rf_source} {sem_ram_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {sem_ram_slave_agent_rsp_fifo.out} {sem_ram_slave_agent.rf_sink} {avalon_streaming};add_connection {sem_ram_slave_agent.rdata_fifo_src} {sem_ram_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_003.src} {sem_ram_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_003.src/sem_ram_slave_agent.cp} {qsys_mm.command};add_connection {sys_clk_timer_s1_agent.m0} {sys_clk_timer_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sys_clk_timer_s1_agent.m0/sys_clk_timer_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sys_clk_timer_s1_agent.m0/sys_clk_timer_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sys_clk_timer_s1_agent.m0/sys_clk_timer_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sys_clk_timer_s1_agent.rf_source} {sys_clk_timer_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {sys_clk_timer_s1_agent_rsp_fifo.out} {sys_clk_timer_s1_agent.rf_sink} {avalon_streaming};add_connection {sys_clk_timer_s1_agent.rdata_fifo_src} {sys_clk_timer_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_004.src} {sys_clk_timer_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_004.src/sys_clk_timer_s1_agent.cp} {qsys_mm.command};add_connection {mem_s2_agent.m0} {mem_s2_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {mem_s2_agent.m0/mem_s2_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {mem_s2_agent.m0/mem_s2_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {mem_s2_agent.m0/mem_s2_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {mem_s2_agent.rf_source} {mem_s2_agent_rsp_fifo.in} {avalon_streaming};add_connection {mem_s2_agent_rsp_fifo.out} {mem_s2_agent.rf_sink} {avalon_streaming};add_connection {mem_s2_agent.rdata_fifo_src} {mem_s2_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_005.src} {mem_s2_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_005.src/mem_s2_agent.cp} {qsys_mm.command};add_connection {mem_s1_agent.m0} {mem_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {mem_s1_agent.m0/mem_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {mem_s1_agent.m0/mem_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {mem_s1_agent.m0/mem_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {mem_s1_agent.rf_source} {mem_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {mem_s1_agent_rsp_fifo.out} {mem_s1_agent.rf_sink} {avalon_streaming};add_connection {mem_s1_agent.rdata_fifo_src} {mem_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_006.src} {mem_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_006.src/mem_s1_agent.cp} {qsys_mm.command};add_connection {cpu_data_master_agent.cp} {router.sink} {avalon_streaming};preview_set_connection_tag {cpu_data_master_agent.cp/router.sink} {qsys_mm.command};add_connection {router.src} {cmd_demux.sink} {avalon_streaming};preview_set_connection_tag {router.src/cmd_demux.sink} {qsys_mm.command};add_connection {cpu_instruction_master_agent.cp} {router_001.sink} {avalon_streaming};preview_set_connection_tag {cpu_instruction_master_agent.cp/router_001.sink} {qsys_mm.command};add_connection {router_001.src} {cmd_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_001.src/cmd_demux_001.sink} {qsys_mm.command};add_connection {jtag_uart_avalon_jtag_slave_agent.rp} {router_002.sink} {avalon_streaming};preview_set_connection_tag {jtag_uart_avalon_jtag_slave_agent.rp/router_002.sink} {qsys_mm.response};add_connection {router_002.src} {rsp_demux.sink} {avalon_streaming};preview_set_connection_tag {router_002.src/rsp_demux.sink} {qsys_mm.response};add_connection {sem_ctl_slave_agent.rp} {router_003.sink} {avalon_streaming};preview_set_connection_tag {sem_ctl_slave_agent.rp/router_003.sink} {qsys_mm.response};add_connection {router_003.src} {rsp_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_003.src/rsp_demux_001.sink} {qsys_mm.response};add_connection {cpu_debug_mem_slave_agent.rp} {router_004.sink} {avalon_streaming};preview_set_connection_tag {cpu_debug_mem_slave_agent.rp/router_004.sink} {qsys_mm.response};add_connection {router_004.src} {rsp_demux_002.sink} {avalon_streaming};preview_set_connection_tag {router_004.src/rsp_demux_002.sink} {qsys_mm.response};add_connection {sem_ram_slave_agent.rp} {router_005.sink} {avalon_streaming};preview_set_connection_tag {sem_ram_slave_agent.rp/router_005.sink} {qsys_mm.response};add_connection {router_005.src} {rsp_demux_003.sink} {avalon_streaming};preview_set_connection_tag {router_005.src/rsp_demux_003.sink} {qsys_mm.response};add_connection {sys_clk_timer_s1_agent.rp} {router_006.sink} {avalon_streaming};preview_set_connection_tag {sys_clk_timer_s1_agent.rp/router_006.sink} {qsys_mm.response};add_connection {router_006.src} {rsp_demux_004.sink} {avalon_streaming};preview_set_connection_tag {router_006.src/rsp_demux_004.sink} {qsys_mm.response};add_connection {mem_s2_agent.rp} {router_007.sink} {avalon_streaming};preview_set_connection_tag {mem_s2_agent.rp/router_007.sink} {qsys_mm.response};add_connection {router_007.src} {rsp_demux_005.sink} {avalon_streaming};preview_set_connection_tag {router_007.src/rsp_demux_005.sink} {qsys_mm.response};add_connection {mem_s1_agent.rp} {router_008.sink} {avalon_streaming};preview_set_connection_tag {mem_s1_agent.rp/router_008.sink} {qsys_mm.response};add_connection {router_008.src} {rsp_demux_006.sink} {avalon_streaming};preview_set_connection_tag {router_008.src/rsp_demux_006.sink} {qsys_mm.response};add_connection {cmd_demux.src0} {cmd_mux.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src0/cmd_mux.sink0} {qsys_mm.command};add_connection {cmd_demux.src1} {cmd_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src1/cmd_mux_001.sink0} {qsys_mm.command};add_connection {cmd_demux.src2} {cmd_mux_002.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src2/cmd_mux_002.sink0} {qsys_mm.command};add_connection {cmd_demux.src3} {cmd_mux_003.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src3/cmd_mux_003.sink0} {qsys_mm.command};add_connection {cmd_demux.src4} {cmd_mux_004.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src4/cmd_mux_004.sink0} {qsys_mm.command};add_connection {cmd_demux.src5} {cmd_mux_005.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src5/cmd_mux_005.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src0} {cmd_mux_002.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src0/cmd_mux_002.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src1} {cmd_mux_006.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src1/cmd_mux_006.sink0} {qsys_mm.command};add_connection {rsp_demux.src0} {rsp_mux.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src0/rsp_mux.sink0} {qsys_mm.response};add_connection {rsp_demux_001.src0} {rsp_mux.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src0/rsp_mux.sink1} {qsys_mm.response};add_connection {rsp_demux_002.src0} {rsp_mux.sink2} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src0/rsp_mux.sink2} {qsys_mm.response};add_connection {rsp_demux_002.src1} {rsp_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src1/rsp_mux_001.sink0} {qsys_mm.response};add_connection {rsp_demux_003.src0} {rsp_mux.sink3} {avalon_streaming};preview_set_connection_tag {rsp_demux_003.src0/rsp_mux.sink3} {qsys_mm.response};add_connection {rsp_demux_004.src0} {rsp_mux.sink4} {avalon_streaming};preview_set_connection_tag {rsp_demux_004.src0/rsp_mux.sink4} {qsys_mm.response};add_connection {rsp_demux_005.src0} {rsp_mux.sink5} {avalon_streaming};preview_set_connection_tag {rsp_demux_005.src0/rsp_mux.sink5} {qsys_mm.response};add_connection {rsp_demux_006.src0} {rsp_mux_001.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_006.src0/rsp_mux_001.sink1} {qsys_mm.response};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_data_master_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_instruction_master_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sem_ctl_slave_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_debug_mem_slave_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sem_ram_slave_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sys_clk_timer_s1_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s2_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s1_translator.reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_data_master_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_instruction_master_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sem_ctl_slave_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sem_ctl_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_debug_mem_slave_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cpu_debug_mem_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sem_ram_slave_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sem_ram_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sys_clk_timer_s1_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {sys_clk_timer_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s2_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s2_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s1_agent.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {mem_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_002.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_003.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_004.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_005.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_006.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_007.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {router_008.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_demux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_demux_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_002.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_003.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_004.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_005.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {cmd_mux_006.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_001.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_002.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_003.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_004.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_005.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_demux_006.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_mux.clk_reset} {reset};add_connection {cpu_reset_reset_bridge.out_reset} {rsp_mux_001.clk_reset} {reset};add_connection {clk_clk_clock_bridge.out_clk} {cpu_data_master_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_instruction_master_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sem_ctl_slave_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_debug_mem_slave_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sem_ram_slave_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sys_clk_timer_s1_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s2_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s1_translator.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_data_master_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_instruction_master_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sem_ctl_slave_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sem_ctl_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_debug_mem_slave_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_debug_mem_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sem_ram_slave_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sem_ram_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sys_clk_timer_s1_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {sys_clk_timer_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s2_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s2_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s1_agent.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {mem_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_002.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_003.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_004.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_005.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_006.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_007.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {router_008.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_demux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_mux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_demux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_mux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_001.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_002.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_002.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_003.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_003.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_004.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_004.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_005.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_005.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cmd_mux_006.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {rsp_demux_006.clk} {clock};add_connection {clk_clk_clock_bridge.out_clk} {cpu_reset_reset_bridge.clk} {clock};add_interface {clk_clk} {clock} {slave};set_interface_property {clk_clk} {EXPORT_OF} {clk_clk_clock_bridge.in_clk};add_interface {cpu_reset_reset_bridge_in_reset} {reset} {slave};set_interface_property {cpu_reset_reset_bridge_in_reset} {EXPORT_OF} {cpu_reset_reset_bridge.in_reset};add_interface {cpu_data_master} {avalon} {slave};set_interface_property {cpu_data_master} {EXPORT_OF} {cpu_data_master_translator.avalon_anti_master_0};add_interface {cpu_instruction_master} {avalon} {slave};set_interface_property {cpu_instruction_master} {EXPORT_OF} {cpu_instruction_master_translator.avalon_anti_master_0};add_interface {cpu_debug_mem_slave} {avalon} {master};set_interface_property {cpu_debug_mem_slave} {EXPORT_OF} {cpu_debug_mem_slave_translator.avalon_anti_slave_0};add_interface {jtag_uart_avalon_jtag_slave} {avalon} {master};set_interface_property {jtag_uart_avalon_jtag_slave} {EXPORT_OF} {jtag_uart_avalon_jtag_slave_translator.avalon_anti_slave_0};add_interface {mem_s1} {avalon} {master};set_interface_property {mem_s1} {EXPORT_OF} {mem_s1_translator.avalon_anti_slave_0};add_interface {mem_s2} {avalon} {master};set_interface_property {mem_s2} {EXPORT_OF} {mem_s2_translator.avalon_anti_slave_0};add_interface {sem_ctl_slave} {avalon} {master};set_interface_property {sem_ctl_slave} {EXPORT_OF} {sem_ctl_slave_translator.avalon_anti_slave_0};add_interface {sem_ram_slave} {avalon} {master};set_interface_property {sem_ram_slave} {EXPORT_OF} {sem_ram_slave_translator.avalon_anti_slave_0};add_interface {sys_clk_timer_s1} {avalon} {master};set_interface_property {sys_clk_timer_s1} {EXPORT_OF} {sys_clk_timer_s1_translator.avalon_anti_slave_0};set_module_assignment {interconnect_id.cpu.data_master} {0};set_module_assignment {interconnect_id.cpu.debug_mem_slave} {0};set_module_assignment {interconnect_id.cpu.instruction_master} {1};set_module_assignment {interconnect_id.jtag_uart.avalon_jtag_slave} {1};set_module_assignment {interconnect_id.mem.s1} {2};set_module_assignment {interconnect_id.mem.s2} {3};set_module_assignment {interconnect_id.sem.ctl_slave} {4};set_module_assignment {interconnect_id.sem.ram_slave} {5};set_module_assignment {interconnect_id.sys_clk_timer.s1} {6};" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_mm_interconnect/altera_mm_interconnect_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_master_translator/altera_merlin_master_translator_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_slave_translator/altera_merlin_slave_translator_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_master_agent/altera_merlin_master_agent_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_slave_agent/altera_merlin_slave_agent_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo.v" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/avalon_st/altera_avalon_st_adapter/altera_avalon_st_adapter_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/avalon_st/altera_avalon_st_error_adapter/avalon-st_error_adapter_hw.tcl" /> @@ -2128,58 +2130,58 @@ Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.027s - Timing: COM:3/0.052s/0.076s + Timing: ELA:1/0.000s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.002s + Timing: COM:3/0.021s/0.034s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s - Timing: ELA:2/0.001s/0.002s - Timing: ELA:1/0.011s - Timing: COM:3/0.021s/0.022s + Timing: ELA:1/0.000s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.003s + Timing: COM:3/0.008s/0.009s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.009s - Timing: COM:3/0.019s/0.025s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.003s + Timing: COM:3/0.008s/0.009s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.002s - Timing: ELA:1/0.011s - Timing: COM:3/0.021s/0.024s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.002s + Timing: COM:3/0.008s/0.009s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s + Timing: ELA:1/0.000s Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.010s - Timing: COM:3/0.024s/0.032s + Timing: ELA:1/0.003s + Timing: COM:3/0.008s/0.009s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.008s - Timing: COM:3/0.019s/0.022s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.003s + Timing: COM:3/0.008s/0.009s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.011s - Timing: COM:3/0.022s/0.028s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.003s + Timing: COM:3/0.007s/0.008s 61 modules, 199 connections]]> @@ -2272,15 +2274,15 @@ mm_interconnect_0" instantiated altera_merlin_multiplexer "cmd_mux"]]> queue size: 20 starting:altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_cmd_mux_002" mm_interconnect_0" instantiated altera_merlin_multiplexer "cmd_mux_002"]]> - C:/Software/FPGA/iu3-31m/Lab2/Top/niosII/synthesis/submodules/altera_merlin_arbitrator.sv]]> + /home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/Top/niosII/synthesis/submodules/altera_merlin_arbitrator.sv]]> queue size: 15 starting:altera_merlin_demultiplexer "submodules/niosII_mm_interconnect_0_rsp_demux" mm_interconnect_0" instantiated altera_merlin_demultiplexer "rsp_demux"]]> queue size: 8 starting:altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_rsp_mux" mm_interconnect_0" instantiated altera_merlin_multiplexer "rsp_mux"]]> - C:/Software/FPGA/iu3-31m/Lab2/Top/niosII/synthesis/submodules/altera_merlin_arbitrator.sv]]> + /home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/Top/niosII/synthesis/submodules/altera_merlin_arbitrator.sv]]> queue size: 7 starting:altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_rsp_mux_001" mm_interconnect_0" instantiated altera_merlin_multiplexer "rsp_mux_001"]]> - C:/Software/FPGA/iu3-31m/Lab2/Top/niosII/synthesis/submodules/altera_merlin_arbitrator.sv]]> + /home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/Top/niosII/synthesis/submodules/altera_merlin_arbitrator.sv]]> queue size: 6 starting:altera_avalon_st_adapter "submodules/niosII_mm_interconnect_0_avalon_st_adapter" @@ -2313,14 +2315,14 @@ + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_irq_mapper/altera_irq_mapper_hw.tcl" /> @@ -2338,22 +2340,22 @@ name="altera_reset_controller"> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_reset_controller/altera_reset_controller_hw.tcl" /> @@ -2559,65 +2561,65 @@ + + + + + + - - - - - - + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/nios2_ip/altera_nios2_gen2/altera_nios2_unit_hw.tcl" /> queue size: 59 starting:altera_nios2_gen2_unit "submodules/niosII_cpu_cpu" Starting RTL generation for module 'niosII_cpu_cpu' - Generation command is [exec C:/Software/intelFPGA_lite/18.1/quartus/bin64//eperlcmd.exe -I C:/Software/intelFPGA_lite/18.1/quartus/bin64//perl/lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/europa -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin/perl_lib -I C:/software/intelfpga_lite/18.1/quartus/sopc_builder/bin -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- C:/software/intelfpga_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9349_7343411587542223325.dir/0037_cpu_gen/ --quartus_bindir=C:/Software/intelFPGA_lite/18.1/quartus/bin64/ --verilog --config=C:/Users/IVAN-I~1/AppData/Local/Temp/alt9349_7343411587542223325.dir/0037_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] - # 2022.12.24 01:16:53 (*) Starting Nios II generation - # 2022.12.24 01:16:53 (*) Checking for plaintext license. - # 2022.12.24 01:16:54 (*) Couldn't query license setup in Quartus directory C:/Software/intelFPGA_lite/18.1/quartus/bin64/ - # 2022.12.24 01:16:54 (*) Defaulting to contents of LM_LICENSE_FILE environment variable - # 2022.12.24 01:16:54 (*) LM_LICENSE_FILE environment variable is empty - # 2022.12.24 01:16:54 (*) Plaintext license not found. - # 2022.12.24 01:16:54 (*) No license required to generate encrypted Nios II/e. - # 2022.12.24 01:16:54 (*) Elaborating CPU configuration settings - # 2022.12.24 01:16:54 (*) Creating all objects for CPU - # 2022.12.24 01:16:55 (*) Generating RTL from CPU objects - # 2022.12.24 01:16:55 (*) Creating plain-text RTL - # 2022.12.24 01:16:56 (*) Done Nios II generation + Generation command is [exec /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//eperlcmd -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64//perl/lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/europa -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin/perl_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/sopc_builder/bin -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=niosII_cpu_cpu --dir=/tmp/alt9374_5498523038764792383.dir/0008_cpu_gen/ --quartus_bindir=/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/ --verilog --config=/tmp/alt9374_5498523038764792383.dir/0008_cpu_gen//niosII_cpu_cpu_processor_configuration.pl --do_build_sim=0 ] + # 2023.01.17 18:00:58 (*) Starting Nios II generation + # 2023.01.17 18:00:58 (*) Checking for plaintext license. + # 2023.01.17 18:00:58 (*) Couldn't query license setup in Quartus directory /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/linux64/ + # 2023.01.17 18:00:58 (*) Defaulting to contents of LM_LICENSE_FILE environment variable + # 2023.01.17 18:00:58 (*) LM_LICENSE_FILE environment variable is empty + # 2023.01.17 18:00:58 (*) Plaintext license not found. + # 2023.01.17 18:00:58 (*) No license required to generate encrypted Nios II/e. + # 2023.01.17 18:00:58 (*) Elaborating CPU configuration settings + # 2023.01.17 18:00:58 (*) Creating all objects for CPU + # 2023.01.17 18:00:59 (*) Generating RTL from CPU objects + # 2023.01.17 18:00:59 (*) Creating plain-text RTL + # 2023.01.17 18:00:59 (*) Done Nios II generation Done RTL generation for module 'niosII_cpu_cpu' cpu" instantiated altera_nios2_gen2_unit "cpu"]]> @@ -2632,14 +2634,14 @@ + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_master_translator/altera_merlin_master_translator_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_slave_translator/altera_merlin_slave_translator_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_master_agent/altera_merlin_master_agent_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_slave_agent/altera_merlin_slave_agent_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo.v" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> @@ -2911,14 +2913,14 @@ + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> @@ -2965,14 +2967,14 @@ + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> @@ -3077,14 +3079,14 @@ + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" /> @@ -3111,14 +3113,14 @@ + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" /> @@ -3145,14 +3147,14 @@ + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" /> queue size: 20 starting:altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_cmd_mux_002" mm_interconnect_0" instantiated altera_merlin_multiplexer "cmd_mux_002"]]> - C:/Software/FPGA/iu3-31m/Lab2/Top/niosII/synthesis/submodules/altera_merlin_arbitrator.sv]]> + /home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/Top/niosII/synthesis/submodules/altera_merlin_arbitrator.sv]]> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" /> queue size: 8 starting:altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_rsp_mux" mm_interconnect_0" instantiated altera_merlin_multiplexer "rsp_mux"]]> - C:/Software/FPGA/iu3-31m/Lab2/Top/niosII/synthesis/submodules/altera_merlin_arbitrator.sv]]> + /home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/Top/niosII/synthesis/submodules/altera_merlin_arbitrator.sv]]> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" /> queue size: 7 starting:altera_merlin_multiplexer "submodules/niosII_mm_interconnect_0_rsp_mux_001" mm_interconnect_0" instantiated altera_merlin_multiplexer "rsp_mux_001"]]> - C:/Software/FPGA/iu3-31m/Lab2/Top/niosII/synthesis/submodules/altera_merlin_arbitrator.sv]]> + /home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/Top/niosII/synthesis/submodules/altera_merlin_arbitrator.sv]]> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/avalon_st/altera_avalon_st_adapter/altera_avalon_st_adapter_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/avalon_st/altera_avalon_st_error_adapter/avalon-st_error_adapter_hw.tcl" /> + path="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/ip/altera/avalon_st/altera_avalon_st_error_adapter/avalon-st_error_adapter_hw.tcl" /> - + com.altera.sopcmodel.ensemble.EClockAdapter @@ -53,7 +53,7 @@ int - 1671833790 + 1673967654 false true true @@ -12925,5 +12925,5 @@ parameters are a RESULT of the module parameters. --> 18.1 18.1 625 - 7A31C1D0889000000185410F37E7 + 024262A031A800000185C03F5C6B diff --git a/Top/niosII/synthesis/niosII.qip b/Top/niosII/synthesis/niosII.qip index 08a5253..2b1228d 100644 --- a/Top/niosII/synthesis/niosII.qip +++ b/Top/niosII/synthesis/niosII.qip @@ -2,7 +2,7 @@ set_global_assignment -entity "niosII" -library "niosII" -name IP_TOOL_NAME "Qsy set_global_assignment -entity "niosII" -library "niosII" -name IP_TOOL_VERSION "18.1" set_global_assignment -entity "niosII" -library "niosII" -name IP_TOOL_ENV "Qsys" set_global_assignment -library "niosII" -name SOPCINFO_FILE [file join $::quartus(qip_path) "../../niosII.sopcinfo"] -set_global_assignment -entity "niosII" -library "niosII" -name SLD_INFO "QSYS_NAME niosII HAS_SOPCINFO 1 GENERATION_ID 1671833790" +set_global_assignment -entity "niosII" -library "niosII" -name SLD_INFO "QSYS_NAME niosII HAS_SOPCINFO 1 GENERATION_ID 1673967654" set_global_assignment -library "niosII" -name MISC_FILE [file join $::quartus(qip_path) "../niosII.cmp"] set_global_assignment -library "niosII" -name SLD_FILE [file join $::quartus(qip_path) "niosII.regmap"] set_global_assignment -library "niosII" -name SLD_FILE [file join $::quartus(qip_path) "niosII.debuginfo"] @@ -16,7 +16,7 @@ set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_DISP set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_REPORT_HIERARCHY "On" set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_INTERNAL "Off" set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_VERSION "MS4w" -set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19HRU5FUkFUSU9OX0lE::MTY3MTgzMzc5MA==::QXV0byBHRU5FUkFUSU9OX0lE" +set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19HRU5FUkFUSU9OX0lE::MTY3Mzk2NzY1NA==::QXV0byBHRU5FUkFUSU9OX0lE" set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBJViBF::QXV0byBERVZJQ0VfRkFNSUxZ" set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::RVA0Q0UxMTVGMjlDNw==::QXV0byBERVZJQ0U=" set_global_assignment -entity "niosII" -library "niosII" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::Nw==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ==" @@ -1083,15 +1083,15 @@ set_global_assignment -library "niosII" -name SOURCE_FILE [file join $::quartus( set_global_assignment -library "niosII" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/niosII_mem.v"] set_global_assignment -library "niosII" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/niosII_jtag_uart.v"] set_global_assignment -library "niosII" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/niosII_cpu.v"] -set_global_assignment -library "niosII" -name SDC_FILE [file join $::quartus(qip_path) "submodules/niosII_cpu_cpu.sdc"] -set_global_assignment -library "niosII" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/niosII_cpu_cpu.v"] set_global_assignment -library "niosII" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/niosII_cpu_cpu_debug_slave_sysclk.v"] -set_global_assignment -library "niosII" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/niosII_cpu_cpu_debug_slave_tck.v"] -set_global_assignment -library "niosII" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/niosII_cpu_cpu_debug_slave_wrapper.v"] set_global_assignment -library "niosII" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/niosII_cpu_cpu_ociram_default_contents.mif"] -set_global_assignment -library "niosII" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/niosII_cpu_cpu_rf_ram_a.mif"] +set_global_assignment -library "niosII" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/niosII_cpu_cpu_debug_slave_tck.v"] +set_global_assignment -library "niosII" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/niosII_cpu_cpu.v"] set_global_assignment -library "niosII" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/niosII_cpu_cpu_rf_ram_b.mif"] +set_global_assignment -library "niosII" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/niosII_cpu_cpu_debug_slave_wrapper.v"] +set_global_assignment -library "niosII" -name SDC_FILE [file join $::quartus(qip_path) "submodules/niosII_cpu_cpu.sdc"] set_global_assignment -library "niosII" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/niosII_cpu_cpu_test_bench.v"] +set_global_assignment -library "niosII" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/niosII_cpu_cpu_rf_ram_a.mif"] set_global_assignment -entity "altera_reset_controller" -library "niosII" -name IP_TOOL_NAME "altera_reset_controller" set_global_assignment -entity "altera_reset_controller" -library "niosII" -name IP_TOOL_VERSION "18.1" diff --git a/Top/niosII/synthesis/niosII.regmap b/Top/niosII/synthesis/niosII.regmap index f356dc0..9ad259d 100644 --- a/Top/niosII/synthesis/niosII.regmap +++ b/Top/niosII/synthesis/niosII.regmap @@ -1,4 +1,4 @@ - + niosII diff --git a/Top/niosII/synthesis/submodules/dec.sv b/Top/niosII/synthesis/submodules/dec.sv index c80033a..e14fc41 100644 --- a/Top/niosII/synthesis/submodules/dec.sv +++ b/Top/niosII/synthesis/submodules/dec.sv @@ -83,6 +83,7 @@ module dec colors <= 3'b001; state <= GREEN; end + if (train) begin colors <= 3'b100; state <= RED; diff --git a/Top/niosII/synthesis/submodules/niosII_cpu_cpu.sdc b/Top/niosII/synthesis/submodules/niosII_cpu_cpu.sdc index ffa3eff..76ab913 100644 --- a/Top/niosII/synthesis/submodules/niosII_cpu_cpu.sdc +++ b/Top/niosII/synthesis/submodules/niosII_cpu_cpu.sdc @@ -1,4 +1,4 @@ -# Legal Notice: (C)2022 Altera Corporation. All rights reserved. Your +# Legal Notice: (C)2023 Altera Corporation. All rights reserved. Your # use of Altera Corporation's design tools, logic functions and other # software and tools, and its AMPP partner logic functions, and any # output files any of the foregoing (including device programming or diff --git a/Top/niosII/synthesis/submodules/niosII_cpu_cpu.v b/Top/niosII/synthesis/submodules/niosII_cpu_cpu.v index a9765e0..9c990f7 100644 --- a/Top/niosII/synthesis/submodules/niosII_cpu_cpu.v +++ b/Top/niosII/synthesis/submodules/niosII_cpu_cpu.v @@ -1,4 +1,4 @@ -//Legal Notice: (C)2022 Altera Corporation. All rights reserved. Your +//Legal Notice: (C)2023 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or diff --git a/Top/niosII/synthesis/submodules/niosII_cpu_cpu_debug_slave_sysclk.v b/Top/niosII/synthesis/submodules/niosII_cpu_cpu_debug_slave_sysclk.v index c866a30..e1065e3 100644 --- a/Top/niosII/synthesis/submodules/niosII_cpu_cpu_debug_slave_sysclk.v +++ b/Top/niosII/synthesis/submodules/niosII_cpu_cpu_debug_slave_sysclk.v @@ -1,4 +1,4 @@ -//Legal Notice: (C)2022 Altera Corporation. All rights reserved. Your +//Legal Notice: (C)2023 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or diff --git a/Top/niosII/synthesis/submodules/niosII_cpu_cpu_debug_slave_tck.v b/Top/niosII/synthesis/submodules/niosII_cpu_cpu_debug_slave_tck.v index 646f301..de6c28a 100644 --- a/Top/niosII/synthesis/submodules/niosII_cpu_cpu_debug_slave_tck.v +++ b/Top/niosII/synthesis/submodules/niosII_cpu_cpu_debug_slave_tck.v @@ -1,4 +1,4 @@ -//Legal Notice: (C)2022 Altera Corporation. All rights reserved. Your +//Legal Notice: (C)2023 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or diff --git a/Top/niosII/synthesis/submodules/niosII_cpu_cpu_debug_slave_wrapper.v b/Top/niosII/synthesis/submodules/niosII_cpu_cpu_debug_slave_wrapper.v index c292ca3..1a102d9 100644 --- a/Top/niosII/synthesis/submodules/niosII_cpu_cpu_debug_slave_wrapper.v +++ b/Top/niosII/synthesis/submodules/niosII_cpu_cpu_debug_slave_wrapper.v @@ -1,4 +1,4 @@ -//Legal Notice: (C)2022 Altera Corporation. All rights reserved. Your +//Legal Notice: (C)2023 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or diff --git a/Top/niosII/synthesis/submodules/niosII_cpu_cpu_ociram_default_contents.mif b/Top/niosII/synthesis/submodules/niosII_cpu_cpu_ociram_default_contents.mif index aee33b3..2670de9 100644 --- a/Top/niosII/synthesis/submodules/niosII_cpu_cpu_ociram_default_contents.mif +++ b/Top/niosII/synthesis/submodules/niosII_cpu_cpu_ociram_default_contents.mif @@ -7,261 +7,261 @@ DATA_RADIX=HEX; CONTENT BEGIN -00 : 88997af9; -01 : abaae595; -02 : 32fd14d1; -03 : b66193c4; -04 : c6a6aa09; -05 : 0b43de5b; -06 : d1d93028; -07 : bcd08e2a; -08 : 1c8bae85; -09 : b11dad63; -0a : 864ddf62; -0b : 68301486; -0c : 51a3d8d0; -0d : 7af7d39e; -0e : 4761b503; -0f : 2a976e14; -10 : 98141041; -11 : 4c1f6471; -12 : 41dc0a35; -13 : 7d484ae3; -14 : 2a1329f3; -15 : 44ecf499; -16 : dccdd125; -17 : 240142e9; -18 : 3b7e4b05; -19 : bb92e762; -1a : 4594a3c5; -1b : ea0d940f; -1c : 66525d7c; -1d : 0f552242; -1e : 452bd52d; -1f : d1f4ed11; -20 : 5d590422; -21 : c8016b5f; -22 : 9ab94f07; -23 : 16bac9b4; -24 : fe569ae3; -25 : c6e1e6e7; -26 : 2ff19932; -27 : feb058ad; -28 : 1dcce651; -29 : e18b9bfb; -2a : e2f4fc64; -2b : 05d34847; -2c : 077a8143; -2d : 2ce4207f; -2e : 3f3e5113; -2f : c24d2803; -30 : e289b503; -31 : d16bcd4e; -32 : 57a841cf; -33 : 1194f754; -34 : 5c925a31; -35 : 40fd6946; -36 : e397e5d7; -37 : eada7553; -38 : eba8ec01; -39 : f5b39d0b; -3a : 88af39a3; -3b : 5b7f243e; -3c : 4f2bb4ba; -3d : 9451a234; -3e : 10fd984d; -3f : ad4ef4f7; -40 : 7fe97f8b; -41 : 08ea614d; -42 : 9f2c5cf4; -43 : 3f90b7a2; -44 : 8c2bc774; -45 : 45dd63a5; -46 : 3204329c; -47 : 9909be0d; -48 : be65c97b; -49 : 78f3d4a4; -4a : 3ee8b71c; -4b : 9e9a0de4; -4c : 56db426b; -4d : e6869d81; -4e : 20ab0652; -4f : 05d247ed; -50 : 1edccf12; -51 : 1e483b5a; -52 : 8e48ef1e; -53 : f19aefbf; -54 : 98335d23; -55 : 954ac923; -56 : 4679ced6; -57 : ae18d9b8; -58 : be57db48; -59 : 2af933e3; -5a : 3f04e244; -5b : 5d11c958; -5c : 65bda8cb; -5d : c53fe664; -5e : 797ceac8; -5f : aaa406e5; -60 : f785e24e; -61 : 95510077; -62 : 5b6f55a3; -63 : 2a3c749a; -64 : a92e6ae6; -65 : b2117fb0; -66 : 262a254e; -67 : b8c4da74; -68 : f69070ee; -69 : 9e7f80b8; -6a : 834528b4; -6b : 4aaf6d98; -6c : 96023372; -6d : d11663ed; -6e : 33a3c007; -6f : 0e7f06ee; -70 : 34385787; -71 : 2edfd7b0; -72 : 00d60e4b; -73 : 49535c30; -74 : e83f5c14; -75 : 5e0c4c59; -76 : 1d7b944a; -77 : 6ae69731; -78 : bf8414e4; -79 : 7451c212; -7a : 74ede6d2; -7b : 080eafa5; -7c : f21052d8; -7d : cc0819fb; -7e : 8993e5b6; -7f : e20f2df6; -80 : 0f267a65; -81 : 7a8e8407; -82 : e7be656d; -83 : 01ba4ca3; -84 : 7f998e44; -85 : 29d83420; -86 : 149f9a73; -87 : 643ae51e; -88 : 125714d3; -89 : 6e49dc21; -8a : 0b227946; -8b : 360a837d; -8c : b2187074; -8d : 17b0bdbd; -8e : 938fc73d; -8f : e73f501e; -90 : 70b5b87e; -91 : 2a2aed8a; -92 : f96cc881; -93 : 021b49e1; -94 : 8691600d; -95 : b45e1d12; -96 : 64d9644e; -97 : 486cbaf9; -98 : 386acf20; -99 : 0d1384d4; -9a : 62455f77; -9b : 866fde20; -9c : 006fecec; -9d : 94e84514; -9e : 7babc333; -9f : afaa8445; -a0 : b1175e3a; -a1 : e08de629; -a2 : 7f12a52d; -a3 : 0e322909; -a4 : 18784dc6; -a5 : b23bcc20; -a6 : 266c9e34; -a7 : c857eaf3; -a8 : 2ae3b164; -a9 : 038acf2a; -aa : c1abc60d; -ab : 8af787bd; -ac : 043723a9; -ad : c37c952d; -ae : 693a361f; -af : da4b8e99; -b0 : fb8fdb10; -b1 : 4d6365f2; -b2 : 712358e9; -b3 : 85dae0fa; -b4 : 7e82a418; -b5 : d3493768; -b6 : 739c65ec; -b7 : 73b66b19; -b8 : 22142816; -b9 : ff498322; -ba : 3266495e; -bb : e26e8214; -bc : c8c47131; -bd : 660793d8; -be : 689f8d69; -bf : faae340b; -c0 : 37518ba7; -c1 : f2865fe5; -c2 : 1bb44f3d; -c3 : 3bce44c5; -c4 : aff2d188; -c5 : 985442da; -c6 : 85bb58bd; -c7 : 0c53135d; -c8 : 495f80bc; -c9 : 853c95dc; -ca : dde937f1; -cb : 418f9452; -cc : 7669641c; -cd : 0e752434; -ce : b0fe17a7; -cf : d1be9b88; -d0 : cfbfeb76; -d1 : 80b48a11; -d2 : 9327c69e; -d3 : beca5a88; -d4 : e71d428f; -d5 : b318d275; -d6 : 56fea35e; -d7 : 140cd6bd; -d8 : b8c937ce; -d9 : 540eea36; -da : ee58fc7f; -db : 5615c389; -dc : 46692ad0; -dd : 5c713e51; -de : 6ba95f60; -df : 0e166732; -e0 : ac0e49f5; -e1 : c9a5ea76; -e2 : 05b04d86; -e3 : b29ac712; -e4 : 4e344493; -e5 : d45ede48; -e6 : 3da7e426; -e7 : 4d6a8937; -e8 : 99b59bd4; -e9 : 1f8a5751; -ea : 8b07e64e; -eb : b4dcd496; -ec : 42f84fe6; -ed : f1d5952f; -ee : a2e5a42d; -ef : 15b1af16; -f0 : 168012bc; -f1 : 2e276612; -f2 : 89913eaa; -f3 : c607a1a2; -f4 : fd8b544d; -f5 : aec31a53; -f6 : 25f958ad; -f7 : 365903ec; -f8 : 14761865; -f9 : 568cc23b; -fa : b0386305; -fb : fb9ebd8a; -fc : a25911d4; -fd : 806e3fbb; -fe : 9df35264; -ff : d62b3814; +00 : 5870e850; +01 : c7a32b0d; +02 : 6f82d8fd; +03 : 40bb3819; +04 : 03c0b473; +05 : 8f16cf30; +06 : d708360b; +07 : 880f36dc; +08 : d1a275f0; +09 : 5944e053; +0a : c1313a53; +0b : 4cb0c559; +0c : 528cd209; +0d : 1ed6d1c2; +0e : 3fe378c9; +0f : aa1b9ac8; +10 : 31d374f0; +11 : be61ec44; +12 : 2c7a1043; +13 : 2641125e; +14 : 0c46e1e9; +15 : 9860f4c3; +16 : d9980c45; +17 : 85005ae5; +18 : b156d9cb; +19 : 8a5321c3; +1a : b603ed2b; +1b : 2a1eb3a0; +1c : f4b7b88b; +1d : a1ce694f; +1e : 469d3811; +1f : 2185240b; +20 : a745eb3e; +21 : 3d2ce9c9; +22 : e4f87c64; +23 : 4e473b66; +24 : f25af5e6; +25 : 5bf0ba5c; +26 : d9f793ee; +27 : a5410324; +28 : 298d0d25; +29 : e60402c3; +2a : 97132679; +2b : bcd9897b; +2c : 82a038f5; +2d : 201cbf45; +2e : fe6ce958; +2f : c368dfdf; +30 : 6a3f8ef7; +31 : 83368a01; +32 : 65976a6a; +33 : 821cfabf; +34 : 20bdc8df; +35 : 60d97952; +36 : 73819628; +37 : 674070d1; +38 : fc155d79; +39 : d3a408b1; +3a : bfdf2c88; +3b : 22a2fce0; +3c : 01e7c505; +3d : e3e78ba0; +3e : a049e343; +3f : c0f1b055; +40 : 877e1ef1; +41 : ca871fa5; +42 : 25ab3e85; +43 : f9f4b822; +44 : 90aad39a; +45 : 08f5e44c; +46 : 39d12cce; +47 : 80f2ed6f; +48 : 6a29b7d6; +49 : 8b913cf5; +4a : 63815e88; +4b : 3b598e73; +4c : 73bfa5d4; +4d : 77c09ce3; +4e : 839a407b; +4f : 6433730b; +50 : 44284f24; +51 : f5d5762e; +52 : b65d636d; +53 : d1c786b8; +54 : f3c8d2f5; +55 : 356dc558; +56 : 591772eb; +57 : 79e0fdb4; +58 : e8932f59; +59 : 259d108a; +5a : bb57a7f8; +5b : 4825e3bc; +5c : 52cf4522; +5d : 79e4316b; +5e : 8c0d6004; +5f : a754e118; +60 : 4e281ca2; +61 : fbbc819a; +62 : 4aee7640; +63 : 7d333e63; +64 : b15aaa9c; +65 : 4f43ec26; +66 : 1ec71c75; +67 : 8836d7ff; +68 : 03bf3159; +69 : 64fe92e3; +6a : 967a0361; +6b : 52d392c1; +6c : ed91cb89; +6d : 576cc97b; +6e : 6b3ffb6a; +6f : 35d248a1; +70 : f9045e40; +71 : 67ec2a14; +72 : c6a8d3b4; +73 : 215bfb86; +74 : c69c1f66; +75 : 4244d56d; +76 : 1b3928f3; +77 : 731a2236; +78 : 38d78b27; +79 : 059c9248; +7a : 5f87a44a; +7b : aba5ed2e; +7c : c0524059; +7d : 980abb72; +7e : 7437c9f5; +7f : 7eceac74; +80 : e459de2d; +81 : 70371382; +82 : 9e5c9169; +83 : e019ec71; +84 : 8a8a254a; +85 : 5d6b1e75; +86 : b69a1826; +87 : 1895f4fa; +88 : f357cacf; +89 : d52486ab; +8a : 1e598442; +8b : d8d4c72d; +8c : f8973f5f; +8d : 7df07844; +8e : 603c0386; +8f : 5fa48cd0; +90 : 7dad0b4e; +91 : d8063146; +92 : dd06b1d5; +93 : a42cea93; +94 : 937d88ca; +95 : 0c6e9a23; +96 : b81bdfa3; +97 : 28077cf0; +98 : 9aab97aa; +99 : b6597e34; +9a : 436fcd2b; +9b : be8fe3e1; +9c : dae80c2f; +9d : e95b81e6; +9e : 767f7b1b; +9f : 23d2190d; +a0 : dbd13b92; +a1 : ba04bced; +a2 : c59ab4a9; +a3 : d18cd97a; +a4 : fdc9eef9; +a5 : e5d3431b; +a6 : 36145dba; +a7 : 381901fd; +a8 : 2b84a31d; +a9 : 56d3b835; +aa : 82d83a4f; +ab : 521d2b9a; +ac : 0224591a; +ad : 80d7ea50; +ae : 49815eac; +af : 9c8177e2; +b0 : d83c171d; +b1 : 82d4e894; +b2 : 2da7a2cf; +b3 : ae082f05; +b4 : ea847ea7; +b5 : c53a36ee; +b6 : 9044fe8d; +b7 : dadb18f9; +b8 : 3631522b; +b9 : 2bae3746; +ba : 02d78d99; +bb : 8e0e2771; +bc : 2ed189db; +bd : 63aa82eb; +be : 754229af; +bf : a11062b5; +c0 : e28618e1; +c1 : fcaf3400; +c2 : c8a7faac; +c3 : be56d9b0; +c4 : 7c3f3063; +c5 : 4d331f3f; +c6 : 8cceb16d; +c7 : 2d352b5d; +c8 : 0db6cd22; +c9 : 745ff58e; +ca : e450c6d2; +cb : 5567ae51; +cc : ec2ac609; +cd : fcced128; +ce : 193f8e92; +cf : 5719a6cc; +d0 : 065cddb6; +d1 : 04f4e1f9; +d2 : a95d8a1e; +d3 : d516bf8e; +d4 : e30d671e; +d5 : ebeeb2fe; +d6 : b48fdd0f; +d7 : f4b75c46; +d8 : 4d9c9650; +d9 : f2df58d8; +da : 67ace373; +db : 7ccace3c; +dc : f4f3f5d5; +dd : 2be9f598; +de : f7889908; +df : f67c2f07; +e0 : 880a8491; +e1 : 9c3967d0; +e2 : d89b44d2; +e3 : 7c21987c; +e4 : 495e0377; +e5 : 1c88706d; +e6 : bf0b4325; +e7 : 79fcc944; +e8 : fd8c1d81; +e9 : f4f168ae; +ea : cf67e751; +eb : 75907b16; +ec : d859c7c1; +ed : 05ef2e02; +ee : 1f5802c9; +ef : 8cb4928b; +f0 : 19e65b5f; +f1 : 9c3b7bab; +f2 : 22bc8d7d; +f3 : 03aa0e5f; +f4 : 7d35f4ff; +f5 : e5208a6e; +f6 : 44fdd477; +f7 : 74a81f1c; +f8 : 6936d4f1; +f9 : 375fc2a2; +fa : 22a07f26; +fb : 701c1a4d; +fc : af4d2557; +fd : bac85a82; +fe : 29cff602; +ff : 3e17ccab; END; diff --git a/Top/niosII/synthesis/submodules/niosII_cpu_cpu_test_bench.v b/Top/niosII/synthesis/submodules/niosII_cpu_cpu_test_bench.v index 17751ab..f80096e 100644 --- a/Top/niosII/synthesis/submodules/niosII_cpu_cpu_test_bench.v +++ b/Top/niosII/synthesis/submodules/niosII_cpu_cpu_test_bench.v @@ -1,4 +1,4 @@ -//Legal Notice: (C)2022 Altera Corporation. All rights reserved. Your +//Legal Notice: (C)2023 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or diff --git a/Top/niosII/synthesis/submodules/niosII_jtag_uart.v b/Top/niosII/synthesis/submodules/niosII_jtag_uart.v index 21f5189..599aab4 100644 --- a/Top/niosII/synthesis/submodules/niosII_jtag_uart.v +++ b/Top/niosII/synthesis/submodules/niosII_jtag_uart.v @@ -1,4 +1,4 @@ -//Legal Notice: (C)2022 Altera Corporation. All rights reserved. Your +//Legal Notice: (C)2023 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or diff --git a/Top/niosII/synthesis/submodules/niosII_mem.v b/Top/niosII/synthesis/submodules/niosII_mem.v index 4d4e712..2a6a760 100644 --- a/Top/niosII/synthesis/submodules/niosII_mem.v +++ b/Top/niosII/synthesis/submodules/niosII_mem.v @@ -1,4 +1,4 @@ -//Legal Notice: (C)2022 Altera Corporation. All rights reserved. Your +//Legal Notice: (C)2023 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or diff --git a/Top/niosII/synthesis/submodules/niosII_sys_clk_timer.v b/Top/niosII/synthesis/submodules/niosII_sys_clk_timer.v index 2f2faac..88d7e56 100644 --- a/Top/niosII/synthesis/submodules/niosII_sys_clk_timer.v +++ b/Top/niosII/synthesis/submodules/niosII_sys_clk_timer.v @@ -1,4 +1,4 @@ -//Legal Notice: (C)2022 Altera Corporation. All rights reserved. Your +//Legal Notice: (C)2023 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or diff --git a/Top/niosII/testbench/mentor/msim_setup.tcl b/Top/niosII/testbench/mentor/msim_setup.tcl index ab638d8..85f0e82 100644 --- a/Top/niosII/testbench/mentor/msim_setup.tcl +++ b/Top/niosII/testbench/mentor/msim_setup.tcl @@ -1,5 +1,5 @@ -# (C) 2001-2022 Altera Corporation. All rights reserved. +# (C) 2001-2023 Altera Corporation. All rights reserved. # Your use of Altera Corporation's design tools, logic functions and # other software and tools, and its AMPP partner logic functions, and # any output files any of the foregoing (including device programming @@ -94,7 +94,7 @@ # within the Quartus project, and generate a unified # script which supports all the Altera IP within the design. # ---------------------------------------- -# ACDS 18.1 625 win32 2022.12.24.02:16:20 +# ACDS 18.1 625 linux 2023.01.17.19:01:36 # ---------------------------------------- # Initialize variables @@ -113,7 +113,7 @@ if ![info exists QSYS_SIMDIR] { } if ![info exists QUARTUS_INSTALL_DIR] { - set QUARTUS_INSTALL_DIR "C:/software/intelfpga_lite/18.1/quartus/" + set QUARTUS_INSTALL_DIR "/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/" } if ![info exists USER_DEFINED_COMPILE_OPTIONS] { @@ -142,14 +142,14 @@ if ![ string match "*-64 vsim*" [ vsim -version ] ] { alias file_copy { echo "\[exec\] file_copy" file copy -force $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_ociram_default_contents.dat ./ - file copy -force $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_ociram_default_contents.hex ./ - file copy -force $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_ociram_default_contents.mif ./ file copy -force $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_a.dat ./ - file copy -force $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_a.hex ./ - file copy -force $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_a.mif ./ + file copy -force $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_ociram_default_contents.mif ./ file copy -force $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_b.dat ./ file copy -force $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_b.hex ./ file copy -force $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_b.mif ./ + file copy -force $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_ociram_default_contents.hex ./ + file copy -force $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_a.mif ./ + file copy -force $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_a.hex ./ file copy -force $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_mem.hex ./ } @@ -280,9 +280,9 @@ alias com { eval vlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QSYS_SIMDIR/niosII_tb/simulation/submodules/altera_merlin_master_agent.sv" -L altera_common_sv_packages -work cpu_data_master_agent eval vlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QSYS_SIMDIR/niosII_tb/simulation/submodules/altera_merlin_slave_translator.sv" -L altera_common_sv_packages -work jtag_uart_avalon_jtag_slave_translator eval vlog -sv $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QSYS_SIMDIR/niosII_tb/simulation/submodules/altera_merlin_master_translator.sv" -L altera_common_sv_packages -work cpu_data_master_translator - eval vlog $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu.v" -work cpu eval vlog $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_debug_slave_sysclk.v" -work cpu eval vlog $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_debug_slave_tck.v" -work cpu + eval vlog $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu.v" -work cpu eval vlog $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_debug_slave_wrapper.v" -work cpu eval vlog $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_test_bench.v" -work cpu eval vlog $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QSYS_SIMDIR/niosII_tb/simulation/submodules/altera_reset_controller.v" -work rst_controller diff --git a/Top/niosII/testbench/niosII.html b/Top/niosII/testbench/niosII.html index 923458b..efb9ecc 100644 --- a/Top/niosII/testbench/niosII.html +++ b/Top/niosII/testbench/niosII.html @@ -67,7 +67,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - +
2022.12.24.02:15:372023.01.17.19:01:29 Datasheet
@@ -2038,8 +2038,8 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - - + +
generation took 0,01 secondsrendering took 0,07 secondsgeneration took 0.00 secondsrendering took 0.01 seconds
diff --git a/Top/niosII/testbench/niosII_tb.html b/Top/niosII/testbench/niosII_tb.html index dd5f5f1..434b02b 100644 --- a/Top/niosII/testbench/niosII_tb.html +++ b/Top/niosII/testbench/niosII_tb.html @@ -67,7 +67,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - +
2022.12.24.02:15:472023.01.17.19:01:31 Datasheet
@@ -211,7 +211,7 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord - + @@ -2359,8 +2359,8 @@ div.greydiv { vertical-align:top ; text-align:center ; background:#eeeeee ; bord
AUTO_GENERATION_ID16718337471673967691
AUTO_UNIQUE_ID
- - + +
generation took 0,01 secondsrendering took 0,06 secondsgeneration took 0.00 secondsrendering took 0.02 seconds
diff --git a/Top/niosII/testbench/niosII_tb/simulation/niosII_tb.v b/Top/niosII/testbench/niosII_tb/simulation/niosII_tb.v index 8ce3bb0..df606da 100644 --- a/Top/niosII/testbench/niosII_tb/simulation/niosII_tb.v +++ b/Top/niosII/testbench/niosII_tb/simulation/niosII_tb.v @@ -1,64 +1,55 @@ +// niosII_tb.v + +// Generated using ACDS version 18.1 625 + `timescale 1 ps / 1 ps module niosII_tb ( - ); + ); - wire niosii_inst_clk_bfm_clk_clk; // niosII_inst_clk_bfm:clk -> [niosII_inst:clk_clk, niosII_inst_reset_bfm:clk] - wire niosii_inst_reset_bfm_reset_reset; // niosII_inst_reset_bfm:reset -> niosII_inst:reset_reset_n + wire niosii_inst_clk_bfm_clk_clk; // niosII_inst_clk_bfm:clk -> [niosII_inst:clk_clk, niosII_inst_reset_bfm:clk] + wire niosii_inst_reset_bfm_reset_reset; // niosII_inst_reset_bfm:reset -> niosII_inst:reset_reset_n reg train; wire red, yellow, green; - niosII niosii_inst - ( - .clk_clk (niosii_inst_clk_bfm_clk_clk), // clk.clk - .reset_reset_n (niosii_inst_reset_bfm_reset_reset), // reset.reset_n - .sem_export_train (train), // sem_export.train - .sem_export_red (red), // .red - .sem_export_yellow (yellow), // .yellow - .sem_export_green (green) // .green - ); + niosII niosii_inst ( + .clk_clk (niosii_inst_clk_bfm_clk_clk), // clk.clk + .reset_reset_n (niosii_inst_reset_bfm_reset_reset), // reset.reset_n + .sem_export_train (train), // sem_export.train + .sem_export_red (red), // .red + .sem_export_yellow (yellow), // .yellow + .sem_export_green (green) // .green + ); - altera_avalon_clock_source - #( - .CLOCK_RATE (50000000), - .CLOCK_UNIT (1) - ) - niosii_inst_clk_bfm - ( - .clk (niosii_inst_clk_bfm_clk_clk) // clk.clk - ); - - altera_avalon_reset_source - #( - .ASSERT_HIGH_RESET (0), - .INITIAL_RESET_CYCLES (50) - ) - niosii_inst_reset_bfm - ( - .reset (niosii_inst_reset_bfm_reset_reset), // reset.reset_n - .clk (niosii_inst_clk_bfm_clk_clk) // clk.clk - ); + altera_avalon_clock_source #( + .CLOCK_RATE (50000000), + .CLOCK_UNIT (1) + ) niosii_inst_clk_bfm ( + .clk (niosii_inst_clk_bfm_clk_clk) // clk.clk + ); + altera_avalon_reset_source #( + .ASSERT_HIGH_RESET (0), + .INITIAL_RESET_CYCLES (50) + ) niosii_inst_reset_bfm ( + .reset (niosii_inst_reset_bfm_reset_reset), // reset.reset_n + .clk (niosii_inst_clk_bfm_clk_clk) // clk.clk + ); initial begin train = 0; wait (niosii_inst_reset_bfm_reset_reset); forever begin + wait ({red,yellow,green}==3'b001); repeat (29000) @(posedge niosii_inst_clk_bfm_clk_clk); - train = 1; - repeat (10) @(posedge niosii_inst_clk_bfm_clk_clk); - train = 0; - repeat (900) @(posedge niosii_inst_clk_bfm_clk_clk); - train = 1; - repeat (10) @(posedge niosii_inst_clk_bfm_clk_clk); - train = 0; - repeat (900) @(posedge niosii_inst_clk_bfm_clk_clk); - train = 1; - repeat (10) @(posedge niosii_inst_clk_bfm_clk_clk); - train = 0; - repeat (900) @(posedge niosii_inst_clk_bfm_clk_clk); - train = 1; - repeat (10) @(posedge niosii_inst_clk_bfm_clk_clk); - train = 0; + repeat(8) begin + train = 1; + repeat (10) @(posedge niosii_inst_clk_bfm_clk_clk); + train = 0; + wait ({red,yellow,green}==3'b001); + repeat (200) @(posedge niosii_inst_clk_bfm_clk_clk); + end end end + + endmodule diff --git a/Top/niosII/testbench/synopsys/vcs/vcs_setup.sh b/Top/niosII/testbench/synopsys/vcs/vcs_setup.sh index 886dd6e..1b579e2 100644 --- a/Top/niosII/testbench/synopsys/vcs/vcs_setup.sh +++ b/Top/niosII/testbench/synopsys/vcs/vcs_setup.sh @@ -1,5 +1,5 @@ -# (C) 2001-2022 Altera Corporation. All rights reserved. +# (C) 2001-2023 Altera Corporation. All rights reserved. # Your use of Altera Corporation's design tools, logic functions and # other software and tools, and its AMPP partner logic functions, and # any output files any of the foregoing (including device programming @@ -12,7 +12,7 @@ # or its authorized distributors. Please refer to the applicable # agreement for further details. -# ACDS 18.1 625 win32 2022.12.24.02:16:20 +# ACDS 18.1 625 linux 2023.01.17.19:01:36 # ---------------------------------------- # vcs - auto-generated simulation script @@ -94,12 +94,12 @@ # within the Quartus project, and generate a unified # script which supports all the Altera IP within the design. # ---------------------------------------- -# ACDS 18.1 625 win32 2022.12.24.02:16:20 +# ACDS 18.1 625 linux 2023.01.17.19:01:36 # ---------------------------------------- # initialize variables TOP_LEVEL_NAME="niosII_tb" QSYS_SIMDIR="./../../" -QUARTUS_INSTALL_DIR="C:/software/intelfpga_lite/18.1/quartus/" +QUARTUS_INSTALL_DIR="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/" SKIP_FILE_COPY=0 SKIP_SIM=0 USER_DEFINED_ELAB_OPTIONS="" @@ -131,14 +131,14 @@ fi # copy RAM/ROM files to simulation directory if [ $SKIP_FILE_COPY -eq 0 ]; then cp -f $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_ociram_default_contents.dat ./ - cp -f $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_ociram_default_contents.hex ./ - cp -f $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_ociram_default_contents.mif ./ cp -f $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_a.dat ./ - cp -f $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_a.hex ./ - cp -f $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_a.mif ./ + cp -f $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_ociram_default_contents.mif ./ cp -f $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_b.dat ./ cp -f $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_b.hex ./ cp -f $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_b.mif ./ + cp -f $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_ociram_default_contents.hex ./ + cp -f $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_a.mif ./ + cp -f $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_a.hex ./ cp -f $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_mem.hex ./ fi @@ -171,9 +171,9 @@ vcs -lca -timescale=1ps/1ps -sverilog +verilog2001ext+.v -ntb_opts dtm $ELAB_OPT $QSYS_SIMDIR/niosII_tb/simulation/submodules/altera_merlin_master_agent.sv \ $QSYS_SIMDIR/niosII_tb/simulation/submodules/altera_merlin_slave_translator.sv \ $QSYS_SIMDIR/niosII_tb/simulation/submodules/altera_merlin_master_translator.sv \ - $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu.v \ $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_debug_slave_sysclk.v \ $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_debug_slave_tck.v \ + $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu.v \ $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_debug_slave_wrapper.v \ $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_test_bench.v \ $QSYS_SIMDIR/niosII_tb/simulation/submodules/altera_reset_controller.v \ diff --git a/Top/niosII/testbench/synopsys/vcsmx/vcsmx_setup.sh b/Top/niosII/testbench/synopsys/vcsmx/vcsmx_setup.sh index 45ebdc7..1a57bf2 100644 --- a/Top/niosII/testbench/synopsys/vcsmx/vcsmx_setup.sh +++ b/Top/niosII/testbench/synopsys/vcsmx/vcsmx_setup.sh @@ -1,5 +1,5 @@ -# (C) 2001-2022 Altera Corporation. All rights reserved. +# (C) 2001-2023 Altera Corporation. All rights reserved. # Your use of Altera Corporation's design tools, logic functions and # other software and tools, and its AMPP partner logic functions, and # any output files any of the foregoing (including device programming @@ -12,7 +12,7 @@ # or its authorized distributors. Please refer to the applicable # agreement for further details. -# ACDS 18.1 625 win32 2022.12.24.02:16:20 +# ACDS 18.1 625 linux 2023.01.17.19:01:36 # ---------------------------------------- # vcsmx - auto-generated simulation script @@ -107,12 +107,12 @@ # within the Quartus project, and generate a unified # script which supports all the Altera IP within the design. # ---------------------------------------- -# ACDS 18.1 625 win32 2022.12.24.02:16:20 +# ACDS 18.1 625 linux 2023.01.17.19:01:36 # ---------------------------------------- # initialize variables TOP_LEVEL_NAME="niosII_tb" QSYS_SIMDIR="./../../" -QUARTUS_INSTALL_DIR="C:/software/intelfpga_lite/18.1/quartus/" +QUARTUS_INSTALL_DIR="/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/quartus/" SKIP_FILE_COPY=0 SKIP_DEV_COM=0 SKIP_COM=0 @@ -189,14 +189,14 @@ mkdir -p ./libraries/cycloneive_ver/ # copy RAM/ROM files to simulation directory if [ $SKIP_FILE_COPY -eq 0 ]; then cp -f $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_ociram_default_contents.dat ./ - cp -f $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_ociram_default_contents.hex ./ - cp -f $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_ociram_default_contents.mif ./ cp -f $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_a.dat ./ - cp -f $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_a.hex ./ - cp -f $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_a.mif ./ + cp -f $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_ociram_default_contents.mif ./ cp -f $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_b.dat ./ cp -f $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_b.hex ./ cp -f $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_b.mif ./ + cp -f $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_ociram_default_contents.hex ./ + cp -f $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_a.mif ./ + cp -f $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_a.hex ./ cp -f $QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_mem.hex ./ fi @@ -239,9 +239,9 @@ if [ $SKIP_COM -eq 0 ]; then vlogan +v2k -sverilog $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QSYS_SIMDIR/niosII_tb/simulation/submodules/altera_merlin_master_agent.sv" -work cpu_data_master_agent vlogan +v2k -sverilog $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QSYS_SIMDIR/niosII_tb/simulation/submodules/altera_merlin_slave_translator.sv" -work jtag_uart_avalon_jtag_slave_translator vlogan +v2k -sverilog $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QSYS_SIMDIR/niosII_tb/simulation/submodules/altera_merlin_master_translator.sv" -work cpu_data_master_translator - vlogan +v2k $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu.v" -work cpu vlogan +v2k $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_debug_slave_sysclk.v" -work cpu vlogan +v2k $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_debug_slave_tck.v" -work cpu + vlogan +v2k $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu.v" -work cpu vlogan +v2k $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_debug_slave_wrapper.v" -work cpu vlogan +v2k $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QSYS_SIMDIR/niosII_tb/simulation/submodules/niosII_cpu_cpu_test_bench.v" -work cpu vlogan +v2k $USER_DEFINED_VERILOG_COMPILE_OPTIONS $USER_DEFINED_COMPILE_OPTIONS "$QSYS_SIMDIR/niosII_tb/simulation/submodules/altera_reset_controller.v" -work rst_controller diff --git a/Top/niosII_tb.csv b/Top/niosII_tb.csv index 97ad90c..b35f762 100644 --- a/Top/niosII_tb.csv +++ b/Top/niosII_tb.csv @@ -1,12 +1,12 @@ -# system info niosII_tb on 2022.12.24.02:16:19 +# system info niosII_tb on 2023.01.17.19:01:35 system_info: name,value DEVICE,EP4CE115F29C7 DEVICE_FAMILY,Cyclone IV E -GENERATION_ID,1671833747 +GENERATION_ID,1673967691 # # -# Files generated for niosII_tb on 2022.12.24.02:16:19 +# Files generated for niosII_tb on 2023.01.17.19:01:35 files: filepath,kind,attributes,module,is_top niosII/testbench/niosII_tb/simulation/niosII_tb.v,VERILOG,,niosII_tb,true @@ -27,22 +27,22 @@ niosII/testbench/niosII_tb/simulation/submodules/niosII_irq_mapper.sv,SYSTEM_VER niosII/testbench/niosII_tb/simulation/submodules/altera_reset_controller.v,VERILOG,,altera_reset_controller,false niosII/testbench/niosII_tb/simulation/submodules/altera_reset_synchronizer.v,VERILOG,,altera_reset_controller,false niosII/testbench/niosII_tb/simulation/submodules/altera_reset_controller.sdc,SDC,,altera_reset_controller,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu.sdc,SDC,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu.v,VERILOG,,niosII_cpu_cpu,false niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_debug_slave_sysclk.v,VERILOG,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_debug_slave_tck.v,VERILOG,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_debug_slave_wrapper.v,VERILOG,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_nios2_waves.do,OTHER,,niosII_cpu_cpu,false niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_ociram_default_contents.dat,DAT,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_ociram_default_contents.hex,HEX,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_ociram_default_contents.mif,MIF,,niosII_cpu_cpu,false niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_a.dat,DAT,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_a.hex,HEX,,niosII_cpu_cpu,false -niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_a.mif,MIF,,niosII_cpu_cpu,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_ociram_default_contents.mif,MIF,,niosII_cpu_cpu,false niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_b.dat,DAT,,niosII_cpu_cpu,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_nios2_waves.do,OTHER,,niosII_cpu_cpu,false niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_b.hex,HEX,,niosII_cpu_cpu,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_debug_slave_tck.v,VERILOG,,niosII_cpu_cpu,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu.v,VERILOG,,niosII_cpu_cpu,false niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_b.mif,MIF,,niosII_cpu_cpu,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_debug_slave_wrapper.v,VERILOG,,niosII_cpu_cpu,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu.sdc,SDC,,niosII_cpu_cpu,false niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_test_bench.v,VERILOG,,niosII_cpu_cpu,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_ociram_default_contents.hex,HEX,,niosII_cpu_cpu,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_a.mif,MIF,,niosII_cpu_cpu,false +niosII/testbench/niosII_tb/simulation/submodules/niosII_cpu_cpu_rf_ram_a.hex,HEX,,niosII_cpu_cpu,false niosII/testbench/niosII_tb/simulation/submodules/altera_merlin_master_translator.sv,SYSTEM_VERILOG,,altera_merlin_master_translator,false niosII/testbench/niosII_tb/simulation/submodules/altera_merlin_slave_translator.sv,SYSTEM_VERILOG,,altera_merlin_slave_translator,false niosII/testbench/niosII_tb/simulation/submodules/altera_merlin_master_agent.sv,SYSTEM_VERILOG,,altera_merlin_master_agent,false diff --git a/Top/niosII_tb.spd b/Top/niosII_tb.spd index 0351a43..3bdc125 100644 --- a/Top/niosII_tb.spd +++ b/Top/niosII_tb.spd @@ -101,70 +101,70 @@ path="niosII/testbench/niosII_tb/simulation/submodules/altera_merlin_master_translator.sv" type="SYSTEM_VERILOG" library="cpu_data_master_translator" /> - - - - - - - - + + + + + + + + - + + + - - - diff --git a/Top/software/semafor/RUN_ON_HDL_SIMULATOR_ONLY_semafor.elf b/Top/software/semafor/RUN_ON_HDL_SIMULATOR_ONLY_semafor.elf index b9b3161..37a8e32 100644 Binary files a/Top/software/semafor/RUN_ON_HDL_SIMULATOR_ONLY_semafor.elf and b/Top/software/semafor/RUN_ON_HDL_SIMULATOR_ONLY_semafor.elf differ diff --git a/Top/software/semafor/RUN_ON_HDL_SIMULATOR_ONLY_semafor.map b/Top/software/semafor/RUN_ON_HDL_SIMULATOR_ONLY_semafor.map index 05ba38a..6bb3e2a 100644 --- a/Top/software/semafor/RUN_ON_HDL_SIMULATOR_ONLY_semafor.map +++ b/Top/software/semafor/RUN_ON_HDL_SIMULATOR_ONLY_semafor.map @@ -1,155 +1,155 @@ Archive member included to satisfy reference by file (symbol) -c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) obj/default/sem.o (puts) -c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) (strlen) -c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) (__sinit) -c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) (__sfvwrite_r) -c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) (_fwalk) -c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) (_global_impure_ptr) -c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) (_malloc_r) -c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) (memchr) -c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) (memcpy) -c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) (memmove) -c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) (memset) -c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) (_realloc_r) -c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) (_sbrk_r) -c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) (__sread) -c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) (_write_r) -c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) (__swsetup_r) -c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(closer.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) (_close_r) -c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) (_fclose_r) -c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) (__sflush_r) -c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) (_free_r) -c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) (errno) -c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) (_lseek_r) -c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) (__smakebuf_r) -c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) (_read_r) -c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) (_fstat_r) -c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) (_isatty_r) -c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-divmod.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) (__divsi3) -c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-mul.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) (__mulsi3) -../semafor_bsp/\libhal_bsp.a(alt_close.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(closer.o) (close) -../semafor_bsp/\libhal_bsp.a(alt_dcache_flush.o) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-strlen.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) (strlen) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) (__sinit) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) (__sfvwrite_r) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fwalk.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) (_fwalk) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-impure.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) (_global_impure_ptr) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) (_malloc_r) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memchr.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) (memchr) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcpy.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) (memcpy) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memmove.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) (memmove) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memset.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) (memset) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-reallocr.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) (_realloc_r) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-sbrkr.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) (_sbrk_r) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) (__sread) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-writer.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) (_write_r) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-wsetup.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) (__swsetup_r) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(closer.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) (_close_r) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fclose.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) (_fclose_r) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fflush.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fclose.o) (__sflush_r) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-freer.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) (_free_r) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-int_errno.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-sbrkr.o) (errno) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-lseekr.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) (_lseek_r) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-makebuf.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-wsetup.o) (__smakebuf_r) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-readr.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) (_read_r) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fstatr.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-makebuf.o) (_fstat_r) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-isattyr.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-makebuf.o) (_isatty_r) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-divmod.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) (__divsi3) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-mul.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) (__mulsi3) +../semafor_bsp//libhal_bsp.a(alt_close.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(closer.o) (close) +../semafor_bsp//libhal_bsp.a(alt_dcache_flush.o) obj/default/sem.o (alt_dcache_flush) -../semafor_bsp/\libhal_bsp.a(alt_dev.o) - ../semafor_bsp/\libhal_bsp.a(alt_close.o) (alt_fd_list) -../semafor_bsp/\libhal_bsp.a(alt_errno.o) - ../semafor_bsp/\libhal_bsp.a(alt_close.o) (alt_errno) -../semafor_bsp/\libhal_bsp.a(alt_fstat.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) (fstat) -../semafor_bsp/\libhal_bsp.a(alt_isatty.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) (isatty) -../semafor_bsp/\libhal_bsp.a(alt_lseek.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) (lseek) -../semafor_bsp/\libhal_bsp.a(alt_main.o) +../semafor_bsp//libhal_bsp.a(alt_dev.o) + ../semafor_bsp//libhal_bsp.a(alt_close.o) (alt_fd_list) +../semafor_bsp//libhal_bsp.a(alt_errno.o) + ../semafor_bsp//libhal_bsp.a(alt_close.o) (alt_errno) +../semafor_bsp//libhal_bsp.a(alt_fstat.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fstatr.o) (fstat) +../semafor_bsp//libhal_bsp.a(alt_isatty.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-isattyr.o) (isatty) +../semafor_bsp//libhal_bsp.a(alt_lseek.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-lseekr.o) (lseek) +../semafor_bsp//libhal_bsp.a(alt_main.o) ../semafor_bsp//obj/HAL/src/crt0.o (alt_main) -../semafor_bsp/\libhal_bsp.a(alt_malloc_lock.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) (__malloc_lock) -../semafor_bsp/\libhal_bsp.a(alt_read.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) (read) -../semafor_bsp/\libhal_bsp.a(alt_release_fd.o) - ../semafor_bsp/\libhal_bsp.a(alt_close.o) (alt_release_fd) -../semafor_bsp/\libhal_bsp.a(alt_sbrk.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) (sbrk) -../semafor_bsp/\libhal_bsp.a(alt_write.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) (write) -../semafor_bsp/\libhal_bsp.a(alt_sys_init.o) - ../semafor_bsp/\libhal_bsp.a(alt_main.o) (alt_irq_init) -../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) - ../semafor_bsp/\libhal_bsp.a(alt_sys_init.o) (altera_avalon_jtag_uart_read_fd) -../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) - ../semafor_bsp/\libhal_bsp.a(alt_sys_init.o) (altera_avalon_jtag_uart_init) -../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) - ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) (altera_avalon_jtag_uart_ioctl) -../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) - ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) (altera_avalon_jtag_uart_read) -../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) - ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) (altera_avalon_jtag_uart_write) -../semafor_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) - ../semafor_bsp/\libhal_bsp.a(alt_sys_init.o) (alt_avalon_timer_sc_init) -../semafor_bsp/\libhal_bsp.a(alt_alarm_start.o) - ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) (alt_alarm_start) -../semafor_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) - ../semafor_bsp/\libhal_bsp.a(alt_sys_init.o) (alt_dev_llist_insert) -../semafor_bsp/\libhal_bsp.a(alt_do_ctors.o) - ../semafor_bsp/\libhal_bsp.a(alt_main.o) (_do_ctors) -../semafor_bsp/\libhal_bsp.a(alt_do_dtors.o) - ../semafor_bsp/\libhal_bsp.a(alt_main.o) (_do_dtors) -../semafor_bsp/\libhal_bsp.a(alt_iic.o) - ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) (alt_ic_isr_register) -../semafor_bsp/\libhal_bsp.a(alt_iic_isr_register.o) - ../semafor_bsp/\libhal_bsp.a(alt_iic.o) (alt_iic_isr_register) -../semafor_bsp/\libhal_bsp.a(alt_io_redirect.o) - ../semafor_bsp/\libhal_bsp.a(alt_main.o) (alt_io_redirect) -../semafor_bsp/\libhal_bsp.a(alt_irq_entry.o) - ../semafor_bsp/\libhal_bsp.a(alt_iic_isr_register.o) (alt_irq_entry) -../semafor_bsp/\libhal_bsp.a(alt_irq_handler.o) - ../semafor_bsp/\libhal_bsp.a(alt_iic_isr_register.o) (alt_irq) -../semafor_bsp/\libhal_bsp.a(alt_irq_vars.o) - ../semafor_bsp/\libhal_bsp.a(alt_iic.o) (alt_irq_active) -../semafor_bsp/\libhal_bsp.a(alt_open.o) - ../semafor_bsp/\libhal_bsp.a(alt_io_redirect.o) (open) -../semafor_bsp/\libhal_bsp.a(alt_tick.o) - ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) (_alt_tick_rate) -../semafor_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) - ../semafor_bsp/\libhal_bsp.a(alt_sys_init.o) (altera_nios2_gen2_irq_init) -../semafor_bsp/\libhal_bsp.a(alt_exception_entry.o) - ../semafor_bsp/\libhal_bsp.a(alt_irq_entry.o) (alt_exception) -../semafor_bsp/\libhal_bsp.a(alt_find_dev.o) - ../semafor_bsp/\libhal_bsp.a(alt_open.o) (alt_find_dev) -../semafor_bsp/\libhal_bsp.a(alt_find_file.o) - ../semafor_bsp/\libhal_bsp.a(alt_open.o) (alt_find_file) -../semafor_bsp/\libhal_bsp.a(alt_get_fd.o) - ../semafor_bsp/\libhal_bsp.a(alt_open.o) (alt_get_fd) -../semafor_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) - ../semafor_bsp/\libhal_bsp.a(alt_exception_entry.o) (alt_instruction_exception_entry) -c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) - ../semafor_bsp/\libhal_bsp.a(alt_main.o) (atexit) -c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) - ../semafor_bsp/\libhal_bsp.a(alt_main.o) (exit) -c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) - ../semafor_bsp/\libhal_bsp.a(alt_find_dev.o) (memcmp) -c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) (__register_exitproc) -c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) (__call_exitprocs) -../semafor_bsp/\libhal_bsp.a(alt_exit.o) - c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) (_exit) +../semafor_bsp//libhal_bsp.a(alt_malloc_lock.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) (__malloc_lock) +../semafor_bsp//libhal_bsp.a(alt_read.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-readr.o) (read) +../semafor_bsp//libhal_bsp.a(alt_release_fd.o) + ../semafor_bsp//libhal_bsp.a(alt_close.o) (alt_release_fd) +../semafor_bsp//libhal_bsp.a(alt_sbrk.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-sbrkr.o) (sbrk) +../semafor_bsp//libhal_bsp.a(alt_write.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-writer.o) (write) +../semafor_bsp//libhal_bsp.a(alt_sys_init.o) + ../semafor_bsp//libhal_bsp.a(alt_main.o) (alt_irq_init) +../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + ../semafor_bsp//libhal_bsp.a(alt_sys_init.o) (altera_avalon_jtag_uart_read_fd) +../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) + ../semafor_bsp//libhal_bsp.a(alt_sys_init.o) (altera_avalon_jtag_uart_init) +../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) (altera_avalon_jtag_uart_ioctl) +../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) + ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) (altera_avalon_jtag_uart_read) +../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) + ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) (altera_avalon_jtag_uart_write) +../semafor_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) + ../semafor_bsp//libhal_bsp.a(alt_sys_init.o) (alt_avalon_timer_sc_init) +../semafor_bsp//libhal_bsp.a(alt_alarm_start.o) + ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) (alt_alarm_start) +../semafor_bsp//libhal_bsp.a(alt_dev_llist_insert.o) + ../semafor_bsp//libhal_bsp.a(alt_sys_init.o) (alt_dev_llist_insert) +../semafor_bsp//libhal_bsp.a(alt_do_ctors.o) + ../semafor_bsp//libhal_bsp.a(alt_main.o) (_do_ctors) +../semafor_bsp//libhal_bsp.a(alt_do_dtors.o) + ../semafor_bsp//libhal_bsp.a(alt_main.o) (_do_dtors) +../semafor_bsp//libhal_bsp.a(alt_iic.o) + ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) (alt_ic_isr_register) +../semafor_bsp//libhal_bsp.a(alt_iic_isr_register.o) + ../semafor_bsp//libhal_bsp.a(alt_iic.o) (alt_iic_isr_register) +../semafor_bsp//libhal_bsp.a(alt_io_redirect.o) + ../semafor_bsp//libhal_bsp.a(alt_main.o) (alt_io_redirect) +../semafor_bsp//libhal_bsp.a(alt_irq_entry.o) + ../semafor_bsp//libhal_bsp.a(alt_iic_isr_register.o) (alt_irq_entry) +../semafor_bsp//libhal_bsp.a(alt_irq_handler.o) + ../semafor_bsp//libhal_bsp.a(alt_iic_isr_register.o) (alt_irq) +../semafor_bsp//libhal_bsp.a(alt_irq_vars.o) + ../semafor_bsp//libhal_bsp.a(alt_iic.o) (alt_irq_active) +../semafor_bsp//libhal_bsp.a(alt_open.o) + ../semafor_bsp//libhal_bsp.a(alt_io_redirect.o) (open) +../semafor_bsp//libhal_bsp.a(alt_tick.o) + ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) (_alt_tick_rate) +../semafor_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) + ../semafor_bsp//libhal_bsp.a(alt_sys_init.o) (altera_nios2_gen2_irq_init) +../semafor_bsp//libhal_bsp.a(alt_exception_entry.o) + ../semafor_bsp//libhal_bsp.a(alt_irq_entry.o) (alt_exception) +../semafor_bsp//libhal_bsp.a(alt_find_dev.o) + ../semafor_bsp//libhal_bsp.a(alt_open.o) (alt_find_dev) +../semafor_bsp//libhal_bsp.a(alt_find_file.o) + ../semafor_bsp//libhal_bsp.a(alt_open.o) (alt_find_file) +../semafor_bsp//libhal_bsp.a(alt_get_fd.o) + ../semafor_bsp//libhal_bsp.a(alt_open.o) (alt_get_fd) +../semafor_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + ../semafor_bsp//libhal_bsp.a(alt_exception_entry.o) (alt_instruction_exception_entry) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-atexit.o) + ../semafor_bsp//libhal_bsp.a(alt_main.o) (atexit) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-exit.o) + ../semafor_bsp//libhal_bsp.a(alt_main.o) (exit) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcmp.o) + ../semafor_bsp//libhal_bsp.a(alt_find_dev.o) (memcmp) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__atexit.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-atexit.o) (__register_exitproc) +/home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__call_atexit.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-exit.o) (__call_exitprocs) +../semafor_bsp//libhal_bsp.a(alt_exit.o) + /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-exit.o) (_exit) Allocating common symbols Common symbol size file -alt_irq 0x100 ../semafor_bsp/\libhal_bsp.a(alt_irq_handler.o) -errno 0x4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) +alt_irq 0x100 ../semafor_bsp//libhal_bsp.a(alt_irq_handler.o) +errno 0x4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-int_errno.o) Memory Configuration @@ -162,16 +162,16 @@ Linker script and memory map LOAD ../semafor_bsp//obj/HAL/src/crt0.o LOAD obj/default/sem.o -LOAD c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libstdc++.a -LOAD c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libm.a -LOAD c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a +LOAD /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libstdc++.a +LOAD /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libm.a +LOAD /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a START GROUP -LOAD c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a -LOAD c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a -LOAD ../semafor_bsp/\libhal_bsp.a -LOAD c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libm.a +LOAD /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a +LOAD /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a +LOAD ../semafor_bsp//libhal_bsp.a +LOAD /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libm.a END GROUP -LOAD c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a +LOAD /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a 0x0000000000000000 __alt_mem_mem = 0x0 .entry 0x0000000000000000 0x20 @@ -185,59 +185,59 @@ LOAD c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../li *(.irq) *(.exceptions.entry.label) .exceptions.entry.label - 0x0000000000000020 0x0 ../semafor_bsp/\libhal_bsp.a(alt_irq_entry.o) + 0x0000000000000020 0x0 ../semafor_bsp//libhal_bsp.a(alt_irq_entry.o) 0x0000000000000020 alt_irq_entry .exceptions.entry.label - 0x0000000000000020 0x0 ../semafor_bsp/\libhal_bsp.a(alt_exception_entry.o) + 0x0000000000000020 0x0 ../semafor_bsp//libhal_bsp.a(alt_exception_entry.o) 0x0000000000000020 alt_exception *(.exceptions.entry.user) *(.exceptions.entry.ecc_fatal) *(.exceptions.entry) .exceptions.entry - 0x0000000000000020 0x54 ../semafor_bsp/\libhal_bsp.a(alt_exception_entry.o) + 0x0000000000000020 0x54 ../semafor_bsp//libhal_bsp.a(alt_exception_entry.o) *(.exceptions.irqtest.user) *(.exceptions.irqtest) .exceptions.irqtest - 0x0000000000000074 0x10 ../semafor_bsp/\libhal_bsp.a(alt_irq_entry.o) + 0x0000000000000074 0x10 ../semafor_bsp//libhal_bsp.a(alt_irq_entry.o) *(.exceptions.irqhandler.user) *(.exceptions.irqhandler) .exceptions.irqhandler - 0x0000000000000084 0x4 ../semafor_bsp/\libhal_bsp.a(alt_irq_entry.o) + 0x0000000000000084 0x4 ../semafor_bsp//libhal_bsp.a(alt_irq_entry.o) *(.exceptions.irqreturn.user) *(.exceptions.irqreturn) .exceptions.irqreturn - 0x0000000000000088 0x4 ../semafor_bsp/\libhal_bsp.a(alt_irq_entry.o) + 0x0000000000000088 0x4 ../semafor_bsp//libhal_bsp.a(alt_irq_entry.o) *(.exceptions.notirq.label) .exceptions.notirq.label - 0x000000000000008c 0x0 ../semafor_bsp/\libhal_bsp.a(alt_irq_entry.o) + 0x000000000000008c 0x0 ../semafor_bsp//libhal_bsp.a(alt_irq_entry.o) *(.exceptions.notirq.user) *(.exceptions.notirq) .exceptions.notirq - 0x000000000000008c 0x8 ../semafor_bsp/\libhal_bsp.a(alt_exception_entry.o) + 0x000000000000008c 0x8 ../semafor_bsp//libhal_bsp.a(alt_exception_entry.o) *(.exceptions.soft.user) *(.exceptions.soft) *(.exceptions.unknown.user) *(.exceptions.unknown) .exceptions.unknown - 0x0000000000000094 0x14 ../semafor_bsp/\libhal_bsp.a(alt_exception_entry.o) + 0x0000000000000094 0x14 ../semafor_bsp//libhal_bsp.a(alt_exception_entry.o) *(.exceptions.exit.label) .exceptions.exit.label - 0x00000000000000a8 0x0 ../semafor_bsp/\libhal_bsp.a(alt_irq_entry.o) + 0x00000000000000a8 0x0 ../semafor_bsp//libhal_bsp.a(alt_irq_entry.o) .exceptions.exit.label - 0x00000000000000a8 0x0 ../semafor_bsp/\libhal_bsp.a(alt_exception_entry.o) + 0x00000000000000a8 0x0 ../semafor_bsp//libhal_bsp.a(alt_exception_entry.o) *(.exceptions.exit.user) *(.exceptions.exit) .exceptions.exit - 0x00000000000000a8 0x54 ../semafor_bsp/\libhal_bsp.a(alt_exception_entry.o) + 0x00000000000000a8 0x54 ../semafor_bsp//libhal_bsp.a(alt_exception_entry.o) *(.exceptions) - .exceptions 0x00000000000000fc 0xd4 ../semafor_bsp/\libhal_bsp.a(alt_irq_handler.o) + .exceptions 0x00000000000000fc 0xd4 ../semafor_bsp//libhal_bsp.a(alt_irq_handler.o) 0x00000000000000fc alt_irq_handler - .exceptions 0x00000000000001d0 0x60 ../semafor_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) + .exceptions 0x00000000000001d0 0x60 ../semafor_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) 0x00000000000001d0 alt_instruction_exception_entry [!provide] PROVIDE (__ram_exceptions_end, ABSOLUTE (.)) [!provide] PROVIDE (__flash_exceptions_start, LOADADDR (.exceptions)) -.text 0x0000000000000230 0x55b0 +.text 0x0000000000000230 0x5594 [!provide] PROVIDE (stext, ABSOLUTE (.)) *(.interp) *(.hash) @@ -284,268 +284,268 @@ LOAD c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../li *(.text .stub .text.* .gnu.linkonce.t.*) .text 0x0000000000000230 0x18 ../semafor_bsp//obj/HAL/src/crt0.o 0x0000000000000230 _start - .text 0x0000000000000248 0xf0 obj/default/sem.o + .text 0x0000000000000248 0xd4 obj/default/sem.o 0x0000000000000248 main - .text 0x0000000000000338 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) - .text._puts_r 0x0000000000000338 0xc0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) - 0x0000000000000338 _puts_r - .text.puts 0x00000000000003f8 0x14 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) - 0x00000000000003f8 puts - .text 0x000000000000040c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) - .text.strlen 0x000000000000040c 0x98 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) - 0x000000000000040c strlen - .text 0x00000000000004a4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + .text 0x000000000000031c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) + .text._puts_r 0x000000000000031c 0xc0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) + 0x000000000000031c _puts_r + .text.puts 0x00000000000003dc 0x14 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) + 0x00000000000003dc puts + .text 0x00000000000003f0 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-strlen.o) + .text.strlen 0x00000000000003f0 0x98 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-strlen.o) + 0x00000000000003f0 strlen + .text 0x0000000000000488 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) .text.__fp_unlock - 0x00000000000004a4 0x8 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + 0x0000000000000488 0x8 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) .text._cleanup_r - 0x00000000000004ac 0xc c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) - 0x00000000000004ac _cleanup_r + 0x0000000000000490 0xc /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + 0x0000000000000490 _cleanup_r .text.__sinit.part.1 - 0x00000000000004b8 0x19c c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + 0x000000000000049c 0x19c /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) .text.__fp_lock - 0x0000000000000654 0x8 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + 0x0000000000000638 0x8 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) .text.__sfmoreglue - 0x000000000000065c 0x78 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) - 0x000000000000065c __sfmoreglue - .text.__sfp 0x00000000000006d4 0x118 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) - 0x00000000000006d4 __sfp + 0x0000000000000640 0x78 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + 0x0000000000000640 __sfmoreglue + .text.__sfp 0x00000000000006b8 0x118 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + 0x00000000000006b8 __sfp .text._cleanup - 0x00000000000007ec 0x18 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) - 0x00000000000007ec _cleanup - .text.__sinit 0x0000000000000804 0x10 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) - 0x0000000000000804 __sinit + 0x00000000000007d0 0x18 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + 0x00000000000007d0 _cleanup + .text.__sinit 0x00000000000007e8 0x10 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + 0x00000000000007e8 __sinit .text.__sfp_lock_acquire - 0x0000000000000814 0x4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) - 0x0000000000000814 __sfp_lock_acquire + 0x00000000000007f8 0x4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + 0x00000000000007f8 __sfp_lock_acquire .text.__sfp_lock_release - 0x0000000000000818 0x4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) - 0x0000000000000818 __sfp_lock_release + 0x00000000000007fc 0x4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + 0x00000000000007fc __sfp_lock_release .text.__sinit_lock_acquire - 0x000000000000081c 0x4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) - 0x000000000000081c __sinit_lock_acquire + 0x0000000000000800 0x4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + 0x0000000000000800 __sinit_lock_acquire .text.__sinit_lock_release - 0x0000000000000820 0x4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) - 0x0000000000000820 __sinit_lock_release + 0x0000000000000804 0x4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + 0x0000000000000804 __sinit_lock_release .text.__fp_lock_all - 0x0000000000000824 0x18 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) - 0x0000000000000824 __fp_lock_all + 0x0000000000000808 0x18 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + 0x0000000000000808 __fp_lock_all .text.__fp_unlock_all - 0x000000000000083c 0x18 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) - 0x000000000000083c __fp_unlock_all - .text 0x0000000000000854 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + 0x0000000000000820 0x18 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + 0x0000000000000820 __fp_unlock_all + .text 0x0000000000000838 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) .text.__sfvwrite_r - 0x0000000000000854 0x4c8 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) - 0x0000000000000854 __sfvwrite_r - .text 0x0000000000000d1c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) - .text._fwalk 0x0000000000000d1c 0xc4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) - 0x0000000000000d1c _fwalk + 0x0000000000000838 0x4c8 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) + 0x0000000000000838 __sfvwrite_r + .text 0x0000000000000d00 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fwalk.o) + .text._fwalk 0x0000000000000d00 0xc4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fwalk.o) + 0x0000000000000d00 _fwalk .text._fwalk_reent - 0x0000000000000de0 0xc4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) - 0x0000000000000de0 _fwalk_reent - .text 0x0000000000000ea4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) - .text 0x0000000000000ea4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + 0x0000000000000dc4 0xc4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fwalk.o) + 0x0000000000000dc4 _fwalk_reent + .text 0x0000000000000e88 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-impure.o) + .text 0x0000000000000e88 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) .text._malloc_r - 0x0000000000000ea4 0x80c c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) - 0x0000000000000ea4 _malloc_r - .text 0x00000000000016b0 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) - .text.memchr 0x00000000000016b0 0xe4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) - 0x00000000000016b0 memchr - .text 0x0000000000001794 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) - .text.memcpy 0x0000000000001794 0x148 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) - 0x0000000000001794 memcpy - .text 0x00000000000018dc 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) - .text.memmove 0x00000000000018dc 0x15c c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) - 0x00000000000018dc memmove - .text 0x0000000000001a38 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) - .text.memset 0x0000000000001a38 0x128 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) - 0x0000000000001a38 memset - .text 0x0000000000001b60 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + 0x0000000000000e88 0x80c /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + 0x0000000000000e88 _malloc_r + .text 0x0000000000001694 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memchr.o) + .text.memchr 0x0000000000001694 0xe4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memchr.o) + 0x0000000000001694 memchr + .text 0x0000000000001778 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcpy.o) + .text.memcpy 0x0000000000001778 0x148 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcpy.o) + 0x0000000000001778 memcpy + .text 0x00000000000018c0 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memmove.o) + .text.memmove 0x00000000000018c0 0x15c /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memmove.o) + 0x00000000000018c0 memmove + .text 0x0000000000001a1c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memset.o) + .text.memset 0x0000000000001a1c 0x128 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memset.o) + 0x0000000000001a1c memset + .text 0x0000000000001b44 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-reallocr.o) .text._realloc_r - 0x0000000000001b60 0x564 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) - 0x0000000000001b60 _realloc_r - .text 0x00000000000020c4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) - .text._sbrk_r 0x00000000000020c4 0x54 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) - 0x00000000000020c4 _sbrk_r - .text 0x0000000000002118 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) - .text.__sread 0x0000000000002118 0x54 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) - 0x0000000000002118 __sread + 0x0000000000001b44 0x564 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-reallocr.o) + 0x0000000000001b44 _realloc_r + .text 0x00000000000020a8 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-sbrkr.o) + .text._sbrk_r 0x00000000000020a8 0x54 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-sbrkr.o) + 0x00000000000020a8 _sbrk_r + .text 0x00000000000020fc 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) + .text.__sread 0x00000000000020fc 0x54 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) + 0x00000000000020fc __sread .text.__seofread - 0x000000000000216c 0x8 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) - 0x000000000000216c __seofread + 0x0000000000002150 0x8 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) + 0x0000000000002150 __seofread .text.__swrite - 0x0000000000002174 0x7c c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) - 0x0000000000002174 __swrite - .text.__sseek 0x00000000000021f0 0x5c c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) - 0x00000000000021f0 __sseek + 0x0000000000002158 0x7c /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) + 0x0000000000002158 __swrite + .text.__sseek 0x00000000000021d4 0x5c /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) + 0x00000000000021d4 __sseek .text.__sclose - 0x000000000000224c 0x8 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) - 0x000000000000224c __sclose - .text 0x0000000000002254 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) + 0x0000000000002230 0x8 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) + 0x0000000000002230 __sclose + .text 0x0000000000002238 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-writer.o) .text._write_r - 0x0000000000002254 0x60 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) - 0x0000000000002254 _write_r - .text 0x00000000000022b4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) + 0x0000000000002238 0x60 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-writer.o) + 0x0000000000002238 _write_r + .text 0x0000000000002298 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-wsetup.o) .text.__swsetup_r - 0x00000000000022b4 0x154 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) - 0x00000000000022b4 __swsetup_r - .text 0x0000000000002408 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(closer.o) + 0x0000000000002298 0x154 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-wsetup.o) + 0x0000000000002298 __swsetup_r + .text 0x00000000000023ec 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(closer.o) .text._close_r - 0x0000000000002408 0x54 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(closer.o) - 0x0000000000002408 _close_r - .text 0x000000000000245c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + 0x00000000000023ec 0x54 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(closer.o) + 0x00000000000023ec _close_r + .text 0x0000000000002440 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fclose.o) .text._fclose_r - 0x000000000000245c 0xf0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) - 0x000000000000245c _fclose_r - .text.fclose 0x000000000000254c 0x14 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) - 0x000000000000254c fclose - .text 0x0000000000002560 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + 0x0000000000002440 0xf0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fclose.o) + 0x0000000000002440 _fclose_r + .text.fclose 0x0000000000002530 0x14 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fclose.o) + 0x0000000000002530 fclose + .text 0x0000000000002544 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fflush.o) .text.__sflush_r - 0x0000000000002560 0x21c c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) - 0x0000000000002560 __sflush_r + 0x0000000000002544 0x21c /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fflush.o) + 0x0000000000002544 __sflush_r .text._fflush_r - 0x000000000000277c 0x5c c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) - 0x000000000000277c _fflush_r - .text.fflush 0x00000000000027d8 0x30 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) - 0x00000000000027d8 fflush - .text 0x0000000000002808 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + 0x0000000000002760 0x5c /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fflush.o) + 0x0000000000002760 _fflush_r + .text.fflush 0x00000000000027bc 0x30 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fflush.o) + 0x00000000000027bc fflush + .text 0x00000000000027ec 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-freer.o) .text._malloc_trim_r - 0x0000000000002808 0x124 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) - 0x0000000000002808 _malloc_trim_r - .text._free_r 0x000000000000292c 0x310 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) - 0x000000000000292c _free_r - .text 0x0000000000002c3c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) - .text 0x0000000000002c3c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) + 0x00000000000027ec 0x124 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-freer.o) + 0x00000000000027ec _malloc_trim_r + .text._free_r 0x0000000000002910 0x310 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-freer.o) + 0x0000000000002910 _free_r + .text 0x0000000000002c20 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-int_errno.o) + .text 0x0000000000002c20 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-lseekr.o) .text._lseek_r - 0x0000000000002c3c 0x60 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) - 0x0000000000002c3c _lseek_r - .text 0x0000000000002c9c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) + 0x0000000000002c20 0x60 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-lseekr.o) + 0x0000000000002c20 _lseek_r + .text 0x0000000000002c80 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-makebuf.o) .text.__smakebuf_r - 0x0000000000002c9c 0x1bc c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) - 0x0000000000002c9c __smakebuf_r - .text 0x0000000000002e58 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) - .text._read_r 0x0000000000002e58 0x60 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) - 0x0000000000002e58 _read_r - .text 0x0000000000002eb8 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) + 0x0000000000002c80 0x1bc /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-makebuf.o) + 0x0000000000002c80 __smakebuf_r + .text 0x0000000000002e3c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-readr.o) + .text._read_r 0x0000000000002e3c 0x60 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-readr.o) + 0x0000000000002e3c _read_r + .text 0x0000000000002e9c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fstatr.o) .text._fstat_r - 0x0000000000002eb8 0x5c c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) - 0x0000000000002eb8 _fstat_r - .text 0x0000000000002f14 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) + 0x0000000000002e9c 0x5c /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fstatr.o) + 0x0000000000002e9c _fstat_r + .text 0x0000000000002ef8 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-isattyr.o) .text._isatty_r - 0x0000000000002f14 0x54 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) - 0x0000000000002f14 _isatty_r - .text 0x0000000000002f68 0x1b4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-divmod.o) - 0x0000000000002f68 __divsi3 - 0x0000000000002fec __modsi3 - 0x0000000000003060 __udivsi3 - 0x00000000000030c4 __umodsi3 - .text 0x000000000000311c 0x28 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-mul.o) - 0x000000000000311c __mulsi3 - .text 0x0000000000003144 0x114 ../semafor_bsp/\libhal_bsp.a(alt_close.o) - 0x0000000000003180 close - .text 0x0000000000003258 0x28 ../semafor_bsp/\libhal_bsp.a(alt_dcache_flush.o) - 0x0000000000003258 alt_dcache_flush - .text 0x0000000000003280 0x2c ../semafor_bsp/\libhal_bsp.a(alt_dev.o) - .text 0x00000000000032ac 0x0 ../semafor_bsp/\libhal_bsp.a(alt_errno.o) - .text 0x00000000000032ac 0xf4 ../semafor_bsp/\libhal_bsp.a(alt_fstat.o) - 0x00000000000032e8 fstat - .text 0x00000000000033a0 0xe8 ../semafor_bsp/\libhal_bsp.a(alt_isatty.o) - 0x00000000000033dc isatty - .text 0x0000000000003488 0x118 ../semafor_bsp/\libhal_bsp.a(alt_lseek.o) - 0x00000000000034c4 lseek - .text 0x00000000000035a0 0x7c ../semafor_bsp/\libhal_bsp.a(alt_main.o) - 0x00000000000035a0 alt_main - .text 0x000000000000361c 0x48 ../semafor_bsp/\libhal_bsp.a(alt_malloc_lock.o) - 0x000000000000361c __malloc_lock - 0x0000000000003640 __malloc_unlock - .text 0x0000000000003664 0x140 ../semafor_bsp/\libhal_bsp.a(alt_read.o) - 0x00000000000036a0 read - .text 0x00000000000037a4 0x84 ../semafor_bsp/\libhal_bsp.a(alt_release_fd.o) - 0x00000000000037a4 alt_release_fd - .text 0x0000000000003828 0xb0 ../semafor_bsp/\libhal_bsp.a(alt_sbrk.o) - 0x0000000000003828 sbrk - .text 0x00000000000038d8 0x13c ../semafor_bsp/\libhal_bsp.a(alt_write.o) - 0x0000000000003914 write - .text 0x0000000000003a14 0xcc ../semafor_bsp/\libhal_bsp.a(alt_sys_init.o) - 0x0000000000003a48 alt_irq_init - 0x0000000000003a80 alt_sys_init - .text 0x0000000000003ae0 0x164 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) - 0x0000000000003ae0 altera_avalon_jtag_uart_read_fd - 0x0000000000003b40 altera_avalon_jtag_uart_write_fd - 0x0000000000003ba0 altera_avalon_jtag_uart_close_fd - 0x0000000000003bf0 altera_avalon_jtag_uart_ioctl_fd - .text 0x0000000000003c44 0x3d4 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) - 0x0000000000003c44 altera_avalon_jtag_uart_init - 0x0000000000003fb0 altera_avalon_jtag_uart_close - .text 0x0000000000004018 0xf0 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) - 0x0000000000004018 altera_avalon_jtag_uart_ioctl - .text 0x0000000000004108 0x21c ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) - 0x0000000000004108 altera_avalon_jtag_uart_read - .text 0x0000000000004324 0x224 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) - 0x0000000000004324 altera_avalon_jtag_uart_write - .text 0x0000000000004548 0xf4 ../semafor_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) - 0x00000000000045c0 alt_avalon_timer_sc_init - .text 0x000000000000463c 0x12c ../semafor_bsp/\libhal_bsp.a(alt_alarm_start.o) - 0x000000000000463c alt_alarm_start - .text 0x0000000000004768 0xe0 ../semafor_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) - 0x00000000000047a4 alt_dev_llist_insert - .text 0x0000000000004848 0x60 ../semafor_bsp/\libhal_bsp.a(alt_do_ctors.o) - 0x0000000000004848 _do_ctors - .text 0x00000000000048a8 0x60 ../semafor_bsp/\libhal_bsp.a(alt_do_dtors.o) - 0x00000000000048a8 _do_dtors - .text 0x0000000000004908 0x1b0 ../semafor_bsp/\libhal_bsp.a(alt_iic.o) - 0x0000000000004908 alt_ic_isr_register - 0x0000000000004958 alt_ic_irq_enable - 0x00000000000049e0 alt_ic_irq_disable - 0x0000000000004a6c alt_ic_irq_enabled - .text 0x0000000000004ab8 0xf0 ../semafor_bsp/\libhal_bsp.a(alt_iic_isr_register.o) - 0x0000000000004ab8 alt_iic_isr_register - .text 0x0000000000004ba8 0x160 ../semafor_bsp/\libhal_bsp.a(alt_io_redirect.o) - 0x0000000000004c8c alt_io_redirect - .text 0x0000000000004d08 0x0 ../semafor_bsp/\libhal_bsp.a(alt_irq_entry.o) - .text 0x0000000000004d08 0x0 ../semafor_bsp/\libhal_bsp.a(alt_irq_handler.o) - .text 0x0000000000004d08 0x0 ../semafor_bsp/\libhal_bsp.a(alt_irq_vars.o) - .text 0x0000000000004d08 0x284 ../semafor_bsp/\libhal_bsp.a(alt_open.o) - 0x0000000000004e30 open - .text 0x0000000000004f8c 0x1a4 ../semafor_bsp/\libhal_bsp.a(alt_tick.o) - 0x0000000000004f8c alt_alarm_stop - 0x0000000000005028 alt_tick - .text 0x0000000000005130 0x24 ../semafor_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) - 0x0000000000005130 altera_nios2_gen2_irq_init - .text 0x0000000000005154 0x0 ../semafor_bsp/\libhal_bsp.a(alt_exception_entry.o) - .text 0x0000000000005154 0x90 ../semafor_bsp/\libhal_bsp.a(alt_find_dev.o) - 0x0000000000005154 alt_find_dev - .text 0x00000000000051e4 0x108 ../semafor_bsp/\libhal_bsp.a(alt_find_file.o) - 0x00000000000051e4 alt_find_file - .text 0x00000000000052ec 0xc4 ../semafor_bsp/\libhal_bsp.a(alt_get_fd.o) - 0x00000000000052ec alt_get_fd - .text 0x00000000000053b0 0x9c ../semafor_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) - 0x00000000000053b0 alt_exception_cause_generated_bad_addr - .text 0x000000000000544c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) - .text.atexit 0x000000000000544c 0x14 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) - 0x000000000000544c atexit - .text 0x0000000000005460 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) - .text.exit 0x0000000000005460 0x38 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) - 0x0000000000005460 exit - .text 0x0000000000005498 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) - .text.memcmp 0x0000000000005498 0x7c c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) - 0x0000000000005498 memcmp - .text 0x0000000000005514 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) + 0x0000000000002ef8 0x54 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-isattyr.o) + 0x0000000000002ef8 _isatty_r + .text 0x0000000000002f4c 0x1b4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-divmod.o) + 0x0000000000002f4c __divsi3 + 0x0000000000002fd0 __modsi3 + 0x0000000000003044 __udivsi3 + 0x00000000000030a8 __umodsi3 + .text 0x0000000000003100 0x28 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-mul.o) + 0x0000000000003100 __mulsi3 + .text 0x0000000000003128 0x114 ../semafor_bsp//libhal_bsp.a(alt_close.o) + 0x0000000000003164 close + .text 0x000000000000323c 0x28 ../semafor_bsp//libhal_bsp.a(alt_dcache_flush.o) + 0x000000000000323c alt_dcache_flush + .text 0x0000000000003264 0x2c ../semafor_bsp//libhal_bsp.a(alt_dev.o) + .text 0x0000000000003290 0x0 ../semafor_bsp//libhal_bsp.a(alt_errno.o) + .text 0x0000000000003290 0xf4 ../semafor_bsp//libhal_bsp.a(alt_fstat.o) + 0x00000000000032cc fstat + .text 0x0000000000003384 0xe8 ../semafor_bsp//libhal_bsp.a(alt_isatty.o) + 0x00000000000033c0 isatty + .text 0x000000000000346c 0x118 ../semafor_bsp//libhal_bsp.a(alt_lseek.o) + 0x00000000000034a8 lseek + .text 0x0000000000003584 0x7c ../semafor_bsp//libhal_bsp.a(alt_main.o) + 0x0000000000003584 alt_main + .text 0x0000000000003600 0x48 ../semafor_bsp//libhal_bsp.a(alt_malloc_lock.o) + 0x0000000000003600 __malloc_lock + 0x0000000000003624 __malloc_unlock + .text 0x0000000000003648 0x140 ../semafor_bsp//libhal_bsp.a(alt_read.o) + 0x0000000000003684 read + .text 0x0000000000003788 0x84 ../semafor_bsp//libhal_bsp.a(alt_release_fd.o) + 0x0000000000003788 alt_release_fd + .text 0x000000000000380c 0xb0 ../semafor_bsp//libhal_bsp.a(alt_sbrk.o) + 0x000000000000380c sbrk + .text 0x00000000000038bc 0x13c ../semafor_bsp//libhal_bsp.a(alt_write.o) + 0x00000000000038f8 write + .text 0x00000000000039f8 0xcc ../semafor_bsp//libhal_bsp.a(alt_sys_init.o) + 0x0000000000003a2c alt_irq_init + 0x0000000000003a64 alt_sys_init + .text 0x0000000000003ac4 0x164 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + 0x0000000000003ac4 altera_avalon_jtag_uart_read_fd + 0x0000000000003b24 altera_avalon_jtag_uart_write_fd + 0x0000000000003b84 altera_avalon_jtag_uart_close_fd + 0x0000000000003bd4 altera_avalon_jtag_uart_ioctl_fd + .text 0x0000000000003c28 0x3d4 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) + 0x0000000000003c28 altera_avalon_jtag_uart_init + 0x0000000000003f94 altera_avalon_jtag_uart_close + .text 0x0000000000003ffc 0xf0 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + 0x0000000000003ffc altera_avalon_jtag_uart_ioctl + .text 0x00000000000040ec 0x21c ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) + 0x00000000000040ec altera_avalon_jtag_uart_read + .text 0x0000000000004308 0x224 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) + 0x0000000000004308 altera_avalon_jtag_uart_write + .text 0x000000000000452c 0xf4 ../semafor_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) + 0x00000000000045a4 alt_avalon_timer_sc_init + .text 0x0000000000004620 0x12c ../semafor_bsp//libhal_bsp.a(alt_alarm_start.o) + 0x0000000000004620 alt_alarm_start + .text 0x000000000000474c 0xe0 ../semafor_bsp//libhal_bsp.a(alt_dev_llist_insert.o) + 0x0000000000004788 alt_dev_llist_insert + .text 0x000000000000482c 0x60 ../semafor_bsp//libhal_bsp.a(alt_do_ctors.o) + 0x000000000000482c _do_ctors + .text 0x000000000000488c 0x60 ../semafor_bsp//libhal_bsp.a(alt_do_dtors.o) + 0x000000000000488c _do_dtors + .text 0x00000000000048ec 0x1b0 ../semafor_bsp//libhal_bsp.a(alt_iic.o) + 0x00000000000048ec alt_ic_isr_register + 0x000000000000493c alt_ic_irq_enable + 0x00000000000049c4 alt_ic_irq_disable + 0x0000000000004a50 alt_ic_irq_enabled + .text 0x0000000000004a9c 0xf0 ../semafor_bsp//libhal_bsp.a(alt_iic_isr_register.o) + 0x0000000000004a9c alt_iic_isr_register + .text 0x0000000000004b8c 0x160 ../semafor_bsp//libhal_bsp.a(alt_io_redirect.o) + 0x0000000000004c70 alt_io_redirect + .text 0x0000000000004cec 0x0 ../semafor_bsp//libhal_bsp.a(alt_irq_entry.o) + .text 0x0000000000004cec 0x0 ../semafor_bsp//libhal_bsp.a(alt_irq_handler.o) + .text 0x0000000000004cec 0x0 ../semafor_bsp//libhal_bsp.a(alt_irq_vars.o) + .text 0x0000000000004cec 0x284 ../semafor_bsp//libhal_bsp.a(alt_open.o) + 0x0000000000004e14 open + .text 0x0000000000004f70 0x1a4 ../semafor_bsp//libhal_bsp.a(alt_tick.o) + 0x0000000000004f70 alt_alarm_stop + 0x000000000000500c alt_tick + .text 0x0000000000005114 0x24 ../semafor_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) + 0x0000000000005114 altera_nios2_gen2_irq_init + .text 0x0000000000005138 0x0 ../semafor_bsp//libhal_bsp.a(alt_exception_entry.o) + .text 0x0000000000005138 0x90 ../semafor_bsp//libhal_bsp.a(alt_find_dev.o) + 0x0000000000005138 alt_find_dev + .text 0x00000000000051c8 0x108 ../semafor_bsp//libhal_bsp.a(alt_find_file.o) + 0x00000000000051c8 alt_find_file + .text 0x00000000000052d0 0xc4 ../semafor_bsp//libhal_bsp.a(alt_get_fd.o) + 0x00000000000052d0 alt_get_fd + .text 0x0000000000005394 0x9c ../semafor_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + 0x0000000000005394 alt_exception_cause_generated_bad_addr + .text 0x0000000000005430 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-atexit.o) + .text.atexit 0x0000000000005430 0x14 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-atexit.o) + 0x0000000000005430 atexit + .text 0x0000000000005444 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-exit.o) + .text.exit 0x0000000000005444 0x38 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-exit.o) + 0x0000000000005444 exit + .text 0x000000000000547c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcmp.o) + .text.memcmp 0x000000000000547c 0x7c /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcmp.o) + 0x000000000000547c memcmp + .text 0x00000000000054f8 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__atexit.o) .text.__register_exitproc - 0x0000000000005514 0x118 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) - 0x0000000000005514 __register_exitproc - .text 0x000000000000562c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + 0x00000000000054f8 0x118 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__atexit.o) + 0x00000000000054f8 __register_exitproc + .text 0x0000000000005610 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__call_atexit.o) .text.__call_exitprocs - 0x000000000000562c 0x180 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) - 0x000000000000562c __call_exitprocs - .text 0x00000000000057ac 0x34 ../semafor_bsp/\libhal_bsp.a(alt_exit.o) - 0x00000000000057ac _exit + 0x0000000000005610 0x180 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__call_atexit.o) + 0x0000000000005610 __call_exitprocs + .text 0x0000000000005790 0x34 ../semafor_bsp//libhal_bsp.a(alt_exit.o) + 0x0000000000005790 _exit *(.gnu.warning.*) *(.fini) [!provide] PROVIDE (__etext, ABSOLUTE (.)) [!provide] PROVIDE (_etext, ABSOLUTE (.)) [!provide] PROVIDE (etext, ABSOLUTE (.)) *(.eh_frame_hdr) - 0x00000000000057e0 . = ALIGN (0x4) + 0x00000000000057c4 . = ALIGN (0x4) [!provide] PROVIDE (__preinit_array_start, ABSOLUTE (.)) *(.preinit_array) [!provide] PROVIDE (__preinit_array_end, ABSOLUTE (.)) @@ -558,288 +558,288 @@ LOAD c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../li *(.eh_frame) *(.gcc_except_table .gcc_except_table.*) *(.dynamic) - 0x00000000000057e0 PROVIDE (__CTOR_LIST__, ABSOLUTE (.)) + 0x00000000000057c4 PROVIDE (__CTOR_LIST__, ABSOLUTE (.)) *(.ctors) *(SORT(.ctors.*)) - 0x00000000000057e0 PROVIDE (__CTOR_END__, ABSOLUTE (.)) - 0x00000000000057e0 PROVIDE (__DTOR_LIST__, ABSOLUTE (.)) + 0x00000000000057c4 PROVIDE (__CTOR_END__, ABSOLUTE (.)) + 0x00000000000057c4 PROVIDE (__DTOR_LIST__, ABSOLUTE (.)) *(.dtors) *(SORT(.dtors.*)) - 0x00000000000057e0 PROVIDE (__DTOR_END__, ABSOLUTE (.)) + 0x00000000000057c4 PROVIDE (__DTOR_END__, ABSOLUTE (.)) *(.jcr) - 0x00000000000057e0 . = ALIGN (0x4) + 0x00000000000057c4 . = ALIGN (0x4) -.rodata 0x00000000000057e0 0x7c +.rodata 0x00000000000057c4 0x7c [!provide] PROVIDE (__ram_rodata_start, ABSOLUTE (.)) - 0x00000000000057e0 . = ALIGN (0x4) + 0x00000000000057c4 . = ALIGN (0x4) *(.rodata .rodata.* .gnu.linkonce.r.*) - .rodata 0x00000000000057e0 0x46 obj/default/sem.o - 0x00000000000057e0 divisors - *fill* 0x0000000000005826 0x2 + .rodata 0x00000000000057c4 0x46 obj/default/sem.o + 0x00000000000057c4 divisors + *fill* 0x000000000000580a 0x2 .rodata.str1.4 - 0x0000000000005828 0x2 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) - *fill* 0x000000000000582a 0x2 + 0x000000000000580c 0x2 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) + *fill* 0x000000000000580e 0x2 .rodata.str1.4 - 0x000000000000582c 0x4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + 0x0000000000005810 0x4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-impure.o) 0x2 (size before relaxing) - .rodata 0x0000000000005830 0xa ../semafor_bsp/\libhal_bsp.a(alt_dev.o) - *fill* 0x000000000000583a 0x2 - .rodata 0x000000000000583c 0xf ../semafor_bsp/\libhal_bsp.a(alt_main.o) - *fill* 0x000000000000584b 0x1 - .rodata 0x000000000000584c 0xf ../semafor_bsp/\libhal_bsp.a(alt_sys_init.o) + .rodata 0x0000000000005814 0xa ../semafor_bsp//libhal_bsp.a(alt_dev.o) + *fill* 0x000000000000581e 0x2 + .rodata 0x0000000000005820 0xf ../semafor_bsp//libhal_bsp.a(alt_main.o) + *fill* 0x000000000000582f 0x1 + .rodata 0x0000000000005830 0xf ../semafor_bsp//libhal_bsp.a(alt_sys_init.o) *(.rodata1) - 0x000000000000585c . = ALIGN (0x4) - *fill* 0x000000000000585b 0x1 + 0x0000000000005840 . = ALIGN (0x4) + *fill* 0x000000000000583f 0x1 [!provide] PROVIDE (__ram_rodata_end, ABSOLUTE (.)) [!provide] PROVIDE (__flash_rodata_start, LOADADDR (.rodata)) -.rwdata 0x000000000000585c 0x1a6c +.rwdata 0x0000000000005840 0x1a6c [!provide] PROVIDE (__ram_rwdata_start, ABSOLUTE (.)) - 0x000000000000585c . = ALIGN (0x4) + 0x0000000000005840 . = ALIGN (0x4) *(.got.plt) *(.got) *(.data1) *(.data .data.* .gnu.linkonce.d.*) - .data 0x000000000000585c 0x0 ../semafor_bsp//obj/HAL/src/crt0.o - .data 0x000000000000585c 0x0 obj/default/sem.o - .data 0x000000000000585c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) - .data 0x000000000000585c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) - .data 0x000000000000585c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) - .data 0x000000000000585c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) - .data 0x000000000000585c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) - .data 0x000000000000585c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + .data 0x0000000000005840 0x0 ../semafor_bsp//obj/HAL/src/crt0.o + .data 0x0000000000005840 0x0 obj/default/sem.o + .data 0x0000000000005840 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) + .data 0x0000000000005840 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-strlen.o) + .data 0x0000000000005840 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + .data 0x0000000000005840 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) + .data 0x0000000000005840 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fwalk.o) + .data 0x0000000000005840 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-impure.o) .data.impure_data - 0x000000000000585c 0x424 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) - .data 0x0000000000005c80 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + 0x0000000000005840 0x424 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-impure.o) + .data 0x0000000000005c64 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) .data.__malloc_av_ - 0x0000000000005c80 0x408 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) - 0x0000000000005c80 __malloc_av_ - .data 0x0000000000006088 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) - .data 0x0000000000006088 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) - .data 0x0000000000006088 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) - .data 0x0000000000006088 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) - .data 0x0000000000006088 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) - .data 0x0000000000006088 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) - .data 0x0000000000006088 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) - .data 0x0000000000006088 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) - .data 0x0000000000006088 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) - .data 0x0000000000006088 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(closer.o) - .data 0x0000000000006088 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) - .data 0x0000000000006088 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) - .data 0x0000000000006088 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) - .data 0x0000000000006088 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) - .data 0x0000000000006088 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) - .data 0x0000000000006088 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) - .data 0x0000000000006088 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) - .data 0x0000000000006088 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) - .data 0x0000000000006088 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) - .data 0x0000000000006088 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-divmod.o) - .data 0x0000000000006088 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-mul.o) - .data 0x0000000000006088 0x0 ../semafor_bsp/\libhal_bsp.a(alt_close.o) - .data 0x0000000000006088 0x0 ../semafor_bsp/\libhal_bsp.a(alt_dcache_flush.o) - .data 0x0000000000006088 0x1a8 ../semafor_bsp/\libhal_bsp.a(alt_dev.o) - 0x0000000000006088 alt_dev_null - 0x00000000000060b0 alt_fd_list - .data 0x0000000000006230 0x0 ../semafor_bsp/\libhal_bsp.a(alt_errno.o) - .data 0x0000000000006230 0x0 ../semafor_bsp/\libhal_bsp.a(alt_fstat.o) - .data 0x0000000000006230 0x0 ../semafor_bsp/\libhal_bsp.a(alt_isatty.o) - .data 0x0000000000006230 0x0 ../semafor_bsp/\libhal_bsp.a(alt_lseek.o) - .data 0x0000000000006230 0x0 ../semafor_bsp/\libhal_bsp.a(alt_main.o) - .data 0x0000000000006230 0x0 ../semafor_bsp/\libhal_bsp.a(alt_malloc_lock.o) - .data 0x0000000000006230 0x0 ../semafor_bsp/\libhal_bsp.a(alt_read.o) - .data 0x0000000000006230 0x0 ../semafor_bsp/\libhal_bsp.a(alt_release_fd.o) - .data 0x0000000000006230 0x0 ../semafor_bsp/\libhal_bsp.a(alt_sbrk.o) - .data 0x0000000000006230 0x0 ../semafor_bsp/\libhal_bsp.a(alt_write.o) - .data 0x0000000000006230 0x1060 ../semafor_bsp/\libhal_bsp.a(alt_sys_init.o) - .data 0x0000000000007290 0x0 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) - .data 0x0000000000007290 0x0 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) - .data 0x0000000000007290 0x0 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) - .data 0x0000000000007290 0x0 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) - .data 0x0000000000007290 0x0 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) - .data 0x0000000000007290 0x0 ../semafor_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) - .data 0x0000000000007290 0x0 ../semafor_bsp/\libhal_bsp.a(alt_alarm_start.o) - .data 0x0000000000007290 0x0 ../semafor_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) - .data 0x0000000000007290 0x0 ../semafor_bsp/\libhal_bsp.a(alt_do_ctors.o) - .data 0x0000000000007290 0x0 ../semafor_bsp/\libhal_bsp.a(alt_do_dtors.o) - .data 0x0000000000007290 0x0 ../semafor_bsp/\libhal_bsp.a(alt_iic.o) - .data 0x0000000000007290 0x0 ../semafor_bsp/\libhal_bsp.a(alt_iic_isr_register.o) - .data 0x0000000000007290 0x0 ../semafor_bsp/\libhal_bsp.a(alt_io_redirect.o) - .data 0x0000000000007290 0x0 ../semafor_bsp/\libhal_bsp.a(alt_irq_entry.o) - .data 0x0000000000007290 0x0 ../semafor_bsp/\libhal_bsp.a(alt_irq_handler.o) - .data 0x0000000000007290 0x0 ../semafor_bsp/\libhal_bsp.a(alt_irq_vars.o) - .data 0x0000000000007290 0x0 ../semafor_bsp/\libhal_bsp.a(alt_open.o) - .data 0x0000000000007290 0x0 ../semafor_bsp/\libhal_bsp.a(alt_tick.o) - .data 0x0000000000007290 0x0 ../semafor_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) - .data 0x0000000000007290 0x0 ../semafor_bsp/\libhal_bsp.a(alt_exception_entry.o) - .data 0x0000000000007290 0x0 ../semafor_bsp/\libhal_bsp.a(alt_find_dev.o) - .data 0x0000000000007290 0x0 ../semafor_bsp/\libhal_bsp.a(alt_find_file.o) - .data 0x0000000000007290 0x0 ../semafor_bsp/\libhal_bsp.a(alt_get_fd.o) - .data 0x0000000000007290 0x0 ../semafor_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) - .data 0x0000000000007290 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) - .data 0x0000000000007290 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) - .data 0x0000000000007290 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) - .data 0x0000000000007290 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) - .data 0x0000000000007290 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) - .data 0x0000000000007290 0x0 ../semafor_bsp/\libhal_bsp.a(alt_exit.o) - 0x000000000000f290 _gp = ABSOLUTE ((. + 0x8000)) + 0x0000000000005c64 0x408 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + 0x0000000000005c64 __malloc_av_ + .data 0x000000000000606c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memchr.o) + .data 0x000000000000606c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcpy.o) + .data 0x000000000000606c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memmove.o) + .data 0x000000000000606c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memset.o) + .data 0x000000000000606c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-reallocr.o) + .data 0x000000000000606c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-sbrkr.o) + .data 0x000000000000606c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) + .data 0x000000000000606c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-writer.o) + .data 0x000000000000606c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-wsetup.o) + .data 0x000000000000606c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(closer.o) + .data 0x000000000000606c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fclose.o) + .data 0x000000000000606c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fflush.o) + .data 0x000000000000606c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-freer.o) + .data 0x000000000000606c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-int_errno.o) + .data 0x000000000000606c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-lseekr.o) + .data 0x000000000000606c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-makebuf.o) + .data 0x000000000000606c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-readr.o) + .data 0x000000000000606c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fstatr.o) + .data 0x000000000000606c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-isattyr.o) + .data 0x000000000000606c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-divmod.o) + .data 0x000000000000606c 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-mul.o) + .data 0x000000000000606c 0x0 ../semafor_bsp//libhal_bsp.a(alt_close.o) + .data 0x000000000000606c 0x0 ../semafor_bsp//libhal_bsp.a(alt_dcache_flush.o) + .data 0x000000000000606c 0x1a8 ../semafor_bsp//libhal_bsp.a(alt_dev.o) + 0x000000000000606c alt_dev_null + 0x0000000000006094 alt_fd_list + .data 0x0000000000006214 0x0 ../semafor_bsp//libhal_bsp.a(alt_errno.o) + .data 0x0000000000006214 0x0 ../semafor_bsp//libhal_bsp.a(alt_fstat.o) + .data 0x0000000000006214 0x0 ../semafor_bsp//libhal_bsp.a(alt_isatty.o) + .data 0x0000000000006214 0x0 ../semafor_bsp//libhal_bsp.a(alt_lseek.o) + .data 0x0000000000006214 0x0 ../semafor_bsp//libhal_bsp.a(alt_main.o) + .data 0x0000000000006214 0x0 ../semafor_bsp//libhal_bsp.a(alt_malloc_lock.o) + .data 0x0000000000006214 0x0 ../semafor_bsp//libhal_bsp.a(alt_read.o) + .data 0x0000000000006214 0x0 ../semafor_bsp//libhal_bsp.a(alt_release_fd.o) + .data 0x0000000000006214 0x0 ../semafor_bsp//libhal_bsp.a(alt_sbrk.o) + .data 0x0000000000006214 0x0 ../semafor_bsp//libhal_bsp.a(alt_write.o) + .data 0x0000000000006214 0x1060 ../semafor_bsp//libhal_bsp.a(alt_sys_init.o) + .data 0x0000000000007274 0x0 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .data 0x0000000000007274 0x0 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .data 0x0000000000007274 0x0 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .data 0x0000000000007274 0x0 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .data 0x0000000000007274 0x0 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .data 0x0000000000007274 0x0 ../semafor_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) + .data 0x0000000000007274 0x0 ../semafor_bsp//libhal_bsp.a(alt_alarm_start.o) + .data 0x0000000000007274 0x0 ../semafor_bsp//libhal_bsp.a(alt_dev_llist_insert.o) + .data 0x0000000000007274 0x0 ../semafor_bsp//libhal_bsp.a(alt_do_ctors.o) + .data 0x0000000000007274 0x0 ../semafor_bsp//libhal_bsp.a(alt_do_dtors.o) + .data 0x0000000000007274 0x0 ../semafor_bsp//libhal_bsp.a(alt_iic.o) + .data 0x0000000000007274 0x0 ../semafor_bsp//libhal_bsp.a(alt_iic_isr_register.o) + .data 0x0000000000007274 0x0 ../semafor_bsp//libhal_bsp.a(alt_io_redirect.o) + .data 0x0000000000007274 0x0 ../semafor_bsp//libhal_bsp.a(alt_irq_entry.o) + .data 0x0000000000007274 0x0 ../semafor_bsp//libhal_bsp.a(alt_irq_handler.o) + .data 0x0000000000007274 0x0 ../semafor_bsp//libhal_bsp.a(alt_irq_vars.o) + .data 0x0000000000007274 0x0 ../semafor_bsp//libhal_bsp.a(alt_open.o) + .data 0x0000000000007274 0x0 ../semafor_bsp//libhal_bsp.a(alt_tick.o) + .data 0x0000000000007274 0x0 ../semafor_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) + .data 0x0000000000007274 0x0 ../semafor_bsp//libhal_bsp.a(alt_exception_entry.o) + .data 0x0000000000007274 0x0 ../semafor_bsp//libhal_bsp.a(alt_find_dev.o) + .data 0x0000000000007274 0x0 ../semafor_bsp//libhal_bsp.a(alt_find_file.o) + .data 0x0000000000007274 0x0 ../semafor_bsp//libhal_bsp.a(alt_get_fd.o) + .data 0x0000000000007274 0x0 ../semafor_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + .data 0x0000000000007274 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-atexit.o) + .data 0x0000000000007274 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-exit.o) + .data 0x0000000000007274 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcmp.o) + .data 0x0000000000007274 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__atexit.o) + .data 0x0000000000007274 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__call_atexit.o) + .data 0x0000000000007274 0x0 ../semafor_bsp//libhal_bsp.a(alt_exit.o) + 0x000000000000f274 _gp = ABSOLUTE ((. + 0x8000)) [!provide] PROVIDE (gp, _gp) *(.rwdata .rwdata.*) *(.sdata .sdata.* .gnu.linkonce.s.*) .sdata._global_impure_ptr - 0x0000000000007290 0x4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) - 0x0000000000007290 _global_impure_ptr + 0x0000000000007274 0x4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-impure.o) + 0x0000000000007274 _global_impure_ptr .sdata._impure_ptr - 0x0000000000007294 0x4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) - 0x0000000000007294 _impure_ptr + 0x0000000000007278 0x4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-impure.o) + 0x0000000000007278 _impure_ptr .sdata.__malloc_sbrk_base - 0x0000000000007298 0x4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) - 0x0000000000007298 __malloc_sbrk_base + 0x000000000000727c 0x4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + 0x000000000000727c __malloc_sbrk_base .sdata.__malloc_trim_threshold - 0x000000000000729c 0x4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) - 0x000000000000729c __malloc_trim_threshold - .sdata 0x00000000000072a0 0x14 ../semafor_bsp/\libhal_bsp.a(alt_dev.o) - 0x00000000000072a0 alt_fs_list - 0x00000000000072a8 alt_dev_list - 0x00000000000072b0 alt_max_fd - .sdata 0x00000000000072b4 0x4 ../semafor_bsp/\libhal_bsp.a(alt_errno.o) - 0x00000000000072b4 alt_errno - .sdata 0x00000000000072b8 0x4 ../semafor_bsp/\libhal_bsp.a(alt_sbrk.o) - .sdata 0x00000000000072bc 0x4 ../semafor_bsp/\libhal_bsp.a(alt_irq_vars.o) - 0x00000000000072bc alt_priority_mask - .sdata 0x00000000000072c0 0x8 ../semafor_bsp/\libhal_bsp.a(alt_tick.o) - 0x00000000000072c0 alt_alarm_list + 0x0000000000007280 0x4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + 0x0000000000007280 __malloc_trim_threshold + .sdata 0x0000000000007284 0x14 ../semafor_bsp//libhal_bsp.a(alt_dev.o) + 0x0000000000007284 alt_fs_list + 0x000000000000728c alt_dev_list + 0x0000000000007294 alt_max_fd + .sdata 0x0000000000007298 0x4 ../semafor_bsp//libhal_bsp.a(alt_errno.o) + 0x0000000000007298 alt_errno + .sdata 0x000000000000729c 0x4 ../semafor_bsp//libhal_bsp.a(alt_sbrk.o) + .sdata 0x00000000000072a0 0x4 ../semafor_bsp//libhal_bsp.a(alt_irq_vars.o) + 0x00000000000072a0 alt_priority_mask + .sdata 0x00000000000072a4 0x8 ../semafor_bsp//libhal_bsp.a(alt_tick.o) + 0x00000000000072a4 alt_alarm_list *(.sdata2 .sdata2.* .gnu.linkonce.s2.*) - 0x00000000000072c8 . = ALIGN (0x4) - 0x00000000000072c8 _edata = ABSOLUTE (.) + 0x00000000000072ac . = ALIGN (0x4) + 0x00000000000072ac _edata = ABSOLUTE (.) [!provide] PROVIDE (edata, ABSOLUTE (.)) [!provide] PROVIDE (__ram_rwdata_end, ABSOLUTE (.)) [!provide] PROVIDE (__flash_rwdata_start, LOADADDR (.rwdata)) -.bss 0x00000000000072c8 0x154 - 0x00000000000072c8 __bss_start = ABSOLUTE (.) +.bss 0x00000000000072ac 0x154 + 0x00000000000072ac __bss_start = ABSOLUTE (.) [!provide] PROVIDE (__sbss_start, ABSOLUTE (.)) [!provide] PROVIDE (___sbss_start, ABSOLUTE (.)) *(.dynsbss) *(.sbss .sbss.* .gnu.linkonce.sb.*) .sbss.__malloc_max_total_mem - 0x00000000000072c8 0x4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) - 0x00000000000072c8 __malloc_max_total_mem + 0x00000000000072ac 0x4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + 0x00000000000072ac __malloc_max_total_mem .sbss.__malloc_max_sbrked_mem - 0x00000000000072cc 0x4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) - 0x00000000000072cc __malloc_max_sbrked_mem + 0x00000000000072b0 0x4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + 0x00000000000072b0 __malloc_max_sbrked_mem .sbss.__malloc_top_pad - 0x00000000000072d0 0x4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) - 0x00000000000072d0 __malloc_top_pad - .sbss 0x00000000000072d4 0x4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) - 0x00000000000072d4 errno - .sbss 0x00000000000072d8 0xc ../semafor_bsp/\libhal_bsp.a(alt_main.o) - 0x00000000000072d8 alt_argc - 0x00000000000072dc alt_argv - 0x00000000000072e0 alt_envp - .sbss 0x00000000000072e4 0x4 ../semafor_bsp/\libhal_bsp.a(alt_irq_vars.o) - 0x00000000000072e4 alt_irq_active - .sbss 0x00000000000072e8 0x8 ../semafor_bsp/\libhal_bsp.a(alt_tick.o) - 0x00000000000072e8 _alt_tick_rate - 0x00000000000072ec _alt_nticks - .sbss 0x00000000000072f0 0x4 ../semafor_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) - 0x00000000000072f0 alt_instruction_exception_handler + 0x00000000000072b4 0x4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + 0x00000000000072b4 __malloc_top_pad + .sbss 0x00000000000072b8 0x4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-int_errno.o) + 0x00000000000072b8 errno + .sbss 0x00000000000072bc 0xc ../semafor_bsp//libhal_bsp.a(alt_main.o) + 0x00000000000072bc alt_argc + 0x00000000000072c0 alt_argv + 0x00000000000072c4 alt_envp + .sbss 0x00000000000072c8 0x4 ../semafor_bsp//libhal_bsp.a(alt_irq_vars.o) + 0x00000000000072c8 alt_irq_active + .sbss 0x00000000000072cc 0x8 ../semafor_bsp//libhal_bsp.a(alt_tick.o) + 0x00000000000072cc _alt_tick_rate + 0x00000000000072d0 _alt_nticks + .sbss 0x00000000000072d4 0x4 ../semafor_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + 0x00000000000072d4 alt_instruction_exception_handler *(.sbss2 .sbss2.* .gnu.linkonce.sb2.*) *(.scommon) [!provide] PROVIDE (__sbss_end, ABSOLUTE (.)) [!provide] PROVIDE (___sbss_end, ABSOLUTE (.)) *(.dynbss) *(.bss .bss.* .gnu.linkonce.b.*) - .bss 0x00000000000072f4 0x0 ../semafor_bsp//obj/HAL/src/crt0.o - .bss 0x00000000000072f4 0x0 obj/default/sem.o - .bss 0x00000000000072f4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) - .bss 0x00000000000072f4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) - .bss 0x00000000000072f4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) - .bss 0x00000000000072f4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) - .bss 0x00000000000072f4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) - .bss 0x00000000000072f4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) - .bss 0x00000000000072f4 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + .bss 0x00000000000072d8 0x0 ../semafor_bsp//obj/HAL/src/crt0.o + .bss 0x00000000000072d8 0x0 obj/default/sem.o + .bss 0x00000000000072d8 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) + .bss 0x00000000000072d8 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-strlen.o) + .bss 0x00000000000072d8 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + .bss 0x00000000000072d8 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) + .bss 0x00000000000072d8 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fwalk.o) + .bss 0x00000000000072d8 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-impure.o) + .bss 0x00000000000072d8 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) .bss.__malloc_current_mallinfo - 0x00000000000072f4 0x28 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) - 0x00000000000072f4 __malloc_current_mallinfo - .bss 0x000000000000731c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) - .bss 0x000000000000731c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) - .bss 0x000000000000731c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) - .bss 0x000000000000731c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) - .bss 0x000000000000731c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) - .bss 0x000000000000731c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) - .bss 0x000000000000731c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) - .bss 0x000000000000731c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) - .bss 0x000000000000731c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) - .bss 0x000000000000731c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(closer.o) - .bss 0x000000000000731c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) - .bss 0x000000000000731c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) - .bss 0x000000000000731c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) - .bss 0x000000000000731c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) - .bss 0x000000000000731c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) - .bss 0x000000000000731c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) - .bss 0x000000000000731c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) - .bss 0x000000000000731c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) - .bss 0x000000000000731c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) - .bss 0x000000000000731c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-divmod.o) - .bss 0x000000000000731c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-mul.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(alt_close.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(alt_dcache_flush.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(alt_dev.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(alt_errno.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(alt_fstat.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(alt_isatty.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(alt_lseek.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(alt_main.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(alt_malloc_lock.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(alt_read.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(alt_release_fd.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(alt_sbrk.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(alt_write.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(alt_sys_init.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(alt_alarm_start.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(alt_do_ctors.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(alt_do_dtors.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(alt_iic.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(alt_iic_isr_register.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(alt_io_redirect.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(alt_irq_entry.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(alt_irq_handler.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(alt_irq_vars.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(alt_open.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(alt_tick.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(alt_exception_entry.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(alt_find_dev.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(alt_find_file.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(alt_get_fd.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) - .bss 0x000000000000731c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) - .bss 0x000000000000731c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) - .bss 0x000000000000731c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) - .bss 0x000000000000731c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) - .bss 0x000000000000731c 0x0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) - .bss 0x000000000000731c 0x0 ../semafor_bsp/\libhal_bsp.a(alt_exit.o) + 0x00000000000072d8 0x28 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + 0x00000000000072d8 __malloc_current_mallinfo + .bss 0x0000000000007300 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memchr.o) + .bss 0x0000000000007300 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcpy.o) + .bss 0x0000000000007300 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memmove.o) + .bss 0x0000000000007300 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memset.o) + .bss 0x0000000000007300 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-reallocr.o) + .bss 0x0000000000007300 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-sbrkr.o) + .bss 0x0000000000007300 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) + .bss 0x0000000000007300 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-writer.o) + .bss 0x0000000000007300 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-wsetup.o) + .bss 0x0000000000007300 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(closer.o) + .bss 0x0000000000007300 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fclose.o) + .bss 0x0000000000007300 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fflush.o) + .bss 0x0000000000007300 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-freer.o) + .bss 0x0000000000007300 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-int_errno.o) + .bss 0x0000000000007300 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-lseekr.o) + .bss 0x0000000000007300 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-makebuf.o) + .bss 0x0000000000007300 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-readr.o) + .bss 0x0000000000007300 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fstatr.o) + .bss 0x0000000000007300 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-isattyr.o) + .bss 0x0000000000007300 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-divmod.o) + .bss 0x0000000000007300 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-mul.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(alt_close.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(alt_dcache_flush.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(alt_dev.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(alt_errno.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(alt_fstat.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(alt_isatty.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(alt_lseek.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(alt_main.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(alt_malloc_lock.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(alt_read.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(alt_release_fd.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(alt_sbrk.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(alt_write.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(alt_sys_init.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(alt_alarm_start.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(alt_dev_llist_insert.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(alt_do_ctors.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(alt_do_dtors.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(alt_iic.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(alt_iic_isr_register.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(alt_io_redirect.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(alt_irq_entry.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(alt_irq_handler.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(alt_irq_vars.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(alt_open.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(alt_tick.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(alt_exception_entry.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(alt_find_dev.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(alt_find_file.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(alt_get_fd.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + .bss 0x0000000000007300 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-atexit.o) + .bss 0x0000000000007300 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-exit.o) + .bss 0x0000000000007300 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcmp.o) + .bss 0x0000000000007300 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__atexit.o) + .bss 0x0000000000007300 0x0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__call_atexit.o) + .bss 0x0000000000007300 0x0 ../semafor_bsp//libhal_bsp.a(alt_exit.o) *(COMMON) - COMMON 0x000000000000731c 0x100 ../semafor_bsp/\libhal_bsp.a(alt_irq_handler.o) - 0x000000000000731c alt_irq - 0x000000000000741c . = ALIGN (0x4) - 0x000000000000741c __bss_end = ABSOLUTE (.) + COMMON 0x0000000000007300 0x100 ../semafor_bsp//libhal_bsp.a(alt_irq_handler.o) + 0x0000000000007300 alt_irq + 0x0000000000007400 . = ALIGN (0x4) + 0x0000000000007400 __bss_end = ABSOLUTE (.) -.mem 0x000000000000741c 0x0 +.mem 0x0000000000007400 0x0 [!provide] PROVIDE (_alt_partition_mem_start, ABSOLUTE (.)) *(.mem .mem. mem.*) - 0x000000000000741c . = ALIGN (0x4) + 0x0000000000007400 . = ALIGN (0x4) [!provide] PROVIDE (_alt_partition_mem_end, ABSOLUTE (.)) - 0x000000000000741c _end = ABSOLUTE (.) - 0x000000000000741c end = ABSOLUTE (.) - 0x000000000000741c __alt_stack_base = ABSOLUTE (.) + 0x0000000000007400 _end = ABSOLUTE (.) + 0x0000000000007400 end = ABSOLUTE (.) + 0x0000000000007400 __alt_stack_base = ABSOLUTE (.) [!provide] PROVIDE (_alt_partition_mem_load_addr, LOADADDR (.mem)) .stab @@ -864,76 +864,76 @@ LOAD c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../li *(.comment) .comment 0x0000000000000000 0x23 obj/default/sem.o 0x24 (size before relaxing) - .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) - .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) - .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) - .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) - .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) - .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) - .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) - .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) - .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) - .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) - .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) - .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) - .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) - .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) - .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) - .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) - .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(closer.o) - .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) - .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) - .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) - .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) - .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) - .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) - .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) - .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) - .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) - .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-divmod.o) - .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-mul.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_close.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_dcache_flush.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_dev.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_errno.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_fstat.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_isatty.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_lseek.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_main.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_malloc_lock.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_read.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_release_fd.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_sbrk.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_write.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_sys_init.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_alarm_start.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_do_ctors.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_do_dtors.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_iic.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_iic_isr_register.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_io_redirect.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_irq_handler.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_irq_vars.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_open.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_tick.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_find_dev.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_find_file.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_get_fd.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) - .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) - .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) - .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) - .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) - .comment 0x0000000000000023 0x24 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) - .comment 0x0000000000000023 0x24 ../semafor_bsp/\libhal_bsp.a(alt_exit.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-strlen.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fwalk.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-impure.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memchr.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcpy.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memmove.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memset.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-reallocr.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-sbrkr.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-writer.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-wsetup.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(closer.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fclose.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fflush.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-freer.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-int_errno.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-lseekr.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-makebuf.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-readr.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fstatr.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-isattyr.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-divmod.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-mul.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_close.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_dcache_flush.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_dev.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_errno.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_fstat.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_isatty.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_lseek.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_main.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_malloc_lock.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_read.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_release_fd.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_sbrk.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_write.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_sys_init.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_alarm_start.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_dev_llist_insert.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_do_ctors.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_do_dtors.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_iic.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_iic_isr_register.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_io_redirect.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_irq_handler.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_irq_vars.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_open.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_tick.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_find_dev.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_find_file.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_get_fd.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-atexit.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-exit.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcmp.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__atexit.o) + .comment 0x0000000000000023 0x24 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__call_atexit.o) + .comment 0x0000000000000023 0x24 ../semafor_bsp//libhal_bsp.a(alt_exit.o) .debug *(.debug) @@ -954,632 +954,632 @@ LOAD c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../li .debug_aranges 0x0000000000000028 0x20 obj/default/sem.o .debug_aranges - 0x0000000000000048 0x28 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) + 0x0000000000000048 0x28 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) .debug_aranges - 0x0000000000000070 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) + 0x0000000000000070 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-strlen.o) .debug_aranges - 0x0000000000000090 0x68 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) + 0x0000000000000090 0x68 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) .debug_aranges - 0x00000000000000f8 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) + 0x00000000000000f8 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) .debug_aranges - 0x0000000000000118 0x28 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) + 0x0000000000000118 0x28 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fwalk.o) .debug_aranges - 0x0000000000000140 0x18 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) + 0x0000000000000140 0x18 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-impure.o) .debug_aranges - 0x0000000000000158 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) + 0x0000000000000158 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) .debug_aranges - 0x0000000000000178 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) + 0x0000000000000178 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memchr.o) .debug_aranges - 0x0000000000000198 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) + 0x0000000000000198 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcpy.o) .debug_aranges - 0x00000000000001b8 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) + 0x00000000000001b8 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memmove.o) .debug_aranges - 0x00000000000001d8 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) + 0x00000000000001d8 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memset.o) .debug_aranges - 0x00000000000001f8 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) + 0x00000000000001f8 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-reallocr.o) .debug_aranges - 0x0000000000000218 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) + 0x0000000000000218 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-sbrkr.o) .debug_aranges - 0x0000000000000238 0x40 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) + 0x0000000000000238 0x40 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) .debug_aranges - 0x0000000000000278 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) + 0x0000000000000278 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-writer.o) .debug_aranges - 0x0000000000000298 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) + 0x0000000000000298 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-wsetup.o) .debug_aranges - 0x00000000000002b8 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(closer.o) + 0x00000000000002b8 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(closer.o) .debug_aranges - 0x00000000000002d8 0x28 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) + 0x00000000000002d8 0x28 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fclose.o) .debug_aranges - 0x0000000000000300 0x30 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) + 0x0000000000000300 0x30 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fflush.o) .debug_aranges - 0x0000000000000330 0x28 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) + 0x0000000000000330 0x28 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-freer.o) .debug_aranges - 0x0000000000000358 0x18 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) + 0x0000000000000358 0x18 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-int_errno.o) .debug_aranges - 0x0000000000000370 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) + 0x0000000000000370 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-lseekr.o) .debug_aranges - 0x0000000000000390 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) + 0x0000000000000390 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-makebuf.o) .debug_aranges - 0x00000000000003b0 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) + 0x00000000000003b0 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-readr.o) .debug_aranges - 0x00000000000003d0 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) + 0x00000000000003d0 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fstatr.o) .debug_aranges - 0x00000000000003f0 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) + 0x00000000000003f0 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-isattyr.o) .debug_aranges - 0x0000000000000410 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-divmod.o) + 0x0000000000000410 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-divmod.o) .debug_aranges - 0x0000000000000430 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-mul.o) + 0x0000000000000430 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-mul.o) .debug_aranges - 0x0000000000000450 0x20 ../semafor_bsp/\libhal_bsp.a(alt_close.o) + 0x0000000000000450 0x20 ../semafor_bsp//libhal_bsp.a(alt_close.o) .debug_aranges - 0x0000000000000470 0x20 ../semafor_bsp/\libhal_bsp.a(alt_dcache_flush.o) + 0x0000000000000470 0x20 ../semafor_bsp//libhal_bsp.a(alt_dcache_flush.o) .debug_aranges - 0x0000000000000490 0x20 ../semafor_bsp/\libhal_bsp.a(alt_dev.o) + 0x0000000000000490 0x20 ../semafor_bsp//libhal_bsp.a(alt_dev.o) .debug_aranges - 0x00000000000004b0 0x18 ../semafor_bsp/\libhal_bsp.a(alt_errno.o) + 0x00000000000004b0 0x18 ../semafor_bsp//libhal_bsp.a(alt_errno.o) .debug_aranges - 0x00000000000004c8 0x20 ../semafor_bsp/\libhal_bsp.a(alt_fstat.o) + 0x00000000000004c8 0x20 ../semafor_bsp//libhal_bsp.a(alt_fstat.o) .debug_aranges - 0x00000000000004e8 0x20 ../semafor_bsp/\libhal_bsp.a(alt_isatty.o) + 0x00000000000004e8 0x20 ../semafor_bsp//libhal_bsp.a(alt_isatty.o) .debug_aranges - 0x0000000000000508 0x20 ../semafor_bsp/\libhal_bsp.a(alt_lseek.o) + 0x0000000000000508 0x20 ../semafor_bsp//libhal_bsp.a(alt_lseek.o) .debug_aranges - 0x0000000000000528 0x20 ../semafor_bsp/\libhal_bsp.a(alt_main.o) + 0x0000000000000528 0x20 ../semafor_bsp//libhal_bsp.a(alt_main.o) .debug_aranges - 0x0000000000000548 0x20 ../semafor_bsp/\libhal_bsp.a(alt_malloc_lock.o) + 0x0000000000000548 0x20 ../semafor_bsp//libhal_bsp.a(alt_malloc_lock.o) .debug_aranges - 0x0000000000000568 0x20 ../semafor_bsp/\libhal_bsp.a(alt_read.o) + 0x0000000000000568 0x20 ../semafor_bsp//libhal_bsp.a(alt_read.o) .debug_aranges - 0x0000000000000588 0x20 ../semafor_bsp/\libhal_bsp.a(alt_release_fd.o) + 0x0000000000000588 0x20 ../semafor_bsp//libhal_bsp.a(alt_release_fd.o) .debug_aranges - 0x00000000000005a8 0x20 ../semafor_bsp/\libhal_bsp.a(alt_sbrk.o) + 0x00000000000005a8 0x20 ../semafor_bsp//libhal_bsp.a(alt_sbrk.o) .debug_aranges - 0x00000000000005c8 0x20 ../semafor_bsp/\libhal_bsp.a(alt_write.o) + 0x00000000000005c8 0x20 ../semafor_bsp//libhal_bsp.a(alt_write.o) .debug_aranges - 0x00000000000005e8 0x20 ../semafor_bsp/\libhal_bsp.a(alt_sys_init.o) + 0x00000000000005e8 0x20 ../semafor_bsp//libhal_bsp.a(alt_sys_init.o) .debug_aranges - 0x0000000000000608 0x20 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + 0x0000000000000608 0x20 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) .debug_aranges - 0x0000000000000628 0x20 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) + 0x0000000000000628 0x20 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) .debug_aranges - 0x0000000000000648 0x20 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + 0x0000000000000648 0x20 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) .debug_aranges - 0x0000000000000668 0x20 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) + 0x0000000000000668 0x20 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) .debug_aranges - 0x0000000000000688 0x20 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) + 0x0000000000000688 0x20 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) .debug_aranges - 0x00000000000006a8 0x20 ../semafor_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) + 0x00000000000006a8 0x20 ../semafor_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) .debug_aranges - 0x00000000000006c8 0x20 ../semafor_bsp/\libhal_bsp.a(alt_alarm_start.o) + 0x00000000000006c8 0x20 ../semafor_bsp//libhal_bsp.a(alt_alarm_start.o) .debug_aranges - 0x00000000000006e8 0x20 ../semafor_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) + 0x00000000000006e8 0x20 ../semafor_bsp//libhal_bsp.a(alt_dev_llist_insert.o) .debug_aranges - 0x0000000000000708 0x20 ../semafor_bsp/\libhal_bsp.a(alt_do_ctors.o) + 0x0000000000000708 0x20 ../semafor_bsp//libhal_bsp.a(alt_do_ctors.o) .debug_aranges - 0x0000000000000728 0x20 ../semafor_bsp/\libhal_bsp.a(alt_do_dtors.o) + 0x0000000000000728 0x20 ../semafor_bsp//libhal_bsp.a(alt_do_dtors.o) .debug_aranges - 0x0000000000000748 0x20 ../semafor_bsp/\libhal_bsp.a(alt_iic.o) + 0x0000000000000748 0x20 ../semafor_bsp//libhal_bsp.a(alt_iic.o) .debug_aranges - 0x0000000000000768 0x20 ../semafor_bsp/\libhal_bsp.a(alt_iic_isr_register.o) + 0x0000000000000768 0x20 ../semafor_bsp//libhal_bsp.a(alt_iic_isr_register.o) .debug_aranges - 0x0000000000000788 0x20 ../semafor_bsp/\libhal_bsp.a(alt_io_redirect.o) + 0x0000000000000788 0x20 ../semafor_bsp//libhal_bsp.a(alt_io_redirect.o) .debug_aranges - 0x00000000000007a8 0x30 ../semafor_bsp/\libhal_bsp.a(alt_irq_entry.o) + 0x00000000000007a8 0x30 ../semafor_bsp//libhal_bsp.a(alt_irq_entry.o) .debug_aranges - 0x00000000000007d8 0x20 ../semafor_bsp/\libhal_bsp.a(alt_irq_handler.o) + 0x00000000000007d8 0x20 ../semafor_bsp//libhal_bsp.a(alt_irq_handler.o) .debug_aranges - 0x00000000000007f8 0x18 ../semafor_bsp/\libhal_bsp.a(alt_irq_vars.o) + 0x00000000000007f8 0x18 ../semafor_bsp//libhal_bsp.a(alt_irq_vars.o) .debug_aranges - 0x0000000000000810 0x20 ../semafor_bsp/\libhal_bsp.a(alt_open.o) + 0x0000000000000810 0x20 ../semafor_bsp//libhal_bsp.a(alt_open.o) .debug_aranges - 0x0000000000000830 0x20 ../semafor_bsp/\libhal_bsp.a(alt_tick.o) + 0x0000000000000830 0x20 ../semafor_bsp//libhal_bsp.a(alt_tick.o) .debug_aranges - 0x0000000000000850 0x20 ../semafor_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) + 0x0000000000000850 0x20 ../semafor_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) .debug_aranges - 0x0000000000000870 0x38 ../semafor_bsp/\libhal_bsp.a(alt_exception_entry.o) + 0x0000000000000870 0x38 ../semafor_bsp//libhal_bsp.a(alt_exception_entry.o) .debug_aranges - 0x00000000000008a8 0x20 ../semafor_bsp/\libhal_bsp.a(alt_find_dev.o) + 0x00000000000008a8 0x20 ../semafor_bsp//libhal_bsp.a(alt_find_dev.o) .debug_aranges - 0x00000000000008c8 0x20 ../semafor_bsp/\libhal_bsp.a(alt_find_file.o) + 0x00000000000008c8 0x20 ../semafor_bsp//libhal_bsp.a(alt_find_file.o) .debug_aranges - 0x00000000000008e8 0x20 ../semafor_bsp/\libhal_bsp.a(alt_get_fd.o) + 0x00000000000008e8 0x20 ../semafor_bsp//libhal_bsp.a(alt_get_fd.o) .debug_aranges - 0x0000000000000908 0x28 ../semafor_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) + 0x0000000000000908 0x28 ../semafor_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) .debug_aranges - 0x0000000000000930 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) + 0x0000000000000930 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-atexit.o) .debug_aranges - 0x0000000000000950 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) + 0x0000000000000950 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-exit.o) .debug_aranges - 0x0000000000000970 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) + 0x0000000000000970 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcmp.o) .debug_aranges - 0x0000000000000990 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) + 0x0000000000000990 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__atexit.o) .debug_aranges - 0x00000000000009b0 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + 0x00000000000009b0 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__call_atexit.o) .debug_aranges - 0x00000000000009d0 0x20 ../semafor_bsp/\libhal_bsp.a(alt_exit.o) + 0x00000000000009d0 0x20 ../semafor_bsp//libhal_bsp.a(alt_exit.o) .debug_pubnames *(.debug_pubnames) -.debug_info 0x0000000000000000 0x16367 +.debug_info 0x0000000000000000 0x1639b *(.debug_info .gnu.linkonce.wi.*) - .debug_info 0x0000000000000000 0x6b ../semafor_bsp//obj/HAL/src/crt0.o - .debug_info 0x000000000000006b 0x127 obj/default/sem.o - .debug_info 0x0000000000000192 0xa38 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) - .debug_info 0x0000000000000bca 0xe2 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) - .debug_info 0x0000000000000cac 0xd36 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) - .debug_info 0x00000000000019e2 0xbb8 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) - .debug_info 0x000000000000259a 0x9b0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) - .debug_info 0x0000000000002f4a 0x8a1 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) - .debug_info 0x00000000000037eb 0xd57 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) - .debug_info 0x0000000000004542 0x132 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) - .debug_info 0x0000000000004674 0x144 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) - .debug_info 0x00000000000047b8 0x13a c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) - .debug_info 0x00000000000048f2 0x121 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) - .debug_info 0x0000000000004a13 0xc6b c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) - .debug_info 0x000000000000567e 0x900 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) - .debug_info 0x0000000000005f7e 0xb32 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) - .debug_info 0x0000000000006ab0 0x928 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) - .debug_info 0x00000000000073d8 0x936 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) - .debug_info 0x0000000000007d0e 0x8df c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(closer.o) - .debug_info 0x00000000000085ed 0xa23 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) - .debug_info 0x0000000000009010 0xa93 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) - .debug_info 0x0000000000009aa3 0xbf7 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) - .debug_info 0x000000000000a69a 0x8a c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) - .debug_info 0x000000000000a724 0x90b c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) - .debug_info 0x000000000000b02f 0xad8 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) - .debug_info 0x000000000000bb07 0x921 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) - .debug_info 0x000000000000c428 0xa5d c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) - .debug_info 0x000000000000ce85 0x8df c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) - .debug_info 0x000000000000d764 0x2c6 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-divmod.o) - .debug_info 0x000000000000da2a 0xb4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-mul.o) - .debug_info 0x000000000000dade 0x321 ../semafor_bsp/\libhal_bsp.a(alt_close.o) - .debug_info 0x000000000000ddff 0xbc ../semafor_bsp/\libhal_bsp.a(alt_dcache_flush.o) - .debug_info 0x000000000000debb 0x49c ../semafor_bsp/\libhal_bsp.a(alt_dev.o) - .debug_info 0x000000000000e357 0xa6 ../semafor_bsp/\libhal_bsp.a(alt_errno.o) - .debug_info 0x000000000000e3fd 0x488 ../semafor_bsp/\libhal_bsp.a(alt_fstat.o) - .debug_info 0x000000000000e885 0x48b ../semafor_bsp/\libhal_bsp.a(alt_isatty.o) - .debug_info 0x000000000000ed10 0x352 ../semafor_bsp/\libhal_bsp.a(alt_lseek.o) - .debug_info 0x000000000000f062 0x131 ../semafor_bsp/\libhal_bsp.a(alt_main.o) - .debug_info 0x000000000000f193 0x8b6 ../semafor_bsp/\libhal_bsp.a(alt_malloc_lock.o) - .debug_info 0x000000000000fa49 0x4b0 ../semafor_bsp/\libhal_bsp.a(alt_read.o) - .debug_info 0x000000000000fef9 0x2b0 ../semafor_bsp/\libhal_bsp.a(alt_release_fd.o) - .debug_info 0x00000000000101a9 0x1ac ../semafor_bsp/\libhal_bsp.a(alt_sbrk.o) - .debug_info 0x0000000000010355 0x4b7 ../semafor_bsp/\libhal_bsp.a(alt_write.o) - .debug_info 0x000000000001080c 0x4d9 ../semafor_bsp/\libhal_bsp.a(alt_sys_init.o) - .debug_info 0x0000000000010ce5 0x52e ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) - .debug_info 0x0000000000011213 0x3a0 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) - .debug_info 0x00000000000115b3 0x280 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) - .debug_info 0x0000000000011833 0x345 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) - .debug_info 0x0000000000011b78 0x340 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) - .debug_info 0x0000000000011eb8 0x226 ../semafor_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) - .debug_info 0x00000000000120de 0x2cc ../semafor_bsp/\libhal_bsp.a(alt_alarm_start.o) - .debug_info 0x00000000000123aa 0x1a9 ../semafor_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) - .debug_info 0x0000000000012553 0x83 ../semafor_bsp/\libhal_bsp.a(alt_do_ctors.o) - .debug_info 0x00000000000125d6 0x83 ../semafor_bsp/\libhal_bsp.a(alt_do_dtors.o) - .debug_info 0x0000000000012659 0x350 ../semafor_bsp/\libhal_bsp.a(alt_iic.o) - .debug_info 0x00000000000129a9 0x1ef ../semafor_bsp/\libhal_bsp.a(alt_iic_isr_register.o) - .debug_info 0x0000000000012b98 0x4a5 ../semafor_bsp/\libhal_bsp.a(alt_io_redirect.o) - .debug_info 0x000000000001303d 0x74 ../semafor_bsp/\libhal_bsp.a(alt_irq_entry.o) - .debug_info 0x00000000000130b1 0x187 ../semafor_bsp/\libhal_bsp.a(alt_irq_handler.o) - .debug_info 0x0000000000013238 0x88 ../semafor_bsp/\libhal_bsp.a(alt_irq_vars.o) - .debug_info 0x00000000000132c0 0x3bb ../semafor_bsp/\libhal_bsp.a(alt_open.o) - .debug_info 0x000000000001367b 0x299 ../semafor_bsp/\libhal_bsp.a(alt_tick.o) - .debug_info 0x0000000000013914 0x92 ../semafor_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) - .debug_info 0x00000000000139a6 0x7a ../semafor_bsp/\libhal_bsp.a(alt_exception_entry.o) - .debug_info 0x0000000000013a20 0x2e9 ../semafor_bsp/\libhal_bsp.a(alt_find_dev.o) - .debug_info 0x0000000000013d09 0x2e6 ../semafor_bsp/\libhal_bsp.a(alt_find_file.o) - .debug_info 0x0000000000013fef 0x310 ../semafor_bsp/\libhal_bsp.a(alt_get_fd.o) - .debug_info 0x00000000000142ff 0x1e7 ../semafor_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) - .debug_info 0x00000000000144e6 0xfe c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) - .debug_info 0x00000000000145e4 0x8e4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) - .debug_info 0x0000000000014ec8 0x11a c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) - .debug_info 0x0000000000014fe2 0x934 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) - .debug_info 0x0000000000015916 0x965 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) - .debug_info 0x000000000001627b 0xec ../semafor_bsp/\libhal_bsp.a(alt_exit.o) + .debug_info 0x0000000000000000 0x7a ../semafor_bsp//obj/HAL/src/crt0.o + .debug_info 0x000000000000007a 0x12e obj/default/sem.o + .debug_info 0x00000000000001a8 0xa38 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) + .debug_info 0x0000000000000be0 0xe2 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-strlen.o) + .debug_info 0x0000000000000cc2 0xd36 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + .debug_info 0x00000000000019f8 0xbb8 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) + .debug_info 0x00000000000025b0 0x9b0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fwalk.o) + .debug_info 0x0000000000002f60 0x8a1 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-impure.o) + .debug_info 0x0000000000003801 0xd57 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + .debug_info 0x0000000000004558 0x132 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memchr.o) + .debug_info 0x000000000000468a 0x144 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcpy.o) + .debug_info 0x00000000000047ce 0x13a /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memmove.o) + .debug_info 0x0000000000004908 0x121 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memset.o) + .debug_info 0x0000000000004a29 0xc6b /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-reallocr.o) + .debug_info 0x0000000000005694 0x900 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-sbrkr.o) + .debug_info 0x0000000000005f94 0xb32 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) + .debug_info 0x0000000000006ac6 0x928 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-writer.o) + .debug_info 0x00000000000073ee 0x936 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-wsetup.o) + .debug_info 0x0000000000007d24 0x8df /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(closer.o) + .debug_info 0x0000000000008603 0xa23 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fclose.o) + .debug_info 0x0000000000009026 0xa93 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fflush.o) + .debug_info 0x0000000000009ab9 0xbf7 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-freer.o) + .debug_info 0x000000000000a6b0 0x8a /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-int_errno.o) + .debug_info 0x000000000000a73a 0x90b /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-lseekr.o) + .debug_info 0x000000000000b045 0xad8 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-makebuf.o) + .debug_info 0x000000000000bb1d 0x921 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-readr.o) + .debug_info 0x000000000000c43e 0xa5d /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fstatr.o) + .debug_info 0x000000000000ce9b 0x8df /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-isattyr.o) + .debug_info 0x000000000000d77a 0x2c6 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-divmod.o) + .debug_info 0x000000000000da40 0xb4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-mul.o) + .debug_info 0x000000000000daf4 0x321 ../semafor_bsp//libhal_bsp.a(alt_close.o) + .debug_info 0x000000000000de15 0xbc ../semafor_bsp//libhal_bsp.a(alt_dcache_flush.o) + .debug_info 0x000000000000ded1 0x49c ../semafor_bsp//libhal_bsp.a(alt_dev.o) + .debug_info 0x000000000000e36d 0xa6 ../semafor_bsp//libhal_bsp.a(alt_errno.o) + .debug_info 0x000000000000e413 0x488 ../semafor_bsp//libhal_bsp.a(alt_fstat.o) + .debug_info 0x000000000000e89b 0x48b ../semafor_bsp//libhal_bsp.a(alt_isatty.o) + .debug_info 0x000000000000ed26 0x352 ../semafor_bsp//libhal_bsp.a(alt_lseek.o) + .debug_info 0x000000000000f078 0x131 ../semafor_bsp//libhal_bsp.a(alt_main.o) + .debug_info 0x000000000000f1a9 0x8b6 ../semafor_bsp//libhal_bsp.a(alt_malloc_lock.o) + .debug_info 0x000000000000fa5f 0x4b0 ../semafor_bsp//libhal_bsp.a(alt_read.o) + .debug_info 0x000000000000ff0f 0x2b0 ../semafor_bsp//libhal_bsp.a(alt_release_fd.o) + .debug_info 0x00000000000101bf 0x1ac ../semafor_bsp//libhal_bsp.a(alt_sbrk.o) + .debug_info 0x000000000001036b 0x4b7 ../semafor_bsp//libhal_bsp.a(alt_write.o) + .debug_info 0x0000000000010822 0x4d9 ../semafor_bsp//libhal_bsp.a(alt_sys_init.o) + .debug_info 0x0000000000010cfb 0x52e ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .debug_info 0x0000000000011229 0x3a0 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_info 0x00000000000115c9 0x280 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .debug_info 0x0000000000011849 0x345 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_info 0x0000000000011b8e 0x340 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .debug_info 0x0000000000011ece 0x226 ../semafor_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) + .debug_info 0x00000000000120f4 0x2cc ../semafor_bsp//libhal_bsp.a(alt_alarm_start.o) + .debug_info 0x00000000000123c0 0x1a9 ../semafor_bsp//libhal_bsp.a(alt_dev_llist_insert.o) + .debug_info 0x0000000000012569 0x83 ../semafor_bsp//libhal_bsp.a(alt_do_ctors.o) + .debug_info 0x00000000000125ec 0x83 ../semafor_bsp//libhal_bsp.a(alt_do_dtors.o) + .debug_info 0x000000000001266f 0x350 ../semafor_bsp//libhal_bsp.a(alt_iic.o) + .debug_info 0x00000000000129bf 0x1ef ../semafor_bsp//libhal_bsp.a(alt_iic_isr_register.o) + .debug_info 0x0000000000012bae 0x4a5 ../semafor_bsp//libhal_bsp.a(alt_io_redirect.o) + .debug_info 0x0000000000013053 0x83 ../semafor_bsp//libhal_bsp.a(alt_irq_entry.o) + .debug_info 0x00000000000130d6 0x187 ../semafor_bsp//libhal_bsp.a(alt_irq_handler.o) + .debug_info 0x000000000001325d 0x88 ../semafor_bsp//libhal_bsp.a(alt_irq_vars.o) + .debug_info 0x00000000000132e5 0x3bb ../semafor_bsp//libhal_bsp.a(alt_open.o) + .debug_info 0x00000000000136a0 0x299 ../semafor_bsp//libhal_bsp.a(alt_tick.o) + .debug_info 0x0000000000013939 0x92 ../semafor_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) + .debug_info 0x00000000000139cb 0x89 ../semafor_bsp//libhal_bsp.a(alt_exception_entry.o) + .debug_info 0x0000000000013a54 0x2e9 ../semafor_bsp//libhal_bsp.a(alt_find_dev.o) + .debug_info 0x0000000000013d3d 0x2e6 ../semafor_bsp//libhal_bsp.a(alt_find_file.o) + .debug_info 0x0000000000014023 0x310 ../semafor_bsp//libhal_bsp.a(alt_get_fd.o) + .debug_info 0x0000000000014333 0x1e7 ../semafor_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + .debug_info 0x000000000001451a 0xfe /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-atexit.o) + .debug_info 0x0000000000014618 0x8e4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-exit.o) + .debug_info 0x0000000000014efc 0x11a /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcmp.o) + .debug_info 0x0000000000015016 0x934 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__atexit.o) + .debug_info 0x000000000001594a 0x965 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__call_atexit.o) + .debug_info 0x00000000000162af 0xec ../semafor_bsp//libhal_bsp.a(alt_exit.o) -.debug_abbrev 0x0000000000000000 0x5fe5 +.debug_abbrev 0x0000000000000000 0x5ffb *(.debug_abbrev) .debug_abbrev 0x0000000000000000 0x12 ../semafor_bsp//obj/HAL/src/crt0.o - .debug_abbrev 0x0000000000000012 0xb0 obj/default/sem.o - .debug_abbrev 0x00000000000000c2 0x249 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) - .debug_abbrev 0x000000000000030b 0x90 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) - .debug_abbrev 0x000000000000039b 0x3ad c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) - .debug_abbrev 0x0000000000000748 0x228 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) - .debug_abbrev 0x0000000000000970 0x1d1 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) - .debug_abbrev 0x0000000000000b41 0x18f c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) - .debug_abbrev 0x0000000000000cd0 0x29d c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) - .debug_abbrev 0x0000000000000f6d 0xab c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) - .debug_abbrev 0x0000000000001018 0xa3 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) - .debug_abbrev 0x00000000000010bb 0x9c c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) - .debug_abbrev 0x0000000000001157 0xae c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) - .debug_abbrev 0x0000000000001205 0x231 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) - .debug_abbrev 0x0000000000001436 0x20a c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) - .debug_abbrev 0x0000000000001640 0x239 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) - .debug_abbrev 0x0000000000001879 0x1eb c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) - .debug_abbrev 0x0000000000001a64 0x218 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) - .debug_abbrev 0x0000000000001c7c 0x1e6 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(closer.o) - .debug_abbrev 0x0000000000001e62 0x2a2 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) - .debug_abbrev 0x0000000000002104 0x2a0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) - .debug_abbrev 0x00000000000023a4 0x264 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) - .debug_abbrev 0x0000000000002608 0x37 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) - .debug_abbrev 0x000000000000263f 0x1f5 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) - .debug_abbrev 0x0000000000002834 0x20f c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) - .debug_abbrev 0x0000000000002a43 0x1e6 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) - .debug_abbrev 0x0000000000002c29 0x1f5 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) - .debug_abbrev 0x0000000000002e1e 0x1e6 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) - .debug_abbrev 0x0000000000003004 0x10e c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-divmod.o) - .debug_abbrev 0x0000000000003112 0x6f c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-mul.o) - .debug_abbrev 0x0000000000003181 0x11f ../semafor_bsp/\libhal_bsp.a(alt_close.o) - .debug_abbrev 0x00000000000032a0 0x74 ../semafor_bsp/\libhal_bsp.a(alt_dcache_flush.o) - .debug_abbrev 0x0000000000003314 0xd9 ../semafor_bsp/\libhal_bsp.a(alt_dev.o) - .debug_abbrev 0x00000000000033ed 0x5a ../semafor_bsp/\libhal_bsp.a(alt_errno.o) - .debug_abbrev 0x0000000000003447 0x11f ../semafor_bsp/\libhal_bsp.a(alt_fstat.o) - .debug_abbrev 0x0000000000003566 0x11f ../semafor_bsp/\libhal_bsp.a(alt_isatty.o) - .debug_abbrev 0x0000000000003685 0x11f ../semafor_bsp/\libhal_bsp.a(alt_lseek.o) - .debug_abbrev 0x00000000000037a4 0xba ../semafor_bsp/\libhal_bsp.a(alt_main.o) - .debug_abbrev 0x000000000000385e 0x1b4 ../semafor_bsp/\libhal_bsp.a(alt_malloc_lock.o) - .debug_abbrev 0x0000000000003a12 0x12e ../semafor_bsp/\libhal_bsp.a(alt_read.o) - .debug_abbrev 0x0000000000003b40 0xde ../semafor_bsp/\libhal_bsp.a(alt_release_fd.o) - .debug_abbrev 0x0000000000003c1e 0x112 ../semafor_bsp/\libhal_bsp.a(alt_sbrk.o) - .debug_abbrev 0x0000000000003d30 0x133 ../semafor_bsp/\libhal_bsp.a(alt_write.o) - .debug_abbrev 0x0000000000003e63 0x187 ../semafor_bsp/\libhal_bsp.a(alt_sys_init.o) - .debug_abbrev 0x0000000000003fea 0x127 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) - .debug_abbrev 0x0000000000004111 0x19e ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) - .debug_abbrev 0x00000000000042af 0x111 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) - .debug_abbrev 0x00000000000043c0 0x1aa ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) - .debug_abbrev 0x000000000000456a 0x1a8 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) - .debug_abbrev 0x0000000000004712 0x155 ../semafor_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) - .debug_abbrev 0x0000000000004867 0x162 ../semafor_bsp/\libhal_bsp.a(alt_alarm_start.o) - .debug_abbrev 0x00000000000049c9 0x114 ../semafor_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) - .debug_abbrev 0x0000000000004add 0x79 ../semafor_bsp/\libhal_bsp.a(alt_do_ctors.o) - .debug_abbrev 0x0000000000004b56 0x79 ../semafor_bsp/\libhal_bsp.a(alt_do_dtors.o) - .debug_abbrev 0x0000000000004bcf 0x163 ../semafor_bsp/\libhal_bsp.a(alt_iic.o) - .debug_abbrev 0x0000000000004d32 0x169 ../semafor_bsp/\libhal_bsp.a(alt_iic_isr_register.o) - .debug_abbrev 0x0000000000004e9b 0x114 ../semafor_bsp/\libhal_bsp.a(alt_io_redirect.o) - .debug_abbrev 0x0000000000004faf 0x12 ../semafor_bsp/\libhal_bsp.a(alt_irq_entry.o) - .debug_abbrev 0x0000000000004fc1 0x122 ../semafor_bsp/\libhal_bsp.a(alt_irq_handler.o) - .debug_abbrev 0x00000000000050e3 0x40 ../semafor_bsp/\libhal_bsp.a(alt_irq_vars.o) - .debug_abbrev 0x0000000000005123 0x148 ../semafor_bsp/\libhal_bsp.a(alt_open.o) - .debug_abbrev 0x000000000000526b 0x15a ../semafor_bsp/\libhal_bsp.a(alt_tick.o) - .debug_abbrev 0x00000000000053c5 0x42 ../semafor_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) - .debug_abbrev 0x0000000000005407 0x12 ../semafor_bsp/\libhal_bsp.a(alt_exception_entry.o) - .debug_abbrev 0x0000000000005419 0xf0 ../semafor_bsp/\libhal_bsp.a(alt_find_dev.o) - .debug_abbrev 0x0000000000005509 0xf0 ../semafor_bsp/\libhal_bsp.a(alt_find_file.o) - .debug_abbrev 0x00000000000055f9 0x10d ../semafor_bsp/\libhal_bsp.a(alt_get_fd.o) - .debug_abbrev 0x0000000000005706 0xdc ../semafor_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) - .debug_abbrev 0x00000000000057e2 0xaa c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) - .debug_abbrev 0x000000000000588c 0x1e5 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) - .debug_abbrev 0x0000000000005a71 0x7f c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) - .debug_abbrev 0x0000000000005af0 0x21e c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) - .debug_abbrev 0x0000000000005d0e 0x22e c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) - .debug_abbrev 0x0000000000005f3c 0xa9 ../semafor_bsp/\libhal_bsp.a(alt_exit.o) + .debug_abbrev 0x0000000000000012 0xc6 obj/default/sem.o + .debug_abbrev 0x00000000000000d8 0x249 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) + .debug_abbrev 0x0000000000000321 0x90 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-strlen.o) + .debug_abbrev 0x00000000000003b1 0x3ad /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + .debug_abbrev 0x000000000000075e 0x228 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) + .debug_abbrev 0x0000000000000986 0x1d1 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fwalk.o) + .debug_abbrev 0x0000000000000b57 0x18f /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-impure.o) + .debug_abbrev 0x0000000000000ce6 0x29d /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + .debug_abbrev 0x0000000000000f83 0xab /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memchr.o) + .debug_abbrev 0x000000000000102e 0xa3 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcpy.o) + .debug_abbrev 0x00000000000010d1 0x9c /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memmove.o) + .debug_abbrev 0x000000000000116d 0xae /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memset.o) + .debug_abbrev 0x000000000000121b 0x231 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-reallocr.o) + .debug_abbrev 0x000000000000144c 0x20a /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-sbrkr.o) + .debug_abbrev 0x0000000000001656 0x239 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) + .debug_abbrev 0x000000000000188f 0x1eb /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-writer.o) + .debug_abbrev 0x0000000000001a7a 0x218 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-wsetup.o) + .debug_abbrev 0x0000000000001c92 0x1e6 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(closer.o) + .debug_abbrev 0x0000000000001e78 0x2a2 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fclose.o) + .debug_abbrev 0x000000000000211a 0x2a0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fflush.o) + .debug_abbrev 0x00000000000023ba 0x264 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-freer.o) + .debug_abbrev 0x000000000000261e 0x37 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-int_errno.o) + .debug_abbrev 0x0000000000002655 0x1f5 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-lseekr.o) + .debug_abbrev 0x000000000000284a 0x20f /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-makebuf.o) + .debug_abbrev 0x0000000000002a59 0x1e6 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-readr.o) + .debug_abbrev 0x0000000000002c3f 0x1f5 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fstatr.o) + .debug_abbrev 0x0000000000002e34 0x1e6 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-isattyr.o) + .debug_abbrev 0x000000000000301a 0x10e /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-divmod.o) + .debug_abbrev 0x0000000000003128 0x6f /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-mul.o) + .debug_abbrev 0x0000000000003197 0x11f ../semafor_bsp//libhal_bsp.a(alt_close.o) + .debug_abbrev 0x00000000000032b6 0x74 ../semafor_bsp//libhal_bsp.a(alt_dcache_flush.o) + .debug_abbrev 0x000000000000332a 0xd9 ../semafor_bsp//libhal_bsp.a(alt_dev.o) + .debug_abbrev 0x0000000000003403 0x5a ../semafor_bsp//libhal_bsp.a(alt_errno.o) + .debug_abbrev 0x000000000000345d 0x11f ../semafor_bsp//libhal_bsp.a(alt_fstat.o) + .debug_abbrev 0x000000000000357c 0x11f ../semafor_bsp//libhal_bsp.a(alt_isatty.o) + .debug_abbrev 0x000000000000369b 0x11f ../semafor_bsp//libhal_bsp.a(alt_lseek.o) + .debug_abbrev 0x00000000000037ba 0xba ../semafor_bsp//libhal_bsp.a(alt_main.o) + .debug_abbrev 0x0000000000003874 0x1b4 ../semafor_bsp//libhal_bsp.a(alt_malloc_lock.o) + .debug_abbrev 0x0000000000003a28 0x12e ../semafor_bsp//libhal_bsp.a(alt_read.o) + .debug_abbrev 0x0000000000003b56 0xde ../semafor_bsp//libhal_bsp.a(alt_release_fd.o) + .debug_abbrev 0x0000000000003c34 0x112 ../semafor_bsp//libhal_bsp.a(alt_sbrk.o) + .debug_abbrev 0x0000000000003d46 0x133 ../semafor_bsp//libhal_bsp.a(alt_write.o) + .debug_abbrev 0x0000000000003e79 0x187 ../semafor_bsp//libhal_bsp.a(alt_sys_init.o) + .debug_abbrev 0x0000000000004000 0x127 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .debug_abbrev 0x0000000000004127 0x19e ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_abbrev 0x00000000000042c5 0x111 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .debug_abbrev 0x00000000000043d6 0x1aa ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_abbrev 0x0000000000004580 0x1a8 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .debug_abbrev 0x0000000000004728 0x155 ../semafor_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) + .debug_abbrev 0x000000000000487d 0x162 ../semafor_bsp//libhal_bsp.a(alt_alarm_start.o) + .debug_abbrev 0x00000000000049df 0x114 ../semafor_bsp//libhal_bsp.a(alt_dev_llist_insert.o) + .debug_abbrev 0x0000000000004af3 0x79 ../semafor_bsp//libhal_bsp.a(alt_do_ctors.o) + .debug_abbrev 0x0000000000004b6c 0x79 ../semafor_bsp//libhal_bsp.a(alt_do_dtors.o) + .debug_abbrev 0x0000000000004be5 0x163 ../semafor_bsp//libhal_bsp.a(alt_iic.o) + .debug_abbrev 0x0000000000004d48 0x169 ../semafor_bsp//libhal_bsp.a(alt_iic_isr_register.o) + .debug_abbrev 0x0000000000004eb1 0x114 ../semafor_bsp//libhal_bsp.a(alt_io_redirect.o) + .debug_abbrev 0x0000000000004fc5 0x12 ../semafor_bsp//libhal_bsp.a(alt_irq_entry.o) + .debug_abbrev 0x0000000000004fd7 0x122 ../semafor_bsp//libhal_bsp.a(alt_irq_handler.o) + .debug_abbrev 0x00000000000050f9 0x40 ../semafor_bsp//libhal_bsp.a(alt_irq_vars.o) + .debug_abbrev 0x0000000000005139 0x148 ../semafor_bsp//libhal_bsp.a(alt_open.o) + .debug_abbrev 0x0000000000005281 0x15a ../semafor_bsp//libhal_bsp.a(alt_tick.o) + .debug_abbrev 0x00000000000053db 0x42 ../semafor_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) + .debug_abbrev 0x000000000000541d 0x12 ../semafor_bsp//libhal_bsp.a(alt_exception_entry.o) + .debug_abbrev 0x000000000000542f 0xf0 ../semafor_bsp//libhal_bsp.a(alt_find_dev.o) + .debug_abbrev 0x000000000000551f 0xf0 ../semafor_bsp//libhal_bsp.a(alt_find_file.o) + .debug_abbrev 0x000000000000560f 0x10d ../semafor_bsp//libhal_bsp.a(alt_get_fd.o) + .debug_abbrev 0x000000000000571c 0xdc ../semafor_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + .debug_abbrev 0x00000000000057f8 0xaa /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-atexit.o) + .debug_abbrev 0x00000000000058a2 0x1e5 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-exit.o) + .debug_abbrev 0x0000000000005a87 0x7f /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcmp.o) + .debug_abbrev 0x0000000000005b06 0x21e /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__atexit.o) + .debug_abbrev 0x0000000000005d24 0x22e /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__call_atexit.o) + .debug_abbrev 0x0000000000005f52 0xa9 ../semafor_bsp//libhal_bsp.a(alt_exit.o) -.debug_line 0x0000000000000000 0x6941 +.debug_line 0x0000000000000000 0x6a68 *(.debug_line) .debug_line 0x0000000000000000 0x59 ../semafor_bsp//obj/HAL/src/crt0.o - .debug_line 0x0000000000000059 0x96 obj/default/sem.o - .debug_line 0x00000000000000ef 0x248 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) - .debug_line 0x0000000000000337 0x16f c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) - .debug_line 0x00000000000004a6 0x375 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) - .debug_line 0x000000000000081b 0x346 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) - .debug_line 0x0000000000000b61 0x23a c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) - .debug_line 0x0000000000000d9b 0x160 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) - .debug_line 0x0000000000000efb 0x3fc c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) - .debug_line 0x00000000000012f7 0x18d c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) - .debug_line 0x0000000000001484 0x18c c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) - .debug_line 0x0000000000001610 0x18d c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) - .debug_line 0x000000000000179d 0x18f c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) - .debug_line 0x000000000000192c 0x2f5 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) - .debug_line 0x0000000000001c21 0x1e9 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) - .debug_line 0x0000000000001e0a 0x26a c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) - .debug_line 0x0000000000002074 0x1f6 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) - .debug_line 0x000000000000226a 0x266 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) - .debug_line 0x00000000000024d0 0x1f6 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(closer.o) - .debug_line 0x00000000000026c6 0x239 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) - .debug_line 0x00000000000028ff 0x2ea c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) - .debug_line 0x0000000000002be9 0x2f7 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) - .debug_line 0x0000000000002ee0 0x5f c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) - .debug_line 0x0000000000002f3f 0x1f6 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) - .debug_line 0x0000000000003135 0x274 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) - .debug_line 0x00000000000033a9 0x1f5 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) - .debug_line 0x000000000000359e 0x1ff c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) - .debug_line 0x000000000000379d 0x1f7 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) - .debug_line 0x0000000000003994 0x105 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-divmod.o) - .debug_line 0x0000000000003a99 0x80 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-mul.o) - .debug_line 0x0000000000003b19 0x16e ../semafor_bsp/\libhal_bsp.a(alt_close.o) - .debug_line 0x0000000000003c87 0x67 ../semafor_bsp/\libhal_bsp.a(alt_dcache_flush.o) - .debug_line 0x0000000000003cee 0x101 ../semafor_bsp/\libhal_bsp.a(alt_dev.o) - .debug_line 0x0000000000003def 0x51 ../semafor_bsp/\libhal_bsp.a(alt_errno.o) - .debug_line 0x0000000000003e40 0x167 ../semafor_bsp/\libhal_bsp.a(alt_fstat.o) - .debug_line 0x0000000000003fa7 0x16b ../semafor_bsp/\libhal_bsp.a(alt_isatty.o) - .debug_line 0x0000000000004112 0x16c ../semafor_bsp/\libhal_bsp.a(alt_lseek.o) - .debug_line 0x000000000000427e 0xa4 ../semafor_bsp/\libhal_bsp.a(alt_main.o) - .debug_line 0x0000000000004322 0x134 ../semafor_bsp/\libhal_bsp.a(alt_malloc_lock.o) - .debug_line 0x0000000000004456 0x1ee ../semafor_bsp/\libhal_bsp.a(alt_read.o) - .debug_line 0x0000000000004644 0xb0 ../semafor_bsp/\libhal_bsp.a(alt_release_fd.o) - .debug_line 0x00000000000046f4 0xfc ../semafor_bsp/\libhal_bsp.a(alt_sbrk.o) - .debug_line 0x00000000000047f0 0x1ed ../semafor_bsp/\libhal_bsp.a(alt_write.o) - .debug_line 0x00000000000049dd 0x151 ../semafor_bsp/\libhal_bsp.a(alt_sys_init.o) - .debug_line 0x0000000000004b2e 0x12f ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) - .debug_line 0x0000000000004c5d 0x18b ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) - .debug_line 0x0000000000004de8 0x131 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) - .debug_line 0x0000000000004f19 0x171 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) - .debug_line 0x000000000000508a 0x170 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) - .debug_line 0x00000000000051fa 0xfd ../semafor_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) - .debug_line 0x00000000000052f7 0xf9 ../semafor_bsp/\libhal_bsp.a(alt_alarm_start.o) - .debug_line 0x00000000000053f0 0xcf ../semafor_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) - .debug_line 0x00000000000054bf 0x59 ../semafor_bsp/\libhal_bsp.a(alt_do_ctors.o) - .debug_line 0x0000000000005518 0x59 ../semafor_bsp/\libhal_bsp.a(alt_do_dtors.o) - .debug_line 0x0000000000005571 0xeb ../semafor_bsp/\libhal_bsp.a(alt_iic.o) - .debug_line 0x000000000000565c 0xdb ../semafor_bsp/\libhal_bsp.a(alt_iic_isr_register.o) - .debug_line 0x0000000000005737 0x13e ../semafor_bsp/\libhal_bsp.a(alt_io_redirect.o) - .debug_line 0x0000000000005875 0x6b ../semafor_bsp/\libhal_bsp.a(alt_irq_entry.o) - .debug_line 0x00000000000058e0 0xaa ../semafor_bsp/\libhal_bsp.a(alt_irq_handler.o) - .debug_line 0x000000000000598a 0x50 ../semafor_bsp/\libhal_bsp.a(alt_irq_vars.o) - .debug_line 0x00000000000059da 0x12b ../semafor_bsp/\libhal_bsp.a(alt_open.o) - .debug_line 0x0000000000005b05 0xff ../semafor_bsp/\libhal_bsp.a(alt_tick.o) - .debug_line 0x0000000000005c04 0x51 ../semafor_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) - .debug_line 0x0000000000005c55 0xb3 ../semafor_bsp/\libhal_bsp.a(alt_exception_entry.o) - .debug_line 0x0000000000005d08 0xb5 ../semafor_bsp/\libhal_bsp.a(alt_find_dev.o) - .debug_line 0x0000000000005dbd 0xd1 ../semafor_bsp/\libhal_bsp.a(alt_find_file.o) - .debug_line 0x0000000000005e8e 0xd7 ../semafor_bsp/\libhal_bsp.a(alt_get_fd.o) - .debug_line 0x0000000000005f65 0xb9 ../semafor_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) - .debug_line 0x000000000000601e 0xe7 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) - .debug_line 0x0000000000006105 0x1fc c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) - .debug_line 0x0000000000006301 0x176 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) - .debug_line 0x0000000000006477 0x21f c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) - .debug_line 0x0000000000006696 0x23a c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) - .debug_line 0x00000000000068d0 0x71 ../semafor_bsp/\libhal_bsp.a(alt_exit.o) + .debug_line 0x0000000000000059 0x92 obj/default/sem.o + .debug_line 0x00000000000000eb 0x248 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) + .debug_line 0x0000000000000333 0x16f /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-strlen.o) + .debug_line 0x00000000000004a2 0x375 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + .debug_line 0x0000000000000817 0x346 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) + .debug_line 0x0000000000000b5d 0x23a /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fwalk.o) + .debug_line 0x0000000000000d97 0x160 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-impure.o) + .debug_line 0x0000000000000ef7 0x3fc /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + .debug_line 0x00000000000012f3 0x18d /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memchr.o) + .debug_line 0x0000000000001480 0x18c /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcpy.o) + .debug_line 0x000000000000160c 0x18d /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memmove.o) + .debug_line 0x0000000000001799 0x18f /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memset.o) + .debug_line 0x0000000000001928 0x2f5 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-reallocr.o) + .debug_line 0x0000000000001c1d 0x1e9 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-sbrkr.o) + .debug_line 0x0000000000001e06 0x26a /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) + .debug_line 0x0000000000002070 0x1f6 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-writer.o) + .debug_line 0x0000000000002266 0x266 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-wsetup.o) + .debug_line 0x00000000000024cc 0x1f6 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(closer.o) + .debug_line 0x00000000000026c2 0x239 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fclose.o) + .debug_line 0x00000000000028fb 0x2ea /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fflush.o) + .debug_line 0x0000000000002be5 0x2f7 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-freer.o) + .debug_line 0x0000000000002edc 0x5f /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-int_errno.o) + .debug_line 0x0000000000002f3b 0x1f6 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-lseekr.o) + .debug_line 0x0000000000003131 0x274 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-makebuf.o) + .debug_line 0x00000000000033a5 0x1f5 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-readr.o) + .debug_line 0x000000000000359a 0x1ff /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fstatr.o) + .debug_line 0x0000000000003799 0x1f7 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-isattyr.o) + .debug_line 0x0000000000003990 0x105 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-divmod.o) + .debug_line 0x0000000000003a95 0x80 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-mul.o) + .debug_line 0x0000000000003b15 0x185 ../semafor_bsp//libhal_bsp.a(alt_close.o) + .debug_line 0x0000000000003c9a 0x67 ../semafor_bsp//libhal_bsp.a(alt_dcache_flush.o) + .debug_line 0x0000000000003d01 0x118 ../semafor_bsp//libhal_bsp.a(alt_dev.o) + .debug_line 0x0000000000003e19 0x51 ../semafor_bsp//libhal_bsp.a(alt_errno.o) + .debug_line 0x0000000000003e6a 0x17e ../semafor_bsp//libhal_bsp.a(alt_fstat.o) + .debug_line 0x0000000000003fe8 0x182 ../semafor_bsp//libhal_bsp.a(alt_isatty.o) + .debug_line 0x000000000000416a 0x183 ../semafor_bsp//libhal_bsp.a(alt_lseek.o) + .debug_line 0x00000000000042ed 0xa4 ../semafor_bsp//libhal_bsp.a(alt_main.o) + .debug_line 0x0000000000004391 0x162 ../semafor_bsp//libhal_bsp.a(alt_malloc_lock.o) + .debug_line 0x00000000000044f3 0x21c ../semafor_bsp//libhal_bsp.a(alt_read.o) + .debug_line 0x000000000000470f 0xb0 ../semafor_bsp//libhal_bsp.a(alt_release_fd.o) + .debug_line 0x00000000000047bf 0x113 ../semafor_bsp//libhal_bsp.a(alt_sbrk.o) + .debug_line 0x00000000000048d2 0x21b ../semafor_bsp//libhal_bsp.a(alt_write.o) + .debug_line 0x0000000000004aed 0x151 ../semafor_bsp//libhal_bsp.a(alt_sys_init.o) + .debug_line 0x0000000000004c3e 0x12f ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .debug_line 0x0000000000004d6d 0x18b ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_line 0x0000000000004ef8 0x131 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .debug_line 0x0000000000005029 0x171 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_line 0x000000000000519a 0x170 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .debug_line 0x000000000000530a 0xfd ../semafor_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) + .debug_line 0x0000000000005407 0xf9 ../semafor_bsp//libhal_bsp.a(alt_alarm_start.o) + .debug_line 0x0000000000005500 0xcf ../semafor_bsp//libhal_bsp.a(alt_dev_llist_insert.o) + .debug_line 0x00000000000055cf 0x59 ../semafor_bsp//libhal_bsp.a(alt_do_ctors.o) + .debug_line 0x0000000000005628 0x59 ../semafor_bsp//libhal_bsp.a(alt_do_dtors.o) + .debug_line 0x0000000000005681 0xeb ../semafor_bsp//libhal_bsp.a(alt_iic.o) + .debug_line 0x000000000000576c 0xdb ../semafor_bsp//libhal_bsp.a(alt_iic_isr_register.o) + .debug_line 0x0000000000005847 0x155 ../semafor_bsp//libhal_bsp.a(alt_io_redirect.o) + .debug_line 0x000000000000599c 0x6b ../semafor_bsp//libhal_bsp.a(alt_irq_entry.o) + .debug_line 0x0000000000005a07 0xaa ../semafor_bsp//libhal_bsp.a(alt_irq_handler.o) + .debug_line 0x0000000000005ab1 0x50 ../semafor_bsp//libhal_bsp.a(alt_irq_vars.o) + .debug_line 0x0000000000005b01 0x12b ../semafor_bsp//libhal_bsp.a(alt_open.o) + .debug_line 0x0000000000005c2c 0xff ../semafor_bsp//libhal_bsp.a(alt_tick.o) + .debug_line 0x0000000000005d2b 0x51 ../semafor_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) + .debug_line 0x0000000000005d7c 0xb3 ../semafor_bsp//libhal_bsp.a(alt_exception_entry.o) + .debug_line 0x0000000000005e2f 0xb5 ../semafor_bsp//libhal_bsp.a(alt_find_dev.o) + .debug_line 0x0000000000005ee4 0xd1 ../semafor_bsp//libhal_bsp.a(alt_find_file.o) + .debug_line 0x0000000000005fb5 0xd7 ../semafor_bsp//libhal_bsp.a(alt_get_fd.o) + .debug_line 0x000000000000608c 0xb9 ../semafor_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + .debug_line 0x0000000000006145 0xe7 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-atexit.o) + .debug_line 0x000000000000622c 0x1fc /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-exit.o) + .debug_line 0x0000000000006428 0x176 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcmp.o) + .debug_line 0x000000000000659e 0x21f /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__atexit.o) + .debug_line 0x00000000000067bd 0x23a /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__call_atexit.o) + .debug_line 0x00000000000069f7 0x71 ../semafor_bsp//libhal_bsp.a(alt_exit.o) .debug_frame 0x0000000000000000 0x1504 *(.debug_frame) .debug_frame 0x0000000000000000 0x2c obj/default/sem.o - .debug_frame 0x000000000000002c 0x48 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) - .debug_frame 0x0000000000000074 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) - .debug_frame 0x0000000000000094 0x160 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) - .debug_frame 0x00000000000001f4 0x78 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) - .debug_frame 0x000000000000026c 0x90 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) - .debug_frame 0x00000000000002fc 0x54 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) - .debug_frame 0x0000000000000350 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) - .debug_frame 0x0000000000000370 0x38 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) - .debug_frame 0x00000000000003a8 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) - .debug_frame 0x00000000000003c8 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) - .debug_frame 0x00000000000003e8 0x70 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) - .debug_frame 0x0000000000000458 0x38 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) - .debug_frame 0x0000000000000490 0xb4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) - .debug_frame 0x0000000000000544 0x38 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) - .debug_frame 0x000000000000057c 0x38 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) - .debug_frame 0x00000000000005b4 0x38 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(closer.o) - .debug_frame 0x00000000000005ec 0x54 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) - .debug_frame 0x0000000000000640 0x7c c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) - .debug_frame 0x00000000000006bc 0x70 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) - .debug_frame 0x000000000000072c 0x38 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) - .debug_frame 0x0000000000000764 0x4c c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) - .debug_frame 0x00000000000007b0 0x38 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) - .debug_frame 0x00000000000007e8 0x38 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) - .debug_frame 0x0000000000000820 0x38 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) - .debug_frame 0x0000000000000858 0x50 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-divmod.o) - .debug_frame 0x00000000000008a8 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-mul.o) - .debug_frame 0x00000000000008c8 0x60 ../semafor_bsp/\libhal_bsp.a(alt_close.o) - .debug_frame 0x0000000000000928 0x34 ../semafor_bsp/\libhal_bsp.a(alt_dcache_flush.o) - .debug_frame 0x000000000000095c 0x34 ../semafor_bsp/\libhal_bsp.a(alt_dev.o) - .debug_frame 0x0000000000000990 0x60 ../semafor_bsp/\libhal_bsp.a(alt_fstat.o) - .debug_frame 0x00000000000009f0 0x60 ../semafor_bsp/\libhal_bsp.a(alt_isatty.o) - .debug_frame 0x0000000000000a50 0x60 ../semafor_bsp/\libhal_bsp.a(alt_lseek.o) - .debug_frame 0x0000000000000ab0 0x2c ../semafor_bsp/\libhal_bsp.a(alt_main.o) - .debug_frame 0x0000000000000adc 0x58 ../semafor_bsp/\libhal_bsp.a(alt_malloc_lock.o) - .debug_frame 0x0000000000000b34 0x60 ../semafor_bsp/\libhal_bsp.a(alt_read.o) - .debug_frame 0x0000000000000b94 0x3c ../semafor_bsp/\libhal_bsp.a(alt_release_fd.o) - .debug_frame 0x0000000000000bd0 0x34 ../semafor_bsp/\libhal_bsp.a(alt_sbrk.o) - .debug_frame 0x0000000000000c04 0x60 ../semafor_bsp/\libhal_bsp.a(alt_write.o) - .debug_frame 0x0000000000000c64 0x88 ../semafor_bsp/\libhal_bsp.a(alt_sys_init.o) - .debug_frame 0x0000000000000cec 0xb0 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) - .debug_frame 0x0000000000000d9c 0xa4 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) - .debug_frame 0x0000000000000e40 0x34 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) - .debug_frame 0x0000000000000e74 0x38 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) - .debug_frame 0x0000000000000eac 0x38 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) - .debug_frame 0x0000000000000ee4 0x60 ../semafor_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) - .debug_frame 0x0000000000000f44 0x34 ../semafor_bsp/\libhal_bsp.a(alt_alarm_start.o) - .debug_frame 0x0000000000000f78 0x60 ../semafor_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) - .debug_frame 0x0000000000000fd8 0x38 ../semafor_bsp/\libhal_bsp.a(alt_do_ctors.o) - .debug_frame 0x0000000000001010 0x38 ../semafor_bsp/\libhal_bsp.a(alt_do_dtors.o) - .debug_frame 0x0000000000001048 0xa4 ../semafor_bsp/\libhal_bsp.a(alt_iic.o) - .debug_frame 0x00000000000010ec 0x38 ../semafor_bsp/\libhal_bsp.a(alt_iic_isr_register.o) - .debug_frame 0x0000000000001124 0x64 ../semafor_bsp/\libhal_bsp.a(alt_io_redirect.o) - .debug_frame 0x0000000000001188 0x38 ../semafor_bsp/\libhal_bsp.a(alt_irq_handler.o) - .debug_frame 0x00000000000011c0 0x8c ../semafor_bsp/\libhal_bsp.a(alt_open.o) - .debug_frame 0x000000000000124c 0x5c ../semafor_bsp/\libhal_bsp.a(alt_tick.o) - .debug_frame 0x00000000000012a8 0x34 ../semafor_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) - .debug_frame 0x00000000000012dc 0x38 ../semafor_bsp/\libhal_bsp.a(alt_find_dev.o) - .debug_frame 0x0000000000001314 0x38 ../semafor_bsp/\libhal_bsp.a(alt_find_file.o) - .debug_frame 0x000000000000134c 0x3c ../semafor_bsp/\libhal_bsp.a(alt_get_fd.o) - .debug_frame 0x0000000000001388 0x5c ../semafor_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) - .debug_frame 0x00000000000013e4 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) - .debug_frame 0x0000000000001404 0x28 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) - .debug_frame 0x000000000000142c 0x20 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) - .debug_frame 0x000000000000144c 0x38 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) - .debug_frame 0x0000000000001484 0x54 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) - .debug_frame 0x00000000000014d8 0x2c ../semafor_bsp/\libhal_bsp.a(alt_exit.o) + .debug_frame 0x000000000000002c 0x48 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) + .debug_frame 0x0000000000000074 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-strlen.o) + .debug_frame 0x0000000000000094 0x160 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + .debug_frame 0x00000000000001f4 0x78 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) + .debug_frame 0x000000000000026c 0x90 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fwalk.o) + .debug_frame 0x00000000000002fc 0x54 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + .debug_frame 0x0000000000000350 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memchr.o) + .debug_frame 0x0000000000000370 0x38 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcpy.o) + .debug_frame 0x00000000000003a8 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memmove.o) + .debug_frame 0x00000000000003c8 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memset.o) + .debug_frame 0x00000000000003e8 0x70 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-reallocr.o) + .debug_frame 0x0000000000000458 0x38 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-sbrkr.o) + .debug_frame 0x0000000000000490 0xb4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) + .debug_frame 0x0000000000000544 0x38 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-writer.o) + .debug_frame 0x000000000000057c 0x38 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-wsetup.o) + .debug_frame 0x00000000000005b4 0x38 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(closer.o) + .debug_frame 0x00000000000005ec 0x54 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fclose.o) + .debug_frame 0x0000000000000640 0x7c /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fflush.o) + .debug_frame 0x00000000000006bc 0x70 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-freer.o) + .debug_frame 0x000000000000072c 0x38 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-lseekr.o) + .debug_frame 0x0000000000000764 0x4c /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-makebuf.o) + .debug_frame 0x00000000000007b0 0x38 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-readr.o) + .debug_frame 0x00000000000007e8 0x38 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fstatr.o) + .debug_frame 0x0000000000000820 0x38 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-isattyr.o) + .debug_frame 0x0000000000000858 0x50 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-divmod.o) + .debug_frame 0x00000000000008a8 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-mul.o) + .debug_frame 0x00000000000008c8 0x60 ../semafor_bsp//libhal_bsp.a(alt_close.o) + .debug_frame 0x0000000000000928 0x34 ../semafor_bsp//libhal_bsp.a(alt_dcache_flush.o) + .debug_frame 0x000000000000095c 0x34 ../semafor_bsp//libhal_bsp.a(alt_dev.o) + .debug_frame 0x0000000000000990 0x60 ../semafor_bsp//libhal_bsp.a(alt_fstat.o) + .debug_frame 0x00000000000009f0 0x60 ../semafor_bsp//libhal_bsp.a(alt_isatty.o) + .debug_frame 0x0000000000000a50 0x60 ../semafor_bsp//libhal_bsp.a(alt_lseek.o) + .debug_frame 0x0000000000000ab0 0x2c ../semafor_bsp//libhal_bsp.a(alt_main.o) + .debug_frame 0x0000000000000adc 0x58 ../semafor_bsp//libhal_bsp.a(alt_malloc_lock.o) + .debug_frame 0x0000000000000b34 0x60 ../semafor_bsp//libhal_bsp.a(alt_read.o) + .debug_frame 0x0000000000000b94 0x3c ../semafor_bsp//libhal_bsp.a(alt_release_fd.o) + .debug_frame 0x0000000000000bd0 0x34 ../semafor_bsp//libhal_bsp.a(alt_sbrk.o) + .debug_frame 0x0000000000000c04 0x60 ../semafor_bsp//libhal_bsp.a(alt_write.o) + .debug_frame 0x0000000000000c64 0x88 ../semafor_bsp//libhal_bsp.a(alt_sys_init.o) + .debug_frame 0x0000000000000cec 0xb0 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + .debug_frame 0x0000000000000d9c 0xa4 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_frame 0x0000000000000e40 0x34 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + .debug_frame 0x0000000000000e74 0x38 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_frame 0x0000000000000eac 0x38 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) + .debug_frame 0x0000000000000ee4 0x60 ../semafor_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) + .debug_frame 0x0000000000000f44 0x34 ../semafor_bsp//libhal_bsp.a(alt_alarm_start.o) + .debug_frame 0x0000000000000f78 0x60 ../semafor_bsp//libhal_bsp.a(alt_dev_llist_insert.o) + .debug_frame 0x0000000000000fd8 0x38 ../semafor_bsp//libhal_bsp.a(alt_do_ctors.o) + .debug_frame 0x0000000000001010 0x38 ../semafor_bsp//libhal_bsp.a(alt_do_dtors.o) + .debug_frame 0x0000000000001048 0xa4 ../semafor_bsp//libhal_bsp.a(alt_iic.o) + .debug_frame 0x00000000000010ec 0x38 ../semafor_bsp//libhal_bsp.a(alt_iic_isr_register.o) + .debug_frame 0x0000000000001124 0x64 ../semafor_bsp//libhal_bsp.a(alt_io_redirect.o) + .debug_frame 0x0000000000001188 0x38 ../semafor_bsp//libhal_bsp.a(alt_irq_handler.o) + .debug_frame 0x00000000000011c0 0x8c ../semafor_bsp//libhal_bsp.a(alt_open.o) + .debug_frame 0x000000000000124c 0x5c ../semafor_bsp//libhal_bsp.a(alt_tick.o) + .debug_frame 0x00000000000012a8 0x34 ../semafor_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) + .debug_frame 0x00000000000012dc 0x38 ../semafor_bsp//libhal_bsp.a(alt_find_dev.o) + .debug_frame 0x0000000000001314 0x38 ../semafor_bsp//libhal_bsp.a(alt_find_file.o) + .debug_frame 0x000000000000134c 0x3c ../semafor_bsp//libhal_bsp.a(alt_get_fd.o) + .debug_frame 0x0000000000001388 0x5c ../semafor_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + .debug_frame 0x00000000000013e4 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-atexit.o) + .debug_frame 0x0000000000001404 0x28 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-exit.o) + .debug_frame 0x000000000000142c 0x20 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcmp.o) + .debug_frame 0x000000000000144c 0x38 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__atexit.o) + .debug_frame 0x0000000000001484 0x54 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__call_atexit.o) + .debug_frame 0x00000000000014d8 0x2c ../semafor_bsp//libhal_bsp.a(alt_exit.o) -.debug_str 0x0000000000000000 0x2692 +.debug_str 0x0000000000000000 0x26b5 *(.debug_str) - .debug_str 0x0000000000000000 0x110 obj/default/sem.o - 0x14e (size before relaxing) - .debug_str 0x0000000000000110 0x4f9 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) - 0x61c (size before relaxing) - .debug_str 0x0000000000000609 0xd9 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) - 0x1f7 (size before relaxing) - .debug_str 0x00000000000006e2 0x146 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) - 0x6cb (size before relaxing) - .debug_str 0x0000000000000828 0x9a c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) - 0x647 (size before relaxing) - .debug_str 0x00000000000008c2 0x4a c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) - 0x5ba (size before relaxing) - .debug_str 0x000000000000090c 0xd6 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-impure.o) - 0x5b5 (size before relaxing) - .debug_str 0x00000000000009e2 0x2bb c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) - 0x7ba (size before relaxing) - .debug_str 0x0000000000000c9d 0x52 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) - 0x1fe (size before relaxing) - .debug_str 0x0000000000000cef 0x64 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) - 0x20b (size before relaxing) - .debug_str 0x0000000000000d53 0x47 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) - 0x217 (size before relaxing) - .debug_str 0x0000000000000d9a 0x44 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) + .debug_str 0x0000000000000000 0x11f obj/default/sem.o + 0x15d (size before relaxing) + .debug_str 0x000000000000011f 0x4fa /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) + 0x61d (size before relaxing) + .debug_str 0x0000000000000619 0xda /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-strlen.o) 0x1f8 (size before relaxing) - .debug_str 0x0000000000000dde 0x4e c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) - 0x696 (size before relaxing) - .debug_str 0x0000000000000e2c 0x4f c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) - 0x5ab (size before relaxing) - .debug_str 0x0000000000000e7b 0x9b c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) - 0x609 (size before relaxing) - .debug_str 0x0000000000000f16 0x3c c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) - 0x5af (size before relaxing) - .debug_str 0x0000000000000f52 0x49 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) - 0x5d4 (size before relaxing) - .debug_str 0x0000000000000f9b 0x3c c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(closer.o) - 0x59f (size before relaxing) - .debug_str 0x0000000000000fd7 0x5d c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) - 0x602 (size before relaxing) - .debug_str 0x0000000000001034 0x54 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) - 0x615 (size before relaxing) - .debug_str 0x0000000000001088 0x34 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) - 0x704 (size before relaxing) - .debug_str 0x00000000000010bc 0x3f c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-int_errno.o) - 0x1dd (size before relaxing) - .debug_str 0x00000000000010fb 0x42 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) - 0x5a6 (size before relaxing) - .debug_str 0x000000000000113d 0x124 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) - 0x6b7 (size before relaxing) - .debug_str 0x0000000000001261 0x3b c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) + .debug_str 0x00000000000006f3 0x146 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + 0x6cc (size before relaxing) + .debug_str 0x0000000000000839 0x9a /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) + 0x648 (size before relaxing) + .debug_str 0x00000000000008d3 0x4a /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fwalk.o) + 0x5bb (size before relaxing) + .debug_str 0x000000000000091d 0xd7 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-impure.o) + 0x5b6 (size before relaxing) + .debug_str 0x00000000000009f4 0x2bc /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + 0x7bb (size before relaxing) + .debug_str 0x0000000000000cb0 0x52 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memchr.o) + 0x1ff (size before relaxing) + .debug_str 0x0000000000000d02 0x64 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcpy.o) + 0x20c (size before relaxing) + .debug_str 0x0000000000000d66 0x47 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memmove.o) + 0x218 (size before relaxing) + .debug_str 0x0000000000000dad 0x44 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memset.o) + 0x1f9 (size before relaxing) + .debug_str 0x0000000000000df1 0x4e /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-reallocr.o) + 0x697 (size before relaxing) + .debug_str 0x0000000000000e3f 0x4f /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-sbrkr.o) 0x5ac (size before relaxing) - .debug_str 0x000000000000129c 0x48 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) - 0x68b (size before relaxing) - .debug_str 0x00000000000012e4 0x44 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) - 0x5a2 (size before relaxing) - .debug_str 0x0000000000001328 0x187 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-divmod.o) - 0x1d2 (size before relaxing) - .debug_str 0x00000000000014af 0x40 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-mul.o) - 0x193 (size before relaxing) - .debug_str 0x00000000000014ef 0xe1 ../semafor_bsp/\libhal_bsp.a(alt_close.o) - 0x208 (size before relaxing) - .debug_str 0x00000000000015d0 0x1b ../semafor_bsp/\libhal_bsp.a(alt_dcache_flush.o) - 0x158 (size before relaxing) - .debug_str 0x00000000000015eb 0x50 ../semafor_bsp/\libhal_bsp.a(alt_dev.o) - 0x302 (size before relaxing) - .debug_str 0x000000000000163b 0x14 ../semafor_bsp/\libhal_bsp.a(alt_errno.o) - 0x142 (size before relaxing) - .debug_str 0x000000000000164f 0x14 ../semafor_bsp/\libhal_bsp.a(alt_fstat.o) - 0x2e9 (size before relaxing) - .debug_str 0x0000000000001663 0x15 ../semafor_bsp/\libhal_bsp.a(alt_isatty.o) - 0x2f1 (size before relaxing) - .debug_str 0x0000000000001678 0x14 ../semafor_bsp/\libhal_bsp.a(alt_lseek.o) - 0x20e (size before relaxing) - .debug_str 0x000000000000168c 0x44 ../semafor_bsp/\libhal_bsp.a(alt_main.o) - 0x19a (size before relaxing) - .debug_str 0x00000000000016d0 0x1a ../semafor_bsp/\libhal_bsp.a(alt_malloc_lock.o) - 0x50c (size before relaxing) - .debug_str 0x00000000000016ea 0x13 ../semafor_bsp/\libhal_bsp.a(alt_read.o) - 0x2f4 (size before relaxing) - .debug_str 0x00000000000016fd 0x28 ../semafor_bsp/\libhal_bsp.a(alt_release_fd.o) - 0x1d0 (size before relaxing) - .debug_str 0x0000000000001725 0x98 ../semafor_bsp/\libhal_bsp.a(alt_sbrk.o) - 0x1d1 (size before relaxing) - .debug_str 0x00000000000017bd 0x14 ../semafor_bsp/\libhal_bsp.a(alt_write.o) - 0x2f5 (size before relaxing) - .debug_str 0x00000000000017d1 0x18b ../semafor_bsp/\libhal_bsp.a(alt_sys_init.o) - 0x38b (size before relaxing) - .debug_str 0x000000000000195c 0xb2 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_fd.o) - 0x3a1 (size before relaxing) - .debug_str 0x0000000000001a0e 0xd1 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) - 0x335 (size before relaxing) - .debug_str 0x0000000000001adf 0x4a ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) - 0x290 (size before relaxing) - .debug_str 0x0000000000001b29 0x48 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) - 0x2e5 (size before relaxing) - .debug_str 0x0000000000001b71 0x4a ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_write.o) - 0x2e6 (size before relaxing) - .debug_str 0x0000000000001bbb 0x6d ../semafor_bsp/\libhal_bsp.a(altera_avalon_timer_sc.o) - 0x248 (size before relaxing) - .debug_str 0x0000000000001c28 0x59 ../semafor_bsp/\libhal_bsp.a(alt_alarm_start.o) - 0x27b (size before relaxing) - .debug_str 0x0000000000001c81 0x34 ../semafor_bsp/\libhal_bsp.a(alt_dev_llist_insert.o) - 0x1c9 (size before relaxing) - .debug_str 0x0000000000001cb5 0x48 ../semafor_bsp/\libhal_bsp.a(alt_do_ctors.o) - 0xcd (size before relaxing) - .debug_str 0x0000000000001cfd 0x4c ../semafor_bsp/\libhal_bsp.a(alt_do_dtors.o) - 0xcc (size before relaxing) - .debug_str 0x0000000000001d49 0xc4 ../semafor_bsp/\libhal_bsp.a(alt_iic.o) - 0x23b (size before relaxing) - .debug_str 0x0000000000001e0d 0x4c ../semafor_bsp/\libhal_bsp.a(alt_iic_isr_register.o) - 0x1e5 (size before relaxing) - .debug_str 0x0000000000001e59 0x56 ../semafor_bsp/\libhal_bsp.a(alt_io_redirect.o) - 0x313 (size before relaxing) - .debug_str 0x0000000000001eaf 0x3a ../semafor_bsp/\libhal_bsp.a(alt_irq_handler.o) + .debug_str 0x0000000000000e8e 0x9b /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) + 0x60a (size before relaxing) + .debug_str 0x0000000000000f29 0x3c /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-writer.o) + 0x5b0 (size before relaxing) + .debug_str 0x0000000000000f65 0x49 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-wsetup.o) + 0x5d5 (size before relaxing) + .debug_str 0x0000000000000fae 0x3c /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(closer.o) + 0x5a0 (size before relaxing) + .debug_str 0x0000000000000fea 0x5d /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fclose.o) + 0x603 (size before relaxing) + .debug_str 0x0000000000001047 0x54 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fflush.o) + 0x616 (size before relaxing) + .debug_str 0x000000000000109b 0x34 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-freer.o) + 0x705 (size before relaxing) + .debug_str 0x00000000000010cf 0x3f /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-int_errno.o) + 0x1de (size before relaxing) + .debug_str 0x000000000000110e 0x42 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-lseekr.o) + 0x5a7 (size before relaxing) + .debug_str 0x0000000000001150 0x124 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-makebuf.o) + 0x6b8 (size before relaxing) + .debug_str 0x0000000000001274 0x3b /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-readr.o) + 0x5ad (size before relaxing) + .debug_str 0x00000000000012af 0x48 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fstatr.o) + 0x68c (size before relaxing) + .debug_str 0x00000000000012f7 0x44 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-isattyr.o) + 0x5a3 (size before relaxing) + .debug_str 0x000000000000133b 0x188 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-divmod.o) + 0x1d3 (size before relaxing) + .debug_str 0x00000000000014c3 0x40 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-mul.o) 0x194 (size before relaxing) - .debug_str 0x0000000000001ee9 0x17 ../semafor_bsp/\libhal_bsp.a(alt_irq_vars.o) - 0x137 (size before relaxing) - .debug_str 0x0000000000001f00 0x29 ../semafor_bsp/\libhal_bsp.a(alt_open.o) - 0x248 (size before relaxing) - .debug_str 0x0000000000001f29 0x4a ../semafor_bsp/\libhal_bsp.a(alt_tick.o) - 0x24f (size before relaxing) - .debug_str 0x0000000000001f73 0x3b ../semafor_bsp/\libhal_bsp.a(altera_nios2_gen2_irq.o) - 0x159 (size before relaxing) - .debug_str 0x0000000000001fae 0x24 ../semafor_bsp/\libhal_bsp.a(alt_find_dev.o) - 0x1e9 (size before relaxing) - .debug_str 0x0000000000001fd2 0x26 ../semafor_bsp/\libhal_bsp.a(alt_find_file.o) - 0x1f7 (size before relaxing) - .debug_str 0x0000000000001ff8 0x30 ../semafor_bsp/\libhal_bsp.a(alt_get_fd.o) - 0x219 (size before relaxing) - .debug_str 0x0000000000002028 0x48b ../semafor_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) - 0x59d (size before relaxing) - .debug_str 0x00000000000024b3 0x81 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) - 0x221 (size before relaxing) - .debug_str 0x0000000000002534 0x4c c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) - 0x5be (size before relaxing) - .debug_str 0x0000000000002580 0x44 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) - 0x1e4 (size before relaxing) - .debug_str 0x00000000000025c4 0x3f c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) - 0x5f6 (size before relaxing) - .debug_str 0x0000000000002603 0x65 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) - 0x5e2 (size before relaxing) - .debug_str 0x0000000000002668 0x2a ../semafor_bsp/\libhal_bsp.a(alt_exit.o) - 0x14e (size before relaxing) + .debug_str 0x0000000000001503 0xf0 ../semafor_bsp//libhal_bsp.a(alt_close.o) + 0x217 (size before relaxing) + .debug_str 0x00000000000015f3 0x1b ../semafor_bsp//libhal_bsp.a(alt_dcache_flush.o) + 0x167 (size before relaxing) + .debug_str 0x000000000000160e 0x50 ../semafor_bsp//libhal_bsp.a(alt_dev.o) + 0x311 (size before relaxing) + .debug_str 0x000000000000165e 0x14 ../semafor_bsp//libhal_bsp.a(alt_errno.o) + 0x151 (size before relaxing) + .debug_str 0x0000000000001672 0x14 ../semafor_bsp//libhal_bsp.a(alt_fstat.o) + 0x2f8 (size before relaxing) + .debug_str 0x0000000000001686 0x15 ../semafor_bsp//libhal_bsp.a(alt_isatty.o) + 0x300 (size before relaxing) + .debug_str 0x000000000000169b 0x14 ../semafor_bsp//libhal_bsp.a(alt_lseek.o) + 0x21d (size before relaxing) + .debug_str 0x00000000000016af 0x44 ../semafor_bsp//libhal_bsp.a(alt_main.o) + 0x1a9 (size before relaxing) + .debug_str 0x00000000000016f3 0x1a ../semafor_bsp//libhal_bsp.a(alt_malloc_lock.o) + 0x51b (size before relaxing) + .debug_str 0x000000000000170d 0x13 ../semafor_bsp//libhal_bsp.a(alt_read.o) + 0x303 (size before relaxing) + .debug_str 0x0000000000001720 0x28 ../semafor_bsp//libhal_bsp.a(alt_release_fd.o) + 0x1df (size before relaxing) + .debug_str 0x0000000000001748 0x98 ../semafor_bsp//libhal_bsp.a(alt_sbrk.o) + 0x1e0 (size before relaxing) + .debug_str 0x00000000000017e0 0x14 ../semafor_bsp//libhal_bsp.a(alt_write.o) + 0x304 (size before relaxing) + .debug_str 0x00000000000017f4 0x18b ../semafor_bsp//libhal_bsp.a(alt_sys_init.o) + 0x39a (size before relaxing) + .debug_str 0x000000000000197f 0xb2 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_fd.o) + 0x3b0 (size before relaxing) + .debug_str 0x0000000000001a31 0xd1 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) + 0x344 (size before relaxing) + .debug_str 0x0000000000001b02 0x4a ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_ioctl.o) + 0x29f (size before relaxing) + .debug_str 0x0000000000001b4c 0x48 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) + 0x2f4 (size before relaxing) + .debug_str 0x0000000000001b94 0x4a ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_write.o) + 0x2f5 (size before relaxing) + .debug_str 0x0000000000001bde 0x6d ../semafor_bsp//libhal_bsp.a(altera_avalon_timer_sc.o) + 0x257 (size before relaxing) + .debug_str 0x0000000000001c4b 0x59 ../semafor_bsp//libhal_bsp.a(alt_alarm_start.o) + 0x28a (size before relaxing) + .debug_str 0x0000000000001ca4 0x34 ../semafor_bsp//libhal_bsp.a(alt_dev_llist_insert.o) + 0x1d8 (size before relaxing) + .debug_str 0x0000000000001cd8 0x48 ../semafor_bsp//libhal_bsp.a(alt_do_ctors.o) + 0xdc (size before relaxing) + .debug_str 0x0000000000001d20 0x4c ../semafor_bsp//libhal_bsp.a(alt_do_dtors.o) + 0xdb (size before relaxing) + .debug_str 0x0000000000001d6c 0xc4 ../semafor_bsp//libhal_bsp.a(alt_iic.o) + 0x24a (size before relaxing) + .debug_str 0x0000000000001e30 0x4c ../semafor_bsp//libhal_bsp.a(alt_iic_isr_register.o) + 0x1f4 (size before relaxing) + .debug_str 0x0000000000001e7c 0x56 ../semafor_bsp//libhal_bsp.a(alt_io_redirect.o) + 0x322 (size before relaxing) + .debug_str 0x0000000000001ed2 0x3a ../semafor_bsp//libhal_bsp.a(alt_irq_handler.o) + 0x1a3 (size before relaxing) + .debug_str 0x0000000000001f0c 0x17 ../semafor_bsp//libhal_bsp.a(alt_irq_vars.o) + 0x146 (size before relaxing) + .debug_str 0x0000000000001f23 0x29 ../semafor_bsp//libhal_bsp.a(alt_open.o) + 0x257 (size before relaxing) + .debug_str 0x0000000000001f4c 0x4a ../semafor_bsp//libhal_bsp.a(alt_tick.o) + 0x25e (size before relaxing) + .debug_str 0x0000000000001f96 0x3b ../semafor_bsp//libhal_bsp.a(altera_nios2_gen2_irq.o) + 0x168 (size before relaxing) + .debug_str 0x0000000000001fd1 0x24 ../semafor_bsp//libhal_bsp.a(alt_find_dev.o) + 0x1f8 (size before relaxing) + .debug_str 0x0000000000001ff5 0x26 ../semafor_bsp//libhal_bsp.a(alt_find_file.o) + 0x206 (size before relaxing) + .debug_str 0x000000000000201b 0x30 ../semafor_bsp//libhal_bsp.a(alt_get_fd.o) + 0x228 (size before relaxing) + .debug_str 0x000000000000204b 0x48b ../semafor_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + 0x5ac (size before relaxing) + .debug_str 0x00000000000024d6 0x81 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-atexit.o) + 0x222 (size before relaxing) + .debug_str 0x0000000000002557 0x4c /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-exit.o) + 0x5bf (size before relaxing) + .debug_str 0x00000000000025a3 0x44 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcmp.o) + 0x1e5 (size before relaxing) + .debug_str 0x00000000000025e7 0x3f /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__atexit.o) + 0x5f7 (size before relaxing) + .debug_str 0x0000000000002626 0x65 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__call_atexit.o) + 0x5e3 (size before relaxing) + .debug_str 0x000000000000268b 0x2a ../semafor_bsp//libhal_bsp.a(alt_exit.o) + 0x15d (size before relaxing) .debug_loc 0x0000000000000000 0x4ada *(.debug_loc) - .debug_loc 0x0000000000000000 0x117 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) - .debug_loc 0x0000000000000117 0x47 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) - .debug_loc 0x000000000000015e 0x2f9 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) - .debug_loc 0x0000000000000457 0x5c7 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) - .debug_loc 0x0000000000000a1e 0x25b c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) - .debug_loc 0x0000000000000c79 0xb1b c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) - .debug_loc 0x0000000000001794 0x1a1 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) - .debug_loc 0x0000000000001935 0x266 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) - .debug_loc 0x0000000000001b9b 0x308 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) - .debug_loc 0x0000000000001ea3 0x1a6 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) - .debug_loc 0x0000000000002049 0xde4 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) - .debug_loc 0x0000000000002e2d 0x58 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) - .debug_loc 0x0000000000002e85 0x33a c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) - .debug_loc 0x00000000000031bf 0xb0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) - .debug_loc 0x000000000000326f 0xa2 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) - .debug_loc 0x0000000000003311 0x58 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(closer.o) - .debug_loc 0x0000000000003369 0x199 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) - .debug_loc 0x0000000000003502 0x36f c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) - .debug_loc 0x0000000000003871 0x4e7 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) - .debug_loc 0x0000000000003d58 0xb0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) - .debug_loc 0x0000000000003e08 0x122 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) - .debug_loc 0x0000000000003f2a 0xb0 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) - .debug_loc 0x0000000000003fda 0x84 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) - .debug_loc 0x000000000000405e 0x58 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) - .debug_loc 0x00000000000040b6 0x4ce c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-divmod.o) - .debug_loc 0x0000000000004584 0x93 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-mul.o) - .debug_loc 0x0000000000004617 0x2c c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) - .debug_loc 0x0000000000004643 0x1e c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) - .debug_loc 0x0000000000004661 0x126 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) - .debug_loc 0x0000000000004787 0x185 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) - .debug_loc 0x000000000000490c 0x1ce c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + .debug_loc 0x0000000000000000 0x117 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) + .debug_loc 0x0000000000000117 0x47 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-strlen.o) + .debug_loc 0x000000000000015e 0x2f9 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + .debug_loc 0x0000000000000457 0x5c7 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) + .debug_loc 0x0000000000000a1e 0x25b /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fwalk.o) + .debug_loc 0x0000000000000c79 0xb1b /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + .debug_loc 0x0000000000001794 0x1a1 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memchr.o) + .debug_loc 0x0000000000001935 0x266 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcpy.o) + .debug_loc 0x0000000000001b9b 0x308 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memmove.o) + .debug_loc 0x0000000000001ea3 0x1a6 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memset.o) + .debug_loc 0x0000000000002049 0xde4 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-reallocr.o) + .debug_loc 0x0000000000002e2d 0x58 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-sbrkr.o) + .debug_loc 0x0000000000002e85 0x33a /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) + .debug_loc 0x00000000000031bf 0xb0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-writer.o) + .debug_loc 0x000000000000326f 0xa2 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-wsetup.o) + .debug_loc 0x0000000000003311 0x58 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(closer.o) + .debug_loc 0x0000000000003369 0x199 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fclose.o) + .debug_loc 0x0000000000003502 0x36f /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fflush.o) + .debug_loc 0x0000000000003871 0x4e7 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-freer.o) + .debug_loc 0x0000000000003d58 0xb0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-lseekr.o) + .debug_loc 0x0000000000003e08 0x122 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-makebuf.o) + .debug_loc 0x0000000000003f2a 0xb0 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-readr.o) + .debug_loc 0x0000000000003fda 0x84 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fstatr.o) + .debug_loc 0x000000000000405e 0x58 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-isattyr.o) + .debug_loc 0x00000000000040b6 0x4ce /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-divmod.o) + .debug_loc 0x0000000000004584 0x93 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-mul.o) + .debug_loc 0x0000000000004617 0x2c /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-atexit.o) + .debug_loc 0x0000000000004643 0x1e /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-exit.o) + .debug_loc 0x0000000000004661 0x126 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcmp.o) + .debug_loc 0x0000000000004787 0x185 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__atexit.o) + .debug_loc 0x000000000000490c 0x1ce /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__call_atexit.o) .debug_macinfo *(.debug_macinfo) @@ -1601,45 +1601,45 @@ LOAD c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../li 0x0000000000020000 __alt_data_end = 0x20000 0x0000000000020000 PROVIDE (__alt_stack_pointer, __alt_data_end) [!provide] PROVIDE (__alt_stack_limit, __alt_stack_base) - 0x000000000000741c PROVIDE (__alt_heap_start, end) + 0x0000000000007400 PROVIDE (__alt_heap_start, end) 0x0000000000020000 PROVIDE (__alt_heap_limit, 0x20000) OUTPUT(RUN_ON_HDL_SIMULATOR_ONLY_semafor.elf elf32-littlenios2) .debug_ranges 0x0000000000000000 0x680 .debug_ranges 0x0000000000000000 0x20 ../semafor_bsp//obj/HAL/src/crt0.o - .debug_ranges 0x0000000000000020 0x30 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-puts.o) - .debug_ranges 0x0000000000000050 0x10 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-strlen.o) - .debug_ranges 0x0000000000000060 0xc8 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-findfp.o) - .debug_ranges 0x0000000000000128 0x30 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fvwrite.o) - .debug_ranges 0x0000000000000158 0x18 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fwalk.o) - .debug_ranges 0x0000000000000170 0x48 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-mallocr.o) - .debug_ranges 0x00000000000001b8 0x10 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memchr.o) - .debug_ranges 0x00000000000001c8 0x10 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcpy.o) - .debug_ranges 0x00000000000001d8 0x10 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memmove.o) - .debug_ranges 0x00000000000001e8 0x10 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memset.o) - .debug_ranges 0x00000000000001f8 0x148 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-reallocr.o) - .debug_ranges 0x0000000000000340 0x10 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-sbrkr.o) - .debug_ranges 0x0000000000000350 0x30 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-stdio.o) - .debug_ranges 0x0000000000000380 0x10 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-writer.o) - .debug_ranges 0x0000000000000390 0x40 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-wsetup.o) - .debug_ranges 0x00000000000003d0 0x10 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(closer.o) - .debug_ranges 0x00000000000003e0 0x48 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fclose.o) - .debug_ranges 0x0000000000000428 0x58 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fflush.o) - .debug_ranges 0x0000000000000480 0x18 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-freer.o) - .debug_ranges 0x0000000000000498 0x10 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-lseekr.o) - .debug_ranges 0x00000000000004a8 0x10 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-makebuf.o) - .debug_ranges 0x00000000000004b8 0x10 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-readr.o) - .debug_ranges 0x00000000000004c8 0x10 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-fstatr.o) - .debug_ranges 0x00000000000004d8 0x10 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-isattyr.o) - .debug_ranges 0x00000000000004e8 0x60 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul\libgcc.a(lib2-divmod.o) - .debug_ranges 0x0000000000000548 0x30 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_init.o) - .debug_ranges 0x0000000000000578 0x18 ../semafor_bsp/\libhal_bsp.a(altera_avalon_jtag_uart_read.o) - .debug_ranges 0x0000000000000590 0x28 ../semafor_bsp/\libhal_bsp.a(alt_irq_entry.o) - .debug_ranges 0x00000000000005b8 0x10 ../semafor_bsp/\libhal_bsp.a(alt_irq_handler.o) - .debug_ranges 0x00000000000005c8 0x30 ../semafor_bsp/\libhal_bsp.a(alt_exception_entry.o) - .debug_ranges 0x00000000000005f8 0x18 ../semafor_bsp/\libhal_bsp.a(alt_instruction_exception_entry.o) - .debug_ranges 0x0000000000000610 0x10 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-atexit.o) - .debug_ranges 0x0000000000000620 0x10 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-exit.o) - .debug_ranges 0x0000000000000630 0x10 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-memcmp.o) - .debug_ranges 0x0000000000000640 0x10 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__atexit.o) - .debug_ranges 0x0000000000000650 0x30 c:/software/intelfpga_lite/18.1/nios2eds/bin/gnu/h-x86_64-mingw32/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-mingw32/nios2-elf/lib/mno-hw-mul\libc.a(lib_a-__call_atexit.o) + .debug_ranges 0x0000000000000020 0x30 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-puts.o) + .debug_ranges 0x0000000000000050 0x10 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-strlen.o) + .debug_ranges 0x0000000000000060 0xc8 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-findfp.o) + .debug_ranges 0x0000000000000128 0x30 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fvwrite.o) + .debug_ranges 0x0000000000000158 0x18 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fwalk.o) + .debug_ranges 0x0000000000000170 0x48 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-mallocr.o) + .debug_ranges 0x00000000000001b8 0x10 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memchr.o) + .debug_ranges 0x00000000000001c8 0x10 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcpy.o) + .debug_ranges 0x00000000000001d8 0x10 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memmove.o) + .debug_ranges 0x00000000000001e8 0x10 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memset.o) + .debug_ranges 0x00000000000001f8 0x148 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-reallocr.o) + .debug_ranges 0x0000000000000340 0x10 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-sbrkr.o) + .debug_ranges 0x0000000000000350 0x30 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-stdio.o) + .debug_ranges 0x0000000000000380 0x10 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-writer.o) + .debug_ranges 0x0000000000000390 0x40 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-wsetup.o) + .debug_ranges 0x00000000000003d0 0x10 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(closer.o) + .debug_ranges 0x00000000000003e0 0x48 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fclose.o) + .debug_ranges 0x0000000000000428 0x58 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fflush.o) + .debug_ranges 0x0000000000000480 0x18 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-freer.o) + .debug_ranges 0x0000000000000498 0x10 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-lseekr.o) + .debug_ranges 0x00000000000004a8 0x10 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-makebuf.o) + .debug_ranges 0x00000000000004b8 0x10 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-readr.o) + .debug_ranges 0x00000000000004c8 0x10 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-fstatr.o) + .debug_ranges 0x00000000000004d8 0x10 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-isattyr.o) + .debug_ranges 0x00000000000004e8 0x60 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/mno-hw-mul/libgcc.a(lib2-divmod.o) + .debug_ranges 0x0000000000000548 0x30 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_init.o) + .debug_ranges 0x0000000000000578 0x18 ../semafor_bsp//libhal_bsp.a(altera_avalon_jtag_uart_read.o) + .debug_ranges 0x0000000000000590 0x28 ../semafor_bsp//libhal_bsp.a(alt_irq_entry.o) + .debug_ranges 0x00000000000005b8 0x10 ../semafor_bsp//libhal_bsp.a(alt_irq_handler.o) + .debug_ranges 0x00000000000005c8 0x30 ../semafor_bsp//libhal_bsp.a(alt_exception_entry.o) + .debug_ranges 0x00000000000005f8 0x18 ../semafor_bsp//libhal_bsp.a(alt_instruction_exception_entry.o) + .debug_ranges 0x0000000000000610 0x10 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-atexit.o) + .debug_ranges 0x0000000000000620 0x10 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-exit.o) + .debug_ranges 0x0000000000000630 0x10 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-memcmp.o) + .debug_ranges 0x0000000000000640 0x10 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__atexit.o) + .debug_ranges 0x0000000000000650 0x30 /home/ovchinnikov_ii@RISDE.ru/intelFPGA_lite/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/bin/../lib/gcc/nios2-elf/5.3.0/../../../../../H-x86_64-pc-linux-gnu/nios2-elf/lib/mno-hw-mul/libc.a(lib_a-__call_atexit.o) diff --git a/Top/software/semafor/RUN_ON_HDL_SIMULATOR_ONLY_semafor.objdump b/Top/software/semafor/RUN_ON_HDL_SIMULATOR_ONLY_semafor.objdump index 0d308f7..fb7b211 100644 --- a/Top/software/semafor/RUN_ON_HDL_SIMULATOR_ONLY_semafor.objdump +++ b/Top/software/semafor/RUN_ON_HDL_SIMULATOR_ONLY_semafor.objdump @@ -9,7 +9,7 @@ Program Header: LOAD off 0x00001000 vaddr 0x00000000 paddr 0x00000000 align 2**12 filesz 0x00000020 memsz 0x00000020 flags r-x LOAD off 0x00001020 vaddr 0x00000020 paddr 0x00000020 align 2**12 - filesz 0x000072a8 memsz 0x000073fc flags rwx + filesz 0x0000728c memsz 0x000073e0 flags rwx Sections: Idx Name Size VMA LMA File off Algn @@ -17,64 +17,64 @@ Idx Name Size VMA LMA File off Algn CONTENTS, ALLOC, LOAD, READONLY, CODE 1 .exceptions 00000210 00000020 00000020 00001020 2**2 CONTENTS, ALLOC, LOAD, READONLY, CODE - 2 .text 000055b0 00000230 00000230 00001230 2**2 + 2 .text 00005594 00000230 00000230 00001230 2**2 CONTENTS, ALLOC, LOAD, READONLY, CODE - 3 .rodata 0000007c 000057e0 000057e0 000067e0 2**2 + 3 .rodata 0000007c 000057c4 000057c4 000067c4 2**2 CONTENTS, ALLOC, LOAD, READONLY, DATA - 4 .rwdata 00001a6c 0000585c 0000585c 0000685c 2**2 + 4 .rwdata 00001a6c 00005840 00005840 00006840 2**2 CONTENTS, ALLOC, LOAD, DATA, SMALL_DATA - 5 .bss 00000154 000072c8 000072c8 000082c8 2**2 + 5 .bss 00000154 000072ac 000072ac 000082ac 2**2 ALLOC, SMALL_DATA - 6 .mem 00000000 0000741c 0000741c 000082c8 2**0 + 6 .mem 00000000 00007400 00007400 000082ac 2**0 CONTENTS - 7 .comment 00000023 00000000 00000000 000082c8 2**0 + 7 .comment 00000023 00000000 00000000 000082ac 2**0 CONTENTS, READONLY - 8 .debug_aranges 000009f0 00000000 00000000 000082f0 2**3 + 8 .debug_aranges 000009f0 00000000 00000000 000082d0 2**3 CONTENTS, READONLY, DEBUGGING - 9 .debug_info 00016367 00000000 00000000 00008ce0 2**0 + 9 .debug_info 0001639b 00000000 00000000 00008cc0 2**0 CONTENTS, READONLY, DEBUGGING - 10 .debug_abbrev 00005fe5 00000000 00000000 0001f047 2**0 + 10 .debug_abbrev 00005ffb 00000000 00000000 0001f05b 2**0 CONTENTS, READONLY, DEBUGGING - 11 .debug_line 00006941 00000000 00000000 0002502c 2**0 + 11 .debug_line 00006a68 00000000 00000000 00025056 2**0 CONTENTS, READONLY, DEBUGGING - 12 .debug_frame 00001504 00000000 00000000 0002b970 2**2 + 12 .debug_frame 00001504 00000000 00000000 0002bac0 2**2 CONTENTS, READONLY, DEBUGGING - 13 .debug_str 00002692 00000000 00000000 0002ce74 2**0 + 13 .debug_str 000026b5 00000000 00000000 0002cfc4 2**0 CONTENTS, READONLY, DEBUGGING - 14 .debug_loc 00004ada 00000000 00000000 0002f506 2**0 + 14 .debug_loc 00004ada 00000000 00000000 0002f679 2**0 CONTENTS, READONLY, DEBUGGING - 15 .debug_ranges 00000680 00000000 00000000 00033fe0 2**3 + 15 .debug_ranges 00000680 00000000 00000000 00034158 2**3 CONTENTS, READONLY, DEBUGGING - 16 .thread_model 00000003 00000000 00000000 000368f6 2**0 + 16 .thread_model 00000003 00000000 00000000 00036a6e 2**0 CONTENTS, READONLY - 17 .cpu 00000003 00000000 00000000 000368f9 2**0 + 17 .cpu 00000003 00000000 00000000 00036a71 2**0 CONTENTS, READONLY - 18 .qsys 00000001 00000000 00000000 000368fc 2**0 + 18 .qsys 00000001 00000000 00000000 00036a74 2**0 CONTENTS, READONLY - 19 .simulation_enabled 00000001 00000000 00000000 000368fd 2**0 + 19 .simulation_enabled 00000001 00000000 00000000 00036a75 2**0 CONTENTS, READONLY - 20 .stderr_dev 00000009 00000000 00000000 000368fe 2**0 + 20 .stderr_dev 00000009 00000000 00000000 00036a76 2**0 CONTENTS, READONLY - 21 .stdin_dev 00000009 00000000 00000000 00036907 2**0 + 21 .stdin_dev 00000009 00000000 00000000 00036a7f 2**0 CONTENTS, READONLY - 22 .stdout_dev 00000009 00000000 00000000 00036910 2**0 + 22 .stdout_dev 00000009 00000000 00000000 00036a88 2**0 CONTENTS, READONLY - 23 .sopc_system_name 00000006 00000000 00000000 00036919 2**0 + 23 .sopc_system_name 00000006 00000000 00000000 00036a91 2**0 CONTENTS, READONLY - 24 .quartus_project_dir 00000021 00000000 00000000 0003691f 2**0 + 24 .quartus_project_dir 00000030 00000000 00000000 00036a97 2**0 CONTENTS, READONLY - 25 .jdi 0000438e 00000000 00000000 00036940 2**0 + 25 .jdi 0000438e 00000000 00000000 00036ac7 2**0 CONTENTS, READONLY - 26 .sopcinfo 0003cf5c 00000000 00000000 0003acce 2**0 + 26 .sopcinfo 0003cf5c 00000000 00000000 0003ae55 2**0 CONTENTS, READONLY SYMBOL TABLE: 00000000 l d .entry 00000000 .entry 00000020 l d .exceptions 00000000 .exceptions 00000230 l d .text 00000000 .text -000057e0 l d .rodata 00000000 .rodata -0000585c l d .rwdata 00000000 .rwdata -000072c8 l d .bss 00000000 .bss -0000741c l d .mem 00000000 .mem +000057c4 l d .rodata 00000000 .rodata +00005840 l d .rwdata 00000000 .rwdata +000072ac l d .bss 00000000 .bss +00007400 l d .mem 00000000 .mem 00000000 l d .comment 00000000 .comment 00000000 l d .debug_aranges 00000000 .debug_aranges 00000000 l d .debug_info 00000000 .debug_info @@ -92,13 +92,13 @@ SYMBOL TABLE: 00000000 l df *ABS* 00000000 puts.c 00000000 l df *ABS* 00000000 strlen.c 00000000 l df *ABS* 00000000 findfp.c -000004a4 l F .text 00000008 __fp_unlock -000004b8 l F .text 0000019c __sinit.part.1 -00000654 l F .text 00000008 __fp_lock +00000488 l F .text 00000008 __fp_unlock +0000049c l F .text 0000019c __sinit.part.1 +00000638 l F .text 00000008 __fp_lock 00000000 l df *ABS* 00000000 fvwrite.c 00000000 l df *ABS* 00000000 fwalk.c 00000000 l df *ABS* 00000000 impure.c -0000585c l O .rwdata 00000424 impure_data +00005840 l O .rwdata 00000424 impure_data 00000000 l df *ABS* 00000000 mallocr.c 00000000 l df *ABS* 00000000 memchr.c 00000000 l df *ABS* 00000000 memcpy.c @@ -122,51 +122,51 @@ SYMBOL TABLE: 00000000 l df *ABS* 00000000 lib2-divmod.c 00000000 l df *ABS* 00000000 lib2-mul.c 00000000 l df *ABS* 00000000 alt_close.c -00003144 l F .text 0000003c alt_get_errno +00003128 l F .text 0000003c alt_get_errno 00000000 l df *ABS* 00000000 alt_dcache_flush.c 00000000 l df *ABS* 00000000 alt_dev.c -00003280 l F .text 0000002c alt_dev_null_write +00003264 l F .text 0000002c alt_dev_null_write 00000000 l df *ABS* 00000000 alt_errno.c 00000000 l df *ABS* 00000000 alt_fstat.c -000032ac l F .text 0000003c alt_get_errno +00003290 l F .text 0000003c alt_get_errno 00000000 l df *ABS* 00000000 alt_isatty.c -000033a0 l F .text 0000003c alt_get_errno +00003384 l F .text 0000003c alt_get_errno 00000000 l df *ABS* 00000000 alt_lseek.c -00003488 l F .text 0000003c alt_get_errno +0000346c l F .text 0000003c alt_get_errno 00000000 l df *ABS* 00000000 alt_main.c 00000000 l df *ABS* 00000000 alt_malloc_lock.c 00000000 l df *ABS* 00000000 alt_read.c -00003664 l F .text 0000003c alt_get_errno +00003648 l F .text 0000003c alt_get_errno 00000000 l df *ABS* 00000000 alt_release_fd.c 00000000 l df *ABS* 00000000 alt_sbrk.c -000072b8 l O .rwdata 00000004 heap_end +0000729c l O .rwdata 00000004 heap_end 00000000 l df *ABS* 00000000 alt_write.c -000038d8 l F .text 0000003c alt_get_errno +000038bc l F .text 0000003c alt_get_errno 00000000 l df *ABS* 00000000 alt_sys_init.c -00003a14 l F .text 00000034 alt_dev_reg -00006230 l O .rwdata 00001060 jtag_uart +000039f8 l F .text 00000034 alt_dev_reg +00006214 l O .rwdata 00001060 jtag_uart 00000000 l df *ABS* 00000000 altera_avalon_jtag_uart_fd.c 00000000 l df *ABS* 00000000 altera_avalon_jtag_uart_init.c -00003d04 l F .text 0000020c altera_avalon_jtag_uart_irq -00003f10 l F .text 000000a0 altera_avalon_jtag_uart_timeout +00003ce8 l F .text 0000020c altera_avalon_jtag_uart_irq +00003ef4 l F .text 000000a0 altera_avalon_jtag_uart_timeout 00000000 l df *ABS* 00000000 altera_avalon_jtag_uart_ioctl.c 00000000 l df *ABS* 00000000 altera_avalon_jtag_uart_read.c 00000000 l df *ABS* 00000000 altera_avalon_jtag_uart_write.c 00000000 l df *ABS* 00000000 altera_avalon_timer_sc.c -00004548 l F .text 00000078 alt_avalon_timer_sc_irq +0000452c l F .text 00000078 alt_avalon_timer_sc_irq 00000000 l df *ABS* 00000000 alt_alarm_start.c 00000000 l df *ABS* 00000000 alt_dev_llist_insert.c -00004768 l F .text 0000003c alt_get_errno +0000474c l F .text 0000003c alt_get_errno 00000000 l df *ABS* 00000000 alt_do_ctors.c 00000000 l df *ABS* 00000000 alt_do_dtors.c 00000000 l df *ABS* 00000000 alt_iic.c 00000000 l df *ABS* 00000000 alt_iic_isr_register.c 00000000 l df *ABS* 00000000 alt_io_redirect.c -00004ba8 l F .text 000000e4 alt_open_fd +00004b8c l F .text 000000e4 alt_open_fd 00000000 l df *ABS* 00000000 alt_irq_vars.c 00000000 l df *ABS* 00000000 alt_open.c -00004d08 l F .text 0000003c alt_get_errno -00004d44 l F .text 000000ec alt_file_locked +00004cec l F .text 0000003c alt_get_errno +00004d28 l F .text 000000ec alt_file_locked 00000000 l df *ABS* 00000000 alt_tick.c 00000000 l df *ABS* 00000000 altera_nios2_gen2_irq.c 00000000 l df *ABS* 00000000 alt_find_dev.c @@ -178,153 +178,153 @@ SYMBOL TABLE: 00000000 l df *ABS* 00000000 __atexit.c 00000000 l df *ABS* 00000000 __call_atexit.c 00000000 l df *ABS* 00000000 alt_exit.c -000072f0 g O .bss 00000004 alt_instruction_exception_handler -00002f14 g F .text 00000054 _isatty_r -000035a0 g F .text 0000007c alt_main -00000338 g F .text 000000c0 _puts_r -0000731c g O .bss 00000100 alt_irq -00002c3c g F .text 00000060 _lseek_r -0000741c g *ABS* 00000000 __alt_heap_start -000021f0 g F .text 0000005c __sseek -00000804 g F .text 00000010 __sinit -0000065c g F .text 00000078 __sfmoreglue -00003640 g F .text 00000024 __malloc_unlock -000057e0 g O .rodata 00000040 divisors -000018dc g F .text 0000015c memmove -000007ec g F .text 00000018 _cleanup -00005130 g F .text 00000024 altera_nios2_gen2_irq_init +000072d4 g O .bss 00000004 alt_instruction_exception_handler +00002ef8 g F .text 00000054 _isatty_r +00003584 g F .text 0000007c alt_main +0000031c g F .text 000000c0 _puts_r +00007300 g O .bss 00000100 alt_irq +00002c20 g F .text 00000060 _lseek_r +00007400 g *ABS* 00000000 __alt_heap_start +000021d4 g F .text 0000005c __sseek +000007e8 g F .text 00000010 __sinit +00000640 g F .text 00000078 __sfmoreglue +00003624 g F .text 00000024 __malloc_unlock +000057c4 g O .rodata 00000040 divisors +000018c0 g F .text 0000015c memmove +000007d0 g F .text 00000018 _cleanup +00005114 g F .text 00000024 altera_nios2_gen2_irq_init 00000000 g F .entry 0000000c __reset -00002eb8 g F .text 0000005c _fstat_r -000072d4 g O .bss 00000004 errno -0000216c g F .text 00000008 __seofread -000072dc g O .bss 00000004 alt_argv -0000f290 g *ABS* 00000000 _gp -000060b0 g O .rwdata 00000180 alt_fd_list -00005154 g F .text 00000090 alt_find_dev -00001794 g F .text 00000148 memcpy -000004ac g F .text 0000000c _cleanup_r -00004c8c g F .text 0000007c alt_io_redirect -000057e0 g *ABS* 00000000 __DTOR_END__ -000003f8 g F .text 00000014 puts -000053b0 g F .text 0000009c alt_exception_cause_generated_bad_addr -00004108 g F .text 0000021c altera_avalon_jtag_uart_read -00003060 g F .text 00000064 .hidden __udivsi3 -000033dc g F .text 000000ac isatty -000072d0 g O .bss 00000004 __malloc_top_pad -00000854 g F .text 000004c8 __sfvwrite_r -000020c4 g F .text 00000054 _sbrk_r -00002e58 g F .text 00000060 _read_r -00003258 g F .text 00000028 alt_dcache_flush -000072b0 g O .rwdata 00000004 alt_max_fd -0000245c g F .text 000000f0 _fclose_r -000027d8 g F .text 00000030 fflush -000072cc g O .bss 00000004 __malloc_max_sbrked_mem -000034c4 g F .text 000000dc lseek -00007290 g O .rwdata 00000004 _global_impure_ptr -00001b60 g F .text 00000564 _realloc_r -0000741c g *ABS* 00000000 __bss_end -00004ab8 g F .text 000000f0 alt_iic_isr_register -00005028 g F .text 00000108 alt_tick -00000824 g F .text 00000018 __fp_lock_all -00004a6c g F .text 0000004c alt_ic_irq_enabled +00002e9c g F .text 0000005c _fstat_r +000072b8 g O .bss 00000004 errno +00002150 g F .text 00000008 __seofread +000072c0 g O .bss 00000004 alt_argv +0000f274 g *ABS* 00000000 _gp +00006094 g O .rwdata 00000180 alt_fd_list +00005138 g F .text 00000090 alt_find_dev +00001778 g F .text 00000148 memcpy +00000490 g F .text 0000000c _cleanup_r +00004c70 g F .text 0000007c alt_io_redirect +000057c4 g *ABS* 00000000 __DTOR_END__ +000003dc g F .text 00000014 puts +00005394 g F .text 0000009c alt_exception_cause_generated_bad_addr +000040ec g F .text 0000021c altera_avalon_jtag_uart_read +00003044 g F .text 00000064 .hidden __udivsi3 +000033c0 g F .text 000000ac isatty +000072b4 g O .bss 00000004 __malloc_top_pad +00000838 g F .text 000004c8 __sfvwrite_r +000020a8 g F .text 00000054 _sbrk_r +00002e3c g F .text 00000060 _read_r +0000323c g F .text 00000028 alt_dcache_flush +00007294 g O .rwdata 00000004 alt_max_fd +00002440 g F .text 000000f0 _fclose_r +000027bc g F .text 00000030 fflush +000072b0 g O .bss 00000004 __malloc_max_sbrked_mem +000034a8 g F .text 000000dc lseek +00007274 g O .rwdata 00000004 _global_impure_ptr +00001b44 g F .text 00000564 _realloc_r +00007400 g *ABS* 00000000 __bss_end +00004a9c g F .text 000000f0 alt_iic_isr_register +0000500c g F .text 00000108 alt_tick +00000808 g F .text 00000018 __fp_lock_all +00004a50 g F .text 0000004c alt_ic_irq_enabled 00000000 g *ABS* 00000000 __alt_mem_mem -00004f8c g F .text 0000009c alt_alarm_stop -000072e4 g O .bss 00000004 alt_irq_active +00004f70 g F .text 0000009c alt_alarm_stop +000072c8 g O .bss 00000004 alt_irq_active 000000fc g F .exceptions 000000d4 alt_irq_handler -00006088 g O .rwdata 00000028 alt_dev_null -000072a8 g O .rwdata 00000008 alt_dev_list -00003914 g F .text 00000100 write -000032e8 g F .text 000000b8 fstat -000030c4 g F .text 00000058 .hidden __umodsi3 -0000741c g *ABS* 00000000 end -00003c44 g F .text 000000c0 altera_avalon_jtag_uart_init +0000606c g O .rwdata 00000028 alt_dev_null +0000728c g O .rwdata 00000008 alt_dev_list +000038f8 g F .text 00000100 write +000032cc g F .text 000000b8 fstat +000030a8 g F .text 00000058 .hidden __umodsi3 +00007400 g *ABS* 00000000 end +00003c28 g F .text 000000c0 altera_avalon_jtag_uart_init 000001d0 g F .exceptions 00000060 alt_instruction_exception_entry -000057e0 g *ABS* 00000000 __CTOR_LIST__ +000057c4 g *ABS* 00000000 __CTOR_LIST__ 00020000 g *ABS* 00000000 __alt_stack_pointer -000045c0 g F .text 0000007c alt_avalon_timer_sc_init -00004324 g F .text 00000224 altera_avalon_jtag_uart_write -00000814 g F .text 00000004 __sfp_lock_acquire -000016b0 g F .text 000000e4 memchr -0000292c g F .text 00000310 _free_r -0000562c g F .text 00000180 __call_exitprocs -00007298 g O .rwdata 00000004 __malloc_sbrk_base +000045a4 g F .text 0000007c alt_avalon_timer_sc_init +00004308 g F .text 00000224 altera_avalon_jtag_uart_write +000007f8 g F .text 00000004 __sfp_lock_acquire +00001694 g F .text 000000e4 memchr +00002910 g F .text 00000310 _free_r +00005610 g F .text 00000180 __call_exitprocs +0000727c g O .rwdata 00000004 __malloc_sbrk_base 00000230 g F .text 00000018 _start -000072e8 g O .bss 00000004 _alt_tick_rate -000072ec g O .bss 00000004 _alt_nticks -000036a0 g F .text 00000104 read -00003a80 g F .text 00000060 alt_sys_init -00005514 g F .text 00000118 __register_exitproc -00003fb0 g F .text 00000068 altera_avalon_jtag_uart_close -0000311c g F .text 00000028 .hidden __mulsi3 -000072f4 g O .bss 00000028 __malloc_current_mallinfo -00003ae0 g F .text 00000060 altera_avalon_jtag_uart_read_fd -000052ec g F .text 000000c4 alt_get_fd -00002408 g F .text 00000054 _close_r -00005498 g F .text 0000007c memcmp -00003ba0 g F .text 00000050 altera_avalon_jtag_uart_close_fd -0000741c g *ABS* 00000000 __alt_stack_base -00003bf0 g F .text 00000054 altera_avalon_jtag_uart_ioctl_fd -000022b4 g F .text 00000154 __swsetup_r -000006d4 g F .text 00000118 __sfp -00005c80 g O .rwdata 00000408 __malloc_av_ -00000820 g F .text 00000004 __sinit_lock_release -00002118 g F .text 00000054 __sread -000051e4 g F .text 00000108 alt_find_file -000047a4 g F .text 000000a4 alt_dev_llist_insert -0000361c g F .text 00000024 __malloc_lock -00003828 g F .text 000000b0 sbrk -0000277c g F .text 0000005c _fflush_r -000072c8 g *ABS* 00000000 __bss_start -00001a38 g F .text 00000128 memset -00000248 g F .text 000000f0 main -000072e0 g O .bss 00000004 alt_envp -000072c8 g O .bss 00000004 __malloc_max_total_mem -00003b40 g F .text 00000060 altera_avalon_jtag_uart_write_fd -0000224c g F .text 00000008 __sclose +000072cc g O .bss 00000004 _alt_tick_rate +000072d0 g O .bss 00000004 _alt_nticks +00003684 g F .text 00000104 read +00003a64 g F .text 00000060 alt_sys_init +000054f8 g F .text 00000118 __register_exitproc +00003f94 g F .text 00000068 altera_avalon_jtag_uart_close +00003100 g F .text 00000028 .hidden __mulsi3 +000072d8 g O .bss 00000028 __malloc_current_mallinfo +00003ac4 g F .text 00000060 altera_avalon_jtag_uart_read_fd +000052d0 g F .text 000000c4 alt_get_fd +000023ec g F .text 00000054 _close_r +0000547c g F .text 0000007c memcmp +00003b84 g F .text 00000050 altera_avalon_jtag_uart_close_fd +00007400 g *ABS* 00000000 __alt_stack_base +00003bd4 g F .text 00000054 altera_avalon_jtag_uart_ioctl_fd +00002298 g F .text 00000154 __swsetup_r +000006b8 g F .text 00000118 __sfp +00005c64 g O .rwdata 00000408 __malloc_av_ +00000804 g F .text 00000004 __sinit_lock_release +000020fc g F .text 00000054 __sread +000051c8 g F .text 00000108 alt_find_file +00004788 g F .text 000000a4 alt_dev_llist_insert +00003600 g F .text 00000024 __malloc_lock +0000380c g F .text 000000b0 sbrk +00002760 g F .text 0000005c _fflush_r +000072ac g *ABS* 00000000 __bss_start +00001a1c g F .text 00000128 memset +00000248 g F .text 000000d4 main +000072c4 g O .bss 00000004 alt_envp +000072ac g O .bss 00000004 __malloc_max_total_mem +00003b24 g F .text 00000060 altera_avalon_jtag_uart_write_fd +00002230 g F .text 00000008 __sclose 00020000 g *ABS* 00000000 __alt_heap_limit -0000254c g F .text 00000014 fclose -00000ea4 g F .text 0000080c _malloc_r -000072b4 g O .rwdata 00000004 alt_errno -00000d1c g F .text 000000c4 _fwalk -00002f68 g F .text 00000084 .hidden __divsi3 -00002808 g F .text 00000124 _malloc_trim_r -000057e0 g *ABS* 00000000 __CTOR_END__ -000057e0 g *ABS* 00000000 __DTOR_LIST__ -00003a48 g F .text 00000038 alt_irq_init -000037a4 g F .text 00000084 alt_release_fd -0000544c g F .text 00000014 atexit -00002254 g F .text 00000060 _write_r -00007294 g O .rwdata 00000004 _impure_ptr -000072d8 g O .bss 00000004 alt_argc -00002560 g F .text 0000021c __sflush_r -000048a8 g F .text 00000060 _do_dtors +00002530 g F .text 00000014 fclose +00000e88 g F .text 0000080c _malloc_r +00007298 g O .rwdata 00000004 alt_errno +00000d00 g F .text 000000c4 _fwalk +00002f4c g F .text 00000084 .hidden __divsi3 +000027ec g F .text 00000124 _malloc_trim_r +000057c4 g *ABS* 00000000 __CTOR_END__ +000057c4 g *ABS* 00000000 __DTOR_LIST__ +00003a2c g F .text 00000038 alt_irq_init +00003788 g F .text 00000084 alt_release_fd +00005430 g F .text 00000014 atexit +00002238 g F .text 00000060 _write_r +00007278 g O .rwdata 00000004 _impure_ptr +000072bc g O .bss 00000004 alt_argc +00002544 g F .text 0000021c __sflush_r +0000488c g F .text 00000060 _do_dtors 00000020 g .exceptions 00000000 alt_irq_entry -0000083c g F .text 00000018 __fp_unlock_all -000072a0 g O .rwdata 00000008 alt_fs_list -00004908 g F .text 00000050 alt_ic_isr_register -000072c8 g *ABS* 00000000 _edata -0000741c g *ABS* 00000000 _end -00004018 g F .text 000000f0 altera_avalon_jtag_uart_ioctl -000049e0 g F .text 0000008c alt_ic_irq_disable -00002174 g F .text 0000007c __swrite -0000729c g O .rwdata 00000004 __malloc_trim_threshold -00005460 g F .text 00000038 exit -00000de0 g F .text 000000c4 _fwalk_reent -00002fec g F .text 00000074 .hidden __modsi3 +00000820 g F .text 00000018 __fp_unlock_all +00007284 g O .rwdata 00000008 alt_fs_list +000048ec g F .text 00000050 alt_ic_isr_register +000072ac g *ABS* 00000000 _edata +00007400 g *ABS* 00000000 _end +00003ffc g F .text 000000f0 altera_avalon_jtag_uart_ioctl +000049c4 g F .text 0000008c alt_ic_irq_disable +00002158 g F .text 0000007c __swrite +00007280 g O .rwdata 00000004 __malloc_trim_threshold +00005444 g F .text 00000038 exit +00000dc4 g F .text 000000c4 _fwalk_reent +00002fd0 g F .text 00000074 .hidden __modsi3 00020000 g *ABS* 00000000 __alt_data_end 00000020 g F .exceptions 00000000 alt_exception -00000818 g F .text 00000004 __sfp_lock_release -000057ac g F .text 00000034 _exit -0000463c g F .text 0000012c alt_alarm_start -00002c9c g F .text 000001bc __smakebuf_r -0000040c g F .text 00000098 strlen -00004e30 g F .text 0000015c open -000072bc g O .rwdata 00000004 alt_priority_mask -00004958 g F .text 00000088 alt_ic_irq_enable -000072c0 g O .rwdata 00000008 alt_alarm_list -00004848 g F .text 00000060 _do_ctors -00003180 g F .text 000000d8 close -0000081c g F .text 00000004 __sinit_lock_acquire +000007fc g F .text 00000004 __sfp_lock_release +00005790 g F .text 00000034 _exit +00004620 g F .text 0000012c alt_alarm_start +00002c80 g F .text 000001bc __smakebuf_r +000003f0 g F .text 00000098 strlen +00004e14 g F .text 0000015c open +000072a0 g O .rwdata 00000004 alt_priority_mask +0000493c g F .text 00000088 alt_ic_irq_enable +000072a4 g O .rwdata 00000008 alt_alarm_list +0000482c g F .text 00000060 _do_ctors +00003164 g F .text 000000d8 close +00000800 g F .text 00000004 __sinit_lock_acquire @@ -593,13 +593,13 @@ static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_irq_pending (void) #ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT alt_irq[i].handler(alt_irq[i].context); 13c: 00800034 movhi r2,0 - 140: 109cc704 addi r2,r2,29468 + 140: 109cc004 addi r2,r2,29440 144: e0fffd17 ldw r3,-12(fp) 148: 180690fa slli r3,r3,3 14c: 10c5883a add r2,r2,r3 150: 10c00017 ldw r3,0(r2) 154: 00800034 movhi r2,0 - 158: 109cc704 addi r2,r2,29468 + 158: 109cc004 addi r2,r2,29440 15c: e13ffd17 ldw r4,-12(fp) 160: 200890fa slli r4,r4,3 164: 1105883a add r2,r2,r4 @@ -635,14 +635,14 @@ static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_irq_pending (void) 1a0: e0bffd15 stw r2,-12(fp) } while (1); - 1a4: 003fe106 br 12c <__alt_data_end+0xfffe012c> + 1a4: 003fe106 br 12c active = alt_irq_pending (); 1a8: e0bffb15 stw r2,-20(fp) } while (active); 1ac: e0bffb17 ldw r2,-20(fp) - 1b0: 103fdb1e bne r2,zero,120 <__alt_data_end+0xfffe0120> + 1b0: 103fdb1e bne r2,zero,120 /* * Notify the operating system that interrupt processing is complete. @@ -734,21 +734,21 @@ Disassembly of section .text: movhi gp, %hi(_gp) 238: 06800034 movhi gp,0 ori gp, gp, %lo(_gp) - 23c: d6bca414 ori gp,gp,62096 + 23c: d6bc9d14 ori gp,gp,62068 /* Log that alt_main is about to be called. */ ALT_LOG_PUTS(alt_log_msg_alt_main) /* Call the C entry point. It should never return. */ call alt_main - 240: 00035a00 call 35a0 + 240: 00035840 call 3584 00000244 : /* Wait in infinite loop in case alt_main does return. */ alt_after_alt_main: br alt_after_alt_main - 244: 003fff06 br 244 <__alt_data_end+0xfffe0244> + 244: 003fff06 br 244 00000248
: {0x000000f1, 0x000000f1, 0x000000f1, 0x00000011}, @@ -757,10 +757,10 @@ alt_after_alt_main: int main() { - 248: defffa04 addi sp,sp,-24 - 24c: dfc00515 stw ra,20(sp) - 250: df000415 stw fp,16(sp) - 254: df000404 addi fp,sp,16 + 248: defffb04 addi sp,sp,-20 + 24c: dfc00415 stw ra,16(sp) + 250: df000315 stw fp,12(sp) + 254: df000304 addi fp,sp,12 int i,j; volatile alt_u32 *p; alt_u32 tmp; @@ -769,7608 +769,7595 @@ int main() p = (alt_u32*) SEM_RAM_SLAVE_BASE; 258: 008000b4 movhi r2,2 25c: 10840004 addi r2,r2,4096 - 260: e0bffe15 stw r2,-8(fp) + 260: e0bfff15 stw r2,-4(fp) for (i = 0; i < TIME_SETS; i++) { - 264: e03ffc15 stw zero,-16(fp) - 268: 00002306 br 2f8 - tmp = 0; - 26c: e03fff15 stw zero,-4(fp) + 264: e03ffd15 stw zero,-12(fp) + 268: 00001d06 br 2e0 for (j = TIME_STATES; j > 0; j--) { - 270: 00800104 movi r2,4 - 274: e0bffd15 stw r2,-12(fp) - 278: 00001406 br 2cc - tmp = (tmp << 32) | divisors[i][j - 1]; - 27c: e0ffff17 ldw r3,-4(fp) - 280: 00800804 movi r2,32 - 284: 1888983a sll r4,r3,r2 - 288: e0bffd17 ldw r2,-12(fp) - 28c: 117fffc4 addi r5,r2,-1 - 290: 00800034 movhi r2,0 - 294: 1095f804 addi r2,r2,22496 - 298: e0fffc17 ldw r3,-16(fp) + 26c: 00800104 movi r2,4 + 270: e0bffe15 stw r2,-8(fp) + 274: 00001506 br 2cc + *p = divisors[i][j - 1]; + 278: e0bffe17 ldw r2,-8(fp) + 27c: 113fffc4 addi r4,r2,-1 + 280: 00800034 movhi r2,0 + 284: 1095f104 addi r2,r2,22468 + 288: e0fffd17 ldw r3,-12(fp) + 28c: 18c7883a add r3,r3,r3 + 290: 18c7883a add r3,r3,r3 + 294: 1907883a add r3,r3,r4 + 298: 18c7883a add r3,r3,r3 29c: 18c7883a add r3,r3,r3 - 2a0: 18c7883a add r3,r3,r3 - 2a4: 1947883a add r3,r3,r5 - 2a8: 18c7883a add r3,r3,r3 - 2ac: 18c7883a add r3,r3,r3 - 2b0: 10c5883a add r2,r2,r3 - 2b4: 10800017 ldw r2,0(r2) - 2b8: 2084b03a or r2,r4,r2 + 2a0: 10c5883a add r2,r2,r3 + 2a4: 10c00017 ldw r3,0(r2) + 2a8: e0bfff17 ldw r2,-4(fp) + 2ac: 10c00015 stw r3,0(r2) + alt_dcache_flush(); + 2b0: 000323c0 call 323c + ++p; + 2b4: e0bfff17 ldw r2,-4(fp) + 2b8: 10800104 addi r2,r2,4 2bc: e0bfff15 stw r2,-4(fp) + alt_u32 tmp; //program divisors p = (alt_u32*) SEM_RAM_SLAVE_BASE; for (i = 0; i < TIME_SETS; i++) { - tmp = 0; for (j = TIME_STATES; j > 0; j--) { - 2c0: e0bffd17 ldw r2,-12(fp) + 2c0: e0bffe17 ldw r2,-8(fp) 2c4: 10bfffc4 addi r2,r2,-1 - 2c8: e0bffd15 stw r2,-12(fp) - 2cc: e0bffd17 ldw r2,-12(fp) - 2d0: 00bfea16 blt zero,r2,27c <__alt_data_end+0xfffe027c> - tmp = (tmp << 32) | divisors[i][j - 1]; - } - *p = tmp; - 2d4: e0bffe17 ldw r2,-8(fp) - 2d8: e0ffff17 ldw r3,-4(fp) - 2dc: 10c00015 stw r3,0(r2) - p++; - 2e0: e0bffe17 ldw r2,-8(fp) - 2e4: 10800104 addi r2,r2,4 - 2e8: e0bffe15 stw r2,-8(fp) + 2c8: e0bffe15 stw r2,-8(fp) + 2cc: e0bffe17 ldw r2,-8(fp) + 2d0: 00bfe916 blt zero,r2,278 volatile alt_u32 *p; alt_u32 tmp; //program divisors p = (alt_u32*) SEM_RAM_SLAVE_BASE; for (i = 0; i < TIME_SETS; i++) { - 2ec: e0bffc17 ldw r2,-16(fp) - 2f0: 10800044 addi r2,r2,1 - 2f4: e0bffc15 stw r2,-16(fp) - 2f8: e0bffc17 ldw r2,-16(fp) - 2fc: 10800110 cmplti r2,r2,4 - 300: 103fda1e bne r2,zero,26c <__alt_data_end+0xfffe026c> - *p = tmp; - p++; - } + 2d4: e0bffd17 ldw r2,-12(fp) + 2d8: 10800044 addi r2,r2,1 + 2dc: e0bffd15 stw r2,-12(fp) + 2e0: e0bffd17 ldw r2,-12(fp) + 2e4: 10800110 cmplti r2,r2,4 + 2e8: 103fe01e bne r2,zero,26c //since we use pointers (cached data access) to write divisor RAM, //and not direct i/o access with IOWR, we need to flush cache - alt_dcache_flush(); - 304: 00032580 call 3258 + // alt_dcache_flush(); //select timeset and run semafor IOWR_ALTERA_AVALON_SEM_DIVSET(SEM_CTL_SLAVE_BASE,0x00); - 308: 0007883a mov r3,zero - 30c: 008000b4 movhi r2,2 - 310: 10841904 addi r2,r2,4196 - 314: 10c00035 stwio r3,0(r2) + 2ec: 0007883a mov r3,zero + 2f0: 008000b4 movhi r2,2 + 2f4: 10841904 addi r2,r2,4196 + 2f8: 10c00035 stwio r3,0(r2) IOWR_ALTERA_AVALON_SEM_CTL(SEM_CTL_SLAVE_BASE,0x01); - 318: 00c00044 movi r3,1 - 31c: 008000b4 movhi r2,2 - 320: 10841804 addi r2,r2,4192 - 324: 10c00035 stwio r3,0(r2) + 2fc: 00c00044 movi r3,1 + 300: 008000b4 movhi r2,2 + 304: 10841804 addi r2,r2,4192 + 308: 10c00035 stwio r3,0(r2) printf("Ready\n"); - 328: 01000034 movhi r4,0 - 32c: 21160804 addi r4,r4,22560 - 330: 00003f80 call 3f8 + 30c: 01000034 movhi r4,0 + 310: 21160104 addi r4,r4,22532 + 314: 00003dc0 call 3dc while (1) { ; } - 334: 003fff06 br 334 <__alt_data_end+0xfffe0334> + 318: 003fff06 br 318 -00000338 <_puts_r>: - 338: defff604 addi sp,sp,-40 - 33c: dc000715 stw r16,28(sp) - 340: 2021883a mov r16,r4 - 344: 2809883a mov r4,r5 - 348: dc400815 stw r17,32(sp) - 34c: dfc00915 stw ra,36(sp) - 350: 2823883a mov r17,r5 - 354: 000040c0 call 40c - 358: 10c00044 addi r3,r2,1 - 35c: d8800115 stw r2,4(sp) - 360: 00800034 movhi r2,0 - 364: 10960a04 addi r2,r2,22568 - 368: d8800215 stw r2,8(sp) - 36c: 00800044 movi r2,1 - 370: d8800315 stw r2,12(sp) - 374: 00800084 movi r2,2 - 378: dc400015 stw r17,0(sp) - 37c: d8c00615 stw r3,24(sp) - 380: dec00415 stw sp,16(sp) - 384: d8800515 stw r2,20(sp) - 388: 80000226 beq r16,zero,394 <_puts_r+0x5c> - 38c: 80800e17 ldw r2,56(r16) - 390: 10001426 beq r2,zero,3e4 <_puts_r+0xac> - 394: 81400217 ldw r5,8(r16) - 398: 2880030b ldhu r2,12(r5) - 39c: 10c8000c andi r3,r2,8192 - 3a0: 1800061e bne r3,zero,3bc <_puts_r+0x84> - 3a4: 29001917 ldw r4,100(r5) - 3a8: 00f7ffc4 movi r3,-8193 - 3ac: 10880014 ori r2,r2,8192 - 3b0: 20c6703a and r3,r4,r3 - 3b4: 2880030d sth r2,12(r5) - 3b8: 28c01915 stw r3,100(r5) - 3bc: d9800404 addi r6,sp,16 - 3c0: 8009883a mov r4,r16 - 3c4: 00008540 call 854 <__sfvwrite_r> - 3c8: 1000091e bne r2,zero,3f0 <_puts_r+0xb8> - 3cc: 00800284 movi r2,10 - 3d0: dfc00917 ldw ra,36(sp) - 3d4: dc400817 ldw r17,32(sp) - 3d8: dc000717 ldw r16,28(sp) - 3dc: dec00a04 addi sp,sp,40 - 3e0: f800283a ret - 3e4: 8009883a mov r4,r16 - 3e8: 00008040 call 804 <__sinit> - 3ec: 003fe906 br 394 <__alt_data_end+0xfffe0394> - 3f0: 00bfffc4 movi r2,-1 - 3f4: 003ff606 br 3d0 <__alt_data_end+0xfffe03d0> +0000031c <_puts_r>: + 31c: defff604 addi sp,sp,-40 + 320: dc000715 stw r16,28(sp) + 324: 2021883a mov r16,r4 + 328: 2809883a mov r4,r5 + 32c: dc400815 stw r17,32(sp) + 330: dfc00915 stw ra,36(sp) + 334: 2823883a mov r17,r5 + 338: 00003f00 call 3f0 + 33c: 10c00044 addi r3,r2,1 + 340: d8800115 stw r2,4(sp) + 344: 00800034 movhi r2,0 + 348: 10960304 addi r2,r2,22540 + 34c: d8800215 stw r2,8(sp) + 350: 00800044 movi r2,1 + 354: d8800315 stw r2,12(sp) + 358: 00800084 movi r2,2 + 35c: dc400015 stw r17,0(sp) + 360: d8c00615 stw r3,24(sp) + 364: dec00415 stw sp,16(sp) + 368: d8800515 stw r2,20(sp) + 36c: 80000226 beq r16,zero,378 <_puts_r+0x5c> + 370: 80800e17 ldw r2,56(r16) + 374: 10001426 beq r2,zero,3c8 <_puts_r+0xac> + 378: 81400217 ldw r5,8(r16) + 37c: 2880030b ldhu r2,12(r5) + 380: 10c8000c andi r3,r2,8192 + 384: 1800061e bne r3,zero,3a0 <_puts_r+0x84> + 388: 29001917 ldw r4,100(r5) + 38c: 00f7ffc4 movi r3,-8193 + 390: 10880014 ori r2,r2,8192 + 394: 20c6703a and r3,r4,r3 + 398: 2880030d sth r2,12(r5) + 39c: 28c01915 stw r3,100(r5) + 3a0: d9800404 addi r6,sp,16 + 3a4: 8009883a mov r4,r16 + 3a8: 00008380 call 838 <__sfvwrite_r> + 3ac: 1000091e bne r2,zero,3d4 <_puts_r+0xb8> + 3b0: 00800284 movi r2,10 + 3b4: dfc00917 ldw ra,36(sp) + 3b8: dc400817 ldw r17,32(sp) + 3bc: dc000717 ldw r16,28(sp) + 3c0: dec00a04 addi sp,sp,40 + 3c4: f800283a ret + 3c8: 8009883a mov r4,r16 + 3cc: 00007e80 call 7e8 <__sinit> + 3d0: 003fe906 br 378 <_puts_r+0x5c> + 3d4: 00bfffc4 movi r2,-1 + 3d8: 003ff606 br 3b4 <_puts_r+0x98> -000003f8 : - 3f8: 00800034 movhi r2,0 - 3fc: 109ca504 addi r2,r2,29332 - 400: 200b883a mov r5,r4 - 404: 11000017 ldw r4,0(r2) - 408: 00003381 jmpi 338 <_puts_r> +000003dc : + 3dc: 00800034 movhi r2,0 + 3e0: 109c9e04 addi r2,r2,29304 + 3e4: 200b883a mov r5,r4 + 3e8: 11000017 ldw r4,0(r2) + 3ec: 000031c1 jmpi 31c <_puts_r> -0000040c : - 40c: 208000cc andi r2,r4,3 - 410: 10002026 beq r2,zero,494 - 414: 20800007 ldb r2,0(r4) - 418: 10002026 beq r2,zero,49c - 41c: 2005883a mov r2,r4 - 420: 00000206 br 42c - 424: 10c00007 ldb r3,0(r2) - 428: 18001826 beq r3,zero,48c - 42c: 10800044 addi r2,r2,1 - 430: 10c000cc andi r3,r2,3 - 434: 183ffb1e bne r3,zero,424 <__alt_data_end+0xfffe0424> - 438: 10c00017 ldw r3,0(r2) - 43c: 01ffbff4 movhi r7,65279 - 440: 39ffbfc4 addi r7,r7,-257 - 444: 00ca303a nor r5,zero,r3 - 448: 01a02074 movhi r6,32897 - 44c: 19c7883a add r3,r3,r7 - 450: 31a02004 addi r6,r6,-32640 - 454: 1946703a and r3,r3,r5 +000003f0 : + 3f0: 208000cc andi r2,r4,3 + 3f4: 10002026 beq r2,zero,478 + 3f8: 20800007 ldb r2,0(r4) + 3fc: 10002026 beq r2,zero,480 + 400: 2005883a mov r2,r4 + 404: 00000206 br 410 + 408: 10c00007 ldb r3,0(r2) + 40c: 18001826 beq r3,zero,470 + 410: 10800044 addi r2,r2,1 + 414: 10c000cc andi r3,r2,3 + 418: 183ffb1e bne r3,zero,408 + 41c: 10c00017 ldw r3,0(r2) + 420: 01ffbff4 movhi r7,65279 + 424: 39ffbfc4 addi r7,r7,-257 + 428: 00ca303a nor r5,zero,r3 + 42c: 01a02074 movhi r6,32897 + 430: 19c7883a add r3,r3,r7 + 434: 31a02004 addi r6,r6,-32640 + 438: 1946703a and r3,r3,r5 + 43c: 1986703a and r3,r3,r6 + 440: 1800091e bne r3,zero,468 + 444: 10800104 addi r2,r2,4 + 448: 10c00017 ldw r3,0(r2) + 44c: 19cb883a add r5,r3,r7 + 450: 00c6303a nor r3,zero,r3 + 454: 28c6703a and r3,r5,r3 458: 1986703a and r3,r3,r6 - 45c: 1800091e bne r3,zero,484 - 460: 10800104 addi r2,r2,4 - 464: 10c00017 ldw r3,0(r2) - 468: 19cb883a add r5,r3,r7 - 46c: 00c6303a nor r3,zero,r3 - 470: 28c6703a and r3,r5,r3 - 474: 1986703a and r3,r3,r6 - 478: 183ff926 beq r3,zero,460 <__alt_data_end+0xfffe0460> - 47c: 00000106 br 484 - 480: 10800044 addi r2,r2,1 - 484: 10c00007 ldb r3,0(r2) - 488: 183ffd1e bne r3,zero,480 <__alt_data_end+0xfffe0480> - 48c: 1105c83a sub r2,r2,r4 - 490: f800283a ret - 494: 2005883a mov r2,r4 - 498: 003fe706 br 438 <__alt_data_end+0xfffe0438> - 49c: 0005883a mov r2,zero - 4a0: f800283a ret + 45c: 183ff926 beq r3,zero,444 + 460: 00000106 br 468 + 464: 10800044 addi r2,r2,1 + 468: 10c00007 ldb r3,0(r2) + 46c: 183ffd1e bne r3,zero,464 + 470: 1105c83a sub r2,r2,r4 + 474: f800283a ret + 478: 2005883a mov r2,r4 + 47c: 003fe706 br 41c + 480: 0005883a mov r2,zero + 484: f800283a ret -000004a4 <__fp_unlock>: - 4a4: 0005883a mov r2,zero - 4a8: f800283a ret +00000488 <__fp_unlock>: + 488: 0005883a mov r2,zero + 48c: f800283a ret -000004ac <_cleanup_r>: - 4ac: 01400034 movhi r5,0 - 4b0: 29491704 addi r5,r5,9308 - 4b4: 0000de01 jmpi de0 <_fwalk_reent> +00000490 <_cleanup_r>: + 490: 01400034 movhi r5,0 + 494: 29491004 addi r5,r5,9280 + 498: 0000dc41 jmpi dc4 <_fwalk_reent> -000004b8 <__sinit.part.1>: - 4b8: defff704 addi sp,sp,-36 - 4bc: 00c00034 movhi r3,0 - 4c0: dfc00815 stw ra,32(sp) - 4c4: ddc00715 stw r23,28(sp) - 4c8: dd800615 stw r22,24(sp) - 4cc: dd400515 stw r21,20(sp) - 4d0: dd000415 stw r20,16(sp) - 4d4: dcc00315 stw r19,12(sp) - 4d8: dc800215 stw r18,8(sp) - 4dc: dc400115 stw r17,4(sp) - 4e0: dc000015 stw r16,0(sp) - 4e4: 18c12b04 addi r3,r3,1196 - 4e8: 24000117 ldw r16,4(r4) - 4ec: 20c00f15 stw r3,60(r4) - 4f0: 2080bb04 addi r2,r4,748 - 4f4: 00c000c4 movi r3,3 - 4f8: 20c0b915 stw r3,740(r4) - 4fc: 2080ba15 stw r2,744(r4) - 500: 2000b815 stw zero,736(r4) - 504: 05c00204 movi r23,8 - 508: 00800104 movi r2,4 - 50c: 2025883a mov r18,r4 - 510: b80d883a mov r6,r23 - 514: 81001704 addi r4,r16,92 - 518: 000b883a mov r5,zero - 51c: 80000015 stw zero,0(r16) - 520: 80000115 stw zero,4(r16) - 524: 80000215 stw zero,8(r16) - 528: 8080030d sth r2,12(r16) - 52c: 80001915 stw zero,100(r16) - 530: 8000038d sth zero,14(r16) - 534: 80000415 stw zero,16(r16) - 538: 80000515 stw zero,20(r16) - 53c: 80000615 stw zero,24(r16) - 540: 0001a380 call 1a38 - 544: 05800034 movhi r22,0 - 548: 94400217 ldw r17,8(r18) - 54c: 05400034 movhi r21,0 - 550: 05000034 movhi r20,0 - 554: 04c00034 movhi r19,0 - 558: b5884604 addi r22,r22,8472 - 55c: ad485d04 addi r21,r21,8564 - 560: a5087c04 addi r20,r20,8688 - 564: 9cc89304 addi r19,r19,8780 - 568: 85800815 stw r22,32(r16) - 56c: 85400915 stw r21,36(r16) - 570: 85000a15 stw r20,40(r16) - 574: 84c00b15 stw r19,44(r16) - 578: 84000715 stw r16,28(r16) - 57c: 00800284 movi r2,10 - 580: 8880030d sth r2,12(r17) - 584: 00800044 movi r2,1 - 588: b80d883a mov r6,r23 - 58c: 89001704 addi r4,r17,92 - 590: 000b883a mov r5,zero - 594: 88000015 stw zero,0(r17) - 598: 88000115 stw zero,4(r17) - 59c: 88000215 stw zero,8(r17) - 5a0: 88001915 stw zero,100(r17) - 5a4: 8880038d sth r2,14(r17) - 5a8: 88000415 stw zero,16(r17) - 5ac: 88000515 stw zero,20(r17) - 5b0: 88000615 stw zero,24(r17) - 5b4: 0001a380 call 1a38 - 5b8: 94000317 ldw r16,12(r18) - 5bc: 00800484 movi r2,18 - 5c0: 8c400715 stw r17,28(r17) - 5c4: 8d800815 stw r22,32(r17) - 5c8: 8d400915 stw r21,36(r17) - 5cc: 8d000a15 stw r20,40(r17) - 5d0: 8cc00b15 stw r19,44(r17) - 5d4: 8080030d sth r2,12(r16) - 5d8: 00800084 movi r2,2 - 5dc: 80000015 stw zero,0(r16) - 5e0: 80000115 stw zero,4(r16) - 5e4: 80000215 stw zero,8(r16) - 5e8: 80001915 stw zero,100(r16) - 5ec: 8080038d sth r2,14(r16) - 5f0: 80000415 stw zero,16(r16) - 5f4: 80000515 stw zero,20(r16) - 5f8: 80000615 stw zero,24(r16) - 5fc: b80d883a mov r6,r23 - 600: 000b883a mov r5,zero - 604: 81001704 addi r4,r16,92 - 608: 0001a380 call 1a38 - 60c: 00800044 movi r2,1 - 610: 84000715 stw r16,28(r16) - 614: 85800815 stw r22,32(r16) - 618: 85400915 stw r21,36(r16) - 61c: 85000a15 stw r20,40(r16) - 620: 84c00b15 stw r19,44(r16) - 624: 90800e15 stw r2,56(r18) - 628: dfc00817 ldw ra,32(sp) - 62c: ddc00717 ldw r23,28(sp) - 630: dd800617 ldw r22,24(sp) - 634: dd400517 ldw r21,20(sp) - 638: dd000417 ldw r20,16(sp) - 63c: dcc00317 ldw r19,12(sp) - 640: dc800217 ldw r18,8(sp) - 644: dc400117 ldw r17,4(sp) - 648: dc000017 ldw r16,0(sp) - 64c: dec00904 addi sp,sp,36 - 650: f800283a ret +0000049c <__sinit.part.1>: + 49c: defff704 addi sp,sp,-36 + 4a0: 00c00034 movhi r3,0 + 4a4: dfc00815 stw ra,32(sp) + 4a8: ddc00715 stw r23,28(sp) + 4ac: dd800615 stw r22,24(sp) + 4b0: dd400515 stw r21,20(sp) + 4b4: dd000415 stw r20,16(sp) + 4b8: dcc00315 stw r19,12(sp) + 4bc: dc800215 stw r18,8(sp) + 4c0: dc400115 stw r17,4(sp) + 4c4: dc000015 stw r16,0(sp) + 4c8: 18c12404 addi r3,r3,1168 + 4cc: 24000117 ldw r16,4(r4) + 4d0: 20c00f15 stw r3,60(r4) + 4d4: 2080bb04 addi r2,r4,748 + 4d8: 00c000c4 movi r3,3 + 4dc: 20c0b915 stw r3,740(r4) + 4e0: 2080ba15 stw r2,744(r4) + 4e4: 2000b815 stw zero,736(r4) + 4e8: 05c00204 movi r23,8 + 4ec: 00800104 movi r2,4 + 4f0: 2025883a mov r18,r4 + 4f4: b80d883a mov r6,r23 + 4f8: 81001704 addi r4,r16,92 + 4fc: 000b883a mov r5,zero + 500: 80000015 stw zero,0(r16) + 504: 80000115 stw zero,4(r16) + 508: 80000215 stw zero,8(r16) + 50c: 8080030d sth r2,12(r16) + 510: 80001915 stw zero,100(r16) + 514: 8000038d sth zero,14(r16) + 518: 80000415 stw zero,16(r16) + 51c: 80000515 stw zero,20(r16) + 520: 80000615 stw zero,24(r16) + 524: 0001a1c0 call 1a1c + 528: 05800034 movhi r22,0 + 52c: 94400217 ldw r17,8(r18) + 530: 05400034 movhi r21,0 + 534: 05000034 movhi r20,0 + 538: 04c00034 movhi r19,0 + 53c: b5883f04 addi r22,r22,8444 + 540: ad485604 addi r21,r21,8536 + 544: a5087504 addi r20,r20,8660 + 548: 9cc88c04 addi r19,r19,8752 + 54c: 85800815 stw r22,32(r16) + 550: 85400915 stw r21,36(r16) + 554: 85000a15 stw r20,40(r16) + 558: 84c00b15 stw r19,44(r16) + 55c: 84000715 stw r16,28(r16) + 560: 00800284 movi r2,10 + 564: 8880030d sth r2,12(r17) + 568: 00800044 movi r2,1 + 56c: b80d883a mov r6,r23 + 570: 89001704 addi r4,r17,92 + 574: 000b883a mov r5,zero + 578: 88000015 stw zero,0(r17) + 57c: 88000115 stw zero,4(r17) + 580: 88000215 stw zero,8(r17) + 584: 88001915 stw zero,100(r17) + 588: 8880038d sth r2,14(r17) + 58c: 88000415 stw zero,16(r17) + 590: 88000515 stw zero,20(r17) + 594: 88000615 stw zero,24(r17) + 598: 0001a1c0 call 1a1c + 59c: 94000317 ldw r16,12(r18) + 5a0: 00800484 movi r2,18 + 5a4: 8c400715 stw r17,28(r17) + 5a8: 8d800815 stw r22,32(r17) + 5ac: 8d400915 stw r21,36(r17) + 5b0: 8d000a15 stw r20,40(r17) + 5b4: 8cc00b15 stw r19,44(r17) + 5b8: 8080030d sth r2,12(r16) + 5bc: 00800084 movi r2,2 + 5c0: 80000015 stw zero,0(r16) + 5c4: 80000115 stw zero,4(r16) + 5c8: 80000215 stw zero,8(r16) + 5cc: 80001915 stw zero,100(r16) + 5d0: 8080038d sth r2,14(r16) + 5d4: 80000415 stw zero,16(r16) + 5d8: 80000515 stw zero,20(r16) + 5dc: 80000615 stw zero,24(r16) + 5e0: b80d883a mov r6,r23 + 5e4: 000b883a mov r5,zero + 5e8: 81001704 addi r4,r16,92 + 5ec: 0001a1c0 call 1a1c + 5f0: 00800044 movi r2,1 + 5f4: 84000715 stw r16,28(r16) + 5f8: 85800815 stw r22,32(r16) + 5fc: 85400915 stw r21,36(r16) + 600: 85000a15 stw r20,40(r16) + 604: 84c00b15 stw r19,44(r16) + 608: 90800e15 stw r2,56(r18) + 60c: dfc00817 ldw ra,32(sp) + 610: ddc00717 ldw r23,28(sp) + 614: dd800617 ldw r22,24(sp) + 618: dd400517 ldw r21,20(sp) + 61c: dd000417 ldw r20,16(sp) + 620: dcc00317 ldw r19,12(sp) + 624: dc800217 ldw r18,8(sp) + 628: dc400117 ldw r17,4(sp) + 62c: dc000017 ldw r16,0(sp) + 630: dec00904 addi sp,sp,36 + 634: f800283a ret -00000654 <__fp_lock>: - 654: 0005883a mov r2,zero - 658: f800283a ret +00000638 <__fp_lock>: + 638: 0005883a mov r2,zero + 63c: f800283a ret -0000065c <__sfmoreglue>: - 65c: defffc04 addi sp,sp,-16 - 660: dc800215 stw r18,8(sp) - 664: 2825883a mov r18,r5 - 668: dc000015 stw r16,0(sp) - 66c: 01401a04 movi r5,104 - 670: 2021883a mov r16,r4 - 674: 913fffc4 addi r4,r18,-1 - 678: dfc00315 stw ra,12(sp) - 67c: dc400115 stw r17,4(sp) - 680: 000311c0 call 311c <__mulsi3> - 684: 8009883a mov r4,r16 - 688: 11401d04 addi r5,r2,116 - 68c: 1023883a mov r17,r2 - 690: 0000ea40 call ea4 <_malloc_r> - 694: 1021883a mov r16,r2 - 698: 10000726 beq r2,zero,6b8 <__sfmoreglue+0x5c> - 69c: 11000304 addi r4,r2,12 - 6a0: 10000015 stw zero,0(r2) - 6a4: 14800115 stw r18,4(r2) - 6a8: 11000215 stw r4,8(r2) - 6ac: 89801a04 addi r6,r17,104 - 6b0: 000b883a mov r5,zero - 6b4: 0001a380 call 1a38 - 6b8: 8005883a mov r2,r16 - 6bc: dfc00317 ldw ra,12(sp) - 6c0: dc800217 ldw r18,8(sp) - 6c4: dc400117 ldw r17,4(sp) - 6c8: dc000017 ldw r16,0(sp) - 6cc: dec00404 addi sp,sp,16 - 6d0: f800283a ret +00000640 <__sfmoreglue>: + 640: defffc04 addi sp,sp,-16 + 644: dc800215 stw r18,8(sp) + 648: 2825883a mov r18,r5 + 64c: dc000015 stw r16,0(sp) + 650: 01401a04 movi r5,104 + 654: 2021883a mov r16,r4 + 658: 913fffc4 addi r4,r18,-1 + 65c: dfc00315 stw ra,12(sp) + 660: dc400115 stw r17,4(sp) + 664: 00031000 call 3100 <__mulsi3> + 668: 8009883a mov r4,r16 + 66c: 11401d04 addi r5,r2,116 + 670: 1023883a mov r17,r2 + 674: 0000e880 call e88 <_malloc_r> + 678: 1021883a mov r16,r2 + 67c: 10000726 beq r2,zero,69c <__sfmoreglue+0x5c> + 680: 11000304 addi r4,r2,12 + 684: 10000015 stw zero,0(r2) + 688: 14800115 stw r18,4(r2) + 68c: 11000215 stw r4,8(r2) + 690: 89801a04 addi r6,r17,104 + 694: 000b883a mov r5,zero + 698: 0001a1c0 call 1a1c + 69c: 8005883a mov r2,r16 + 6a0: dfc00317 ldw ra,12(sp) + 6a4: dc800217 ldw r18,8(sp) + 6a8: dc400117 ldw r17,4(sp) + 6ac: dc000017 ldw r16,0(sp) + 6b0: dec00404 addi sp,sp,16 + 6b4: f800283a ret -000006d4 <__sfp>: - 6d4: defffb04 addi sp,sp,-20 - 6d8: dc000015 stw r16,0(sp) - 6dc: 04000034 movhi r16,0 - 6e0: 841ca404 addi r16,r16,29328 - 6e4: dcc00315 stw r19,12(sp) - 6e8: 2027883a mov r19,r4 +000006b8 <__sfp>: + 6b8: defffb04 addi sp,sp,-20 + 6bc: dc000015 stw r16,0(sp) + 6c0: 04000034 movhi r16,0 + 6c4: 841c9d04 addi r16,r16,29300 + 6c8: dcc00315 stw r19,12(sp) + 6cc: 2027883a mov r19,r4 + 6d0: 81000017 ldw r4,0(r16) + 6d4: dfc00415 stw ra,16(sp) + 6d8: dc800215 stw r18,8(sp) + 6dc: 20800e17 ldw r2,56(r4) + 6e0: dc400115 stw r17,4(sp) + 6e4: 1000021e bne r2,zero,6f0 <__sfp+0x38> + 6e8: 000049c0 call 49c <__sinit.part.1> 6ec: 81000017 ldw r4,0(r16) - 6f0: dfc00415 stw ra,16(sp) - 6f4: dc800215 stw r18,8(sp) - 6f8: 20800e17 ldw r2,56(r4) - 6fc: dc400115 stw r17,4(sp) - 700: 1000021e bne r2,zero,70c <__sfp+0x38> - 704: 00004b80 call 4b8 <__sinit.part.1> - 708: 81000017 ldw r4,0(r16) - 70c: 2480b804 addi r18,r4,736 - 710: 047fffc4 movi r17,-1 - 714: 91000117 ldw r4,4(r18) - 718: 94000217 ldw r16,8(r18) - 71c: 213fffc4 addi r4,r4,-1 - 720: 20000a16 blt r4,zero,74c <__sfp+0x78> - 724: 8080030f ldh r2,12(r16) - 728: 10000c26 beq r2,zero,75c <__sfp+0x88> - 72c: 80c01d04 addi r3,r16,116 - 730: 00000206 br 73c <__sfp+0x68> - 734: 18bfe60f ldh r2,-104(r3) - 738: 10000826 beq r2,zero,75c <__sfp+0x88> - 73c: 213fffc4 addi r4,r4,-1 - 740: 1c3ffd04 addi r16,r3,-12 - 744: 18c01a04 addi r3,r3,104 - 748: 247ffa1e bne r4,r17,734 <__alt_data_end+0xfffe0734> - 74c: 90800017 ldw r2,0(r18) - 750: 10001d26 beq r2,zero,7c8 <__sfp+0xf4> - 754: 1025883a mov r18,r2 - 758: 003fee06 br 714 <__alt_data_end+0xfffe0714> - 75c: 00bfffc4 movi r2,-1 - 760: 8080038d sth r2,14(r16) - 764: 00800044 movi r2,1 - 768: 8080030d sth r2,12(r16) - 76c: 80001915 stw zero,100(r16) - 770: 80000015 stw zero,0(r16) - 774: 80000215 stw zero,8(r16) - 778: 80000115 stw zero,4(r16) - 77c: 80000415 stw zero,16(r16) - 780: 80000515 stw zero,20(r16) - 784: 80000615 stw zero,24(r16) - 788: 01800204 movi r6,8 - 78c: 000b883a mov r5,zero - 790: 81001704 addi r4,r16,92 - 794: 0001a380 call 1a38 - 798: 8005883a mov r2,r16 - 79c: 80000c15 stw zero,48(r16) - 7a0: 80000d15 stw zero,52(r16) - 7a4: 80001115 stw zero,68(r16) - 7a8: 80001215 stw zero,72(r16) - 7ac: dfc00417 ldw ra,16(sp) - 7b0: dcc00317 ldw r19,12(sp) - 7b4: dc800217 ldw r18,8(sp) - 7b8: dc400117 ldw r17,4(sp) - 7bc: dc000017 ldw r16,0(sp) - 7c0: dec00504 addi sp,sp,20 - 7c4: f800283a ret - 7c8: 01400104 movi r5,4 - 7cc: 9809883a mov r4,r19 - 7d0: 000065c0 call 65c <__sfmoreglue> - 7d4: 90800015 stw r2,0(r18) - 7d8: 103fde1e bne r2,zero,754 <__alt_data_end+0xfffe0754> - 7dc: 00800304 movi r2,12 - 7e0: 98800015 stw r2,0(r19) - 7e4: 0005883a mov r2,zero - 7e8: 003ff006 br 7ac <__alt_data_end+0xfffe07ac> + 6f0: 2480b804 addi r18,r4,736 + 6f4: 047fffc4 movi r17,-1 + 6f8: 91000117 ldw r4,4(r18) + 6fc: 94000217 ldw r16,8(r18) + 700: 213fffc4 addi r4,r4,-1 + 704: 20000a16 blt r4,zero,730 <__sfp+0x78> + 708: 8080030f ldh r2,12(r16) + 70c: 10000c26 beq r2,zero,740 <__sfp+0x88> + 710: 80c01d04 addi r3,r16,116 + 714: 00000206 br 720 <__sfp+0x68> + 718: 18bfe60f ldh r2,-104(r3) + 71c: 10000826 beq r2,zero,740 <__sfp+0x88> + 720: 213fffc4 addi r4,r4,-1 + 724: 1c3ffd04 addi r16,r3,-12 + 728: 18c01a04 addi r3,r3,104 + 72c: 247ffa1e bne r4,r17,718 <__sfp+0x60> + 730: 90800017 ldw r2,0(r18) + 734: 10001d26 beq r2,zero,7ac <__sfp+0xf4> + 738: 1025883a mov r18,r2 + 73c: 003fee06 br 6f8 <__sfp+0x40> + 740: 00bfffc4 movi r2,-1 + 744: 8080038d sth r2,14(r16) + 748: 00800044 movi r2,1 + 74c: 8080030d sth r2,12(r16) + 750: 80001915 stw zero,100(r16) + 754: 80000015 stw zero,0(r16) + 758: 80000215 stw zero,8(r16) + 75c: 80000115 stw zero,4(r16) + 760: 80000415 stw zero,16(r16) + 764: 80000515 stw zero,20(r16) + 768: 80000615 stw zero,24(r16) + 76c: 01800204 movi r6,8 + 770: 000b883a mov r5,zero + 774: 81001704 addi r4,r16,92 + 778: 0001a1c0 call 1a1c + 77c: 8005883a mov r2,r16 + 780: 80000c15 stw zero,48(r16) + 784: 80000d15 stw zero,52(r16) + 788: 80001115 stw zero,68(r16) + 78c: 80001215 stw zero,72(r16) + 790: dfc00417 ldw ra,16(sp) + 794: dcc00317 ldw r19,12(sp) + 798: dc800217 ldw r18,8(sp) + 79c: dc400117 ldw r17,4(sp) + 7a0: dc000017 ldw r16,0(sp) + 7a4: dec00504 addi sp,sp,20 + 7a8: f800283a ret + 7ac: 01400104 movi r5,4 + 7b0: 9809883a mov r4,r19 + 7b4: 00006400 call 640 <__sfmoreglue> + 7b8: 90800015 stw r2,0(r18) + 7bc: 103fde1e bne r2,zero,738 <__sfp+0x80> + 7c0: 00800304 movi r2,12 + 7c4: 98800015 stw r2,0(r19) + 7c8: 0005883a mov r2,zero + 7cc: 003ff006 br 790 <__sfp+0xd8> -000007ec <_cleanup>: - 7ec: 00800034 movhi r2,0 - 7f0: 109ca404 addi r2,r2,29328 - 7f4: 11000017 ldw r4,0(r2) - 7f8: 01400034 movhi r5,0 - 7fc: 29491704 addi r5,r5,9308 - 800: 0000de01 jmpi de0 <_fwalk_reent> +000007d0 <_cleanup>: + 7d0: 00800034 movhi r2,0 + 7d4: 109c9d04 addi r2,r2,29300 + 7d8: 11000017 ldw r4,0(r2) + 7dc: 01400034 movhi r5,0 + 7e0: 29491004 addi r5,r5,9280 + 7e4: 0000dc41 jmpi dc4 <_fwalk_reent> -00000804 <__sinit>: - 804: 20800e17 ldw r2,56(r4) - 808: 10000126 beq r2,zero,810 <__sinit+0xc> - 80c: f800283a ret - 810: 00004b81 jmpi 4b8 <__sinit.part.1> +000007e8 <__sinit>: + 7e8: 20800e17 ldw r2,56(r4) + 7ec: 10000126 beq r2,zero,7f4 <__sinit+0xc> + 7f0: f800283a ret + 7f4: 000049c1 jmpi 49c <__sinit.part.1> -00000814 <__sfp_lock_acquire>: - 814: f800283a ret +000007f8 <__sfp_lock_acquire>: + 7f8: f800283a ret -00000818 <__sfp_lock_release>: - 818: f800283a ret +000007fc <__sfp_lock_release>: + 7fc: f800283a ret -0000081c <__sinit_lock_acquire>: - 81c: f800283a ret +00000800 <__sinit_lock_acquire>: + 800: f800283a ret -00000820 <__sinit_lock_release>: - 820: f800283a ret +00000804 <__sinit_lock_release>: + 804: f800283a ret -00000824 <__fp_lock_all>: - 824: 00800034 movhi r2,0 - 828: 109ca504 addi r2,r2,29332 - 82c: 11000017 ldw r4,0(r2) - 830: 01400034 movhi r5,0 - 834: 29419504 addi r5,r5,1620 - 838: 0000d1c1 jmpi d1c <_fwalk> +00000808 <__fp_lock_all>: + 808: 00800034 movhi r2,0 + 80c: 109c9e04 addi r2,r2,29304 + 810: 11000017 ldw r4,0(r2) + 814: 01400034 movhi r5,0 + 818: 29418e04 addi r5,r5,1592 + 81c: 0000d001 jmpi d00 <_fwalk> -0000083c <__fp_unlock_all>: - 83c: 00800034 movhi r2,0 - 840: 109ca504 addi r2,r2,29332 - 844: 11000017 ldw r4,0(r2) - 848: 01400034 movhi r5,0 - 84c: 29412904 addi r5,r5,1188 - 850: 0000d1c1 jmpi d1c <_fwalk> +00000820 <__fp_unlock_all>: + 820: 00800034 movhi r2,0 + 824: 109c9e04 addi r2,r2,29304 + 828: 11000017 ldw r4,0(r2) + 82c: 01400034 movhi r5,0 + 830: 29412204 addi r5,r5,1160 + 834: 0000d001 jmpi d00 <_fwalk> -00000854 <__sfvwrite_r>: - 854: 30800217 ldw r2,8(r6) - 858: 10006726 beq r2,zero,9f8 <__sfvwrite_r+0x1a4> - 85c: 28c0030b ldhu r3,12(r5) - 860: defff404 addi sp,sp,-48 - 864: dd400715 stw r21,28(sp) - 868: dd000615 stw r20,24(sp) - 86c: dc000215 stw r16,8(sp) - 870: dfc00b15 stw ra,44(sp) - 874: df000a15 stw fp,40(sp) - 878: ddc00915 stw r23,36(sp) - 87c: dd800815 stw r22,32(sp) - 880: dcc00515 stw r19,20(sp) - 884: dc800415 stw r18,16(sp) - 888: dc400315 stw r17,12(sp) - 88c: 1880020c andi r2,r3,8 - 890: 2821883a mov r16,r5 - 894: 202b883a mov r21,r4 - 898: 3029883a mov r20,r6 - 89c: 10002726 beq r2,zero,93c <__sfvwrite_r+0xe8> - 8a0: 28800417 ldw r2,16(r5) - 8a4: 10002526 beq r2,zero,93c <__sfvwrite_r+0xe8> - 8a8: 1880008c andi r2,r3,2 - 8ac: a4400017 ldw r17,0(r20) - 8b0: 10002a26 beq r2,zero,95c <__sfvwrite_r+0x108> - 8b4: 05a00034 movhi r22,32768 - 8b8: 0027883a mov r19,zero - 8bc: 0025883a mov r18,zero - 8c0: b5bf0004 addi r22,r22,-1024 - 8c4: 980d883a mov r6,r19 - 8c8: a809883a mov r4,r21 - 8cc: 90004626 beq r18,zero,9e8 <__sfvwrite_r+0x194> - 8d0: 900f883a mov r7,r18 - 8d4: b480022e bgeu r22,r18,8e0 <__sfvwrite_r+0x8c> - 8d8: 01e00034 movhi r7,32768 - 8dc: 39ff0004 addi r7,r7,-1024 - 8e0: 80800917 ldw r2,36(r16) - 8e4: 81400717 ldw r5,28(r16) - 8e8: 103ee83a callr r2 - 8ec: 00805a0e bge zero,r2,a58 <__sfvwrite_r+0x204> - 8f0: a0c00217 ldw r3,8(r20) - 8f4: 98a7883a add r19,r19,r2 - 8f8: 90a5c83a sub r18,r18,r2 - 8fc: 1885c83a sub r2,r3,r2 - 900: a0800215 stw r2,8(r20) - 904: 103fef1e bne r2,zero,8c4 <__alt_data_end+0xfffe08c4> - 908: 0005883a mov r2,zero - 90c: dfc00b17 ldw ra,44(sp) - 910: df000a17 ldw fp,40(sp) - 914: ddc00917 ldw r23,36(sp) - 918: dd800817 ldw r22,32(sp) - 91c: dd400717 ldw r21,28(sp) - 920: dd000617 ldw r20,24(sp) - 924: dcc00517 ldw r19,20(sp) - 928: dc800417 ldw r18,16(sp) - 92c: dc400317 ldw r17,12(sp) - 930: dc000217 ldw r16,8(sp) - 934: dec00c04 addi sp,sp,48 - 938: f800283a ret - 93c: 800b883a mov r5,r16 - 940: a809883a mov r4,r21 - 944: 00022b40 call 22b4 <__swsetup_r> - 948: 1000ee1e bne r2,zero,d04 <__sfvwrite_r+0x4b0> - 94c: 80c0030b ldhu r3,12(r16) - 950: a4400017 ldw r17,0(r20) - 954: 1880008c andi r2,r3,2 - 958: 103fd61e bne r2,zero,8b4 <__alt_data_end+0xfffe08b4> - 95c: 1880004c andi r2,r3,1 - 960: 1000421e bne r2,zero,a6c <__sfvwrite_r+0x218> - 964: 0039883a mov fp,zero - 968: 0025883a mov r18,zero - 96c: 90001a26 beq r18,zero,9d8 <__sfvwrite_r+0x184> - 970: 1880800c andi r2,r3,512 - 974: 84c00217 ldw r19,8(r16) - 978: 10002126 beq r2,zero,a00 <__sfvwrite_r+0x1ac> - 97c: 982f883a mov r23,r19 - 980: 94c09636 bltu r18,r19,bdc <__sfvwrite_r+0x388> - 984: 1881200c andi r2,r3,1152 - 988: 1000a11e bne r2,zero,c10 <__sfvwrite_r+0x3bc> - 98c: 81000017 ldw r4,0(r16) - 990: b80d883a mov r6,r23 - 994: e00b883a mov r5,fp - 998: 00018dc0 call 18dc - 99c: 80c00217 ldw r3,8(r16) - 9a0: 81000017 ldw r4,0(r16) - 9a4: 9005883a mov r2,r18 - 9a8: 1ce7c83a sub r19,r3,r19 - 9ac: 25cf883a add r7,r4,r23 - 9b0: 84c00215 stw r19,8(r16) - 9b4: 81c00015 stw r7,0(r16) - 9b8: a0c00217 ldw r3,8(r20) - 9bc: e0b9883a add fp,fp,r2 - 9c0: 90a5c83a sub r18,r18,r2 - 9c4: 18a7c83a sub r19,r3,r2 - 9c8: a4c00215 stw r19,8(r20) - 9cc: 983fce26 beq r19,zero,908 <__alt_data_end+0xfffe0908> - 9d0: 80c0030b ldhu r3,12(r16) - 9d4: 903fe61e bne r18,zero,970 <__alt_data_end+0xfffe0970> - 9d8: 8f000017 ldw fp,0(r17) - 9dc: 8c800117 ldw r18,4(r17) - 9e0: 8c400204 addi r17,r17,8 - 9e4: 003fe106 br 96c <__alt_data_end+0xfffe096c> - 9e8: 8cc00017 ldw r19,0(r17) - 9ec: 8c800117 ldw r18,4(r17) - 9f0: 8c400204 addi r17,r17,8 - 9f4: 003fb306 br 8c4 <__alt_data_end+0xfffe08c4> - 9f8: 0005883a mov r2,zero - 9fc: f800283a ret - a00: 81000017 ldw r4,0(r16) - a04: 80800417 ldw r2,16(r16) - a08: 11005a36 bltu r2,r4,b74 <__sfvwrite_r+0x320> - a0c: 85c00517 ldw r23,20(r16) - a10: 95c05836 bltu r18,r23,b74 <__sfvwrite_r+0x320> - a14: 00a00034 movhi r2,32768 - a18: 10bfffc4 addi r2,r2,-1 - a1c: 9009883a mov r4,r18 - a20: 1480012e bgeu r2,r18,a28 <__sfvwrite_r+0x1d4> - a24: 1009883a mov r4,r2 - a28: b80b883a mov r5,r23 - a2c: 0002f680 call 2f68 <__divsi3> - a30: b80b883a mov r5,r23 - a34: 1009883a mov r4,r2 - a38: 000311c0 call 311c <__mulsi3> - a3c: 81400717 ldw r5,28(r16) - a40: 80c00917 ldw r3,36(r16) - a44: 100f883a mov r7,r2 - a48: e00d883a mov r6,fp - a4c: a809883a mov r4,r21 - a50: 183ee83a callr r3 - a54: 00bfd816 blt zero,r2,9b8 <__alt_data_end+0xfffe09b8> - a58: 8080030b ldhu r2,12(r16) - a5c: 10801014 ori r2,r2,64 - a60: 8080030d sth r2,12(r16) - a64: 00bfffc4 movi r2,-1 - a68: 003fa806 br 90c <__alt_data_end+0xfffe090c> - a6c: 0027883a mov r19,zero - a70: 0011883a mov r8,zero - a74: 0039883a mov fp,zero - a78: 0025883a mov r18,zero - a7c: 90001f26 beq r18,zero,afc <__sfvwrite_r+0x2a8> - a80: 40005a26 beq r8,zero,bec <__sfvwrite_r+0x398> - a84: 982d883a mov r22,r19 - a88: 94c0012e bgeu r18,r19,a90 <__sfvwrite_r+0x23c> - a8c: 902d883a mov r22,r18 - a90: 81000017 ldw r4,0(r16) - a94: 80800417 ldw r2,16(r16) - a98: b02f883a mov r23,r22 - a9c: 81c00517 ldw r7,20(r16) - aa0: 1100032e bgeu r2,r4,ab0 <__sfvwrite_r+0x25c> - aa4: 80c00217 ldw r3,8(r16) - aa8: 38c7883a add r3,r7,r3 - aac: 1d801816 blt r3,r22,b10 <__sfvwrite_r+0x2bc> - ab0: b1c03e16 blt r22,r7,bac <__sfvwrite_r+0x358> - ab4: 80800917 ldw r2,36(r16) - ab8: 81400717 ldw r5,28(r16) - abc: e00d883a mov r6,fp - ac0: da000115 stw r8,4(sp) - ac4: a809883a mov r4,r21 - ac8: 103ee83a callr r2 - acc: 102f883a mov r23,r2 - ad0: da000117 ldw r8,4(sp) - ad4: 00bfe00e bge zero,r2,a58 <__alt_data_end+0xfffe0a58> - ad8: 9de7c83a sub r19,r19,r23 - adc: 98001f26 beq r19,zero,b5c <__sfvwrite_r+0x308> - ae0: a0800217 ldw r2,8(r20) - ae4: e5f9883a add fp,fp,r23 - ae8: 95e5c83a sub r18,r18,r23 - aec: 15efc83a sub r23,r2,r23 - af0: a5c00215 stw r23,8(r20) - af4: b83f8426 beq r23,zero,908 <__alt_data_end+0xfffe0908> - af8: 903fe11e bne r18,zero,a80 <__alt_data_end+0xfffe0a80> - afc: 8f000017 ldw fp,0(r17) - b00: 8c800117 ldw r18,4(r17) - b04: 0011883a mov r8,zero - b08: 8c400204 addi r17,r17,8 - b0c: 003fdb06 br a7c <__alt_data_end+0xfffe0a7c> - b10: 180d883a mov r6,r3 - b14: e00b883a mov r5,fp - b18: da000115 stw r8,4(sp) - b1c: d8c00015 stw r3,0(sp) - b20: 00018dc0 call 18dc - b24: d8c00017 ldw r3,0(sp) - b28: 80800017 ldw r2,0(r16) - b2c: 800b883a mov r5,r16 - b30: a809883a mov r4,r21 - b34: 10c5883a add r2,r2,r3 - b38: 80800015 stw r2,0(r16) - b3c: d8c00015 stw r3,0(sp) - b40: 000277c0 call 277c <_fflush_r> - b44: d8c00017 ldw r3,0(sp) - b48: da000117 ldw r8,4(sp) - b4c: 103fc21e bne r2,zero,a58 <__alt_data_end+0xfffe0a58> - b50: 182f883a mov r23,r3 - b54: 9de7c83a sub r19,r19,r23 - b58: 983fe11e bne r19,zero,ae0 <__alt_data_end+0xfffe0ae0> - b5c: 800b883a mov r5,r16 - b60: a809883a mov r4,r21 - b64: 000277c0 call 277c <_fflush_r> - b68: 103fbb1e bne r2,zero,a58 <__alt_data_end+0xfffe0a58> - b6c: 0011883a mov r8,zero - b70: 003fdb06 br ae0 <__alt_data_end+0xfffe0ae0> - b74: 94c0012e bgeu r18,r19,b7c <__sfvwrite_r+0x328> - b78: 9027883a mov r19,r18 - b7c: 980d883a mov r6,r19 - b80: e00b883a mov r5,fp - b84: 00018dc0 call 18dc - b88: 80800217 ldw r2,8(r16) - b8c: 80c00017 ldw r3,0(r16) - b90: 14c5c83a sub r2,r2,r19 - b94: 1cc7883a add r3,r3,r19 - b98: 80800215 stw r2,8(r16) - b9c: 80c00015 stw r3,0(r16) - ba0: 10004326 beq r2,zero,cb0 <__sfvwrite_r+0x45c> - ba4: 9805883a mov r2,r19 - ba8: 003f8306 br 9b8 <__alt_data_end+0xfffe09b8> - bac: b00d883a mov r6,r22 - bb0: e00b883a mov r5,fp - bb4: da000115 stw r8,4(sp) - bb8: 00018dc0 call 18dc - bbc: 80800217 ldw r2,8(r16) - bc0: 80c00017 ldw r3,0(r16) - bc4: da000117 ldw r8,4(sp) - bc8: 1585c83a sub r2,r2,r22 - bcc: 1dad883a add r22,r3,r22 - bd0: 80800215 stw r2,8(r16) - bd4: 85800015 stw r22,0(r16) - bd8: 003fbf06 br ad8 <__alt_data_end+0xfffe0ad8> - bdc: 81000017 ldw r4,0(r16) - be0: 9027883a mov r19,r18 - be4: 902f883a mov r23,r18 - be8: 003f6906 br 990 <__alt_data_end+0xfffe0990> - bec: 900d883a mov r6,r18 - bf0: 01400284 movi r5,10 - bf4: e009883a mov r4,fp - bf8: 00016b00 call 16b0 - bfc: 10003e26 beq r2,zero,cf8 <__sfvwrite_r+0x4a4> - c00: 10800044 addi r2,r2,1 - c04: 1727c83a sub r19,r2,fp - c08: 02000044 movi r8,1 - c0c: 003f9d06 br a84 <__alt_data_end+0xfffe0a84> - c10: 80800517 ldw r2,20(r16) - c14: 81400417 ldw r5,16(r16) - c18: 81c00017 ldw r7,0(r16) - c1c: 10a7883a add r19,r2,r2 - c20: 9885883a add r2,r19,r2 - c24: 1026d7fa srli r19,r2,31 - c28: 396dc83a sub r22,r7,r5 - c2c: b1000044 addi r4,r22,1 - c30: 9885883a add r2,r19,r2 - c34: 1027d07a srai r19,r2,1 - c38: 2485883a add r2,r4,r18 - c3c: 980d883a mov r6,r19 - c40: 9880022e bgeu r19,r2,c4c <__sfvwrite_r+0x3f8> - c44: 1027883a mov r19,r2 - c48: 100d883a mov r6,r2 - c4c: 18c1000c andi r3,r3,1024 - c50: 18001c26 beq r3,zero,cc4 <__sfvwrite_r+0x470> - c54: 300b883a mov r5,r6 - c58: a809883a mov r4,r21 - c5c: 0000ea40 call ea4 <_malloc_r> - c60: 102f883a mov r23,r2 - c64: 10002926 beq r2,zero,d0c <__sfvwrite_r+0x4b8> - c68: 81400417 ldw r5,16(r16) - c6c: b00d883a mov r6,r22 - c70: 1009883a mov r4,r2 - c74: 00017940 call 1794 - c78: 8080030b ldhu r2,12(r16) - c7c: 00fedfc4 movi r3,-1153 - c80: 10c4703a and r2,r2,r3 - c84: 10802014 ori r2,r2,128 - c88: 8080030d sth r2,12(r16) - c8c: bd89883a add r4,r23,r22 - c90: 9d8fc83a sub r7,r19,r22 - c94: 85c00415 stw r23,16(r16) - c98: 84c00515 stw r19,20(r16) - c9c: 81000015 stw r4,0(r16) - ca0: 9027883a mov r19,r18 - ca4: 81c00215 stw r7,8(r16) - ca8: 902f883a mov r23,r18 - cac: 003f3806 br 990 <__alt_data_end+0xfffe0990> - cb0: 800b883a mov r5,r16 - cb4: a809883a mov r4,r21 - cb8: 000277c0 call 277c <_fflush_r> - cbc: 103fb926 beq r2,zero,ba4 <__alt_data_end+0xfffe0ba4> - cc0: 003f6506 br a58 <__alt_data_end+0xfffe0a58> - cc4: a809883a mov r4,r21 - cc8: 0001b600 call 1b60 <_realloc_r> - ccc: 102f883a mov r23,r2 - cd0: 103fee1e bne r2,zero,c8c <__alt_data_end+0xfffe0c8c> - cd4: 81400417 ldw r5,16(r16) - cd8: a809883a mov r4,r21 - cdc: 000292c0 call 292c <_free_r> - ce0: 8080030b ldhu r2,12(r16) - ce4: 00ffdfc4 movi r3,-129 - ce8: 1884703a and r2,r3,r2 - cec: 00c00304 movi r3,12 - cf0: a8c00015 stw r3,0(r21) - cf4: 003f5906 br a5c <__alt_data_end+0xfffe0a5c> - cf8: 94c00044 addi r19,r18,1 - cfc: 02000044 movi r8,1 - d00: 003f6006 br a84 <__alt_data_end+0xfffe0a84> - d04: 00bfffc4 movi r2,-1 - d08: 003f0006 br 90c <__alt_data_end+0xfffe090c> - d0c: 00800304 movi r2,12 - d10: a8800015 stw r2,0(r21) - d14: 8080030b ldhu r2,12(r16) - d18: 003f5006 br a5c <__alt_data_end+0xfffe0a5c> +00000838 <__sfvwrite_r>: + 838: 30800217 ldw r2,8(r6) + 83c: 10006726 beq r2,zero,9dc <__sfvwrite_r+0x1a4> + 840: 28c0030b ldhu r3,12(r5) + 844: defff404 addi sp,sp,-48 + 848: dd400715 stw r21,28(sp) + 84c: dd000615 stw r20,24(sp) + 850: dc000215 stw r16,8(sp) + 854: dfc00b15 stw ra,44(sp) + 858: df000a15 stw fp,40(sp) + 85c: ddc00915 stw r23,36(sp) + 860: dd800815 stw r22,32(sp) + 864: dcc00515 stw r19,20(sp) + 868: dc800415 stw r18,16(sp) + 86c: dc400315 stw r17,12(sp) + 870: 1880020c andi r2,r3,8 + 874: 2821883a mov r16,r5 + 878: 202b883a mov r21,r4 + 87c: 3029883a mov r20,r6 + 880: 10002726 beq r2,zero,920 <__sfvwrite_r+0xe8> + 884: 28800417 ldw r2,16(r5) + 888: 10002526 beq r2,zero,920 <__sfvwrite_r+0xe8> + 88c: 1880008c andi r2,r3,2 + 890: a4400017 ldw r17,0(r20) + 894: 10002a26 beq r2,zero,940 <__sfvwrite_r+0x108> + 898: 05a00034 movhi r22,32768 + 89c: 0027883a mov r19,zero + 8a0: 0025883a mov r18,zero + 8a4: b5bf0004 addi r22,r22,-1024 + 8a8: 980d883a mov r6,r19 + 8ac: a809883a mov r4,r21 + 8b0: 90004626 beq r18,zero,9cc <__sfvwrite_r+0x194> + 8b4: 900f883a mov r7,r18 + 8b8: b480022e bgeu r22,r18,8c4 <__sfvwrite_r+0x8c> + 8bc: 01e00034 movhi r7,32768 + 8c0: 39ff0004 addi r7,r7,-1024 + 8c4: 80800917 ldw r2,36(r16) + 8c8: 81400717 ldw r5,28(r16) + 8cc: 103ee83a callr r2 + 8d0: 00805a0e bge zero,r2,a3c <__sfvwrite_r+0x204> + 8d4: a0c00217 ldw r3,8(r20) + 8d8: 98a7883a add r19,r19,r2 + 8dc: 90a5c83a sub r18,r18,r2 + 8e0: 1885c83a sub r2,r3,r2 + 8e4: a0800215 stw r2,8(r20) + 8e8: 103fef1e bne r2,zero,8a8 <__sfvwrite_r+0x70> + 8ec: 0005883a mov r2,zero + 8f0: dfc00b17 ldw ra,44(sp) + 8f4: df000a17 ldw fp,40(sp) + 8f8: ddc00917 ldw r23,36(sp) + 8fc: dd800817 ldw r22,32(sp) + 900: dd400717 ldw r21,28(sp) + 904: dd000617 ldw r20,24(sp) + 908: dcc00517 ldw r19,20(sp) + 90c: dc800417 ldw r18,16(sp) + 910: dc400317 ldw r17,12(sp) + 914: dc000217 ldw r16,8(sp) + 918: dec00c04 addi sp,sp,48 + 91c: f800283a ret + 920: 800b883a mov r5,r16 + 924: a809883a mov r4,r21 + 928: 00022980 call 2298 <__swsetup_r> + 92c: 1000ee1e bne r2,zero,ce8 <__sfvwrite_r+0x4b0> + 930: 80c0030b ldhu r3,12(r16) + 934: a4400017 ldw r17,0(r20) + 938: 1880008c andi r2,r3,2 + 93c: 103fd61e bne r2,zero,898 <__sfvwrite_r+0x60> + 940: 1880004c andi r2,r3,1 + 944: 1000421e bne r2,zero,a50 <__sfvwrite_r+0x218> + 948: 0039883a mov fp,zero + 94c: 0025883a mov r18,zero + 950: 90001a26 beq r18,zero,9bc <__sfvwrite_r+0x184> + 954: 1880800c andi r2,r3,512 + 958: 84c00217 ldw r19,8(r16) + 95c: 10002126 beq r2,zero,9e4 <__sfvwrite_r+0x1ac> + 960: 982f883a mov r23,r19 + 964: 94c09636 bltu r18,r19,bc0 <__sfvwrite_r+0x388> + 968: 1881200c andi r2,r3,1152 + 96c: 1000a11e bne r2,zero,bf4 <__sfvwrite_r+0x3bc> + 970: 81000017 ldw r4,0(r16) + 974: b80d883a mov r6,r23 + 978: e00b883a mov r5,fp + 97c: 00018c00 call 18c0 + 980: 80c00217 ldw r3,8(r16) + 984: 81000017 ldw r4,0(r16) + 988: 9005883a mov r2,r18 + 98c: 1ce7c83a sub r19,r3,r19 + 990: 25cf883a add r7,r4,r23 + 994: 84c00215 stw r19,8(r16) + 998: 81c00015 stw r7,0(r16) + 99c: a0c00217 ldw r3,8(r20) + 9a0: e0b9883a add fp,fp,r2 + 9a4: 90a5c83a sub r18,r18,r2 + 9a8: 18a7c83a sub r19,r3,r2 + 9ac: a4c00215 stw r19,8(r20) + 9b0: 983fce26 beq r19,zero,8ec <__sfvwrite_r+0xb4> + 9b4: 80c0030b ldhu r3,12(r16) + 9b8: 903fe61e bne r18,zero,954 <__sfvwrite_r+0x11c> + 9bc: 8f000017 ldw fp,0(r17) + 9c0: 8c800117 ldw r18,4(r17) + 9c4: 8c400204 addi r17,r17,8 + 9c8: 003fe106 br 950 <__sfvwrite_r+0x118> + 9cc: 8cc00017 ldw r19,0(r17) + 9d0: 8c800117 ldw r18,4(r17) + 9d4: 8c400204 addi r17,r17,8 + 9d8: 003fb306 br 8a8 <__sfvwrite_r+0x70> + 9dc: 0005883a mov r2,zero + 9e0: f800283a ret + 9e4: 81000017 ldw r4,0(r16) + 9e8: 80800417 ldw r2,16(r16) + 9ec: 11005a36 bltu r2,r4,b58 <__sfvwrite_r+0x320> + 9f0: 85c00517 ldw r23,20(r16) + 9f4: 95c05836 bltu r18,r23,b58 <__sfvwrite_r+0x320> + 9f8: 00a00034 movhi r2,32768 + 9fc: 10bfffc4 addi r2,r2,-1 + a00: 9009883a mov r4,r18 + a04: 1480012e bgeu r2,r18,a0c <__sfvwrite_r+0x1d4> + a08: 1009883a mov r4,r2 + a0c: b80b883a mov r5,r23 + a10: 0002f4c0 call 2f4c <__divsi3> + a14: b80b883a mov r5,r23 + a18: 1009883a mov r4,r2 + a1c: 00031000 call 3100 <__mulsi3> + a20: 81400717 ldw r5,28(r16) + a24: 80c00917 ldw r3,36(r16) + a28: 100f883a mov r7,r2 + a2c: e00d883a mov r6,fp + a30: a809883a mov r4,r21 + a34: 183ee83a callr r3 + a38: 00bfd816 blt zero,r2,99c <__sfvwrite_r+0x164> + a3c: 8080030b ldhu r2,12(r16) + a40: 10801014 ori r2,r2,64 + a44: 8080030d sth r2,12(r16) + a48: 00bfffc4 movi r2,-1 + a4c: 003fa806 br 8f0 <__sfvwrite_r+0xb8> + a50: 0027883a mov r19,zero + a54: 0011883a mov r8,zero + a58: 0039883a mov fp,zero + a5c: 0025883a mov r18,zero + a60: 90001f26 beq r18,zero,ae0 <__sfvwrite_r+0x2a8> + a64: 40005a26 beq r8,zero,bd0 <__sfvwrite_r+0x398> + a68: 982d883a mov r22,r19 + a6c: 94c0012e bgeu r18,r19,a74 <__sfvwrite_r+0x23c> + a70: 902d883a mov r22,r18 + a74: 81000017 ldw r4,0(r16) + a78: 80800417 ldw r2,16(r16) + a7c: b02f883a mov r23,r22 + a80: 81c00517 ldw r7,20(r16) + a84: 1100032e bgeu r2,r4,a94 <__sfvwrite_r+0x25c> + a88: 80c00217 ldw r3,8(r16) + a8c: 38c7883a add r3,r7,r3 + a90: 1d801816 blt r3,r22,af4 <__sfvwrite_r+0x2bc> + a94: b1c03e16 blt r22,r7,b90 <__sfvwrite_r+0x358> + a98: 80800917 ldw r2,36(r16) + a9c: 81400717 ldw r5,28(r16) + aa0: e00d883a mov r6,fp + aa4: da000115 stw r8,4(sp) + aa8: a809883a mov r4,r21 + aac: 103ee83a callr r2 + ab0: 102f883a mov r23,r2 + ab4: da000117 ldw r8,4(sp) + ab8: 00bfe00e bge zero,r2,a3c <__sfvwrite_r+0x204> + abc: 9de7c83a sub r19,r19,r23 + ac0: 98001f26 beq r19,zero,b40 <__sfvwrite_r+0x308> + ac4: a0800217 ldw r2,8(r20) + ac8: e5f9883a add fp,fp,r23 + acc: 95e5c83a sub r18,r18,r23 + ad0: 15efc83a sub r23,r2,r23 + ad4: a5c00215 stw r23,8(r20) + ad8: b83f8426 beq r23,zero,8ec <__sfvwrite_r+0xb4> + adc: 903fe11e bne r18,zero,a64 <__sfvwrite_r+0x22c> + ae0: 8f000017 ldw fp,0(r17) + ae4: 8c800117 ldw r18,4(r17) + ae8: 0011883a mov r8,zero + aec: 8c400204 addi r17,r17,8 + af0: 003fdb06 br a60 <__sfvwrite_r+0x228> + af4: 180d883a mov r6,r3 + af8: e00b883a mov r5,fp + afc: da000115 stw r8,4(sp) + b00: d8c00015 stw r3,0(sp) + b04: 00018c00 call 18c0 + b08: d8c00017 ldw r3,0(sp) + b0c: 80800017 ldw r2,0(r16) + b10: 800b883a mov r5,r16 + b14: a809883a mov r4,r21 + b18: 10c5883a add r2,r2,r3 + b1c: 80800015 stw r2,0(r16) + b20: d8c00015 stw r3,0(sp) + b24: 00027600 call 2760 <_fflush_r> + b28: d8c00017 ldw r3,0(sp) + b2c: da000117 ldw r8,4(sp) + b30: 103fc21e bne r2,zero,a3c <__sfvwrite_r+0x204> + b34: 182f883a mov r23,r3 + b38: 9de7c83a sub r19,r19,r23 + b3c: 983fe11e bne r19,zero,ac4 <__sfvwrite_r+0x28c> + b40: 800b883a mov r5,r16 + b44: a809883a mov r4,r21 + b48: 00027600 call 2760 <_fflush_r> + b4c: 103fbb1e bne r2,zero,a3c <__sfvwrite_r+0x204> + b50: 0011883a mov r8,zero + b54: 003fdb06 br ac4 <__sfvwrite_r+0x28c> + b58: 94c0012e bgeu r18,r19,b60 <__sfvwrite_r+0x328> + b5c: 9027883a mov r19,r18 + b60: 980d883a mov r6,r19 + b64: e00b883a mov r5,fp + b68: 00018c00 call 18c0 + b6c: 80800217 ldw r2,8(r16) + b70: 80c00017 ldw r3,0(r16) + b74: 14c5c83a sub r2,r2,r19 + b78: 1cc7883a add r3,r3,r19 + b7c: 80800215 stw r2,8(r16) + b80: 80c00015 stw r3,0(r16) + b84: 10004326 beq r2,zero,c94 <__sfvwrite_r+0x45c> + b88: 9805883a mov r2,r19 + b8c: 003f8306 br 99c <__sfvwrite_r+0x164> + b90: b00d883a mov r6,r22 + b94: e00b883a mov r5,fp + b98: da000115 stw r8,4(sp) + b9c: 00018c00 call 18c0 + ba0: 80800217 ldw r2,8(r16) + ba4: 80c00017 ldw r3,0(r16) + ba8: da000117 ldw r8,4(sp) + bac: 1585c83a sub r2,r2,r22 + bb0: 1dad883a add r22,r3,r22 + bb4: 80800215 stw r2,8(r16) + bb8: 85800015 stw r22,0(r16) + bbc: 003fbf06 br abc <__sfvwrite_r+0x284> + bc0: 81000017 ldw r4,0(r16) + bc4: 9027883a mov r19,r18 + bc8: 902f883a mov r23,r18 + bcc: 003f6906 br 974 <__sfvwrite_r+0x13c> + bd0: 900d883a mov r6,r18 + bd4: 01400284 movi r5,10 + bd8: e009883a mov r4,fp + bdc: 00016940 call 1694 + be0: 10003e26 beq r2,zero,cdc <__sfvwrite_r+0x4a4> + be4: 10800044 addi r2,r2,1 + be8: 1727c83a sub r19,r2,fp + bec: 02000044 movi r8,1 + bf0: 003f9d06 br a68 <__sfvwrite_r+0x230> + bf4: 80800517 ldw r2,20(r16) + bf8: 81400417 ldw r5,16(r16) + bfc: 81c00017 ldw r7,0(r16) + c00: 10a7883a add r19,r2,r2 + c04: 9885883a add r2,r19,r2 + c08: 1026d7fa srli r19,r2,31 + c0c: 396dc83a sub r22,r7,r5 + c10: b1000044 addi r4,r22,1 + c14: 9885883a add r2,r19,r2 + c18: 1027d07a srai r19,r2,1 + c1c: 2485883a add r2,r4,r18 + c20: 980d883a mov r6,r19 + c24: 9880022e bgeu r19,r2,c30 <__sfvwrite_r+0x3f8> + c28: 1027883a mov r19,r2 + c2c: 100d883a mov r6,r2 + c30: 18c1000c andi r3,r3,1024 + c34: 18001c26 beq r3,zero,ca8 <__sfvwrite_r+0x470> + c38: 300b883a mov r5,r6 + c3c: a809883a mov r4,r21 + c40: 0000e880 call e88 <_malloc_r> + c44: 102f883a mov r23,r2 + c48: 10002926 beq r2,zero,cf0 <__sfvwrite_r+0x4b8> + c4c: 81400417 ldw r5,16(r16) + c50: b00d883a mov r6,r22 + c54: 1009883a mov r4,r2 + c58: 00017780 call 1778 + c5c: 8080030b ldhu r2,12(r16) + c60: 00fedfc4 movi r3,-1153 + c64: 10c4703a and r2,r2,r3 + c68: 10802014 ori r2,r2,128 + c6c: 8080030d sth r2,12(r16) + c70: bd89883a add r4,r23,r22 + c74: 9d8fc83a sub r7,r19,r22 + c78: 85c00415 stw r23,16(r16) + c7c: 84c00515 stw r19,20(r16) + c80: 81000015 stw r4,0(r16) + c84: 9027883a mov r19,r18 + c88: 81c00215 stw r7,8(r16) + c8c: 902f883a mov r23,r18 + c90: 003f3806 br 974 <__sfvwrite_r+0x13c> + c94: 800b883a mov r5,r16 + c98: a809883a mov r4,r21 + c9c: 00027600 call 2760 <_fflush_r> + ca0: 103fb926 beq r2,zero,b88 <__sfvwrite_r+0x350> + ca4: 003f6506 br a3c <__sfvwrite_r+0x204> + ca8: a809883a mov r4,r21 + cac: 0001b440 call 1b44 <_realloc_r> + cb0: 102f883a mov r23,r2 + cb4: 103fee1e bne r2,zero,c70 <__sfvwrite_r+0x438> + cb8: 81400417 ldw r5,16(r16) + cbc: a809883a mov r4,r21 + cc0: 00029100 call 2910 <_free_r> + cc4: 8080030b ldhu r2,12(r16) + cc8: 00ffdfc4 movi r3,-129 + ccc: 1884703a and r2,r3,r2 + cd0: 00c00304 movi r3,12 + cd4: a8c00015 stw r3,0(r21) + cd8: 003f5906 br a40 <__sfvwrite_r+0x208> + cdc: 94c00044 addi r19,r18,1 + ce0: 02000044 movi r8,1 + ce4: 003f6006 br a68 <__sfvwrite_r+0x230> + ce8: 00bfffc4 movi r2,-1 + cec: 003f0006 br 8f0 <__sfvwrite_r+0xb8> + cf0: 00800304 movi r2,12 + cf4: a8800015 stw r2,0(r21) + cf8: 8080030b ldhu r2,12(r16) + cfc: 003f5006 br a40 <__sfvwrite_r+0x208> -00000d1c <_fwalk>: - d1c: defff704 addi sp,sp,-36 - d20: dd000415 stw r20,16(sp) - d24: dfc00815 stw ra,32(sp) - d28: ddc00715 stw r23,28(sp) - d2c: dd800615 stw r22,24(sp) - d30: dd400515 stw r21,20(sp) - d34: dcc00315 stw r19,12(sp) - d38: dc800215 stw r18,8(sp) - d3c: dc400115 stw r17,4(sp) - d40: dc000015 stw r16,0(sp) - d44: 2500b804 addi r20,r4,736 - d48: a0002326 beq r20,zero,dd8 <_fwalk+0xbc> - d4c: 282b883a mov r21,r5 - d50: 002f883a mov r23,zero - d54: 05800044 movi r22,1 - d58: 04ffffc4 movi r19,-1 - d5c: a4400117 ldw r17,4(r20) - d60: a4800217 ldw r18,8(r20) - d64: 8c7fffc4 addi r17,r17,-1 - d68: 88000d16 blt r17,zero,da0 <_fwalk+0x84> - d6c: 94000304 addi r16,r18,12 - d70: 94800384 addi r18,r18,14 - d74: 8080000b ldhu r2,0(r16) - d78: 8c7fffc4 addi r17,r17,-1 - d7c: 813ffd04 addi r4,r16,-12 - d80: b080042e bgeu r22,r2,d94 <_fwalk+0x78> - d84: 9080000f ldh r2,0(r18) - d88: 14c00226 beq r2,r19,d94 <_fwalk+0x78> - d8c: a83ee83a callr r21 - d90: b8aeb03a or r23,r23,r2 - d94: 84001a04 addi r16,r16,104 - d98: 94801a04 addi r18,r18,104 - d9c: 8cfff51e bne r17,r19,d74 <__alt_data_end+0xfffe0d74> - da0: a5000017 ldw r20,0(r20) - da4: a03fed1e bne r20,zero,d5c <__alt_data_end+0xfffe0d5c> - da8: b805883a mov r2,r23 - dac: dfc00817 ldw ra,32(sp) - db0: ddc00717 ldw r23,28(sp) - db4: dd800617 ldw r22,24(sp) - db8: dd400517 ldw r21,20(sp) - dbc: dd000417 ldw r20,16(sp) - dc0: dcc00317 ldw r19,12(sp) - dc4: dc800217 ldw r18,8(sp) - dc8: dc400117 ldw r17,4(sp) - dcc: dc000017 ldw r16,0(sp) - dd0: dec00904 addi sp,sp,36 - dd4: f800283a ret - dd8: 002f883a mov r23,zero - ddc: 003ff206 br da8 <__alt_data_end+0xfffe0da8> +00000d00 <_fwalk>: + d00: defff704 addi sp,sp,-36 + d04: dd000415 stw r20,16(sp) + d08: dfc00815 stw ra,32(sp) + d0c: ddc00715 stw r23,28(sp) + d10: dd800615 stw r22,24(sp) + d14: dd400515 stw r21,20(sp) + d18: dcc00315 stw r19,12(sp) + d1c: dc800215 stw r18,8(sp) + d20: dc400115 stw r17,4(sp) + d24: dc000015 stw r16,0(sp) + d28: 2500b804 addi r20,r4,736 + d2c: a0002326 beq r20,zero,dbc <_fwalk+0xbc> + d30: 282b883a mov r21,r5 + d34: 002f883a mov r23,zero + d38: 05800044 movi r22,1 + d3c: 04ffffc4 movi r19,-1 + d40: a4400117 ldw r17,4(r20) + d44: a4800217 ldw r18,8(r20) + d48: 8c7fffc4 addi r17,r17,-1 + d4c: 88000d16 blt r17,zero,d84 <_fwalk+0x84> + d50: 94000304 addi r16,r18,12 + d54: 94800384 addi r18,r18,14 + d58: 8080000b ldhu r2,0(r16) + d5c: 8c7fffc4 addi r17,r17,-1 + d60: 813ffd04 addi r4,r16,-12 + d64: b080042e bgeu r22,r2,d78 <_fwalk+0x78> + d68: 9080000f ldh r2,0(r18) + d6c: 14c00226 beq r2,r19,d78 <_fwalk+0x78> + d70: a83ee83a callr r21 + d74: b8aeb03a or r23,r23,r2 + d78: 84001a04 addi r16,r16,104 + d7c: 94801a04 addi r18,r18,104 + d80: 8cfff51e bne r17,r19,d58 <_fwalk+0x58> + d84: a5000017 ldw r20,0(r20) + d88: a03fed1e bne r20,zero,d40 <_fwalk+0x40> + d8c: b805883a mov r2,r23 + d90: dfc00817 ldw ra,32(sp) + d94: ddc00717 ldw r23,28(sp) + d98: dd800617 ldw r22,24(sp) + d9c: dd400517 ldw r21,20(sp) + da0: dd000417 ldw r20,16(sp) + da4: dcc00317 ldw r19,12(sp) + da8: dc800217 ldw r18,8(sp) + dac: dc400117 ldw r17,4(sp) + db0: dc000017 ldw r16,0(sp) + db4: dec00904 addi sp,sp,36 + db8: f800283a ret + dbc: 002f883a mov r23,zero + dc0: 003ff206 br d8c <_fwalk+0x8c> -00000de0 <_fwalk_reent>: - de0: defff704 addi sp,sp,-36 - de4: dd000415 stw r20,16(sp) - de8: dfc00815 stw ra,32(sp) - dec: ddc00715 stw r23,28(sp) - df0: dd800615 stw r22,24(sp) - df4: dd400515 stw r21,20(sp) - df8: dcc00315 stw r19,12(sp) - dfc: dc800215 stw r18,8(sp) - e00: dc400115 stw r17,4(sp) - e04: dc000015 stw r16,0(sp) - e08: 2500b804 addi r20,r4,736 - e0c: a0002326 beq r20,zero,e9c <_fwalk_reent+0xbc> - e10: 282b883a mov r21,r5 - e14: 2027883a mov r19,r4 - e18: 002f883a mov r23,zero - e1c: 05800044 movi r22,1 - e20: 04bfffc4 movi r18,-1 - e24: a4400117 ldw r17,4(r20) - e28: a4000217 ldw r16,8(r20) - e2c: 8c7fffc4 addi r17,r17,-1 - e30: 88000c16 blt r17,zero,e64 <_fwalk_reent+0x84> - e34: 84000304 addi r16,r16,12 - e38: 8080000b ldhu r2,0(r16) - e3c: 8c7fffc4 addi r17,r17,-1 - e40: 817ffd04 addi r5,r16,-12 - e44: b080052e bgeu r22,r2,e5c <_fwalk_reent+0x7c> - e48: 8080008f ldh r2,2(r16) - e4c: 9809883a mov r4,r19 - e50: 14800226 beq r2,r18,e5c <_fwalk_reent+0x7c> - e54: a83ee83a callr r21 - e58: b8aeb03a or r23,r23,r2 - e5c: 84001a04 addi r16,r16,104 - e60: 8cbff51e bne r17,r18,e38 <__alt_data_end+0xfffe0e38> - e64: a5000017 ldw r20,0(r20) - e68: a03fee1e bne r20,zero,e24 <__alt_data_end+0xfffe0e24> - e6c: b805883a mov r2,r23 - e70: dfc00817 ldw ra,32(sp) - e74: ddc00717 ldw r23,28(sp) - e78: dd800617 ldw r22,24(sp) - e7c: dd400517 ldw r21,20(sp) - e80: dd000417 ldw r20,16(sp) - e84: dcc00317 ldw r19,12(sp) - e88: dc800217 ldw r18,8(sp) - e8c: dc400117 ldw r17,4(sp) - e90: dc000017 ldw r16,0(sp) - e94: dec00904 addi sp,sp,36 - e98: f800283a ret - e9c: 002f883a mov r23,zero - ea0: 003ff206 br e6c <__alt_data_end+0xfffe0e6c> +00000dc4 <_fwalk_reent>: + dc4: defff704 addi sp,sp,-36 + dc8: dd000415 stw r20,16(sp) + dcc: dfc00815 stw ra,32(sp) + dd0: ddc00715 stw r23,28(sp) + dd4: dd800615 stw r22,24(sp) + dd8: dd400515 stw r21,20(sp) + ddc: dcc00315 stw r19,12(sp) + de0: dc800215 stw r18,8(sp) + de4: dc400115 stw r17,4(sp) + de8: dc000015 stw r16,0(sp) + dec: 2500b804 addi r20,r4,736 + df0: a0002326 beq r20,zero,e80 <_fwalk_reent+0xbc> + df4: 282b883a mov r21,r5 + df8: 2027883a mov r19,r4 + dfc: 002f883a mov r23,zero + e00: 05800044 movi r22,1 + e04: 04bfffc4 movi r18,-1 + e08: a4400117 ldw r17,4(r20) + e0c: a4000217 ldw r16,8(r20) + e10: 8c7fffc4 addi r17,r17,-1 + e14: 88000c16 blt r17,zero,e48 <_fwalk_reent+0x84> + e18: 84000304 addi r16,r16,12 + e1c: 8080000b ldhu r2,0(r16) + e20: 8c7fffc4 addi r17,r17,-1 + e24: 817ffd04 addi r5,r16,-12 + e28: b080052e bgeu r22,r2,e40 <_fwalk_reent+0x7c> + e2c: 8080008f ldh r2,2(r16) + e30: 9809883a mov r4,r19 + e34: 14800226 beq r2,r18,e40 <_fwalk_reent+0x7c> + e38: a83ee83a callr r21 + e3c: b8aeb03a or r23,r23,r2 + e40: 84001a04 addi r16,r16,104 + e44: 8cbff51e bne r17,r18,e1c <_fwalk_reent+0x58> + e48: a5000017 ldw r20,0(r20) + e4c: a03fee1e bne r20,zero,e08 <_fwalk_reent+0x44> + e50: b805883a mov r2,r23 + e54: dfc00817 ldw ra,32(sp) + e58: ddc00717 ldw r23,28(sp) + e5c: dd800617 ldw r22,24(sp) + e60: dd400517 ldw r21,20(sp) + e64: dd000417 ldw r20,16(sp) + e68: dcc00317 ldw r19,12(sp) + e6c: dc800217 ldw r18,8(sp) + e70: dc400117 ldw r17,4(sp) + e74: dc000017 ldw r16,0(sp) + e78: dec00904 addi sp,sp,36 + e7c: f800283a ret + e80: 002f883a mov r23,zero + e84: 003ff206 br e50 <_fwalk_reent+0x8c> -00000ea4 <_malloc_r>: - ea4: defff504 addi sp,sp,-44 - ea8: dc800315 stw r18,12(sp) - eac: dfc00a15 stw ra,40(sp) - eb0: df000915 stw fp,36(sp) - eb4: ddc00815 stw r23,32(sp) - eb8: dd800715 stw r22,28(sp) - ebc: dd400615 stw r21,24(sp) - ec0: dd000515 stw r20,20(sp) - ec4: dcc00415 stw r19,16(sp) - ec8: dc400215 stw r17,8(sp) - ecc: dc000115 stw r16,4(sp) - ed0: 288002c4 addi r2,r5,11 - ed4: 00c00584 movi r3,22 - ed8: 2025883a mov r18,r4 - edc: 18807f2e bgeu r3,r2,10dc <_malloc_r+0x238> - ee0: 047ffe04 movi r17,-8 - ee4: 1462703a and r17,r2,r17 - ee8: 8800a316 blt r17,zero,1178 <_malloc_r+0x2d4> - eec: 8940a236 bltu r17,r5,1178 <_malloc_r+0x2d4> - ef0: 000361c0 call 361c <__malloc_lock> - ef4: 00807dc4 movi r2,503 - ef8: 1441e92e bgeu r2,r17,16a0 <_malloc_r+0x7fc> - efc: 8804d27a srli r2,r17,9 - f00: 1000a126 beq r2,zero,1188 <_malloc_r+0x2e4> - f04: 00c00104 movi r3,4 - f08: 18811e36 bltu r3,r2,1384 <_malloc_r+0x4e0> - f0c: 8804d1ba srli r2,r17,6 - f10: 12000e44 addi r8,r2,57 - f14: 11c00e04 addi r7,r2,56 - f18: 4209883a add r4,r8,r8 - f1c: 04c00034 movhi r19,0 - f20: 2109883a add r4,r4,r4 - f24: 9cd72004 addi r19,r19,23680 - f28: 2109883a add r4,r4,r4 - f2c: 9909883a add r4,r19,r4 - f30: 24000117 ldw r16,4(r4) - f34: 213ffe04 addi r4,r4,-8 - f38: 24009726 beq r4,r16,1198 <_malloc_r+0x2f4> - f3c: 80800117 ldw r2,4(r16) - f40: 01bfff04 movi r6,-4 - f44: 014003c4 movi r5,15 +00000e88 <_malloc_r>: + e88: defff504 addi sp,sp,-44 + e8c: dc800315 stw r18,12(sp) + e90: dfc00a15 stw ra,40(sp) + e94: df000915 stw fp,36(sp) + e98: ddc00815 stw r23,32(sp) + e9c: dd800715 stw r22,28(sp) + ea0: dd400615 stw r21,24(sp) + ea4: dd000515 stw r20,20(sp) + ea8: dcc00415 stw r19,16(sp) + eac: dc400215 stw r17,8(sp) + eb0: dc000115 stw r16,4(sp) + eb4: 288002c4 addi r2,r5,11 + eb8: 00c00584 movi r3,22 + ebc: 2025883a mov r18,r4 + ec0: 18807f2e bgeu r3,r2,10c0 <_malloc_r+0x238> + ec4: 047ffe04 movi r17,-8 + ec8: 1462703a and r17,r2,r17 + ecc: 8800a316 blt r17,zero,115c <_malloc_r+0x2d4> + ed0: 8940a236 bltu r17,r5,115c <_malloc_r+0x2d4> + ed4: 00036000 call 3600 <__malloc_lock> + ed8: 00807dc4 movi r2,503 + edc: 1441e92e bgeu r2,r17,1684 <_malloc_r+0x7fc> + ee0: 8804d27a srli r2,r17,9 + ee4: 1000a126 beq r2,zero,116c <_malloc_r+0x2e4> + ee8: 00c00104 movi r3,4 + eec: 18811e36 bltu r3,r2,1368 <_malloc_r+0x4e0> + ef0: 8804d1ba srli r2,r17,6 + ef4: 12000e44 addi r8,r2,57 + ef8: 11c00e04 addi r7,r2,56 + efc: 4209883a add r4,r8,r8 + f00: 04c00034 movhi r19,0 + f04: 2109883a add r4,r4,r4 + f08: 9cd71904 addi r19,r19,23652 + f0c: 2109883a add r4,r4,r4 + f10: 9909883a add r4,r19,r4 + f14: 24000117 ldw r16,4(r4) + f18: 213ffe04 addi r4,r4,-8 + f1c: 24009726 beq r4,r16,117c <_malloc_r+0x2f4> + f20: 80800117 ldw r2,4(r16) + f24: 01bfff04 movi r6,-4 + f28: 014003c4 movi r5,15 + f2c: 1184703a and r2,r2,r6 + f30: 1447c83a sub r3,r2,r17 + f34: 28c00716 blt r5,r3,f54 <_malloc_r+0xcc> + f38: 1800920e bge r3,zero,1184 <_malloc_r+0x2fc> + f3c: 84000317 ldw r16,12(r16) + f40: 24008e26 beq r4,r16,117c <_malloc_r+0x2f4> + f44: 80800117 ldw r2,4(r16) f48: 1184703a and r2,r2,r6 f4c: 1447c83a sub r3,r2,r17 - f50: 28c00716 blt r5,r3,f70 <_malloc_r+0xcc> - f54: 1800920e bge r3,zero,11a0 <_malloc_r+0x2fc> - f58: 84000317 ldw r16,12(r16) - f5c: 24008e26 beq r4,r16,1198 <_malloc_r+0x2f4> - f60: 80800117 ldw r2,4(r16) - f64: 1184703a and r2,r2,r6 - f68: 1447c83a sub r3,r2,r17 - f6c: 28fff90e bge r5,r3,f54 <__alt_data_end+0xfffe0f54> - f70: 3809883a mov r4,r7 - f74: 01800034 movhi r6,0 - f78: 9c000417 ldw r16,16(r19) - f7c: 31972004 addi r6,r6,23680 - f80: 32000204 addi r8,r6,8 - f84: 82013426 beq r16,r8,1458 <_malloc_r+0x5b4> - f88: 80c00117 ldw r3,4(r16) - f8c: 00bfff04 movi r2,-4 - f90: 188e703a and r7,r3,r2 - f94: 3c45c83a sub r2,r7,r17 - f98: 00c003c4 movi r3,15 - f9c: 18811f16 blt r3,r2,141c <_malloc_r+0x578> - fa0: 32000515 stw r8,20(r6) - fa4: 32000415 stw r8,16(r6) - fa8: 10007f0e bge r2,zero,11a8 <_malloc_r+0x304> - fac: 00807fc4 movi r2,511 - fb0: 11c0fd36 bltu r2,r7,13a8 <_malloc_r+0x504> - fb4: 3806d0fa srli r3,r7,3 - fb8: 01c00044 movi r7,1 - fbc: 30800117 ldw r2,4(r6) - fc0: 19400044 addi r5,r3,1 - fc4: 294b883a add r5,r5,r5 - fc8: 1807d0ba srai r3,r3,2 - fcc: 294b883a add r5,r5,r5 - fd0: 294b883a add r5,r5,r5 - fd4: 298b883a add r5,r5,r6 - fd8: 38c6983a sll r3,r7,r3 - fdc: 29c00017 ldw r7,0(r5) - fe0: 2a7ffe04 addi r9,r5,-8 - fe4: 1886b03a or r3,r3,r2 - fe8: 82400315 stw r9,12(r16) - fec: 81c00215 stw r7,8(r16) - ff0: 30c00115 stw r3,4(r6) - ff4: 2c000015 stw r16,0(r5) - ff8: 3c000315 stw r16,12(r7) - ffc: 2005d0ba srai r2,r4,2 - 1000: 01400044 movi r5,1 - 1004: 288a983a sll r5,r5,r2 - 1008: 19406f36 bltu r3,r5,11c8 <_malloc_r+0x324> - 100c: 28c4703a and r2,r5,r3 - 1010: 10000a1e bne r2,zero,103c <_malloc_r+0x198> - 1014: 00bfff04 movi r2,-4 - 1018: 294b883a add r5,r5,r5 - 101c: 2088703a and r4,r4,r2 - 1020: 28c4703a and r2,r5,r3 - 1024: 21000104 addi r4,r4,4 - 1028: 1000041e bne r2,zero,103c <_malloc_r+0x198> - 102c: 294b883a add r5,r5,r5 - 1030: 28c4703a and r2,r5,r3 - 1034: 21000104 addi r4,r4,4 - 1038: 103ffc26 beq r2,zero,102c <__alt_data_end+0xfffe102c> - 103c: 02bfff04 movi r10,-4 - 1040: 024003c4 movi r9,15 - 1044: 21800044 addi r6,r4,1 - 1048: 318d883a add r6,r6,r6 - 104c: 318d883a add r6,r6,r6 - 1050: 318d883a add r6,r6,r6 - 1054: 998d883a add r6,r19,r6 - 1058: 333ffe04 addi r12,r6,-8 - 105c: 2017883a mov r11,r4 - 1060: 31800104 addi r6,r6,4 - 1064: 34000017 ldw r16,0(r6) - 1068: 31fffd04 addi r7,r6,-12 - 106c: 81c0041e bne r16,r7,1080 <_malloc_r+0x1dc> - 1070: 0000fb06 br 1460 <_malloc_r+0x5bc> - 1074: 1801030e bge r3,zero,1484 <_malloc_r+0x5e0> - 1078: 84000317 ldw r16,12(r16) - 107c: 81c0f826 beq r16,r7,1460 <_malloc_r+0x5bc> - 1080: 80800117 ldw r2,4(r16) - 1084: 1284703a and r2,r2,r10 - 1088: 1447c83a sub r3,r2,r17 - 108c: 48fff90e bge r9,r3,1074 <__alt_data_end+0xfffe1074> - 1090: 80800317 ldw r2,12(r16) - 1094: 81000217 ldw r4,8(r16) - 1098: 89400054 ori r5,r17,1 - 109c: 81400115 stw r5,4(r16) - 10a0: 20800315 stw r2,12(r4) - 10a4: 11000215 stw r4,8(r2) - 10a8: 8463883a add r17,r16,r17 - 10ac: 9c400515 stw r17,20(r19) - 10b0: 9c400415 stw r17,16(r19) - 10b4: 18800054 ori r2,r3,1 - 10b8: 88800115 stw r2,4(r17) - 10bc: 8a000315 stw r8,12(r17) - 10c0: 8a000215 stw r8,8(r17) - 10c4: 88e3883a add r17,r17,r3 - 10c8: 88c00015 stw r3,0(r17) - 10cc: 9009883a mov r4,r18 - 10d0: 00036400 call 3640 <__malloc_unlock> - 10d4: 80800204 addi r2,r16,8 - 10d8: 00001b06 br 1148 <_malloc_r+0x2a4> - 10dc: 04400404 movi r17,16 - 10e0: 89402536 bltu r17,r5,1178 <_malloc_r+0x2d4> - 10e4: 000361c0 call 361c <__malloc_lock> - 10e8: 00800184 movi r2,6 - 10ec: 01000084 movi r4,2 - 10f0: 04c00034 movhi r19,0 - 10f4: 1085883a add r2,r2,r2 - 10f8: 9cd72004 addi r19,r19,23680 - 10fc: 1085883a add r2,r2,r2 - 1100: 9885883a add r2,r19,r2 - 1104: 14000117 ldw r16,4(r2) - 1108: 10fffe04 addi r3,r2,-8 - 110c: 80c0d926 beq r16,r3,1474 <_malloc_r+0x5d0> - 1110: 80c00117 ldw r3,4(r16) - 1114: 81000317 ldw r4,12(r16) - 1118: 00bfff04 movi r2,-4 - 111c: 1884703a and r2,r3,r2 - 1120: 81400217 ldw r5,8(r16) - 1124: 8085883a add r2,r16,r2 - 1128: 10c00117 ldw r3,4(r2) - 112c: 29000315 stw r4,12(r5) - 1130: 21400215 stw r5,8(r4) - 1134: 18c00054 ori r3,r3,1 - 1138: 10c00115 stw r3,4(r2) - 113c: 9009883a mov r4,r18 - 1140: 00036400 call 3640 <__malloc_unlock> - 1144: 80800204 addi r2,r16,8 - 1148: dfc00a17 ldw ra,40(sp) - 114c: df000917 ldw fp,36(sp) - 1150: ddc00817 ldw r23,32(sp) - 1154: dd800717 ldw r22,28(sp) - 1158: dd400617 ldw r21,24(sp) - 115c: dd000517 ldw r20,20(sp) - 1160: dcc00417 ldw r19,16(sp) - 1164: dc800317 ldw r18,12(sp) - 1168: dc400217 ldw r17,8(sp) - 116c: dc000117 ldw r16,4(sp) - 1170: dec00b04 addi sp,sp,44 - 1174: f800283a ret - 1178: 00800304 movi r2,12 - 117c: 90800015 stw r2,0(r18) - 1180: 0005883a mov r2,zero - 1184: 003ff006 br 1148 <__alt_data_end+0xfffe1148> - 1188: 01002004 movi r4,128 - 118c: 02001004 movi r8,64 - 1190: 01c00fc4 movi r7,63 - 1194: 003f6106 br f1c <__alt_data_end+0xfffe0f1c> - 1198: 4009883a mov r4,r8 - 119c: 003f7506 br f74 <__alt_data_end+0xfffe0f74> - 11a0: 81000317 ldw r4,12(r16) - 11a4: 003fde06 br 1120 <__alt_data_end+0xfffe1120> - 11a8: 81c5883a add r2,r16,r7 - 11ac: 11400117 ldw r5,4(r2) - 11b0: 9009883a mov r4,r18 - 11b4: 29400054 ori r5,r5,1 - 11b8: 11400115 stw r5,4(r2) - 11bc: 00036400 call 3640 <__malloc_unlock> - 11c0: 80800204 addi r2,r16,8 - 11c4: 003fe006 br 1148 <__alt_data_end+0xfffe1148> - 11c8: 9c000217 ldw r16,8(r19) - 11cc: 00bfff04 movi r2,-4 - 11d0: 85800117 ldw r22,4(r16) - 11d4: b0ac703a and r22,r22,r2 - 11d8: b4400336 bltu r22,r17,11e8 <_malloc_r+0x344> - 11dc: b445c83a sub r2,r22,r17 - 11e0: 00c003c4 movi r3,15 - 11e4: 18805d16 blt r3,r2,135c <_malloc_r+0x4b8> - 11e8: 05c00034 movhi r23,0 - 11ec: 00800034 movhi r2,0 - 11f0: 109cb404 addi r2,r2,29392 - 11f4: bddca604 addi r23,r23,29336 - 11f8: 15400017 ldw r21,0(r2) - 11fc: b8c00017 ldw r3,0(r23) - 1200: 00bfffc4 movi r2,-1 - 1204: 858d883a add r6,r16,r22 - 1208: 8d6b883a add r21,r17,r21 - 120c: 1880ea26 beq r3,r2,15b8 <_malloc_r+0x714> - 1210: ad4403c4 addi r21,r21,4111 - 1214: 00bc0004 movi r2,-4096 - 1218: a8aa703a and r21,r21,r2 - 121c: a80b883a mov r5,r21 - 1220: 9009883a mov r4,r18 - 1224: d9800015 stw r6,0(sp) - 1228: 00020c40 call 20c4 <_sbrk_r> - 122c: 1029883a mov r20,r2 - 1230: 00bfffc4 movi r2,-1 - 1234: d9800017 ldw r6,0(sp) - 1238: a080e826 beq r20,r2,15dc <_malloc_r+0x738> - 123c: a180a636 bltu r20,r6,14d8 <_malloc_r+0x634> - 1240: 07000034 movhi fp,0 - 1244: e71cbd04 addi fp,fp,29428 - 1248: e0800017 ldw r2,0(fp) - 124c: a887883a add r3,r21,r2 - 1250: e0c00015 stw r3,0(fp) - 1254: 3500e626 beq r6,r20,15f0 <_malloc_r+0x74c> - 1258: b9000017 ldw r4,0(r23) - 125c: 00bfffc4 movi r2,-1 - 1260: 2080ee26 beq r4,r2,161c <_malloc_r+0x778> - 1264: a185c83a sub r2,r20,r6 - 1268: 10c5883a add r2,r2,r3 - 126c: e0800015 stw r2,0(fp) - 1270: a0c001cc andi r3,r20,7 - 1274: 1800bc26 beq r3,zero,1568 <_malloc_r+0x6c4> - 1278: a0e9c83a sub r20,r20,r3 - 127c: 00840204 movi r2,4104 - 1280: a5000204 addi r20,r20,8 - 1284: 10c7c83a sub r3,r2,r3 - 1288: a545883a add r2,r20,r21 - 128c: 1083ffcc andi r2,r2,4095 - 1290: 18abc83a sub r21,r3,r2 - 1294: a80b883a mov r5,r21 - 1298: 9009883a mov r4,r18 - 129c: 00020c40 call 20c4 <_sbrk_r> - 12a0: 00ffffc4 movi r3,-1 - 12a4: 10c0e126 beq r2,r3,162c <_malloc_r+0x788> - 12a8: 1505c83a sub r2,r2,r20 - 12ac: 1545883a add r2,r2,r21 - 12b0: 10800054 ori r2,r2,1 - 12b4: e0c00017 ldw r3,0(fp) - 12b8: 9d000215 stw r20,8(r19) - 12bc: a0800115 stw r2,4(r20) - 12c0: a8c7883a add r3,r21,r3 - 12c4: e0c00015 stw r3,0(fp) - 12c8: 84c00e26 beq r16,r19,1304 <_malloc_r+0x460> - 12cc: 018003c4 movi r6,15 - 12d0: 3580a72e bgeu r6,r22,1570 <_malloc_r+0x6cc> - 12d4: 81400117 ldw r5,4(r16) - 12d8: 013ffe04 movi r4,-8 - 12dc: b0bffd04 addi r2,r22,-12 - 12e0: 1104703a and r2,r2,r4 - 12e4: 2900004c andi r4,r5,1 - 12e8: 2088b03a or r4,r4,r2 - 12ec: 81000115 stw r4,4(r16) - 12f0: 01400144 movi r5,5 - 12f4: 8089883a add r4,r16,r2 - 12f8: 21400115 stw r5,4(r4) - 12fc: 21400215 stw r5,8(r4) - 1300: 3080cd36 bltu r6,r2,1638 <_malloc_r+0x794> - 1304: 00800034 movhi r2,0 - 1308: 109cb304 addi r2,r2,29388 - 130c: 11000017 ldw r4,0(r2) - 1310: 20c0012e bgeu r4,r3,1318 <_malloc_r+0x474> - 1314: 10c00015 stw r3,0(r2) - 1318: 00800034 movhi r2,0 - 131c: 109cb204 addi r2,r2,29384 - 1320: 11000017 ldw r4,0(r2) - 1324: 9c000217 ldw r16,8(r19) - 1328: 20c0012e bgeu r4,r3,1330 <_malloc_r+0x48c> - 132c: 10c00015 stw r3,0(r2) - 1330: 80c00117 ldw r3,4(r16) - 1334: 00bfff04 movi r2,-4 - 1338: 1886703a and r3,r3,r2 - 133c: 1c45c83a sub r2,r3,r17 - 1340: 1c400236 bltu r3,r17,134c <_malloc_r+0x4a8> - 1344: 00c003c4 movi r3,15 - 1348: 18800416 blt r3,r2,135c <_malloc_r+0x4b8> - 134c: 9009883a mov r4,r18 - 1350: 00036400 call 3640 <__malloc_unlock> - 1354: 0005883a mov r2,zero - 1358: 003f7b06 br 1148 <__alt_data_end+0xfffe1148> - 135c: 88c00054 ori r3,r17,1 - 1360: 80c00115 stw r3,4(r16) - 1364: 8463883a add r17,r16,r17 - 1368: 10800054 ori r2,r2,1 - 136c: 9c400215 stw r17,8(r19) - 1370: 88800115 stw r2,4(r17) - 1374: 9009883a mov r4,r18 - 1378: 00036400 call 3640 <__malloc_unlock> - 137c: 80800204 addi r2,r16,8 - 1380: 003f7106 br 1148 <__alt_data_end+0xfffe1148> - 1384: 00c00504 movi r3,20 - 1388: 18804a2e bgeu r3,r2,14b4 <_malloc_r+0x610> - 138c: 00c01504 movi r3,84 - 1390: 18806e36 bltu r3,r2,154c <_malloc_r+0x6a8> - 1394: 8804d33a srli r2,r17,12 - 1398: 12001bc4 addi r8,r2,111 - 139c: 11c01b84 addi r7,r2,110 - 13a0: 4209883a add r4,r8,r8 - 13a4: 003edd06 br f1c <__alt_data_end+0xfffe0f1c> - 13a8: 3804d27a srli r2,r7,9 - 13ac: 00c00104 movi r3,4 - 13b0: 1880442e bgeu r3,r2,14c4 <_malloc_r+0x620> - 13b4: 00c00504 movi r3,20 - 13b8: 18808136 bltu r3,r2,15c0 <_malloc_r+0x71c> - 13bc: 11401704 addi r5,r2,92 - 13c0: 10c016c4 addi r3,r2,91 - 13c4: 294b883a add r5,r5,r5 - 13c8: 294b883a add r5,r5,r5 - 13cc: 294b883a add r5,r5,r5 - 13d0: 994b883a add r5,r19,r5 - 13d4: 28800017 ldw r2,0(r5) - 13d8: 01800034 movhi r6,0 - 13dc: 297ffe04 addi r5,r5,-8 - 13e0: 31972004 addi r6,r6,23680 - 13e4: 28806526 beq r5,r2,157c <_malloc_r+0x6d8> - 13e8: 01bfff04 movi r6,-4 - 13ec: 10c00117 ldw r3,4(r2) - 13f0: 1986703a and r3,r3,r6 - 13f4: 38c0022e bgeu r7,r3,1400 <_malloc_r+0x55c> - 13f8: 10800217 ldw r2,8(r2) - 13fc: 28bffb1e bne r5,r2,13ec <__alt_data_end+0xfffe13ec> - 1400: 11400317 ldw r5,12(r2) - 1404: 98c00117 ldw r3,4(r19) - 1408: 81400315 stw r5,12(r16) - 140c: 80800215 stw r2,8(r16) - 1410: 2c000215 stw r16,8(r5) - 1414: 14000315 stw r16,12(r2) - 1418: 003ef806 br ffc <__alt_data_end+0xfffe0ffc> - 141c: 88c00054 ori r3,r17,1 - 1420: 80c00115 stw r3,4(r16) - 1424: 8463883a add r17,r16,r17 - 1428: 34400515 stw r17,20(r6) - 142c: 34400415 stw r17,16(r6) - 1430: 10c00054 ori r3,r2,1 - 1434: 8a000315 stw r8,12(r17) - 1438: 8a000215 stw r8,8(r17) - 143c: 88c00115 stw r3,4(r17) - 1440: 88a3883a add r17,r17,r2 - 1444: 88800015 stw r2,0(r17) - 1448: 9009883a mov r4,r18 - 144c: 00036400 call 3640 <__malloc_unlock> - 1450: 80800204 addi r2,r16,8 - 1454: 003f3c06 br 1148 <__alt_data_end+0xfffe1148> - 1458: 30c00117 ldw r3,4(r6) - 145c: 003ee706 br ffc <__alt_data_end+0xfffe0ffc> - 1460: 5ac00044 addi r11,r11,1 - 1464: 588000cc andi r2,r11,3 - 1468: 31800204 addi r6,r6,8 - 146c: 103efd1e bne r2,zero,1064 <__alt_data_end+0xfffe1064> - 1470: 00002406 br 1504 <_malloc_r+0x660> - 1474: 14000317 ldw r16,12(r2) - 1478: 143f251e bne r2,r16,1110 <__alt_data_end+0xfffe1110> - 147c: 21000084 addi r4,r4,2 - 1480: 003ebc06 br f74 <__alt_data_end+0xfffe0f74> - 1484: 8085883a add r2,r16,r2 - 1488: 10c00117 ldw r3,4(r2) - 148c: 81000317 ldw r4,12(r16) - 1490: 81400217 ldw r5,8(r16) - 1494: 18c00054 ori r3,r3,1 - 1498: 10c00115 stw r3,4(r2) - 149c: 29000315 stw r4,12(r5) - 14a0: 21400215 stw r5,8(r4) - 14a4: 9009883a mov r4,r18 - 14a8: 00036400 call 3640 <__malloc_unlock> - 14ac: 80800204 addi r2,r16,8 - 14b0: 003f2506 br 1148 <__alt_data_end+0xfffe1148> - 14b4: 12001704 addi r8,r2,92 - 14b8: 11c016c4 addi r7,r2,91 - 14bc: 4209883a add r4,r8,r8 - 14c0: 003e9606 br f1c <__alt_data_end+0xfffe0f1c> - 14c4: 3804d1ba srli r2,r7,6 - 14c8: 11400e44 addi r5,r2,57 - 14cc: 10c00e04 addi r3,r2,56 - 14d0: 294b883a add r5,r5,r5 - 14d4: 003fbc06 br 13c8 <__alt_data_end+0xfffe13c8> - 14d8: 84ff5926 beq r16,r19,1240 <__alt_data_end+0xfffe1240> - 14dc: 00800034 movhi r2,0 - 14e0: 10972004 addi r2,r2,23680 - 14e4: 14000217 ldw r16,8(r2) - 14e8: 00bfff04 movi r2,-4 - 14ec: 80c00117 ldw r3,4(r16) - 14f0: 1886703a and r3,r3,r2 - 14f4: 003f9106 br 133c <__alt_data_end+0xfffe133c> - 14f8: 60800217 ldw r2,8(r12) - 14fc: 213fffc4 addi r4,r4,-1 - 1500: 1300651e bne r2,r12,1698 <_malloc_r+0x7f4> - 1504: 208000cc andi r2,r4,3 - 1508: 633ffe04 addi r12,r12,-8 - 150c: 103ffa1e bne r2,zero,14f8 <__alt_data_end+0xfffe14f8> - 1510: 98800117 ldw r2,4(r19) - 1514: 0146303a nor r3,zero,r5 - 1518: 1884703a and r2,r3,r2 - 151c: 98800115 stw r2,4(r19) - 1520: 294b883a add r5,r5,r5 - 1524: 117f2836 bltu r2,r5,11c8 <__alt_data_end+0xfffe11c8> - 1528: 283f2726 beq r5,zero,11c8 <__alt_data_end+0xfffe11c8> - 152c: 2886703a and r3,r5,r2 - 1530: 5809883a mov r4,r11 - 1534: 183ec31e bne r3,zero,1044 <__alt_data_end+0xfffe1044> - 1538: 294b883a add r5,r5,r5 - 153c: 2886703a and r3,r5,r2 - 1540: 21000104 addi r4,r4,4 - 1544: 183ffc26 beq r3,zero,1538 <__alt_data_end+0xfffe1538> - 1548: 003ebe06 br 1044 <__alt_data_end+0xfffe1044> - 154c: 00c05504 movi r3,340 - 1550: 18801236 bltu r3,r2,159c <_malloc_r+0x6f8> - 1554: 8804d3fa srli r2,r17,15 - 1558: 12001e04 addi r8,r2,120 - 155c: 11c01dc4 addi r7,r2,119 - 1560: 4209883a add r4,r8,r8 - 1564: 003e6d06 br f1c <__alt_data_end+0xfffe0f1c> - 1568: 00c40004 movi r3,4096 - 156c: 003f4606 br 1288 <__alt_data_end+0xfffe1288> - 1570: 00800044 movi r2,1 - 1574: a0800115 stw r2,4(r20) - 1578: 003f7406 br 134c <__alt_data_end+0xfffe134c> - 157c: 1805d0ba srai r2,r3,2 - 1580: 01c00044 movi r7,1 - 1584: 30c00117 ldw r3,4(r6) - 1588: 388e983a sll r7,r7,r2 - 158c: 2805883a mov r2,r5 - 1590: 38c6b03a or r3,r7,r3 - 1594: 30c00115 stw r3,4(r6) - 1598: 003f9b06 br 1408 <__alt_data_end+0xfffe1408> - 159c: 00c15504 movi r3,1364 - 15a0: 18801a36 bltu r3,r2,160c <_malloc_r+0x768> - 15a4: 8804d4ba srli r2,r17,18 - 15a8: 12001f44 addi r8,r2,125 - 15ac: 11c01f04 addi r7,r2,124 - 15b0: 4209883a add r4,r8,r8 - 15b4: 003e5906 br f1c <__alt_data_end+0xfffe0f1c> - 15b8: ad400404 addi r21,r21,16 - 15bc: 003f1706 br 121c <__alt_data_end+0xfffe121c> - 15c0: 00c01504 movi r3,84 - 15c4: 18802336 bltu r3,r2,1654 <_malloc_r+0x7b0> - 15c8: 3804d33a srli r2,r7,12 - 15cc: 11401bc4 addi r5,r2,111 - 15d0: 10c01b84 addi r3,r2,110 - 15d4: 294b883a add r5,r5,r5 - 15d8: 003f7b06 br 13c8 <__alt_data_end+0xfffe13c8> - 15dc: 9c000217 ldw r16,8(r19) - 15e0: 00bfff04 movi r2,-4 - 15e4: 80c00117 ldw r3,4(r16) - 15e8: 1886703a and r3,r3,r2 - 15ec: 003f5306 br 133c <__alt_data_end+0xfffe133c> - 15f0: 3083ffcc andi r2,r6,4095 - 15f4: 103f181e bne r2,zero,1258 <__alt_data_end+0xfffe1258> - 15f8: 99000217 ldw r4,8(r19) - 15fc: b545883a add r2,r22,r21 - 1600: 10800054 ori r2,r2,1 - 1604: 20800115 stw r2,4(r4) - 1608: 003f3e06 br 1304 <__alt_data_end+0xfffe1304> - 160c: 01003f84 movi r4,254 - 1610: 02001fc4 movi r8,127 - 1614: 01c01f84 movi r7,126 - 1618: 003e4006 br f1c <__alt_data_end+0xfffe0f1c> - 161c: 00800034 movhi r2,0 - 1620: 109ca604 addi r2,r2,29336 - 1624: 15000015 stw r20,0(r2) - 1628: 003f1106 br 1270 <__alt_data_end+0xfffe1270> - 162c: 00800044 movi r2,1 - 1630: 002b883a mov r21,zero - 1634: 003f1f06 br 12b4 <__alt_data_end+0xfffe12b4> - 1638: 81400204 addi r5,r16,8 - 163c: 9009883a mov r4,r18 - 1640: 000292c0 call 292c <_free_r> - 1644: 00800034 movhi r2,0 - 1648: 109cbd04 addi r2,r2,29428 - 164c: 10c00017 ldw r3,0(r2) - 1650: 003f2c06 br 1304 <__alt_data_end+0xfffe1304> - 1654: 00c05504 movi r3,340 - 1658: 18800536 bltu r3,r2,1670 <_malloc_r+0x7cc> - 165c: 3804d3fa srli r2,r7,15 - 1660: 11401e04 addi r5,r2,120 - 1664: 10c01dc4 addi r3,r2,119 + f50: 28fff90e bge r5,r3,f38 <_malloc_r+0xb0> + f54: 3809883a mov r4,r7 + f58: 01800034 movhi r6,0 + f5c: 9c000417 ldw r16,16(r19) + f60: 31971904 addi r6,r6,23652 + f64: 32000204 addi r8,r6,8 + f68: 82013426 beq r16,r8,143c <_malloc_r+0x5b4> + f6c: 80c00117 ldw r3,4(r16) + f70: 00bfff04 movi r2,-4 + f74: 188e703a and r7,r3,r2 + f78: 3c45c83a sub r2,r7,r17 + f7c: 00c003c4 movi r3,15 + f80: 18811f16 blt r3,r2,1400 <_malloc_r+0x578> + f84: 32000515 stw r8,20(r6) + f88: 32000415 stw r8,16(r6) + f8c: 10007f0e bge r2,zero,118c <_malloc_r+0x304> + f90: 00807fc4 movi r2,511 + f94: 11c0fd36 bltu r2,r7,138c <_malloc_r+0x504> + f98: 3806d0fa srli r3,r7,3 + f9c: 01c00044 movi r7,1 + fa0: 30800117 ldw r2,4(r6) + fa4: 19400044 addi r5,r3,1 + fa8: 294b883a add r5,r5,r5 + fac: 1807d0ba srai r3,r3,2 + fb0: 294b883a add r5,r5,r5 + fb4: 294b883a add r5,r5,r5 + fb8: 298b883a add r5,r5,r6 + fbc: 38c6983a sll r3,r7,r3 + fc0: 29c00017 ldw r7,0(r5) + fc4: 2a7ffe04 addi r9,r5,-8 + fc8: 1886b03a or r3,r3,r2 + fcc: 82400315 stw r9,12(r16) + fd0: 81c00215 stw r7,8(r16) + fd4: 30c00115 stw r3,4(r6) + fd8: 2c000015 stw r16,0(r5) + fdc: 3c000315 stw r16,12(r7) + fe0: 2005d0ba srai r2,r4,2 + fe4: 01400044 movi r5,1 + fe8: 288a983a sll r5,r5,r2 + fec: 19406f36 bltu r3,r5,11ac <_malloc_r+0x324> + ff0: 28c4703a and r2,r5,r3 + ff4: 10000a1e bne r2,zero,1020 <_malloc_r+0x198> + ff8: 00bfff04 movi r2,-4 + ffc: 294b883a add r5,r5,r5 + 1000: 2088703a and r4,r4,r2 + 1004: 28c4703a and r2,r5,r3 + 1008: 21000104 addi r4,r4,4 + 100c: 1000041e bne r2,zero,1020 <_malloc_r+0x198> + 1010: 294b883a add r5,r5,r5 + 1014: 28c4703a and r2,r5,r3 + 1018: 21000104 addi r4,r4,4 + 101c: 103ffc26 beq r2,zero,1010 <_malloc_r+0x188> + 1020: 02bfff04 movi r10,-4 + 1024: 024003c4 movi r9,15 + 1028: 21800044 addi r6,r4,1 + 102c: 318d883a add r6,r6,r6 + 1030: 318d883a add r6,r6,r6 + 1034: 318d883a add r6,r6,r6 + 1038: 998d883a add r6,r19,r6 + 103c: 333ffe04 addi r12,r6,-8 + 1040: 2017883a mov r11,r4 + 1044: 31800104 addi r6,r6,4 + 1048: 34000017 ldw r16,0(r6) + 104c: 31fffd04 addi r7,r6,-12 + 1050: 81c0041e bne r16,r7,1064 <_malloc_r+0x1dc> + 1054: 0000fb06 br 1444 <_malloc_r+0x5bc> + 1058: 1801030e bge r3,zero,1468 <_malloc_r+0x5e0> + 105c: 84000317 ldw r16,12(r16) + 1060: 81c0f826 beq r16,r7,1444 <_malloc_r+0x5bc> + 1064: 80800117 ldw r2,4(r16) + 1068: 1284703a and r2,r2,r10 + 106c: 1447c83a sub r3,r2,r17 + 1070: 48fff90e bge r9,r3,1058 <_malloc_r+0x1d0> + 1074: 80800317 ldw r2,12(r16) + 1078: 81000217 ldw r4,8(r16) + 107c: 89400054 ori r5,r17,1 + 1080: 81400115 stw r5,4(r16) + 1084: 20800315 stw r2,12(r4) + 1088: 11000215 stw r4,8(r2) + 108c: 8463883a add r17,r16,r17 + 1090: 9c400515 stw r17,20(r19) + 1094: 9c400415 stw r17,16(r19) + 1098: 18800054 ori r2,r3,1 + 109c: 88800115 stw r2,4(r17) + 10a0: 8a000315 stw r8,12(r17) + 10a4: 8a000215 stw r8,8(r17) + 10a8: 88e3883a add r17,r17,r3 + 10ac: 88c00015 stw r3,0(r17) + 10b0: 9009883a mov r4,r18 + 10b4: 00036240 call 3624 <__malloc_unlock> + 10b8: 80800204 addi r2,r16,8 + 10bc: 00001b06 br 112c <_malloc_r+0x2a4> + 10c0: 04400404 movi r17,16 + 10c4: 89402536 bltu r17,r5,115c <_malloc_r+0x2d4> + 10c8: 00036000 call 3600 <__malloc_lock> + 10cc: 00800184 movi r2,6 + 10d0: 01000084 movi r4,2 + 10d4: 04c00034 movhi r19,0 + 10d8: 1085883a add r2,r2,r2 + 10dc: 9cd71904 addi r19,r19,23652 + 10e0: 1085883a add r2,r2,r2 + 10e4: 9885883a add r2,r19,r2 + 10e8: 14000117 ldw r16,4(r2) + 10ec: 10fffe04 addi r3,r2,-8 + 10f0: 80c0d926 beq r16,r3,1458 <_malloc_r+0x5d0> + 10f4: 80c00117 ldw r3,4(r16) + 10f8: 81000317 ldw r4,12(r16) + 10fc: 00bfff04 movi r2,-4 + 1100: 1884703a and r2,r3,r2 + 1104: 81400217 ldw r5,8(r16) + 1108: 8085883a add r2,r16,r2 + 110c: 10c00117 ldw r3,4(r2) + 1110: 29000315 stw r4,12(r5) + 1114: 21400215 stw r5,8(r4) + 1118: 18c00054 ori r3,r3,1 + 111c: 10c00115 stw r3,4(r2) + 1120: 9009883a mov r4,r18 + 1124: 00036240 call 3624 <__malloc_unlock> + 1128: 80800204 addi r2,r16,8 + 112c: dfc00a17 ldw ra,40(sp) + 1130: df000917 ldw fp,36(sp) + 1134: ddc00817 ldw r23,32(sp) + 1138: dd800717 ldw r22,28(sp) + 113c: dd400617 ldw r21,24(sp) + 1140: dd000517 ldw r20,20(sp) + 1144: dcc00417 ldw r19,16(sp) + 1148: dc800317 ldw r18,12(sp) + 114c: dc400217 ldw r17,8(sp) + 1150: dc000117 ldw r16,4(sp) + 1154: dec00b04 addi sp,sp,44 + 1158: f800283a ret + 115c: 00800304 movi r2,12 + 1160: 90800015 stw r2,0(r18) + 1164: 0005883a mov r2,zero + 1168: 003ff006 br 112c <_malloc_r+0x2a4> + 116c: 01002004 movi r4,128 + 1170: 02001004 movi r8,64 + 1174: 01c00fc4 movi r7,63 + 1178: 003f6106 br f00 <_malloc_r+0x78> + 117c: 4009883a mov r4,r8 + 1180: 003f7506 br f58 <_malloc_r+0xd0> + 1184: 81000317 ldw r4,12(r16) + 1188: 003fde06 br 1104 <_malloc_r+0x27c> + 118c: 81c5883a add r2,r16,r7 + 1190: 11400117 ldw r5,4(r2) + 1194: 9009883a mov r4,r18 + 1198: 29400054 ori r5,r5,1 + 119c: 11400115 stw r5,4(r2) + 11a0: 00036240 call 3624 <__malloc_unlock> + 11a4: 80800204 addi r2,r16,8 + 11a8: 003fe006 br 112c <_malloc_r+0x2a4> + 11ac: 9c000217 ldw r16,8(r19) + 11b0: 00bfff04 movi r2,-4 + 11b4: 85800117 ldw r22,4(r16) + 11b8: b0ac703a and r22,r22,r2 + 11bc: b4400336 bltu r22,r17,11cc <_malloc_r+0x344> + 11c0: b445c83a sub r2,r22,r17 + 11c4: 00c003c4 movi r3,15 + 11c8: 18805d16 blt r3,r2,1340 <_malloc_r+0x4b8> + 11cc: 05c00034 movhi r23,0 + 11d0: 00800034 movhi r2,0 + 11d4: 109cad04 addi r2,r2,29364 + 11d8: bddc9f04 addi r23,r23,29308 + 11dc: 15400017 ldw r21,0(r2) + 11e0: b8c00017 ldw r3,0(r23) + 11e4: 00bfffc4 movi r2,-1 + 11e8: 858d883a add r6,r16,r22 + 11ec: 8d6b883a add r21,r17,r21 + 11f0: 1880ea26 beq r3,r2,159c <_malloc_r+0x714> + 11f4: ad4403c4 addi r21,r21,4111 + 11f8: 00bc0004 movi r2,-4096 + 11fc: a8aa703a and r21,r21,r2 + 1200: a80b883a mov r5,r21 + 1204: 9009883a mov r4,r18 + 1208: d9800015 stw r6,0(sp) + 120c: 00020a80 call 20a8 <_sbrk_r> + 1210: 1029883a mov r20,r2 + 1214: 00bfffc4 movi r2,-1 + 1218: d9800017 ldw r6,0(sp) + 121c: a080e826 beq r20,r2,15c0 <_malloc_r+0x738> + 1220: a180a636 bltu r20,r6,14bc <_malloc_r+0x634> + 1224: 07000034 movhi fp,0 + 1228: e71cb604 addi fp,fp,29400 + 122c: e0800017 ldw r2,0(fp) + 1230: a887883a add r3,r21,r2 + 1234: e0c00015 stw r3,0(fp) + 1238: 3500e626 beq r6,r20,15d4 <_malloc_r+0x74c> + 123c: b9000017 ldw r4,0(r23) + 1240: 00bfffc4 movi r2,-1 + 1244: 2080ee26 beq r4,r2,1600 <_malloc_r+0x778> + 1248: a185c83a sub r2,r20,r6 + 124c: 10c5883a add r2,r2,r3 + 1250: e0800015 stw r2,0(fp) + 1254: a0c001cc andi r3,r20,7 + 1258: 1800bc26 beq r3,zero,154c <_malloc_r+0x6c4> + 125c: a0e9c83a sub r20,r20,r3 + 1260: 00840204 movi r2,4104 + 1264: a5000204 addi r20,r20,8 + 1268: 10c7c83a sub r3,r2,r3 + 126c: a545883a add r2,r20,r21 + 1270: 1083ffcc andi r2,r2,4095 + 1274: 18abc83a sub r21,r3,r2 + 1278: a80b883a mov r5,r21 + 127c: 9009883a mov r4,r18 + 1280: 00020a80 call 20a8 <_sbrk_r> + 1284: 00ffffc4 movi r3,-1 + 1288: 10c0e126 beq r2,r3,1610 <_malloc_r+0x788> + 128c: 1505c83a sub r2,r2,r20 + 1290: 1545883a add r2,r2,r21 + 1294: 10800054 ori r2,r2,1 + 1298: e0c00017 ldw r3,0(fp) + 129c: 9d000215 stw r20,8(r19) + 12a0: a0800115 stw r2,4(r20) + 12a4: a8c7883a add r3,r21,r3 + 12a8: e0c00015 stw r3,0(fp) + 12ac: 84c00e26 beq r16,r19,12e8 <_malloc_r+0x460> + 12b0: 018003c4 movi r6,15 + 12b4: 3580a72e bgeu r6,r22,1554 <_malloc_r+0x6cc> + 12b8: 81400117 ldw r5,4(r16) + 12bc: 013ffe04 movi r4,-8 + 12c0: b0bffd04 addi r2,r22,-12 + 12c4: 1104703a and r2,r2,r4 + 12c8: 2900004c andi r4,r5,1 + 12cc: 2088b03a or r4,r4,r2 + 12d0: 81000115 stw r4,4(r16) + 12d4: 01400144 movi r5,5 + 12d8: 8089883a add r4,r16,r2 + 12dc: 21400115 stw r5,4(r4) + 12e0: 21400215 stw r5,8(r4) + 12e4: 3080cd36 bltu r6,r2,161c <_malloc_r+0x794> + 12e8: 00800034 movhi r2,0 + 12ec: 109cac04 addi r2,r2,29360 + 12f0: 11000017 ldw r4,0(r2) + 12f4: 20c0012e bgeu r4,r3,12fc <_malloc_r+0x474> + 12f8: 10c00015 stw r3,0(r2) + 12fc: 00800034 movhi r2,0 + 1300: 109cab04 addi r2,r2,29356 + 1304: 11000017 ldw r4,0(r2) + 1308: 9c000217 ldw r16,8(r19) + 130c: 20c0012e bgeu r4,r3,1314 <_malloc_r+0x48c> + 1310: 10c00015 stw r3,0(r2) + 1314: 80c00117 ldw r3,4(r16) + 1318: 00bfff04 movi r2,-4 + 131c: 1886703a and r3,r3,r2 + 1320: 1c45c83a sub r2,r3,r17 + 1324: 1c400236 bltu r3,r17,1330 <_malloc_r+0x4a8> + 1328: 00c003c4 movi r3,15 + 132c: 18800416 blt r3,r2,1340 <_malloc_r+0x4b8> + 1330: 9009883a mov r4,r18 + 1334: 00036240 call 3624 <__malloc_unlock> + 1338: 0005883a mov r2,zero + 133c: 003f7b06 br 112c <_malloc_r+0x2a4> + 1340: 88c00054 ori r3,r17,1 + 1344: 80c00115 stw r3,4(r16) + 1348: 8463883a add r17,r16,r17 + 134c: 10800054 ori r2,r2,1 + 1350: 9c400215 stw r17,8(r19) + 1354: 88800115 stw r2,4(r17) + 1358: 9009883a mov r4,r18 + 135c: 00036240 call 3624 <__malloc_unlock> + 1360: 80800204 addi r2,r16,8 + 1364: 003f7106 br 112c <_malloc_r+0x2a4> + 1368: 00c00504 movi r3,20 + 136c: 18804a2e bgeu r3,r2,1498 <_malloc_r+0x610> + 1370: 00c01504 movi r3,84 + 1374: 18806e36 bltu r3,r2,1530 <_malloc_r+0x6a8> + 1378: 8804d33a srli r2,r17,12 + 137c: 12001bc4 addi r8,r2,111 + 1380: 11c01b84 addi r7,r2,110 + 1384: 4209883a add r4,r8,r8 + 1388: 003edd06 br f00 <_malloc_r+0x78> + 138c: 3804d27a srli r2,r7,9 + 1390: 00c00104 movi r3,4 + 1394: 1880442e bgeu r3,r2,14a8 <_malloc_r+0x620> + 1398: 00c00504 movi r3,20 + 139c: 18808136 bltu r3,r2,15a4 <_malloc_r+0x71c> + 13a0: 11401704 addi r5,r2,92 + 13a4: 10c016c4 addi r3,r2,91 + 13a8: 294b883a add r5,r5,r5 + 13ac: 294b883a add r5,r5,r5 + 13b0: 294b883a add r5,r5,r5 + 13b4: 994b883a add r5,r19,r5 + 13b8: 28800017 ldw r2,0(r5) + 13bc: 01800034 movhi r6,0 + 13c0: 297ffe04 addi r5,r5,-8 + 13c4: 31971904 addi r6,r6,23652 + 13c8: 28806526 beq r5,r2,1560 <_malloc_r+0x6d8> + 13cc: 01bfff04 movi r6,-4 + 13d0: 10c00117 ldw r3,4(r2) + 13d4: 1986703a and r3,r3,r6 + 13d8: 38c0022e bgeu r7,r3,13e4 <_malloc_r+0x55c> + 13dc: 10800217 ldw r2,8(r2) + 13e0: 28bffb1e bne r5,r2,13d0 <_malloc_r+0x548> + 13e4: 11400317 ldw r5,12(r2) + 13e8: 98c00117 ldw r3,4(r19) + 13ec: 81400315 stw r5,12(r16) + 13f0: 80800215 stw r2,8(r16) + 13f4: 2c000215 stw r16,8(r5) + 13f8: 14000315 stw r16,12(r2) + 13fc: 003ef806 br fe0 <_malloc_r+0x158> + 1400: 88c00054 ori r3,r17,1 + 1404: 80c00115 stw r3,4(r16) + 1408: 8463883a add r17,r16,r17 + 140c: 34400515 stw r17,20(r6) + 1410: 34400415 stw r17,16(r6) + 1414: 10c00054 ori r3,r2,1 + 1418: 8a000315 stw r8,12(r17) + 141c: 8a000215 stw r8,8(r17) + 1420: 88c00115 stw r3,4(r17) + 1424: 88a3883a add r17,r17,r2 + 1428: 88800015 stw r2,0(r17) + 142c: 9009883a mov r4,r18 + 1430: 00036240 call 3624 <__malloc_unlock> + 1434: 80800204 addi r2,r16,8 + 1438: 003f3c06 br 112c <_malloc_r+0x2a4> + 143c: 30c00117 ldw r3,4(r6) + 1440: 003ee706 br fe0 <_malloc_r+0x158> + 1444: 5ac00044 addi r11,r11,1 + 1448: 588000cc andi r2,r11,3 + 144c: 31800204 addi r6,r6,8 + 1450: 103efd1e bne r2,zero,1048 <_malloc_r+0x1c0> + 1454: 00002406 br 14e8 <_malloc_r+0x660> + 1458: 14000317 ldw r16,12(r2) + 145c: 143f251e bne r2,r16,10f4 <_malloc_r+0x26c> + 1460: 21000084 addi r4,r4,2 + 1464: 003ebc06 br f58 <_malloc_r+0xd0> + 1468: 8085883a add r2,r16,r2 + 146c: 10c00117 ldw r3,4(r2) + 1470: 81000317 ldw r4,12(r16) + 1474: 81400217 ldw r5,8(r16) + 1478: 18c00054 ori r3,r3,1 + 147c: 10c00115 stw r3,4(r2) + 1480: 29000315 stw r4,12(r5) + 1484: 21400215 stw r5,8(r4) + 1488: 9009883a mov r4,r18 + 148c: 00036240 call 3624 <__malloc_unlock> + 1490: 80800204 addi r2,r16,8 + 1494: 003f2506 br 112c <_malloc_r+0x2a4> + 1498: 12001704 addi r8,r2,92 + 149c: 11c016c4 addi r7,r2,91 + 14a0: 4209883a add r4,r8,r8 + 14a4: 003e9606 br f00 <_malloc_r+0x78> + 14a8: 3804d1ba srli r2,r7,6 + 14ac: 11400e44 addi r5,r2,57 + 14b0: 10c00e04 addi r3,r2,56 + 14b4: 294b883a add r5,r5,r5 + 14b8: 003fbc06 br 13ac <_malloc_r+0x524> + 14bc: 84ff5926 beq r16,r19,1224 <_malloc_r+0x39c> + 14c0: 00800034 movhi r2,0 + 14c4: 10971904 addi r2,r2,23652 + 14c8: 14000217 ldw r16,8(r2) + 14cc: 00bfff04 movi r2,-4 + 14d0: 80c00117 ldw r3,4(r16) + 14d4: 1886703a and r3,r3,r2 + 14d8: 003f9106 br 1320 <_malloc_r+0x498> + 14dc: 60800217 ldw r2,8(r12) + 14e0: 213fffc4 addi r4,r4,-1 + 14e4: 1300651e bne r2,r12,167c <_malloc_r+0x7f4> + 14e8: 208000cc andi r2,r4,3 + 14ec: 633ffe04 addi r12,r12,-8 + 14f0: 103ffa1e bne r2,zero,14dc <_malloc_r+0x654> + 14f4: 98800117 ldw r2,4(r19) + 14f8: 0146303a nor r3,zero,r5 + 14fc: 1884703a and r2,r3,r2 + 1500: 98800115 stw r2,4(r19) + 1504: 294b883a add r5,r5,r5 + 1508: 117f2836 bltu r2,r5,11ac <_malloc_r+0x324> + 150c: 283f2726 beq r5,zero,11ac <_malloc_r+0x324> + 1510: 2886703a and r3,r5,r2 + 1514: 5809883a mov r4,r11 + 1518: 183ec31e bne r3,zero,1028 <_malloc_r+0x1a0> + 151c: 294b883a add r5,r5,r5 + 1520: 2886703a and r3,r5,r2 + 1524: 21000104 addi r4,r4,4 + 1528: 183ffc26 beq r3,zero,151c <_malloc_r+0x694> + 152c: 003ebe06 br 1028 <_malloc_r+0x1a0> + 1530: 00c05504 movi r3,340 + 1534: 18801236 bltu r3,r2,1580 <_malloc_r+0x6f8> + 1538: 8804d3fa srli r2,r17,15 + 153c: 12001e04 addi r8,r2,120 + 1540: 11c01dc4 addi r7,r2,119 + 1544: 4209883a add r4,r8,r8 + 1548: 003e6d06 br f00 <_malloc_r+0x78> + 154c: 00c40004 movi r3,4096 + 1550: 003f4606 br 126c <_malloc_r+0x3e4> + 1554: 00800044 movi r2,1 + 1558: a0800115 stw r2,4(r20) + 155c: 003f7406 br 1330 <_malloc_r+0x4a8> + 1560: 1805d0ba srai r2,r3,2 + 1564: 01c00044 movi r7,1 + 1568: 30c00117 ldw r3,4(r6) + 156c: 388e983a sll r7,r7,r2 + 1570: 2805883a mov r2,r5 + 1574: 38c6b03a or r3,r7,r3 + 1578: 30c00115 stw r3,4(r6) + 157c: 003f9b06 br 13ec <_malloc_r+0x564> + 1580: 00c15504 movi r3,1364 + 1584: 18801a36 bltu r3,r2,15f0 <_malloc_r+0x768> + 1588: 8804d4ba srli r2,r17,18 + 158c: 12001f44 addi r8,r2,125 + 1590: 11c01f04 addi r7,r2,124 + 1594: 4209883a add r4,r8,r8 + 1598: 003e5906 br f00 <_malloc_r+0x78> + 159c: ad400404 addi r21,r21,16 + 15a0: 003f1706 br 1200 <_malloc_r+0x378> + 15a4: 00c01504 movi r3,84 + 15a8: 18802336 bltu r3,r2,1638 <_malloc_r+0x7b0> + 15ac: 3804d33a srli r2,r7,12 + 15b0: 11401bc4 addi r5,r2,111 + 15b4: 10c01b84 addi r3,r2,110 + 15b8: 294b883a add r5,r5,r5 + 15bc: 003f7b06 br 13ac <_malloc_r+0x524> + 15c0: 9c000217 ldw r16,8(r19) + 15c4: 00bfff04 movi r2,-4 + 15c8: 80c00117 ldw r3,4(r16) + 15cc: 1886703a and r3,r3,r2 + 15d0: 003f5306 br 1320 <_malloc_r+0x498> + 15d4: 3083ffcc andi r2,r6,4095 + 15d8: 103f181e bne r2,zero,123c <_malloc_r+0x3b4> + 15dc: 99000217 ldw r4,8(r19) + 15e0: b545883a add r2,r22,r21 + 15e4: 10800054 ori r2,r2,1 + 15e8: 20800115 stw r2,4(r4) + 15ec: 003f3e06 br 12e8 <_malloc_r+0x460> + 15f0: 01003f84 movi r4,254 + 15f4: 02001fc4 movi r8,127 + 15f8: 01c01f84 movi r7,126 + 15fc: 003e4006 br f00 <_malloc_r+0x78> + 1600: 00800034 movhi r2,0 + 1604: 109c9f04 addi r2,r2,29308 + 1608: 15000015 stw r20,0(r2) + 160c: 003f1106 br 1254 <_malloc_r+0x3cc> + 1610: 00800044 movi r2,1 + 1614: 002b883a mov r21,zero + 1618: 003f1f06 br 1298 <_malloc_r+0x410> + 161c: 81400204 addi r5,r16,8 + 1620: 9009883a mov r4,r18 + 1624: 00029100 call 2910 <_free_r> + 1628: 00800034 movhi r2,0 + 162c: 109cb604 addi r2,r2,29400 + 1630: 10c00017 ldw r3,0(r2) + 1634: 003f2c06 br 12e8 <_malloc_r+0x460> + 1638: 00c05504 movi r3,340 + 163c: 18800536 bltu r3,r2,1654 <_malloc_r+0x7cc> + 1640: 3804d3fa srli r2,r7,15 + 1644: 11401e04 addi r5,r2,120 + 1648: 10c01dc4 addi r3,r2,119 + 164c: 294b883a add r5,r5,r5 + 1650: 003f5606 br 13ac <_malloc_r+0x524> + 1654: 00c15504 movi r3,1364 + 1658: 18800536 bltu r3,r2,1670 <_malloc_r+0x7e8> + 165c: 3804d4ba srli r2,r7,18 + 1660: 11401f44 addi r5,r2,125 + 1664: 10c01f04 addi r3,r2,124 1668: 294b883a add r5,r5,r5 - 166c: 003f5606 br 13c8 <__alt_data_end+0xfffe13c8> - 1670: 00c15504 movi r3,1364 - 1674: 18800536 bltu r3,r2,168c <_malloc_r+0x7e8> - 1678: 3804d4ba srli r2,r7,18 - 167c: 11401f44 addi r5,r2,125 - 1680: 10c01f04 addi r3,r2,124 - 1684: 294b883a add r5,r5,r5 - 1688: 003f4f06 br 13c8 <__alt_data_end+0xfffe13c8> - 168c: 01403f84 movi r5,254 - 1690: 00c01f84 movi r3,126 - 1694: 003f4c06 br 13c8 <__alt_data_end+0xfffe13c8> - 1698: 98800117 ldw r2,4(r19) - 169c: 003fa006 br 1520 <__alt_data_end+0xfffe1520> - 16a0: 8808d0fa srli r4,r17,3 - 16a4: 20800044 addi r2,r4,1 - 16a8: 1085883a add r2,r2,r2 - 16ac: 003e9006 br 10f0 <__alt_data_end+0xfffe10f0> + 166c: 003f4f06 br 13ac <_malloc_r+0x524> + 1670: 01403f84 movi r5,254 + 1674: 00c01f84 movi r3,126 + 1678: 003f4c06 br 13ac <_malloc_r+0x524> + 167c: 98800117 ldw r2,4(r19) + 1680: 003fa006 br 1504 <_malloc_r+0x67c> + 1684: 8808d0fa srli r4,r17,3 + 1688: 20800044 addi r2,r4,1 + 168c: 1085883a add r2,r2,r2 + 1690: 003e9006 br 10d4 <_malloc_r+0x24c> -000016b0 : - 16b0: 208000cc andi r2,r4,3 - 16b4: 280f883a mov r7,r5 - 16b8: 10003426 beq r2,zero,178c - 16bc: 30bfffc4 addi r2,r6,-1 - 16c0: 30001a26 beq r6,zero,172c - 16c4: 20c00003 ldbu r3,0(r4) - 16c8: 29803fcc andi r6,r5,255 - 16cc: 30c0051e bne r6,r3,16e4 - 16d0: 00001806 br 1734 - 16d4: 10001526 beq r2,zero,172c - 16d8: 20c00003 ldbu r3,0(r4) - 16dc: 10bfffc4 addi r2,r2,-1 - 16e0: 30c01426 beq r6,r3,1734 - 16e4: 21000044 addi r4,r4,1 - 16e8: 20c000cc andi r3,r4,3 - 16ec: 183ff91e bne r3,zero,16d4 <__alt_data_end+0xfffe16d4> - 16f0: 020000c4 movi r8,3 - 16f4: 40801136 bltu r8,r2,173c - 16f8: 10000c26 beq r2,zero,172c - 16fc: 20c00003 ldbu r3,0(r4) - 1700: 29403fcc andi r5,r5,255 - 1704: 28c00b26 beq r5,r3,1734 - 1708: 20c00044 addi r3,r4,1 - 170c: 39803fcc andi r6,r7,255 - 1710: 2089883a add r4,r4,r2 - 1714: 00000306 br 1724 - 1718: 18c00044 addi r3,r3,1 - 171c: 197fffc3 ldbu r5,-1(r3) - 1720: 31400526 beq r6,r5,1738 - 1724: 1805883a mov r2,r3 - 1728: 20fffb1e bne r4,r3,1718 <__alt_data_end+0xfffe1718> - 172c: 0005883a mov r2,zero - 1730: f800283a ret - 1734: 2005883a mov r2,r4 - 1738: f800283a ret - 173c: 28c03fcc andi r3,r5,255 - 1740: 1812923a slli r9,r3,8 - 1744: 02ffbff4 movhi r11,65279 - 1748: 02a02074 movhi r10,32897 - 174c: 48d2b03a or r9,r9,r3 - 1750: 4806943a slli r3,r9,16 - 1754: 5affbfc4 addi r11,r11,-257 - 1758: 52a02004 addi r10,r10,-32640 - 175c: 48d2b03a or r9,r9,r3 - 1760: 20c00017 ldw r3,0(r4) - 1764: 48c6f03a xor r3,r9,r3 - 1768: 1acd883a add r6,r3,r11 - 176c: 00c6303a nor r3,zero,r3 - 1770: 30c6703a and r3,r6,r3 - 1774: 1a86703a and r3,r3,r10 - 1778: 183fe01e bne r3,zero,16fc <__alt_data_end+0xfffe16fc> - 177c: 10bfff04 addi r2,r2,-4 - 1780: 21000104 addi r4,r4,4 - 1784: 40bff636 bltu r8,r2,1760 <__alt_data_end+0xfffe1760> - 1788: 003fdb06 br 16f8 <__alt_data_end+0xfffe16f8> - 178c: 3005883a mov r2,r6 - 1790: 003fd706 br 16f0 <__alt_data_end+0xfffe16f0> +00001694 : + 1694: 208000cc andi r2,r4,3 + 1698: 280f883a mov r7,r5 + 169c: 10003426 beq r2,zero,1770 + 16a0: 30bfffc4 addi r2,r6,-1 + 16a4: 30001a26 beq r6,zero,1710 + 16a8: 20c00003 ldbu r3,0(r4) + 16ac: 29803fcc andi r6,r5,255 + 16b0: 30c0051e bne r6,r3,16c8 + 16b4: 00001806 br 1718 + 16b8: 10001526 beq r2,zero,1710 + 16bc: 20c00003 ldbu r3,0(r4) + 16c0: 10bfffc4 addi r2,r2,-1 + 16c4: 30c01426 beq r6,r3,1718 + 16c8: 21000044 addi r4,r4,1 + 16cc: 20c000cc andi r3,r4,3 + 16d0: 183ff91e bne r3,zero,16b8 + 16d4: 020000c4 movi r8,3 + 16d8: 40801136 bltu r8,r2,1720 + 16dc: 10000c26 beq r2,zero,1710 + 16e0: 20c00003 ldbu r3,0(r4) + 16e4: 29403fcc andi r5,r5,255 + 16e8: 28c00b26 beq r5,r3,1718 + 16ec: 20c00044 addi r3,r4,1 + 16f0: 39803fcc andi r6,r7,255 + 16f4: 2089883a add r4,r4,r2 + 16f8: 00000306 br 1708 + 16fc: 18c00044 addi r3,r3,1 + 1700: 197fffc3 ldbu r5,-1(r3) + 1704: 31400526 beq r6,r5,171c + 1708: 1805883a mov r2,r3 + 170c: 20fffb1e bne r4,r3,16fc + 1710: 0005883a mov r2,zero + 1714: f800283a ret + 1718: 2005883a mov r2,r4 + 171c: f800283a ret + 1720: 28c03fcc andi r3,r5,255 + 1724: 1812923a slli r9,r3,8 + 1728: 02ffbff4 movhi r11,65279 + 172c: 02a02074 movhi r10,32897 + 1730: 48d2b03a or r9,r9,r3 + 1734: 4806943a slli r3,r9,16 + 1738: 5affbfc4 addi r11,r11,-257 + 173c: 52a02004 addi r10,r10,-32640 + 1740: 48d2b03a or r9,r9,r3 + 1744: 20c00017 ldw r3,0(r4) + 1748: 48c6f03a xor r3,r9,r3 + 174c: 1acd883a add r6,r3,r11 + 1750: 00c6303a nor r3,zero,r3 + 1754: 30c6703a and r3,r6,r3 + 1758: 1a86703a and r3,r3,r10 + 175c: 183fe01e bne r3,zero,16e0 + 1760: 10bfff04 addi r2,r2,-4 + 1764: 21000104 addi r4,r4,4 + 1768: 40bff636 bltu r8,r2,1744 + 176c: 003fdb06 br 16dc + 1770: 3005883a mov r2,r6 + 1774: 003fd706 br 16d4 -00001794 : - 1794: defffd04 addi sp,sp,-12 - 1798: dfc00215 stw ra,8(sp) - 179c: dc400115 stw r17,4(sp) - 17a0: dc000015 stw r16,0(sp) - 17a4: 00c003c4 movi r3,15 - 17a8: 2005883a mov r2,r4 - 17ac: 1980452e bgeu r3,r6,18c4 - 17b0: 2906b03a or r3,r5,r4 - 17b4: 18c000cc andi r3,r3,3 - 17b8: 1800441e bne r3,zero,18cc - 17bc: 347ffc04 addi r17,r6,-16 - 17c0: 8822d13a srli r17,r17,4 - 17c4: 28c00104 addi r3,r5,4 - 17c8: 23400104 addi r13,r4,4 - 17cc: 8820913a slli r16,r17,4 - 17d0: 2b000204 addi r12,r5,8 - 17d4: 22c00204 addi r11,r4,8 - 17d8: 84000504 addi r16,r16,20 - 17dc: 2a800304 addi r10,r5,12 - 17e0: 22400304 addi r9,r4,12 - 17e4: 2c21883a add r16,r5,r16 - 17e8: 2811883a mov r8,r5 - 17ec: 200f883a mov r7,r4 - 17f0: 41000017 ldw r4,0(r8) - 17f4: 1fc00017 ldw ra,0(r3) - 17f8: 63c00017 ldw r15,0(r12) - 17fc: 39000015 stw r4,0(r7) - 1800: 53800017 ldw r14,0(r10) - 1804: 6fc00015 stw ra,0(r13) - 1808: 5bc00015 stw r15,0(r11) - 180c: 4b800015 stw r14,0(r9) - 1810: 18c00404 addi r3,r3,16 - 1814: 39c00404 addi r7,r7,16 - 1818: 42000404 addi r8,r8,16 - 181c: 6b400404 addi r13,r13,16 - 1820: 63000404 addi r12,r12,16 - 1824: 5ac00404 addi r11,r11,16 - 1828: 52800404 addi r10,r10,16 - 182c: 4a400404 addi r9,r9,16 - 1830: 1c3fef1e bne r3,r16,17f0 <__alt_data_end+0xfffe17f0> - 1834: 89c00044 addi r7,r17,1 - 1838: 380e913a slli r7,r7,4 - 183c: 310003cc andi r4,r6,15 - 1840: 02c000c4 movi r11,3 - 1844: 11c7883a add r3,r2,r7 - 1848: 29cb883a add r5,r5,r7 - 184c: 5900212e bgeu r11,r4,18d4 - 1850: 1813883a mov r9,r3 - 1854: 2811883a mov r8,r5 - 1858: 200f883a mov r7,r4 - 185c: 42800017 ldw r10,0(r8) - 1860: 4a400104 addi r9,r9,4 - 1864: 39ffff04 addi r7,r7,-4 - 1868: 4abfff15 stw r10,-4(r9) - 186c: 42000104 addi r8,r8,4 - 1870: 59fffa36 bltu r11,r7,185c <__alt_data_end+0xfffe185c> - 1874: 213fff04 addi r4,r4,-4 - 1878: 2008d0ba srli r4,r4,2 - 187c: 318000cc andi r6,r6,3 - 1880: 21000044 addi r4,r4,1 - 1884: 2109883a add r4,r4,r4 - 1888: 2109883a add r4,r4,r4 - 188c: 1907883a add r3,r3,r4 - 1890: 290b883a add r5,r5,r4 - 1894: 30000626 beq r6,zero,18b0 - 1898: 198d883a add r6,r3,r6 - 189c: 29c00003 ldbu r7,0(r5) - 18a0: 18c00044 addi r3,r3,1 - 18a4: 29400044 addi r5,r5,1 - 18a8: 19ffffc5 stb r7,-1(r3) - 18ac: 19bffb1e bne r3,r6,189c <__alt_data_end+0xfffe189c> - 18b0: dfc00217 ldw ra,8(sp) - 18b4: dc400117 ldw r17,4(sp) - 18b8: dc000017 ldw r16,0(sp) - 18bc: dec00304 addi sp,sp,12 - 18c0: f800283a ret - 18c4: 2007883a mov r3,r4 - 18c8: 003ff206 br 1894 <__alt_data_end+0xfffe1894> - 18cc: 2007883a mov r3,r4 - 18d0: 003ff106 br 1898 <__alt_data_end+0xfffe1898> - 18d4: 200d883a mov r6,r4 - 18d8: 003fee06 br 1894 <__alt_data_end+0xfffe1894> +00001778 : + 1778: defffd04 addi sp,sp,-12 + 177c: dfc00215 stw ra,8(sp) + 1780: dc400115 stw r17,4(sp) + 1784: dc000015 stw r16,0(sp) + 1788: 00c003c4 movi r3,15 + 178c: 2005883a mov r2,r4 + 1790: 1980452e bgeu r3,r6,18a8 + 1794: 2906b03a or r3,r5,r4 + 1798: 18c000cc andi r3,r3,3 + 179c: 1800441e bne r3,zero,18b0 + 17a0: 347ffc04 addi r17,r6,-16 + 17a4: 8822d13a srli r17,r17,4 + 17a8: 28c00104 addi r3,r5,4 + 17ac: 23400104 addi r13,r4,4 + 17b0: 8820913a slli r16,r17,4 + 17b4: 2b000204 addi r12,r5,8 + 17b8: 22c00204 addi r11,r4,8 + 17bc: 84000504 addi r16,r16,20 + 17c0: 2a800304 addi r10,r5,12 + 17c4: 22400304 addi r9,r4,12 + 17c8: 2c21883a add r16,r5,r16 + 17cc: 2811883a mov r8,r5 + 17d0: 200f883a mov r7,r4 + 17d4: 41000017 ldw r4,0(r8) + 17d8: 1fc00017 ldw ra,0(r3) + 17dc: 63c00017 ldw r15,0(r12) + 17e0: 39000015 stw r4,0(r7) + 17e4: 53800017 ldw r14,0(r10) + 17e8: 6fc00015 stw ra,0(r13) + 17ec: 5bc00015 stw r15,0(r11) + 17f0: 4b800015 stw r14,0(r9) + 17f4: 18c00404 addi r3,r3,16 + 17f8: 39c00404 addi r7,r7,16 + 17fc: 42000404 addi r8,r8,16 + 1800: 6b400404 addi r13,r13,16 + 1804: 63000404 addi r12,r12,16 + 1808: 5ac00404 addi r11,r11,16 + 180c: 52800404 addi r10,r10,16 + 1810: 4a400404 addi r9,r9,16 + 1814: 1c3fef1e bne r3,r16,17d4 + 1818: 89c00044 addi r7,r17,1 + 181c: 380e913a slli r7,r7,4 + 1820: 310003cc andi r4,r6,15 + 1824: 02c000c4 movi r11,3 + 1828: 11c7883a add r3,r2,r7 + 182c: 29cb883a add r5,r5,r7 + 1830: 5900212e bgeu r11,r4,18b8 + 1834: 1813883a mov r9,r3 + 1838: 2811883a mov r8,r5 + 183c: 200f883a mov r7,r4 + 1840: 42800017 ldw r10,0(r8) + 1844: 4a400104 addi r9,r9,4 + 1848: 39ffff04 addi r7,r7,-4 + 184c: 4abfff15 stw r10,-4(r9) + 1850: 42000104 addi r8,r8,4 + 1854: 59fffa36 bltu r11,r7,1840 + 1858: 213fff04 addi r4,r4,-4 + 185c: 2008d0ba srli r4,r4,2 + 1860: 318000cc andi r6,r6,3 + 1864: 21000044 addi r4,r4,1 + 1868: 2109883a add r4,r4,r4 + 186c: 2109883a add r4,r4,r4 + 1870: 1907883a add r3,r3,r4 + 1874: 290b883a add r5,r5,r4 + 1878: 30000626 beq r6,zero,1894 + 187c: 198d883a add r6,r3,r6 + 1880: 29c00003 ldbu r7,0(r5) + 1884: 18c00044 addi r3,r3,1 + 1888: 29400044 addi r5,r5,1 + 188c: 19ffffc5 stb r7,-1(r3) + 1890: 19bffb1e bne r3,r6,1880 + 1894: dfc00217 ldw ra,8(sp) + 1898: dc400117 ldw r17,4(sp) + 189c: dc000017 ldw r16,0(sp) + 18a0: dec00304 addi sp,sp,12 + 18a4: f800283a ret + 18a8: 2007883a mov r3,r4 + 18ac: 003ff206 br 1878 + 18b0: 2007883a mov r3,r4 + 18b4: 003ff106 br 187c + 18b8: 200d883a mov r6,r4 + 18bc: 003fee06 br 1878 -000018dc : - 18dc: 2005883a mov r2,r4 - 18e0: 29000b2e bgeu r5,r4,1910 - 18e4: 298f883a add r7,r5,r6 - 18e8: 21c0092e bgeu r4,r7,1910 - 18ec: 2187883a add r3,r4,r6 - 18f0: 198bc83a sub r5,r3,r6 - 18f4: 30004826 beq r6,zero,1a18 - 18f8: 39ffffc4 addi r7,r7,-1 - 18fc: 39000003 ldbu r4,0(r7) - 1900: 18ffffc4 addi r3,r3,-1 - 1904: 19000005 stb r4,0(r3) - 1908: 28fffb1e bne r5,r3,18f8 <__alt_data_end+0xfffe18f8> - 190c: f800283a ret - 1910: 00c003c4 movi r3,15 - 1914: 1980412e bgeu r3,r6,1a1c - 1918: 2886b03a or r3,r5,r2 - 191c: 18c000cc andi r3,r3,3 - 1920: 1800401e bne r3,zero,1a24 - 1924: 33fffc04 addi r15,r6,-16 - 1928: 781ed13a srli r15,r15,4 - 192c: 28c00104 addi r3,r5,4 - 1930: 13400104 addi r13,r2,4 - 1934: 781c913a slli r14,r15,4 - 1938: 2b000204 addi r12,r5,8 - 193c: 12c00204 addi r11,r2,8 - 1940: 73800504 addi r14,r14,20 - 1944: 2a800304 addi r10,r5,12 - 1948: 12400304 addi r9,r2,12 - 194c: 2b9d883a add r14,r5,r14 - 1950: 2811883a mov r8,r5 - 1954: 100f883a mov r7,r2 - 1958: 41000017 ldw r4,0(r8) - 195c: 39c00404 addi r7,r7,16 - 1960: 18c00404 addi r3,r3,16 - 1964: 393ffc15 stw r4,-16(r7) - 1968: 193ffc17 ldw r4,-16(r3) - 196c: 6b400404 addi r13,r13,16 - 1970: 5ac00404 addi r11,r11,16 - 1974: 693ffc15 stw r4,-16(r13) - 1978: 61000017 ldw r4,0(r12) - 197c: 4a400404 addi r9,r9,16 - 1980: 42000404 addi r8,r8,16 - 1984: 593ffc15 stw r4,-16(r11) - 1988: 51000017 ldw r4,0(r10) - 198c: 63000404 addi r12,r12,16 - 1990: 52800404 addi r10,r10,16 - 1994: 493ffc15 stw r4,-16(r9) - 1998: 1bbfef1e bne r3,r14,1958 <__alt_data_end+0xfffe1958> - 199c: 79000044 addi r4,r15,1 - 19a0: 2008913a slli r4,r4,4 - 19a4: 328003cc andi r10,r6,15 - 19a8: 02c000c4 movi r11,3 - 19ac: 1107883a add r3,r2,r4 - 19b0: 290b883a add r5,r5,r4 - 19b4: 5a801e2e bgeu r11,r10,1a30 - 19b8: 1813883a mov r9,r3 - 19bc: 2811883a mov r8,r5 - 19c0: 500f883a mov r7,r10 - 19c4: 41000017 ldw r4,0(r8) - 19c8: 4a400104 addi r9,r9,4 - 19cc: 39ffff04 addi r7,r7,-4 - 19d0: 493fff15 stw r4,-4(r9) - 19d4: 42000104 addi r8,r8,4 - 19d8: 59fffa36 bltu r11,r7,19c4 <__alt_data_end+0xfffe19c4> - 19dc: 513fff04 addi r4,r10,-4 - 19e0: 2008d0ba srli r4,r4,2 - 19e4: 318000cc andi r6,r6,3 - 19e8: 21000044 addi r4,r4,1 - 19ec: 2109883a add r4,r4,r4 - 19f0: 2109883a add r4,r4,r4 - 19f4: 1907883a add r3,r3,r4 - 19f8: 290b883a add r5,r5,r4 - 19fc: 30000b26 beq r6,zero,1a2c - 1a00: 198d883a add r6,r3,r6 - 1a04: 29c00003 ldbu r7,0(r5) - 1a08: 18c00044 addi r3,r3,1 - 1a0c: 29400044 addi r5,r5,1 - 1a10: 19ffffc5 stb r7,-1(r3) - 1a14: 19bffb1e bne r3,r6,1a04 <__alt_data_end+0xfffe1a04> - 1a18: f800283a ret - 1a1c: 1007883a mov r3,r2 - 1a20: 003ff606 br 19fc <__alt_data_end+0xfffe19fc> - 1a24: 1007883a mov r3,r2 - 1a28: 003ff506 br 1a00 <__alt_data_end+0xfffe1a00> - 1a2c: f800283a ret - 1a30: 500d883a mov r6,r10 - 1a34: 003ff106 br 19fc <__alt_data_end+0xfffe19fc> +000018c0 : + 18c0: 2005883a mov r2,r4 + 18c4: 29000b2e bgeu r5,r4,18f4 + 18c8: 298f883a add r7,r5,r6 + 18cc: 21c0092e bgeu r4,r7,18f4 + 18d0: 2187883a add r3,r4,r6 + 18d4: 198bc83a sub r5,r3,r6 + 18d8: 30004826 beq r6,zero,19fc + 18dc: 39ffffc4 addi r7,r7,-1 + 18e0: 39000003 ldbu r4,0(r7) + 18e4: 18ffffc4 addi r3,r3,-1 + 18e8: 19000005 stb r4,0(r3) + 18ec: 28fffb1e bne r5,r3,18dc + 18f0: f800283a ret + 18f4: 00c003c4 movi r3,15 + 18f8: 1980412e bgeu r3,r6,1a00 + 18fc: 2886b03a or r3,r5,r2 + 1900: 18c000cc andi r3,r3,3 + 1904: 1800401e bne r3,zero,1a08 + 1908: 33fffc04 addi r15,r6,-16 + 190c: 781ed13a srli r15,r15,4 + 1910: 28c00104 addi r3,r5,4 + 1914: 13400104 addi r13,r2,4 + 1918: 781c913a slli r14,r15,4 + 191c: 2b000204 addi r12,r5,8 + 1920: 12c00204 addi r11,r2,8 + 1924: 73800504 addi r14,r14,20 + 1928: 2a800304 addi r10,r5,12 + 192c: 12400304 addi r9,r2,12 + 1930: 2b9d883a add r14,r5,r14 + 1934: 2811883a mov r8,r5 + 1938: 100f883a mov r7,r2 + 193c: 41000017 ldw r4,0(r8) + 1940: 39c00404 addi r7,r7,16 + 1944: 18c00404 addi r3,r3,16 + 1948: 393ffc15 stw r4,-16(r7) + 194c: 193ffc17 ldw r4,-16(r3) + 1950: 6b400404 addi r13,r13,16 + 1954: 5ac00404 addi r11,r11,16 + 1958: 693ffc15 stw r4,-16(r13) + 195c: 61000017 ldw r4,0(r12) + 1960: 4a400404 addi r9,r9,16 + 1964: 42000404 addi r8,r8,16 + 1968: 593ffc15 stw r4,-16(r11) + 196c: 51000017 ldw r4,0(r10) + 1970: 63000404 addi r12,r12,16 + 1974: 52800404 addi r10,r10,16 + 1978: 493ffc15 stw r4,-16(r9) + 197c: 1bbfef1e bne r3,r14,193c + 1980: 79000044 addi r4,r15,1 + 1984: 2008913a slli r4,r4,4 + 1988: 328003cc andi r10,r6,15 + 198c: 02c000c4 movi r11,3 + 1990: 1107883a add r3,r2,r4 + 1994: 290b883a add r5,r5,r4 + 1998: 5a801e2e bgeu r11,r10,1a14 + 199c: 1813883a mov r9,r3 + 19a0: 2811883a mov r8,r5 + 19a4: 500f883a mov r7,r10 + 19a8: 41000017 ldw r4,0(r8) + 19ac: 4a400104 addi r9,r9,4 + 19b0: 39ffff04 addi r7,r7,-4 + 19b4: 493fff15 stw r4,-4(r9) + 19b8: 42000104 addi r8,r8,4 + 19bc: 59fffa36 bltu r11,r7,19a8 + 19c0: 513fff04 addi r4,r10,-4 + 19c4: 2008d0ba srli r4,r4,2 + 19c8: 318000cc andi r6,r6,3 + 19cc: 21000044 addi r4,r4,1 + 19d0: 2109883a add r4,r4,r4 + 19d4: 2109883a add r4,r4,r4 + 19d8: 1907883a add r3,r3,r4 + 19dc: 290b883a add r5,r5,r4 + 19e0: 30000b26 beq r6,zero,1a10 + 19e4: 198d883a add r6,r3,r6 + 19e8: 29c00003 ldbu r7,0(r5) + 19ec: 18c00044 addi r3,r3,1 + 19f0: 29400044 addi r5,r5,1 + 19f4: 19ffffc5 stb r7,-1(r3) + 19f8: 19bffb1e bne r3,r6,19e8 + 19fc: f800283a ret + 1a00: 1007883a mov r3,r2 + 1a04: 003ff606 br 19e0 + 1a08: 1007883a mov r3,r2 + 1a0c: 003ff506 br 19e4 + 1a10: f800283a ret + 1a14: 500d883a mov r6,r10 + 1a18: 003ff106 br 19e0 -00001a38 : - 1a38: 20c000cc andi r3,r4,3 - 1a3c: 2005883a mov r2,r4 - 1a40: 18004426 beq r3,zero,1b54 - 1a44: 31ffffc4 addi r7,r6,-1 - 1a48: 30004026 beq r6,zero,1b4c - 1a4c: 2813883a mov r9,r5 - 1a50: 200d883a mov r6,r4 - 1a54: 2007883a mov r3,r4 - 1a58: 00000406 br 1a6c - 1a5c: 3a3fffc4 addi r8,r7,-1 - 1a60: 31800044 addi r6,r6,1 - 1a64: 38003926 beq r7,zero,1b4c - 1a68: 400f883a mov r7,r8 - 1a6c: 18c00044 addi r3,r3,1 - 1a70: 32400005 stb r9,0(r6) - 1a74: 1a0000cc andi r8,r3,3 - 1a78: 403ff81e bne r8,zero,1a5c <__alt_data_end+0xfffe1a5c> - 1a7c: 010000c4 movi r4,3 - 1a80: 21c02d2e bgeu r4,r7,1b38 - 1a84: 29003fcc andi r4,r5,255 - 1a88: 200c923a slli r6,r4,8 - 1a8c: 3108b03a or r4,r6,r4 - 1a90: 200c943a slli r6,r4,16 - 1a94: 218cb03a or r6,r4,r6 - 1a98: 010003c4 movi r4,15 - 1a9c: 21c0182e bgeu r4,r7,1b00 - 1aa0: 3b3ffc04 addi r12,r7,-16 - 1aa4: 6018d13a srli r12,r12,4 - 1aa8: 1a000104 addi r8,r3,4 - 1aac: 1ac00204 addi r11,r3,8 - 1ab0: 6008913a slli r4,r12,4 - 1ab4: 1a800304 addi r10,r3,12 - 1ab8: 1813883a mov r9,r3 - 1abc: 21000504 addi r4,r4,20 - 1ac0: 1909883a add r4,r3,r4 - 1ac4: 49800015 stw r6,0(r9) - 1ac8: 41800015 stw r6,0(r8) - 1acc: 59800015 stw r6,0(r11) - 1ad0: 51800015 stw r6,0(r10) - 1ad4: 42000404 addi r8,r8,16 - 1ad8: 4a400404 addi r9,r9,16 - 1adc: 5ac00404 addi r11,r11,16 - 1ae0: 52800404 addi r10,r10,16 - 1ae4: 413ff71e bne r8,r4,1ac4 <__alt_data_end+0xfffe1ac4> - 1ae8: 63000044 addi r12,r12,1 - 1aec: 6018913a slli r12,r12,4 - 1af0: 39c003cc andi r7,r7,15 - 1af4: 010000c4 movi r4,3 - 1af8: 1b07883a add r3,r3,r12 - 1afc: 21c00e2e bgeu r4,r7,1b38 - 1b00: 1813883a mov r9,r3 - 1b04: 3811883a mov r8,r7 - 1b08: 010000c4 movi r4,3 - 1b0c: 49800015 stw r6,0(r9) - 1b10: 423fff04 addi r8,r8,-4 - 1b14: 4a400104 addi r9,r9,4 - 1b18: 223ffc36 bltu r4,r8,1b0c <__alt_data_end+0xfffe1b0c> - 1b1c: 393fff04 addi r4,r7,-4 - 1b20: 2008d0ba srli r4,r4,2 - 1b24: 39c000cc andi r7,r7,3 - 1b28: 21000044 addi r4,r4,1 - 1b2c: 2109883a add r4,r4,r4 - 1b30: 2109883a add r4,r4,r4 - 1b34: 1907883a add r3,r3,r4 - 1b38: 38000526 beq r7,zero,1b50 - 1b3c: 19cf883a add r7,r3,r7 - 1b40: 19400005 stb r5,0(r3) - 1b44: 18c00044 addi r3,r3,1 - 1b48: 38fffd1e bne r7,r3,1b40 <__alt_data_end+0xfffe1b40> - 1b4c: f800283a ret - 1b50: f800283a ret - 1b54: 2007883a mov r3,r4 - 1b58: 300f883a mov r7,r6 - 1b5c: 003fc706 br 1a7c <__alt_data_end+0xfffe1a7c> +00001a1c : + 1a1c: 20c000cc andi r3,r4,3 + 1a20: 2005883a mov r2,r4 + 1a24: 18004426 beq r3,zero,1b38 + 1a28: 31ffffc4 addi r7,r6,-1 + 1a2c: 30004026 beq r6,zero,1b30 + 1a30: 2813883a mov r9,r5 + 1a34: 200d883a mov r6,r4 + 1a38: 2007883a mov r3,r4 + 1a3c: 00000406 br 1a50 + 1a40: 3a3fffc4 addi r8,r7,-1 + 1a44: 31800044 addi r6,r6,1 + 1a48: 38003926 beq r7,zero,1b30 + 1a4c: 400f883a mov r7,r8 + 1a50: 18c00044 addi r3,r3,1 + 1a54: 32400005 stb r9,0(r6) + 1a58: 1a0000cc andi r8,r3,3 + 1a5c: 403ff81e bne r8,zero,1a40 + 1a60: 010000c4 movi r4,3 + 1a64: 21c02d2e bgeu r4,r7,1b1c + 1a68: 29003fcc andi r4,r5,255 + 1a6c: 200c923a slli r6,r4,8 + 1a70: 3108b03a or r4,r6,r4 + 1a74: 200c943a slli r6,r4,16 + 1a78: 218cb03a or r6,r4,r6 + 1a7c: 010003c4 movi r4,15 + 1a80: 21c0182e bgeu r4,r7,1ae4 + 1a84: 3b3ffc04 addi r12,r7,-16 + 1a88: 6018d13a srli r12,r12,4 + 1a8c: 1a000104 addi r8,r3,4 + 1a90: 1ac00204 addi r11,r3,8 + 1a94: 6008913a slli r4,r12,4 + 1a98: 1a800304 addi r10,r3,12 + 1a9c: 1813883a mov r9,r3 + 1aa0: 21000504 addi r4,r4,20 + 1aa4: 1909883a add r4,r3,r4 + 1aa8: 49800015 stw r6,0(r9) + 1aac: 41800015 stw r6,0(r8) + 1ab0: 59800015 stw r6,0(r11) + 1ab4: 51800015 stw r6,0(r10) + 1ab8: 42000404 addi r8,r8,16 + 1abc: 4a400404 addi r9,r9,16 + 1ac0: 5ac00404 addi r11,r11,16 + 1ac4: 52800404 addi r10,r10,16 + 1ac8: 413ff71e bne r8,r4,1aa8 + 1acc: 63000044 addi r12,r12,1 + 1ad0: 6018913a slli r12,r12,4 + 1ad4: 39c003cc andi r7,r7,15 + 1ad8: 010000c4 movi r4,3 + 1adc: 1b07883a add r3,r3,r12 + 1ae0: 21c00e2e bgeu r4,r7,1b1c + 1ae4: 1813883a mov r9,r3 + 1ae8: 3811883a mov r8,r7 + 1aec: 010000c4 movi r4,3 + 1af0: 49800015 stw r6,0(r9) + 1af4: 423fff04 addi r8,r8,-4 + 1af8: 4a400104 addi r9,r9,4 + 1afc: 223ffc36 bltu r4,r8,1af0 + 1b00: 393fff04 addi r4,r7,-4 + 1b04: 2008d0ba srli r4,r4,2 + 1b08: 39c000cc andi r7,r7,3 + 1b0c: 21000044 addi r4,r4,1 + 1b10: 2109883a add r4,r4,r4 + 1b14: 2109883a add r4,r4,r4 + 1b18: 1907883a add r3,r3,r4 + 1b1c: 38000526 beq r7,zero,1b34 + 1b20: 19cf883a add r7,r3,r7 + 1b24: 19400005 stb r5,0(r3) + 1b28: 18c00044 addi r3,r3,1 + 1b2c: 38fffd1e bne r7,r3,1b24 + 1b30: f800283a ret + 1b34: f800283a ret + 1b38: 2007883a mov r3,r4 + 1b3c: 300f883a mov r7,r6 + 1b40: 003fc706 br 1a60 -00001b60 <_realloc_r>: - 1b60: defff604 addi sp,sp,-40 - 1b64: dc800215 stw r18,8(sp) - 1b68: dfc00915 stw ra,36(sp) - 1b6c: df000815 stw fp,32(sp) - 1b70: ddc00715 stw r23,28(sp) - 1b74: dd800615 stw r22,24(sp) - 1b78: dd400515 stw r21,20(sp) - 1b7c: dd000415 stw r20,16(sp) - 1b80: dcc00315 stw r19,12(sp) - 1b84: dc400115 stw r17,4(sp) - 1b88: dc000015 stw r16,0(sp) - 1b8c: 3025883a mov r18,r6 - 1b90: 2800b726 beq r5,zero,1e70 <_realloc_r+0x310> - 1b94: 282b883a mov r21,r5 - 1b98: 2029883a mov r20,r4 - 1b9c: 000361c0 call 361c <__malloc_lock> - 1ba0: a8bfff17 ldw r2,-4(r21) - 1ba4: 043fff04 movi r16,-4 - 1ba8: 90c002c4 addi r3,r18,11 - 1bac: 01000584 movi r4,22 - 1bb0: acfffe04 addi r19,r21,-8 - 1bb4: 1420703a and r16,r2,r16 - 1bb8: 20c0332e bgeu r4,r3,1c88 <_realloc_r+0x128> - 1bbc: 047ffe04 movi r17,-8 - 1bc0: 1c62703a and r17,r3,r17 - 1bc4: 8807883a mov r3,r17 - 1bc8: 88005816 blt r17,zero,1d2c <_realloc_r+0x1cc> - 1bcc: 8c805736 bltu r17,r18,1d2c <_realloc_r+0x1cc> - 1bd0: 80c0300e bge r16,r3,1c94 <_realloc_r+0x134> - 1bd4: 07000034 movhi fp,0 - 1bd8: e7172004 addi fp,fp,23680 - 1bdc: e1c00217 ldw r7,8(fp) - 1be0: 9c09883a add r4,r19,r16 - 1be4: 22000117 ldw r8,4(r4) - 1be8: 21c06326 beq r4,r7,1d78 <_realloc_r+0x218> - 1bec: 017fff84 movi r5,-2 - 1bf0: 414a703a and r5,r8,r5 - 1bf4: 214b883a add r5,r4,r5 - 1bf8: 29800117 ldw r6,4(r5) - 1bfc: 3180004c andi r6,r6,1 - 1c00: 30003f26 beq r6,zero,1d00 <_realloc_r+0x1a0> - 1c04: 1080004c andi r2,r2,1 - 1c08: 10008326 beq r2,zero,1e18 <_realloc_r+0x2b8> - 1c0c: 900b883a mov r5,r18 - 1c10: a009883a mov r4,r20 - 1c14: 0000ea40 call ea4 <_malloc_r> - 1c18: 1025883a mov r18,r2 - 1c1c: 10011e26 beq r2,zero,2098 <_realloc_r+0x538> - 1c20: a93fff17 ldw r4,-4(r21) - 1c24: 10fffe04 addi r3,r2,-8 - 1c28: 00bfff84 movi r2,-2 - 1c2c: 2084703a and r2,r4,r2 - 1c30: 9885883a add r2,r19,r2 - 1c34: 1880ee26 beq r3,r2,1ff0 <_realloc_r+0x490> - 1c38: 81bfff04 addi r6,r16,-4 - 1c3c: 00800904 movi r2,36 - 1c40: 1180b836 bltu r2,r6,1f24 <_realloc_r+0x3c4> - 1c44: 00c004c4 movi r3,19 - 1c48: 19809636 bltu r3,r6,1ea4 <_realloc_r+0x344> - 1c4c: 9005883a mov r2,r18 - 1c50: a807883a mov r3,r21 - 1c54: 19000017 ldw r4,0(r3) - 1c58: 11000015 stw r4,0(r2) - 1c5c: 19000117 ldw r4,4(r3) - 1c60: 11000115 stw r4,4(r2) - 1c64: 18c00217 ldw r3,8(r3) - 1c68: 10c00215 stw r3,8(r2) - 1c6c: a80b883a mov r5,r21 - 1c70: a009883a mov r4,r20 - 1c74: 000292c0 call 292c <_free_r> - 1c78: a009883a mov r4,r20 - 1c7c: 00036400 call 3640 <__malloc_unlock> - 1c80: 9005883a mov r2,r18 - 1c84: 00001206 br 1cd0 <_realloc_r+0x170> - 1c88: 00c00404 movi r3,16 - 1c8c: 1823883a mov r17,r3 - 1c90: 003fce06 br 1bcc <__alt_data_end+0xfffe1bcc> - 1c94: a825883a mov r18,r21 - 1c98: 8445c83a sub r2,r16,r17 - 1c9c: 00c003c4 movi r3,15 - 1ca0: 18802636 bltu r3,r2,1d3c <_realloc_r+0x1dc> - 1ca4: 99800117 ldw r6,4(r19) - 1ca8: 9c07883a add r3,r19,r16 - 1cac: 3180004c andi r6,r6,1 - 1cb0: 3420b03a or r16,r6,r16 - 1cb4: 9c000115 stw r16,4(r19) - 1cb8: 18800117 ldw r2,4(r3) - 1cbc: 10800054 ori r2,r2,1 - 1cc0: 18800115 stw r2,4(r3) - 1cc4: a009883a mov r4,r20 - 1cc8: 00036400 call 3640 <__malloc_unlock> - 1ccc: 9005883a mov r2,r18 - 1cd0: dfc00917 ldw ra,36(sp) - 1cd4: df000817 ldw fp,32(sp) - 1cd8: ddc00717 ldw r23,28(sp) - 1cdc: dd800617 ldw r22,24(sp) - 1ce0: dd400517 ldw r21,20(sp) - 1ce4: dd000417 ldw r20,16(sp) - 1ce8: dcc00317 ldw r19,12(sp) - 1cec: dc800217 ldw r18,8(sp) - 1cf0: dc400117 ldw r17,4(sp) - 1cf4: dc000017 ldw r16,0(sp) - 1cf8: dec00a04 addi sp,sp,40 - 1cfc: f800283a ret - 1d00: 017fff04 movi r5,-4 - 1d04: 414a703a and r5,r8,r5 - 1d08: 814d883a add r6,r16,r5 - 1d0c: 30c01f16 blt r6,r3,1d8c <_realloc_r+0x22c> - 1d10: 20800317 ldw r2,12(r4) - 1d14: 20c00217 ldw r3,8(r4) - 1d18: a825883a mov r18,r21 - 1d1c: 3021883a mov r16,r6 - 1d20: 18800315 stw r2,12(r3) - 1d24: 10c00215 stw r3,8(r2) - 1d28: 003fdb06 br 1c98 <__alt_data_end+0xfffe1c98> - 1d2c: 00800304 movi r2,12 - 1d30: a0800015 stw r2,0(r20) - 1d34: 0005883a mov r2,zero - 1d38: 003fe506 br 1cd0 <__alt_data_end+0xfffe1cd0> - 1d3c: 98c00117 ldw r3,4(r19) - 1d40: 9c4b883a add r5,r19,r17 - 1d44: 11000054 ori r4,r2,1 - 1d48: 18c0004c andi r3,r3,1 - 1d4c: 1c62b03a or r17,r3,r17 - 1d50: 9c400115 stw r17,4(r19) - 1d54: 29000115 stw r4,4(r5) - 1d58: 2885883a add r2,r5,r2 - 1d5c: 10c00117 ldw r3,4(r2) - 1d60: 29400204 addi r5,r5,8 - 1d64: a009883a mov r4,r20 - 1d68: 18c00054 ori r3,r3,1 - 1d6c: 10c00115 stw r3,4(r2) - 1d70: 000292c0 call 292c <_free_r> - 1d74: 003fd306 br 1cc4 <__alt_data_end+0xfffe1cc4> - 1d78: 017fff04 movi r5,-4 - 1d7c: 414a703a and r5,r8,r5 - 1d80: 89800404 addi r6,r17,16 - 1d84: 8151883a add r8,r16,r5 - 1d88: 4180590e bge r8,r6,1ef0 <_realloc_r+0x390> - 1d8c: 1080004c andi r2,r2,1 - 1d90: 103f9e1e bne r2,zero,1c0c <__alt_data_end+0xfffe1c0c> - 1d94: adbffe17 ldw r22,-8(r21) - 1d98: 00bfff04 movi r2,-4 - 1d9c: 9dadc83a sub r22,r19,r22 - 1da0: b1800117 ldw r6,4(r22) - 1da4: 3084703a and r2,r6,r2 - 1da8: 20002026 beq r4,zero,1e2c <_realloc_r+0x2cc> - 1dac: 80af883a add r23,r16,r2 - 1db0: b96f883a add r23,r23,r5 - 1db4: 21c05f26 beq r4,r7,1f34 <_realloc_r+0x3d4> - 1db8: b8c01c16 blt r23,r3,1e2c <_realloc_r+0x2cc> - 1dbc: 20800317 ldw r2,12(r4) - 1dc0: 20c00217 ldw r3,8(r4) - 1dc4: 81bfff04 addi r6,r16,-4 - 1dc8: 01000904 movi r4,36 - 1dcc: 18800315 stw r2,12(r3) - 1dd0: 10c00215 stw r3,8(r2) - 1dd4: b0c00217 ldw r3,8(r22) - 1dd8: b0800317 ldw r2,12(r22) - 1ddc: b4800204 addi r18,r22,8 - 1de0: 18800315 stw r2,12(r3) - 1de4: 10c00215 stw r3,8(r2) - 1de8: 21801b36 bltu r4,r6,1e58 <_realloc_r+0x2f8> - 1dec: 008004c4 movi r2,19 - 1df0: 1180352e bgeu r2,r6,1ec8 <_realloc_r+0x368> - 1df4: a8800017 ldw r2,0(r21) - 1df8: b0800215 stw r2,8(r22) - 1dfc: a8800117 ldw r2,4(r21) - 1e00: b0800315 stw r2,12(r22) - 1e04: 008006c4 movi r2,27 - 1e08: 11807f36 bltu r2,r6,2008 <_realloc_r+0x4a8> - 1e0c: b0800404 addi r2,r22,16 - 1e10: ad400204 addi r21,r21,8 - 1e14: 00002d06 br 1ecc <_realloc_r+0x36c> - 1e18: adbffe17 ldw r22,-8(r21) - 1e1c: 00bfff04 movi r2,-4 - 1e20: 9dadc83a sub r22,r19,r22 - 1e24: b1000117 ldw r4,4(r22) - 1e28: 2084703a and r2,r4,r2 - 1e2c: b03f7726 beq r22,zero,1c0c <__alt_data_end+0xfffe1c0c> - 1e30: 80af883a add r23,r16,r2 - 1e34: b8ff7516 blt r23,r3,1c0c <__alt_data_end+0xfffe1c0c> - 1e38: b0800317 ldw r2,12(r22) - 1e3c: b0c00217 ldw r3,8(r22) - 1e40: 81bfff04 addi r6,r16,-4 - 1e44: 01000904 movi r4,36 - 1e48: 18800315 stw r2,12(r3) - 1e4c: 10c00215 stw r3,8(r2) - 1e50: b4800204 addi r18,r22,8 - 1e54: 21bfe52e bgeu r4,r6,1dec <__alt_data_end+0xfffe1dec> - 1e58: a80b883a mov r5,r21 - 1e5c: 9009883a mov r4,r18 - 1e60: 00018dc0 call 18dc - 1e64: b821883a mov r16,r23 - 1e68: b027883a mov r19,r22 - 1e6c: 003f8a06 br 1c98 <__alt_data_end+0xfffe1c98> - 1e70: 300b883a mov r5,r6 - 1e74: dfc00917 ldw ra,36(sp) - 1e78: df000817 ldw fp,32(sp) - 1e7c: ddc00717 ldw r23,28(sp) - 1e80: dd800617 ldw r22,24(sp) - 1e84: dd400517 ldw r21,20(sp) - 1e88: dd000417 ldw r20,16(sp) - 1e8c: dcc00317 ldw r19,12(sp) - 1e90: dc800217 ldw r18,8(sp) - 1e94: dc400117 ldw r17,4(sp) - 1e98: dc000017 ldw r16,0(sp) - 1e9c: dec00a04 addi sp,sp,40 - 1ea0: 0000ea41 jmpi ea4 <_malloc_r> - 1ea4: a8c00017 ldw r3,0(r21) - 1ea8: 90c00015 stw r3,0(r18) - 1eac: a8c00117 ldw r3,4(r21) - 1eb0: 90c00115 stw r3,4(r18) - 1eb4: 00c006c4 movi r3,27 - 1eb8: 19804536 bltu r3,r6,1fd0 <_realloc_r+0x470> - 1ebc: 90800204 addi r2,r18,8 - 1ec0: a8c00204 addi r3,r21,8 - 1ec4: 003f6306 br 1c54 <__alt_data_end+0xfffe1c54> - 1ec8: 9005883a mov r2,r18 - 1ecc: a8c00017 ldw r3,0(r21) - 1ed0: b821883a mov r16,r23 - 1ed4: b027883a mov r19,r22 - 1ed8: 10c00015 stw r3,0(r2) - 1edc: a8c00117 ldw r3,4(r21) - 1ee0: 10c00115 stw r3,4(r2) - 1ee4: a8c00217 ldw r3,8(r21) - 1ee8: 10c00215 stw r3,8(r2) - 1eec: 003f6a06 br 1c98 <__alt_data_end+0xfffe1c98> - 1ef0: 9c67883a add r19,r19,r17 - 1ef4: 4445c83a sub r2,r8,r17 - 1ef8: e4c00215 stw r19,8(fp) - 1efc: 10800054 ori r2,r2,1 - 1f00: 98800115 stw r2,4(r19) - 1f04: a8bfff17 ldw r2,-4(r21) - 1f08: a009883a mov r4,r20 - 1f0c: 1080004c andi r2,r2,1 - 1f10: 1462b03a or r17,r2,r17 - 1f14: ac7fff15 stw r17,-4(r21) - 1f18: 00036400 call 3640 <__malloc_unlock> - 1f1c: a805883a mov r2,r21 - 1f20: 003f6b06 br 1cd0 <__alt_data_end+0xfffe1cd0> - 1f24: a80b883a mov r5,r21 - 1f28: 9009883a mov r4,r18 - 1f2c: 00018dc0 call 18dc - 1f30: 003f4e06 br 1c6c <__alt_data_end+0xfffe1c6c> - 1f34: 89000404 addi r4,r17,16 - 1f38: b93fbc16 blt r23,r4,1e2c <__alt_data_end+0xfffe1e2c> - 1f3c: b0800317 ldw r2,12(r22) - 1f40: b0c00217 ldw r3,8(r22) - 1f44: 81bfff04 addi r6,r16,-4 - 1f48: 01000904 movi r4,36 - 1f4c: 18800315 stw r2,12(r3) - 1f50: 10c00215 stw r3,8(r2) - 1f54: b4800204 addi r18,r22,8 - 1f58: 21804336 bltu r4,r6,2068 <_realloc_r+0x508> - 1f5c: 008004c4 movi r2,19 - 1f60: 11803f2e bgeu r2,r6,2060 <_realloc_r+0x500> - 1f64: a8800017 ldw r2,0(r21) - 1f68: b0800215 stw r2,8(r22) - 1f6c: a8800117 ldw r2,4(r21) - 1f70: b0800315 stw r2,12(r22) - 1f74: 008006c4 movi r2,27 - 1f78: 11803f36 bltu r2,r6,2078 <_realloc_r+0x518> - 1f7c: b0800404 addi r2,r22,16 - 1f80: ad400204 addi r21,r21,8 - 1f84: a8c00017 ldw r3,0(r21) - 1f88: 10c00015 stw r3,0(r2) - 1f8c: a8c00117 ldw r3,4(r21) - 1f90: 10c00115 stw r3,4(r2) - 1f94: a8c00217 ldw r3,8(r21) - 1f98: 10c00215 stw r3,8(r2) - 1f9c: b447883a add r3,r22,r17 - 1fa0: bc45c83a sub r2,r23,r17 - 1fa4: e0c00215 stw r3,8(fp) - 1fa8: 10800054 ori r2,r2,1 - 1fac: 18800115 stw r2,4(r3) - 1fb0: b0800117 ldw r2,4(r22) - 1fb4: a009883a mov r4,r20 - 1fb8: 1080004c andi r2,r2,1 - 1fbc: 1462b03a or r17,r2,r17 - 1fc0: b4400115 stw r17,4(r22) - 1fc4: 00036400 call 3640 <__malloc_unlock> - 1fc8: 9005883a mov r2,r18 - 1fcc: 003f4006 br 1cd0 <__alt_data_end+0xfffe1cd0> - 1fd0: a8c00217 ldw r3,8(r21) - 1fd4: 90c00215 stw r3,8(r18) - 1fd8: a8c00317 ldw r3,12(r21) - 1fdc: 90c00315 stw r3,12(r18) - 1fe0: 30801126 beq r6,r2,2028 <_realloc_r+0x4c8> - 1fe4: 90800404 addi r2,r18,16 - 1fe8: a8c00404 addi r3,r21,16 - 1fec: 003f1906 br 1c54 <__alt_data_end+0xfffe1c54> - 1ff0: 90ffff17 ldw r3,-4(r18) - 1ff4: 00bfff04 movi r2,-4 - 1ff8: a825883a mov r18,r21 - 1ffc: 1884703a and r2,r3,r2 - 2000: 80a1883a add r16,r16,r2 - 2004: 003f2406 br 1c98 <__alt_data_end+0xfffe1c98> - 2008: a8800217 ldw r2,8(r21) - 200c: b0800415 stw r2,16(r22) - 2010: a8800317 ldw r2,12(r21) - 2014: b0800515 stw r2,20(r22) - 2018: 31000a26 beq r6,r4,2044 <_realloc_r+0x4e4> - 201c: b0800604 addi r2,r22,24 - 2020: ad400404 addi r21,r21,16 - 2024: 003fa906 br 1ecc <__alt_data_end+0xfffe1ecc> - 2028: a9000417 ldw r4,16(r21) - 202c: 90800604 addi r2,r18,24 - 2030: a8c00604 addi r3,r21,24 - 2034: 91000415 stw r4,16(r18) - 2038: a9000517 ldw r4,20(r21) - 203c: 91000515 stw r4,20(r18) - 2040: 003f0406 br 1c54 <__alt_data_end+0xfffe1c54> - 2044: a8c00417 ldw r3,16(r21) - 2048: ad400604 addi r21,r21,24 - 204c: b0800804 addi r2,r22,32 - 2050: b0c00615 stw r3,24(r22) - 2054: a8ffff17 ldw r3,-4(r21) - 2058: b0c00715 stw r3,28(r22) - 205c: 003f9b06 br 1ecc <__alt_data_end+0xfffe1ecc> - 2060: 9005883a mov r2,r18 - 2064: 003fc706 br 1f84 <__alt_data_end+0xfffe1f84> - 2068: a80b883a mov r5,r21 - 206c: 9009883a mov r4,r18 - 2070: 00018dc0 call 18dc - 2074: 003fc906 br 1f9c <__alt_data_end+0xfffe1f9c> - 2078: a8800217 ldw r2,8(r21) - 207c: b0800415 stw r2,16(r22) - 2080: a8800317 ldw r2,12(r21) - 2084: b0800515 stw r2,20(r22) - 2088: 31000726 beq r6,r4,20a8 <_realloc_r+0x548> - 208c: b0800604 addi r2,r22,24 - 2090: ad400404 addi r21,r21,16 - 2094: 003fbb06 br 1f84 <__alt_data_end+0xfffe1f84> - 2098: a009883a mov r4,r20 - 209c: 00036400 call 3640 <__malloc_unlock> - 20a0: 0005883a mov r2,zero - 20a4: 003f0a06 br 1cd0 <__alt_data_end+0xfffe1cd0> - 20a8: a8c00417 ldw r3,16(r21) - 20ac: ad400604 addi r21,r21,24 - 20b0: b0800804 addi r2,r22,32 - 20b4: b0c00615 stw r3,24(r22) - 20b8: a8ffff17 ldw r3,-4(r21) - 20bc: b0c00715 stw r3,28(r22) - 20c0: 003fb006 br 1f84 <__alt_data_end+0xfffe1f84> +00001b44 <_realloc_r>: + 1b44: defff604 addi sp,sp,-40 + 1b48: dc800215 stw r18,8(sp) + 1b4c: dfc00915 stw ra,36(sp) + 1b50: df000815 stw fp,32(sp) + 1b54: ddc00715 stw r23,28(sp) + 1b58: dd800615 stw r22,24(sp) + 1b5c: dd400515 stw r21,20(sp) + 1b60: dd000415 stw r20,16(sp) + 1b64: dcc00315 stw r19,12(sp) + 1b68: dc400115 stw r17,4(sp) + 1b6c: dc000015 stw r16,0(sp) + 1b70: 3025883a mov r18,r6 + 1b74: 2800b726 beq r5,zero,1e54 <_realloc_r+0x310> + 1b78: 282b883a mov r21,r5 + 1b7c: 2029883a mov r20,r4 + 1b80: 00036000 call 3600 <__malloc_lock> + 1b84: a8bfff17 ldw r2,-4(r21) + 1b88: 043fff04 movi r16,-4 + 1b8c: 90c002c4 addi r3,r18,11 + 1b90: 01000584 movi r4,22 + 1b94: acfffe04 addi r19,r21,-8 + 1b98: 1420703a and r16,r2,r16 + 1b9c: 20c0332e bgeu r4,r3,1c6c <_realloc_r+0x128> + 1ba0: 047ffe04 movi r17,-8 + 1ba4: 1c62703a and r17,r3,r17 + 1ba8: 8807883a mov r3,r17 + 1bac: 88005816 blt r17,zero,1d10 <_realloc_r+0x1cc> + 1bb0: 8c805736 bltu r17,r18,1d10 <_realloc_r+0x1cc> + 1bb4: 80c0300e bge r16,r3,1c78 <_realloc_r+0x134> + 1bb8: 07000034 movhi fp,0 + 1bbc: e7171904 addi fp,fp,23652 + 1bc0: e1c00217 ldw r7,8(fp) + 1bc4: 9c09883a add r4,r19,r16 + 1bc8: 22000117 ldw r8,4(r4) + 1bcc: 21c06326 beq r4,r7,1d5c <_realloc_r+0x218> + 1bd0: 017fff84 movi r5,-2 + 1bd4: 414a703a and r5,r8,r5 + 1bd8: 214b883a add r5,r4,r5 + 1bdc: 29800117 ldw r6,4(r5) + 1be0: 3180004c andi r6,r6,1 + 1be4: 30003f26 beq r6,zero,1ce4 <_realloc_r+0x1a0> + 1be8: 1080004c andi r2,r2,1 + 1bec: 10008326 beq r2,zero,1dfc <_realloc_r+0x2b8> + 1bf0: 900b883a mov r5,r18 + 1bf4: a009883a mov r4,r20 + 1bf8: 0000e880 call e88 <_malloc_r> + 1bfc: 1025883a mov r18,r2 + 1c00: 10011e26 beq r2,zero,207c <_realloc_r+0x538> + 1c04: a93fff17 ldw r4,-4(r21) + 1c08: 10fffe04 addi r3,r2,-8 + 1c0c: 00bfff84 movi r2,-2 + 1c10: 2084703a and r2,r4,r2 + 1c14: 9885883a add r2,r19,r2 + 1c18: 1880ee26 beq r3,r2,1fd4 <_realloc_r+0x490> + 1c1c: 81bfff04 addi r6,r16,-4 + 1c20: 00800904 movi r2,36 + 1c24: 1180b836 bltu r2,r6,1f08 <_realloc_r+0x3c4> + 1c28: 00c004c4 movi r3,19 + 1c2c: 19809636 bltu r3,r6,1e88 <_realloc_r+0x344> + 1c30: 9005883a mov r2,r18 + 1c34: a807883a mov r3,r21 + 1c38: 19000017 ldw r4,0(r3) + 1c3c: 11000015 stw r4,0(r2) + 1c40: 19000117 ldw r4,4(r3) + 1c44: 11000115 stw r4,4(r2) + 1c48: 18c00217 ldw r3,8(r3) + 1c4c: 10c00215 stw r3,8(r2) + 1c50: a80b883a mov r5,r21 + 1c54: a009883a mov r4,r20 + 1c58: 00029100 call 2910 <_free_r> + 1c5c: a009883a mov r4,r20 + 1c60: 00036240 call 3624 <__malloc_unlock> + 1c64: 9005883a mov r2,r18 + 1c68: 00001206 br 1cb4 <_realloc_r+0x170> + 1c6c: 00c00404 movi r3,16 + 1c70: 1823883a mov r17,r3 + 1c74: 003fce06 br 1bb0 <_realloc_r+0x6c> + 1c78: a825883a mov r18,r21 + 1c7c: 8445c83a sub r2,r16,r17 + 1c80: 00c003c4 movi r3,15 + 1c84: 18802636 bltu r3,r2,1d20 <_realloc_r+0x1dc> + 1c88: 99800117 ldw r6,4(r19) + 1c8c: 9c07883a add r3,r19,r16 + 1c90: 3180004c andi r6,r6,1 + 1c94: 3420b03a or r16,r6,r16 + 1c98: 9c000115 stw r16,4(r19) + 1c9c: 18800117 ldw r2,4(r3) + 1ca0: 10800054 ori r2,r2,1 + 1ca4: 18800115 stw r2,4(r3) + 1ca8: a009883a mov r4,r20 + 1cac: 00036240 call 3624 <__malloc_unlock> + 1cb0: 9005883a mov r2,r18 + 1cb4: dfc00917 ldw ra,36(sp) + 1cb8: df000817 ldw fp,32(sp) + 1cbc: ddc00717 ldw r23,28(sp) + 1cc0: dd800617 ldw r22,24(sp) + 1cc4: dd400517 ldw r21,20(sp) + 1cc8: dd000417 ldw r20,16(sp) + 1ccc: dcc00317 ldw r19,12(sp) + 1cd0: dc800217 ldw r18,8(sp) + 1cd4: dc400117 ldw r17,4(sp) + 1cd8: dc000017 ldw r16,0(sp) + 1cdc: dec00a04 addi sp,sp,40 + 1ce0: f800283a ret + 1ce4: 017fff04 movi r5,-4 + 1ce8: 414a703a and r5,r8,r5 + 1cec: 814d883a add r6,r16,r5 + 1cf0: 30c01f16 blt r6,r3,1d70 <_realloc_r+0x22c> + 1cf4: 20800317 ldw r2,12(r4) + 1cf8: 20c00217 ldw r3,8(r4) + 1cfc: a825883a mov r18,r21 + 1d00: 3021883a mov r16,r6 + 1d04: 18800315 stw r2,12(r3) + 1d08: 10c00215 stw r3,8(r2) + 1d0c: 003fdb06 br 1c7c <_realloc_r+0x138> + 1d10: 00800304 movi r2,12 + 1d14: a0800015 stw r2,0(r20) + 1d18: 0005883a mov r2,zero + 1d1c: 003fe506 br 1cb4 <_realloc_r+0x170> + 1d20: 98c00117 ldw r3,4(r19) + 1d24: 9c4b883a add r5,r19,r17 + 1d28: 11000054 ori r4,r2,1 + 1d2c: 18c0004c andi r3,r3,1 + 1d30: 1c62b03a or r17,r3,r17 + 1d34: 9c400115 stw r17,4(r19) + 1d38: 29000115 stw r4,4(r5) + 1d3c: 2885883a add r2,r5,r2 + 1d40: 10c00117 ldw r3,4(r2) + 1d44: 29400204 addi r5,r5,8 + 1d48: a009883a mov r4,r20 + 1d4c: 18c00054 ori r3,r3,1 + 1d50: 10c00115 stw r3,4(r2) + 1d54: 00029100 call 2910 <_free_r> + 1d58: 003fd306 br 1ca8 <_realloc_r+0x164> + 1d5c: 017fff04 movi r5,-4 + 1d60: 414a703a and r5,r8,r5 + 1d64: 89800404 addi r6,r17,16 + 1d68: 8151883a add r8,r16,r5 + 1d6c: 4180590e bge r8,r6,1ed4 <_realloc_r+0x390> + 1d70: 1080004c andi r2,r2,1 + 1d74: 103f9e1e bne r2,zero,1bf0 <_realloc_r+0xac> + 1d78: adbffe17 ldw r22,-8(r21) + 1d7c: 00bfff04 movi r2,-4 + 1d80: 9dadc83a sub r22,r19,r22 + 1d84: b1800117 ldw r6,4(r22) + 1d88: 3084703a and r2,r6,r2 + 1d8c: 20002026 beq r4,zero,1e10 <_realloc_r+0x2cc> + 1d90: 80af883a add r23,r16,r2 + 1d94: b96f883a add r23,r23,r5 + 1d98: 21c05f26 beq r4,r7,1f18 <_realloc_r+0x3d4> + 1d9c: b8c01c16 blt r23,r3,1e10 <_realloc_r+0x2cc> + 1da0: 20800317 ldw r2,12(r4) + 1da4: 20c00217 ldw r3,8(r4) + 1da8: 81bfff04 addi r6,r16,-4 + 1dac: 01000904 movi r4,36 + 1db0: 18800315 stw r2,12(r3) + 1db4: 10c00215 stw r3,8(r2) + 1db8: b0c00217 ldw r3,8(r22) + 1dbc: b0800317 ldw r2,12(r22) + 1dc0: b4800204 addi r18,r22,8 + 1dc4: 18800315 stw r2,12(r3) + 1dc8: 10c00215 stw r3,8(r2) + 1dcc: 21801b36 bltu r4,r6,1e3c <_realloc_r+0x2f8> + 1dd0: 008004c4 movi r2,19 + 1dd4: 1180352e bgeu r2,r6,1eac <_realloc_r+0x368> + 1dd8: a8800017 ldw r2,0(r21) + 1ddc: b0800215 stw r2,8(r22) + 1de0: a8800117 ldw r2,4(r21) + 1de4: b0800315 stw r2,12(r22) + 1de8: 008006c4 movi r2,27 + 1dec: 11807f36 bltu r2,r6,1fec <_realloc_r+0x4a8> + 1df0: b0800404 addi r2,r22,16 + 1df4: ad400204 addi r21,r21,8 + 1df8: 00002d06 br 1eb0 <_realloc_r+0x36c> + 1dfc: adbffe17 ldw r22,-8(r21) + 1e00: 00bfff04 movi r2,-4 + 1e04: 9dadc83a sub r22,r19,r22 + 1e08: b1000117 ldw r4,4(r22) + 1e0c: 2084703a and r2,r4,r2 + 1e10: b03f7726 beq r22,zero,1bf0 <_realloc_r+0xac> + 1e14: 80af883a add r23,r16,r2 + 1e18: b8ff7516 blt r23,r3,1bf0 <_realloc_r+0xac> + 1e1c: b0800317 ldw r2,12(r22) + 1e20: b0c00217 ldw r3,8(r22) + 1e24: 81bfff04 addi r6,r16,-4 + 1e28: 01000904 movi r4,36 + 1e2c: 18800315 stw r2,12(r3) + 1e30: 10c00215 stw r3,8(r2) + 1e34: b4800204 addi r18,r22,8 + 1e38: 21bfe52e bgeu r4,r6,1dd0 <_realloc_r+0x28c> + 1e3c: a80b883a mov r5,r21 + 1e40: 9009883a mov r4,r18 + 1e44: 00018c00 call 18c0 + 1e48: b821883a mov r16,r23 + 1e4c: b027883a mov r19,r22 + 1e50: 003f8a06 br 1c7c <_realloc_r+0x138> + 1e54: 300b883a mov r5,r6 + 1e58: dfc00917 ldw ra,36(sp) + 1e5c: df000817 ldw fp,32(sp) + 1e60: ddc00717 ldw r23,28(sp) + 1e64: dd800617 ldw r22,24(sp) + 1e68: dd400517 ldw r21,20(sp) + 1e6c: dd000417 ldw r20,16(sp) + 1e70: dcc00317 ldw r19,12(sp) + 1e74: dc800217 ldw r18,8(sp) + 1e78: dc400117 ldw r17,4(sp) + 1e7c: dc000017 ldw r16,0(sp) + 1e80: dec00a04 addi sp,sp,40 + 1e84: 0000e881 jmpi e88 <_malloc_r> + 1e88: a8c00017 ldw r3,0(r21) + 1e8c: 90c00015 stw r3,0(r18) + 1e90: a8c00117 ldw r3,4(r21) + 1e94: 90c00115 stw r3,4(r18) + 1e98: 00c006c4 movi r3,27 + 1e9c: 19804536 bltu r3,r6,1fb4 <_realloc_r+0x470> + 1ea0: 90800204 addi r2,r18,8 + 1ea4: a8c00204 addi r3,r21,8 + 1ea8: 003f6306 br 1c38 <_realloc_r+0xf4> + 1eac: 9005883a mov r2,r18 + 1eb0: a8c00017 ldw r3,0(r21) + 1eb4: b821883a mov r16,r23 + 1eb8: b027883a mov r19,r22 + 1ebc: 10c00015 stw r3,0(r2) + 1ec0: a8c00117 ldw r3,4(r21) + 1ec4: 10c00115 stw r3,4(r2) + 1ec8: a8c00217 ldw r3,8(r21) + 1ecc: 10c00215 stw r3,8(r2) + 1ed0: 003f6a06 br 1c7c <_realloc_r+0x138> + 1ed4: 9c67883a add r19,r19,r17 + 1ed8: 4445c83a sub r2,r8,r17 + 1edc: e4c00215 stw r19,8(fp) + 1ee0: 10800054 ori r2,r2,1 + 1ee4: 98800115 stw r2,4(r19) + 1ee8: a8bfff17 ldw r2,-4(r21) + 1eec: a009883a mov r4,r20 + 1ef0: 1080004c andi r2,r2,1 + 1ef4: 1462b03a or r17,r2,r17 + 1ef8: ac7fff15 stw r17,-4(r21) + 1efc: 00036240 call 3624 <__malloc_unlock> + 1f00: a805883a mov r2,r21 + 1f04: 003f6b06 br 1cb4 <_realloc_r+0x170> + 1f08: a80b883a mov r5,r21 + 1f0c: 9009883a mov r4,r18 + 1f10: 00018c00 call 18c0 + 1f14: 003f4e06 br 1c50 <_realloc_r+0x10c> + 1f18: 89000404 addi r4,r17,16 + 1f1c: b93fbc16 blt r23,r4,1e10 <_realloc_r+0x2cc> + 1f20: b0800317 ldw r2,12(r22) + 1f24: b0c00217 ldw r3,8(r22) + 1f28: 81bfff04 addi r6,r16,-4 + 1f2c: 01000904 movi r4,36 + 1f30: 18800315 stw r2,12(r3) + 1f34: 10c00215 stw r3,8(r2) + 1f38: b4800204 addi r18,r22,8 + 1f3c: 21804336 bltu r4,r6,204c <_realloc_r+0x508> + 1f40: 008004c4 movi r2,19 + 1f44: 11803f2e bgeu r2,r6,2044 <_realloc_r+0x500> + 1f48: a8800017 ldw r2,0(r21) + 1f4c: b0800215 stw r2,8(r22) + 1f50: a8800117 ldw r2,4(r21) + 1f54: b0800315 stw r2,12(r22) + 1f58: 008006c4 movi r2,27 + 1f5c: 11803f36 bltu r2,r6,205c <_realloc_r+0x518> + 1f60: b0800404 addi r2,r22,16 + 1f64: ad400204 addi r21,r21,8 + 1f68: a8c00017 ldw r3,0(r21) + 1f6c: 10c00015 stw r3,0(r2) + 1f70: a8c00117 ldw r3,4(r21) + 1f74: 10c00115 stw r3,4(r2) + 1f78: a8c00217 ldw r3,8(r21) + 1f7c: 10c00215 stw r3,8(r2) + 1f80: b447883a add r3,r22,r17 + 1f84: bc45c83a sub r2,r23,r17 + 1f88: e0c00215 stw r3,8(fp) + 1f8c: 10800054 ori r2,r2,1 + 1f90: 18800115 stw r2,4(r3) + 1f94: b0800117 ldw r2,4(r22) + 1f98: a009883a mov r4,r20 + 1f9c: 1080004c andi r2,r2,1 + 1fa0: 1462b03a or r17,r2,r17 + 1fa4: b4400115 stw r17,4(r22) + 1fa8: 00036240 call 3624 <__malloc_unlock> + 1fac: 9005883a mov r2,r18 + 1fb0: 003f4006 br 1cb4 <_realloc_r+0x170> + 1fb4: a8c00217 ldw r3,8(r21) + 1fb8: 90c00215 stw r3,8(r18) + 1fbc: a8c00317 ldw r3,12(r21) + 1fc0: 90c00315 stw r3,12(r18) + 1fc4: 30801126 beq r6,r2,200c <_realloc_r+0x4c8> + 1fc8: 90800404 addi r2,r18,16 + 1fcc: a8c00404 addi r3,r21,16 + 1fd0: 003f1906 br 1c38 <_realloc_r+0xf4> + 1fd4: 90ffff17 ldw r3,-4(r18) + 1fd8: 00bfff04 movi r2,-4 + 1fdc: a825883a mov r18,r21 + 1fe0: 1884703a and r2,r3,r2 + 1fe4: 80a1883a add r16,r16,r2 + 1fe8: 003f2406 br 1c7c <_realloc_r+0x138> + 1fec: a8800217 ldw r2,8(r21) + 1ff0: b0800415 stw r2,16(r22) + 1ff4: a8800317 ldw r2,12(r21) + 1ff8: b0800515 stw r2,20(r22) + 1ffc: 31000a26 beq r6,r4,2028 <_realloc_r+0x4e4> + 2000: b0800604 addi r2,r22,24 + 2004: ad400404 addi r21,r21,16 + 2008: 003fa906 br 1eb0 <_realloc_r+0x36c> + 200c: a9000417 ldw r4,16(r21) + 2010: 90800604 addi r2,r18,24 + 2014: a8c00604 addi r3,r21,24 + 2018: 91000415 stw r4,16(r18) + 201c: a9000517 ldw r4,20(r21) + 2020: 91000515 stw r4,20(r18) + 2024: 003f0406 br 1c38 <_realloc_r+0xf4> + 2028: a8c00417 ldw r3,16(r21) + 202c: ad400604 addi r21,r21,24 + 2030: b0800804 addi r2,r22,32 + 2034: b0c00615 stw r3,24(r22) + 2038: a8ffff17 ldw r3,-4(r21) + 203c: b0c00715 stw r3,28(r22) + 2040: 003f9b06 br 1eb0 <_realloc_r+0x36c> + 2044: 9005883a mov r2,r18 + 2048: 003fc706 br 1f68 <_realloc_r+0x424> + 204c: a80b883a mov r5,r21 + 2050: 9009883a mov r4,r18 + 2054: 00018c00 call 18c0 + 2058: 003fc906 br 1f80 <_realloc_r+0x43c> + 205c: a8800217 ldw r2,8(r21) + 2060: b0800415 stw r2,16(r22) + 2064: a8800317 ldw r2,12(r21) + 2068: b0800515 stw r2,20(r22) + 206c: 31000726 beq r6,r4,208c <_realloc_r+0x548> + 2070: b0800604 addi r2,r22,24 + 2074: ad400404 addi r21,r21,16 + 2078: 003fbb06 br 1f68 <_realloc_r+0x424> + 207c: a009883a mov r4,r20 + 2080: 00036240 call 3624 <__malloc_unlock> + 2084: 0005883a mov r2,zero + 2088: 003f0a06 br 1cb4 <_realloc_r+0x170> + 208c: a8c00417 ldw r3,16(r21) + 2090: ad400604 addi r21,r21,24 + 2094: b0800804 addi r2,r22,32 + 2098: b0c00615 stw r3,24(r22) + 209c: a8ffff17 ldw r3,-4(r21) + 20a0: b0c00715 stw r3,28(r22) + 20a4: 003fb006 br 1f68 <_realloc_r+0x424> -000020c4 <_sbrk_r>: - 20c4: defffd04 addi sp,sp,-12 - 20c8: dc000015 stw r16,0(sp) - 20cc: 04000034 movhi r16,0 - 20d0: dc400115 stw r17,4(sp) - 20d4: 841cb504 addi r16,r16,29396 - 20d8: 2023883a mov r17,r4 - 20dc: 2809883a mov r4,r5 - 20e0: dfc00215 stw ra,8(sp) - 20e4: 80000015 stw zero,0(r16) - 20e8: 00038280 call 3828 - 20ec: 00ffffc4 movi r3,-1 - 20f0: 10c00526 beq r2,r3,2108 <_sbrk_r+0x44> - 20f4: dfc00217 ldw ra,8(sp) - 20f8: dc400117 ldw r17,4(sp) - 20fc: dc000017 ldw r16,0(sp) - 2100: dec00304 addi sp,sp,12 - 2104: f800283a ret - 2108: 80c00017 ldw r3,0(r16) - 210c: 183ff926 beq r3,zero,20f4 <__alt_data_end+0xfffe20f4> - 2110: 88c00015 stw r3,0(r17) - 2114: 003ff706 br 20f4 <__alt_data_end+0xfffe20f4> +000020a8 <_sbrk_r>: + 20a8: defffd04 addi sp,sp,-12 + 20ac: dc000015 stw r16,0(sp) + 20b0: 04000034 movhi r16,0 + 20b4: dc400115 stw r17,4(sp) + 20b8: 841cae04 addi r16,r16,29368 + 20bc: 2023883a mov r17,r4 + 20c0: 2809883a mov r4,r5 + 20c4: dfc00215 stw ra,8(sp) + 20c8: 80000015 stw zero,0(r16) + 20cc: 000380c0 call 380c + 20d0: 00ffffc4 movi r3,-1 + 20d4: 10c00526 beq r2,r3,20ec <_sbrk_r+0x44> + 20d8: dfc00217 ldw ra,8(sp) + 20dc: dc400117 ldw r17,4(sp) + 20e0: dc000017 ldw r16,0(sp) + 20e4: dec00304 addi sp,sp,12 + 20e8: f800283a ret + 20ec: 80c00017 ldw r3,0(r16) + 20f0: 183ff926 beq r3,zero,20d8 <_sbrk_r+0x30> + 20f4: 88c00015 stw r3,0(r17) + 20f8: 003ff706 br 20d8 <_sbrk_r+0x30> -00002118 <__sread>: - 2118: defffe04 addi sp,sp,-8 - 211c: dc000015 stw r16,0(sp) - 2120: 2821883a mov r16,r5 - 2124: 2940038f ldh r5,14(r5) - 2128: dfc00115 stw ra,4(sp) - 212c: 0002e580 call 2e58 <_read_r> - 2130: 10000716 blt r2,zero,2150 <__sread+0x38> - 2134: 80c01417 ldw r3,80(r16) - 2138: 1887883a add r3,r3,r2 - 213c: 80c01415 stw r3,80(r16) +000020fc <__sread>: + 20fc: defffe04 addi sp,sp,-8 + 2100: dc000015 stw r16,0(sp) + 2104: 2821883a mov r16,r5 + 2108: 2940038f ldh r5,14(r5) + 210c: dfc00115 stw ra,4(sp) + 2110: 0002e3c0 call 2e3c <_read_r> + 2114: 10000716 blt r2,zero,2134 <__sread+0x38> + 2118: 80c01417 ldw r3,80(r16) + 211c: 1887883a add r3,r3,r2 + 2120: 80c01415 stw r3,80(r16) + 2124: dfc00117 ldw ra,4(sp) + 2128: dc000017 ldw r16,0(sp) + 212c: dec00204 addi sp,sp,8 + 2130: f800283a ret + 2134: 80c0030b ldhu r3,12(r16) + 2138: 18fbffcc andi r3,r3,61439 + 213c: 80c0030d sth r3,12(r16) 2140: dfc00117 ldw ra,4(sp) 2144: dc000017 ldw r16,0(sp) 2148: dec00204 addi sp,sp,8 214c: f800283a ret - 2150: 80c0030b ldhu r3,12(r16) - 2154: 18fbffcc andi r3,r3,61439 - 2158: 80c0030d sth r3,12(r16) - 215c: dfc00117 ldw ra,4(sp) - 2160: dc000017 ldw r16,0(sp) - 2164: dec00204 addi sp,sp,8 - 2168: f800283a ret -0000216c <__seofread>: - 216c: 0005883a mov r2,zero - 2170: f800283a ret +00002150 <__seofread>: + 2150: 0005883a mov r2,zero + 2154: f800283a ret -00002174 <__swrite>: - 2174: 2880030b ldhu r2,12(r5) - 2178: defffb04 addi sp,sp,-20 - 217c: dcc00315 stw r19,12(sp) - 2180: dc800215 stw r18,8(sp) - 2184: dc400115 stw r17,4(sp) - 2188: dc000015 stw r16,0(sp) - 218c: dfc00415 stw ra,16(sp) - 2190: 10c0400c andi r3,r2,256 - 2194: 2821883a mov r16,r5 - 2198: 2023883a mov r17,r4 - 219c: 3025883a mov r18,r6 - 21a0: 3827883a mov r19,r7 - 21a4: 18000526 beq r3,zero,21bc <__swrite+0x48> - 21a8: 2940038f ldh r5,14(r5) - 21ac: 01c00084 movi r7,2 - 21b0: 000d883a mov r6,zero - 21b4: 0002c3c0 call 2c3c <_lseek_r> - 21b8: 8080030b ldhu r2,12(r16) - 21bc: 8140038f ldh r5,14(r16) - 21c0: 10bbffcc andi r2,r2,61439 - 21c4: 980f883a mov r7,r19 - 21c8: 900d883a mov r6,r18 - 21cc: 8809883a mov r4,r17 - 21d0: 8080030d sth r2,12(r16) - 21d4: dfc00417 ldw ra,16(sp) - 21d8: dcc00317 ldw r19,12(sp) - 21dc: dc800217 ldw r18,8(sp) - 21e0: dc400117 ldw r17,4(sp) - 21e4: dc000017 ldw r16,0(sp) - 21e8: dec00504 addi sp,sp,20 - 21ec: 00022541 jmpi 2254 <_write_r> +00002158 <__swrite>: + 2158: 2880030b ldhu r2,12(r5) + 215c: defffb04 addi sp,sp,-20 + 2160: dcc00315 stw r19,12(sp) + 2164: dc800215 stw r18,8(sp) + 2168: dc400115 stw r17,4(sp) + 216c: dc000015 stw r16,0(sp) + 2170: dfc00415 stw ra,16(sp) + 2174: 10c0400c andi r3,r2,256 + 2178: 2821883a mov r16,r5 + 217c: 2023883a mov r17,r4 + 2180: 3025883a mov r18,r6 + 2184: 3827883a mov r19,r7 + 2188: 18000526 beq r3,zero,21a0 <__swrite+0x48> + 218c: 2940038f ldh r5,14(r5) + 2190: 01c00084 movi r7,2 + 2194: 000d883a mov r6,zero + 2198: 0002c200 call 2c20 <_lseek_r> + 219c: 8080030b ldhu r2,12(r16) + 21a0: 8140038f ldh r5,14(r16) + 21a4: 10bbffcc andi r2,r2,61439 + 21a8: 980f883a mov r7,r19 + 21ac: 900d883a mov r6,r18 + 21b0: 8809883a mov r4,r17 + 21b4: 8080030d sth r2,12(r16) + 21b8: dfc00417 ldw ra,16(sp) + 21bc: dcc00317 ldw r19,12(sp) + 21c0: dc800217 ldw r18,8(sp) + 21c4: dc400117 ldw r17,4(sp) + 21c8: dc000017 ldw r16,0(sp) + 21cc: dec00504 addi sp,sp,20 + 21d0: 00022381 jmpi 2238 <_write_r> -000021f0 <__sseek>: - 21f0: defffe04 addi sp,sp,-8 - 21f4: dc000015 stw r16,0(sp) - 21f8: 2821883a mov r16,r5 - 21fc: 2940038f ldh r5,14(r5) - 2200: dfc00115 stw ra,4(sp) - 2204: 0002c3c0 call 2c3c <_lseek_r> - 2208: 00ffffc4 movi r3,-1 - 220c: 10c00826 beq r2,r3,2230 <__sseek+0x40> - 2210: 80c0030b ldhu r3,12(r16) - 2214: 80801415 stw r2,80(r16) - 2218: 18c40014 ori r3,r3,4096 +000021d4 <__sseek>: + 21d4: defffe04 addi sp,sp,-8 + 21d8: dc000015 stw r16,0(sp) + 21dc: 2821883a mov r16,r5 + 21e0: 2940038f ldh r5,14(r5) + 21e4: dfc00115 stw ra,4(sp) + 21e8: 0002c200 call 2c20 <_lseek_r> + 21ec: 00ffffc4 movi r3,-1 + 21f0: 10c00826 beq r2,r3,2214 <__sseek+0x40> + 21f4: 80c0030b ldhu r3,12(r16) + 21f8: 80801415 stw r2,80(r16) + 21fc: 18c40014 ori r3,r3,4096 + 2200: 80c0030d sth r3,12(r16) + 2204: dfc00117 ldw ra,4(sp) + 2208: dc000017 ldw r16,0(sp) + 220c: dec00204 addi sp,sp,8 + 2210: f800283a ret + 2214: 80c0030b ldhu r3,12(r16) + 2218: 18fbffcc andi r3,r3,61439 221c: 80c0030d sth r3,12(r16) 2220: dfc00117 ldw ra,4(sp) 2224: dc000017 ldw r16,0(sp) 2228: dec00204 addi sp,sp,8 222c: f800283a ret - 2230: 80c0030b ldhu r3,12(r16) - 2234: 18fbffcc andi r3,r3,61439 - 2238: 80c0030d sth r3,12(r16) - 223c: dfc00117 ldw ra,4(sp) - 2240: dc000017 ldw r16,0(sp) - 2244: dec00204 addi sp,sp,8 - 2248: f800283a ret -0000224c <__sclose>: - 224c: 2940038f ldh r5,14(r5) - 2250: 00024081 jmpi 2408 <_close_r> +00002230 <__sclose>: + 2230: 2940038f ldh r5,14(r5) + 2234: 00023ec1 jmpi 23ec <_close_r> -00002254 <_write_r>: - 2254: defffd04 addi sp,sp,-12 - 2258: 2805883a mov r2,r5 - 225c: dc000015 stw r16,0(sp) - 2260: 04000034 movhi r16,0 - 2264: dc400115 stw r17,4(sp) - 2268: 300b883a mov r5,r6 - 226c: 841cb504 addi r16,r16,29396 - 2270: 2023883a mov r17,r4 - 2274: 380d883a mov r6,r7 - 2278: 1009883a mov r4,r2 - 227c: dfc00215 stw ra,8(sp) - 2280: 80000015 stw zero,0(r16) - 2284: 00039140 call 3914 - 2288: 00ffffc4 movi r3,-1 - 228c: 10c00526 beq r2,r3,22a4 <_write_r+0x50> - 2290: dfc00217 ldw ra,8(sp) - 2294: dc400117 ldw r17,4(sp) - 2298: dc000017 ldw r16,0(sp) - 229c: dec00304 addi sp,sp,12 - 22a0: f800283a ret - 22a4: 80c00017 ldw r3,0(r16) - 22a8: 183ff926 beq r3,zero,2290 <__alt_data_end+0xfffe2290> - 22ac: 88c00015 stw r3,0(r17) - 22b0: 003ff706 br 2290 <__alt_data_end+0xfffe2290> +00002238 <_write_r>: + 2238: defffd04 addi sp,sp,-12 + 223c: 2805883a mov r2,r5 + 2240: dc000015 stw r16,0(sp) + 2244: 04000034 movhi r16,0 + 2248: dc400115 stw r17,4(sp) + 224c: 300b883a mov r5,r6 + 2250: 841cae04 addi r16,r16,29368 + 2254: 2023883a mov r17,r4 + 2258: 380d883a mov r6,r7 + 225c: 1009883a mov r4,r2 + 2260: dfc00215 stw ra,8(sp) + 2264: 80000015 stw zero,0(r16) + 2268: 00038f80 call 38f8 + 226c: 00ffffc4 movi r3,-1 + 2270: 10c00526 beq r2,r3,2288 <_write_r+0x50> + 2274: dfc00217 ldw ra,8(sp) + 2278: dc400117 ldw r17,4(sp) + 227c: dc000017 ldw r16,0(sp) + 2280: dec00304 addi sp,sp,12 + 2284: f800283a ret + 2288: 80c00017 ldw r3,0(r16) + 228c: 183ff926 beq r3,zero,2274 <_write_r+0x3c> + 2290: 88c00015 stw r3,0(r17) + 2294: 003ff706 br 2274 <_write_r+0x3c> -000022b4 <__swsetup_r>: - 22b4: 00800034 movhi r2,0 - 22b8: defffd04 addi sp,sp,-12 - 22bc: 109ca504 addi r2,r2,29332 - 22c0: dc400115 stw r17,4(sp) - 22c4: 2023883a mov r17,r4 - 22c8: 11000017 ldw r4,0(r2) - 22cc: dc000015 stw r16,0(sp) - 22d0: dfc00215 stw ra,8(sp) - 22d4: 2821883a mov r16,r5 - 22d8: 20000226 beq r4,zero,22e4 <__swsetup_r+0x30> - 22dc: 20800e17 ldw r2,56(r4) - 22e0: 10003126 beq r2,zero,23a8 <__swsetup_r+0xf4> - 22e4: 8080030b ldhu r2,12(r16) - 22e8: 10c0020c andi r3,r2,8 - 22ec: 1009883a mov r4,r2 - 22f0: 18000f26 beq r3,zero,2330 <__swsetup_r+0x7c> - 22f4: 80c00417 ldw r3,16(r16) - 22f8: 18001526 beq r3,zero,2350 <__swsetup_r+0x9c> - 22fc: 1100004c andi r4,r2,1 - 2300: 20001c1e bne r4,zero,2374 <__swsetup_r+0xc0> - 2304: 1080008c andi r2,r2,2 - 2308: 1000291e bne r2,zero,23b0 <__swsetup_r+0xfc> - 230c: 80800517 ldw r2,20(r16) - 2310: 80800215 stw r2,8(r16) - 2314: 18001c26 beq r3,zero,2388 <__swsetup_r+0xd4> - 2318: 0005883a mov r2,zero - 231c: dfc00217 ldw ra,8(sp) - 2320: dc400117 ldw r17,4(sp) - 2324: dc000017 ldw r16,0(sp) - 2328: dec00304 addi sp,sp,12 - 232c: f800283a ret - 2330: 2080040c andi r2,r4,16 - 2334: 10002e26 beq r2,zero,23f0 <__swsetup_r+0x13c> - 2338: 2080010c andi r2,r4,4 - 233c: 10001e1e bne r2,zero,23b8 <__swsetup_r+0x104> - 2340: 80c00417 ldw r3,16(r16) - 2344: 20800214 ori r2,r4,8 - 2348: 8080030d sth r2,12(r16) - 234c: 183feb1e bne r3,zero,22fc <__alt_data_end+0xfffe22fc> - 2350: 1100a00c andi r4,r2,640 - 2354: 01408004 movi r5,512 - 2358: 217fe826 beq r4,r5,22fc <__alt_data_end+0xfffe22fc> - 235c: 800b883a mov r5,r16 - 2360: 8809883a mov r4,r17 - 2364: 0002c9c0 call 2c9c <__smakebuf_r> - 2368: 8080030b ldhu r2,12(r16) - 236c: 80c00417 ldw r3,16(r16) - 2370: 003fe206 br 22fc <__alt_data_end+0xfffe22fc> - 2374: 80800517 ldw r2,20(r16) - 2378: 80000215 stw zero,8(r16) - 237c: 0085c83a sub r2,zero,r2 - 2380: 80800615 stw r2,24(r16) - 2384: 183fe41e bne r3,zero,2318 <__alt_data_end+0xfffe2318> - 2388: 80c0030b ldhu r3,12(r16) - 238c: 0005883a mov r2,zero - 2390: 1900200c andi r4,r3,128 - 2394: 203fe126 beq r4,zero,231c <__alt_data_end+0xfffe231c> - 2398: 18c01014 ori r3,r3,64 - 239c: 80c0030d sth r3,12(r16) - 23a0: 00bfffc4 movi r2,-1 - 23a4: 003fdd06 br 231c <__alt_data_end+0xfffe231c> - 23a8: 00008040 call 804 <__sinit> - 23ac: 003fcd06 br 22e4 <__alt_data_end+0xfffe22e4> - 23b0: 0005883a mov r2,zero - 23b4: 003fd606 br 2310 <__alt_data_end+0xfffe2310> - 23b8: 81400c17 ldw r5,48(r16) - 23bc: 28000626 beq r5,zero,23d8 <__swsetup_r+0x124> - 23c0: 80801004 addi r2,r16,64 - 23c4: 28800326 beq r5,r2,23d4 <__swsetup_r+0x120> - 23c8: 8809883a mov r4,r17 - 23cc: 000292c0 call 292c <_free_r> - 23d0: 8100030b ldhu r4,12(r16) - 23d4: 80000c15 stw zero,48(r16) - 23d8: 80c00417 ldw r3,16(r16) - 23dc: 00bff6c4 movi r2,-37 - 23e0: 1108703a and r4,r2,r4 - 23e4: 80000115 stw zero,4(r16) - 23e8: 80c00015 stw r3,0(r16) - 23ec: 003fd506 br 2344 <__alt_data_end+0xfffe2344> - 23f0: 00800244 movi r2,9 - 23f4: 88800015 stw r2,0(r17) - 23f8: 20801014 ori r2,r4,64 - 23fc: 8080030d sth r2,12(r16) - 2400: 00bfffc4 movi r2,-1 - 2404: 003fc506 br 231c <__alt_data_end+0xfffe231c> +00002298 <__swsetup_r>: + 2298: 00800034 movhi r2,0 + 229c: defffd04 addi sp,sp,-12 + 22a0: 109c9e04 addi r2,r2,29304 + 22a4: dc400115 stw r17,4(sp) + 22a8: 2023883a mov r17,r4 + 22ac: 11000017 ldw r4,0(r2) + 22b0: dc000015 stw r16,0(sp) + 22b4: dfc00215 stw ra,8(sp) + 22b8: 2821883a mov r16,r5 + 22bc: 20000226 beq r4,zero,22c8 <__swsetup_r+0x30> + 22c0: 20800e17 ldw r2,56(r4) + 22c4: 10003126 beq r2,zero,238c <__swsetup_r+0xf4> + 22c8: 8080030b ldhu r2,12(r16) + 22cc: 10c0020c andi r3,r2,8 + 22d0: 1009883a mov r4,r2 + 22d4: 18000f26 beq r3,zero,2314 <__swsetup_r+0x7c> + 22d8: 80c00417 ldw r3,16(r16) + 22dc: 18001526 beq r3,zero,2334 <__swsetup_r+0x9c> + 22e0: 1100004c andi r4,r2,1 + 22e4: 20001c1e bne r4,zero,2358 <__swsetup_r+0xc0> + 22e8: 1080008c andi r2,r2,2 + 22ec: 1000291e bne r2,zero,2394 <__swsetup_r+0xfc> + 22f0: 80800517 ldw r2,20(r16) + 22f4: 80800215 stw r2,8(r16) + 22f8: 18001c26 beq r3,zero,236c <__swsetup_r+0xd4> + 22fc: 0005883a mov r2,zero + 2300: dfc00217 ldw ra,8(sp) + 2304: dc400117 ldw r17,4(sp) + 2308: dc000017 ldw r16,0(sp) + 230c: dec00304 addi sp,sp,12 + 2310: f800283a ret + 2314: 2080040c andi r2,r4,16 + 2318: 10002e26 beq r2,zero,23d4 <__swsetup_r+0x13c> + 231c: 2080010c andi r2,r4,4 + 2320: 10001e1e bne r2,zero,239c <__swsetup_r+0x104> + 2324: 80c00417 ldw r3,16(r16) + 2328: 20800214 ori r2,r4,8 + 232c: 8080030d sth r2,12(r16) + 2330: 183feb1e bne r3,zero,22e0 <__swsetup_r+0x48> + 2334: 1100a00c andi r4,r2,640 + 2338: 01408004 movi r5,512 + 233c: 217fe826 beq r4,r5,22e0 <__swsetup_r+0x48> + 2340: 800b883a mov r5,r16 + 2344: 8809883a mov r4,r17 + 2348: 0002c800 call 2c80 <__smakebuf_r> + 234c: 8080030b ldhu r2,12(r16) + 2350: 80c00417 ldw r3,16(r16) + 2354: 003fe206 br 22e0 <__swsetup_r+0x48> + 2358: 80800517 ldw r2,20(r16) + 235c: 80000215 stw zero,8(r16) + 2360: 0085c83a sub r2,zero,r2 + 2364: 80800615 stw r2,24(r16) + 2368: 183fe41e bne r3,zero,22fc <__swsetup_r+0x64> + 236c: 80c0030b ldhu r3,12(r16) + 2370: 0005883a mov r2,zero + 2374: 1900200c andi r4,r3,128 + 2378: 203fe126 beq r4,zero,2300 <__swsetup_r+0x68> + 237c: 18c01014 ori r3,r3,64 + 2380: 80c0030d sth r3,12(r16) + 2384: 00bfffc4 movi r2,-1 + 2388: 003fdd06 br 2300 <__swsetup_r+0x68> + 238c: 00007e80 call 7e8 <__sinit> + 2390: 003fcd06 br 22c8 <__swsetup_r+0x30> + 2394: 0005883a mov r2,zero + 2398: 003fd606 br 22f4 <__swsetup_r+0x5c> + 239c: 81400c17 ldw r5,48(r16) + 23a0: 28000626 beq r5,zero,23bc <__swsetup_r+0x124> + 23a4: 80801004 addi r2,r16,64 + 23a8: 28800326 beq r5,r2,23b8 <__swsetup_r+0x120> + 23ac: 8809883a mov r4,r17 + 23b0: 00029100 call 2910 <_free_r> + 23b4: 8100030b ldhu r4,12(r16) + 23b8: 80000c15 stw zero,48(r16) + 23bc: 80c00417 ldw r3,16(r16) + 23c0: 00bff6c4 movi r2,-37 + 23c4: 1108703a and r4,r2,r4 + 23c8: 80000115 stw zero,4(r16) + 23cc: 80c00015 stw r3,0(r16) + 23d0: 003fd506 br 2328 <__swsetup_r+0x90> + 23d4: 00800244 movi r2,9 + 23d8: 88800015 stw r2,0(r17) + 23dc: 20801014 ori r2,r4,64 + 23e0: 8080030d sth r2,12(r16) + 23e4: 00bfffc4 movi r2,-1 + 23e8: 003fc506 br 2300 <__swsetup_r+0x68> -00002408 <_close_r>: - 2408: defffd04 addi sp,sp,-12 - 240c: dc000015 stw r16,0(sp) - 2410: 04000034 movhi r16,0 - 2414: dc400115 stw r17,4(sp) - 2418: 841cb504 addi r16,r16,29396 - 241c: 2023883a mov r17,r4 - 2420: 2809883a mov r4,r5 - 2424: dfc00215 stw ra,8(sp) - 2428: 80000015 stw zero,0(r16) - 242c: 00031800 call 3180 - 2430: 00ffffc4 movi r3,-1 - 2434: 10c00526 beq r2,r3,244c <_close_r+0x44> - 2438: dfc00217 ldw ra,8(sp) - 243c: dc400117 ldw r17,4(sp) - 2440: dc000017 ldw r16,0(sp) - 2444: dec00304 addi sp,sp,12 - 2448: f800283a ret - 244c: 80c00017 ldw r3,0(r16) - 2450: 183ff926 beq r3,zero,2438 <__alt_data_end+0xfffe2438> - 2454: 88c00015 stw r3,0(r17) - 2458: 003ff706 br 2438 <__alt_data_end+0xfffe2438> +000023ec <_close_r>: + 23ec: defffd04 addi sp,sp,-12 + 23f0: dc000015 stw r16,0(sp) + 23f4: 04000034 movhi r16,0 + 23f8: dc400115 stw r17,4(sp) + 23fc: 841cae04 addi r16,r16,29368 + 2400: 2023883a mov r17,r4 + 2404: 2809883a mov r4,r5 + 2408: dfc00215 stw ra,8(sp) + 240c: 80000015 stw zero,0(r16) + 2410: 00031640 call 3164 + 2414: 00ffffc4 movi r3,-1 + 2418: 10c00526 beq r2,r3,2430 <_close_r+0x44> + 241c: dfc00217 ldw ra,8(sp) + 2420: dc400117 ldw r17,4(sp) + 2424: dc000017 ldw r16,0(sp) + 2428: dec00304 addi sp,sp,12 + 242c: f800283a ret + 2430: 80c00017 ldw r3,0(r16) + 2434: 183ff926 beq r3,zero,241c <_close_r+0x30> + 2438: 88c00015 stw r3,0(r17) + 243c: 003ff706 br 241c <_close_r+0x30> -0000245c <_fclose_r>: - 245c: 28003926 beq r5,zero,2544 <_fclose_r+0xe8> - 2460: defffc04 addi sp,sp,-16 - 2464: dc400115 stw r17,4(sp) - 2468: dc000015 stw r16,0(sp) - 246c: dfc00315 stw ra,12(sp) - 2470: dc800215 stw r18,8(sp) - 2474: 2023883a mov r17,r4 - 2478: 2821883a mov r16,r5 - 247c: 20000226 beq r4,zero,2488 <_fclose_r+0x2c> - 2480: 20800e17 ldw r2,56(r4) - 2484: 10002726 beq r2,zero,2524 <_fclose_r+0xc8> - 2488: 8080030f ldh r2,12(r16) - 248c: 1000071e bne r2,zero,24ac <_fclose_r+0x50> - 2490: 0005883a mov r2,zero - 2494: dfc00317 ldw ra,12(sp) - 2498: dc800217 ldw r18,8(sp) - 249c: dc400117 ldw r17,4(sp) - 24a0: dc000017 ldw r16,0(sp) - 24a4: dec00404 addi sp,sp,16 - 24a8: f800283a ret - 24ac: 800b883a mov r5,r16 - 24b0: 8809883a mov r4,r17 - 24b4: 00025600 call 2560 <__sflush_r> - 24b8: 1025883a mov r18,r2 - 24bc: 80800b17 ldw r2,44(r16) - 24c0: 10000426 beq r2,zero,24d4 <_fclose_r+0x78> - 24c4: 81400717 ldw r5,28(r16) - 24c8: 8809883a mov r4,r17 - 24cc: 103ee83a callr r2 - 24d0: 10001616 blt r2,zero,252c <_fclose_r+0xd0> - 24d4: 8080030b ldhu r2,12(r16) - 24d8: 1080200c andi r2,r2,128 - 24dc: 1000151e bne r2,zero,2534 <_fclose_r+0xd8> - 24e0: 81400c17 ldw r5,48(r16) - 24e4: 28000526 beq r5,zero,24fc <_fclose_r+0xa0> - 24e8: 80801004 addi r2,r16,64 - 24ec: 28800226 beq r5,r2,24f8 <_fclose_r+0x9c> - 24f0: 8809883a mov r4,r17 - 24f4: 000292c0 call 292c <_free_r> - 24f8: 80000c15 stw zero,48(r16) - 24fc: 81401117 ldw r5,68(r16) - 2500: 28000326 beq r5,zero,2510 <_fclose_r+0xb4> - 2504: 8809883a mov r4,r17 - 2508: 000292c0 call 292c <_free_r> - 250c: 80001115 stw zero,68(r16) - 2510: 00008140 call 814 <__sfp_lock_acquire> - 2514: 8000030d sth zero,12(r16) - 2518: 00008180 call 818 <__sfp_lock_release> - 251c: 9005883a mov r2,r18 - 2520: 003fdc06 br 2494 <__alt_data_end+0xfffe2494> - 2524: 00008040 call 804 <__sinit> - 2528: 003fd706 br 2488 <__alt_data_end+0xfffe2488> - 252c: 04bfffc4 movi r18,-1 - 2530: 003fe806 br 24d4 <__alt_data_end+0xfffe24d4> - 2534: 81400417 ldw r5,16(r16) - 2538: 8809883a mov r4,r17 - 253c: 000292c0 call 292c <_free_r> - 2540: 003fe706 br 24e0 <__alt_data_end+0xfffe24e0> - 2544: 0005883a mov r2,zero - 2548: f800283a ret +00002440 <_fclose_r>: + 2440: 28003926 beq r5,zero,2528 <_fclose_r+0xe8> + 2444: defffc04 addi sp,sp,-16 + 2448: dc400115 stw r17,4(sp) + 244c: dc000015 stw r16,0(sp) + 2450: dfc00315 stw ra,12(sp) + 2454: dc800215 stw r18,8(sp) + 2458: 2023883a mov r17,r4 + 245c: 2821883a mov r16,r5 + 2460: 20000226 beq r4,zero,246c <_fclose_r+0x2c> + 2464: 20800e17 ldw r2,56(r4) + 2468: 10002726 beq r2,zero,2508 <_fclose_r+0xc8> + 246c: 8080030f ldh r2,12(r16) + 2470: 1000071e bne r2,zero,2490 <_fclose_r+0x50> + 2474: 0005883a mov r2,zero + 2478: dfc00317 ldw ra,12(sp) + 247c: dc800217 ldw r18,8(sp) + 2480: dc400117 ldw r17,4(sp) + 2484: dc000017 ldw r16,0(sp) + 2488: dec00404 addi sp,sp,16 + 248c: f800283a ret + 2490: 800b883a mov r5,r16 + 2494: 8809883a mov r4,r17 + 2498: 00025440 call 2544 <__sflush_r> + 249c: 1025883a mov r18,r2 + 24a0: 80800b17 ldw r2,44(r16) + 24a4: 10000426 beq r2,zero,24b8 <_fclose_r+0x78> + 24a8: 81400717 ldw r5,28(r16) + 24ac: 8809883a mov r4,r17 + 24b0: 103ee83a callr r2 + 24b4: 10001616 blt r2,zero,2510 <_fclose_r+0xd0> + 24b8: 8080030b ldhu r2,12(r16) + 24bc: 1080200c andi r2,r2,128 + 24c0: 1000151e bne r2,zero,2518 <_fclose_r+0xd8> + 24c4: 81400c17 ldw r5,48(r16) + 24c8: 28000526 beq r5,zero,24e0 <_fclose_r+0xa0> + 24cc: 80801004 addi r2,r16,64 + 24d0: 28800226 beq r5,r2,24dc <_fclose_r+0x9c> + 24d4: 8809883a mov r4,r17 + 24d8: 00029100 call 2910 <_free_r> + 24dc: 80000c15 stw zero,48(r16) + 24e0: 81401117 ldw r5,68(r16) + 24e4: 28000326 beq r5,zero,24f4 <_fclose_r+0xb4> + 24e8: 8809883a mov r4,r17 + 24ec: 00029100 call 2910 <_free_r> + 24f0: 80001115 stw zero,68(r16) + 24f4: 00007f80 call 7f8 <__sfp_lock_acquire> + 24f8: 8000030d sth zero,12(r16) + 24fc: 00007fc0 call 7fc <__sfp_lock_release> + 2500: 9005883a mov r2,r18 + 2504: 003fdc06 br 2478 <_fclose_r+0x38> + 2508: 00007e80 call 7e8 <__sinit> + 250c: 003fd706 br 246c <_fclose_r+0x2c> + 2510: 04bfffc4 movi r18,-1 + 2514: 003fe806 br 24b8 <_fclose_r+0x78> + 2518: 81400417 ldw r5,16(r16) + 251c: 8809883a mov r4,r17 + 2520: 00029100 call 2910 <_free_r> + 2524: 003fe706 br 24c4 <_fclose_r+0x84> + 2528: 0005883a mov r2,zero + 252c: f800283a ret -0000254c : - 254c: 00800034 movhi r2,0 - 2550: 109ca504 addi r2,r2,29332 - 2554: 200b883a mov r5,r4 - 2558: 11000017 ldw r4,0(r2) - 255c: 000245c1 jmpi 245c <_fclose_r> +00002530 : + 2530: 00800034 movhi r2,0 + 2534: 109c9e04 addi r2,r2,29304 + 2538: 200b883a mov r5,r4 + 253c: 11000017 ldw r4,0(r2) + 2540: 00024401 jmpi 2440 <_fclose_r> -00002560 <__sflush_r>: - 2560: 2880030b ldhu r2,12(r5) - 2564: defffb04 addi sp,sp,-20 - 2568: dcc00315 stw r19,12(sp) - 256c: dc400115 stw r17,4(sp) - 2570: dfc00415 stw ra,16(sp) - 2574: dc800215 stw r18,8(sp) - 2578: dc000015 stw r16,0(sp) - 257c: 10c0020c andi r3,r2,8 - 2580: 2823883a mov r17,r5 - 2584: 2027883a mov r19,r4 - 2588: 1800311e bne r3,zero,2650 <__sflush_r+0xf0> - 258c: 28c00117 ldw r3,4(r5) - 2590: 10820014 ori r2,r2,2048 - 2594: 2880030d sth r2,12(r5) - 2598: 00c04b0e bge zero,r3,26c8 <__sflush_r+0x168> - 259c: 8a000a17 ldw r8,40(r17) - 25a0: 40002326 beq r8,zero,2630 <__sflush_r+0xd0> - 25a4: 9c000017 ldw r16,0(r19) - 25a8: 10c4000c andi r3,r2,4096 - 25ac: 98000015 stw zero,0(r19) - 25b0: 18004826 beq r3,zero,26d4 <__sflush_r+0x174> - 25b4: 89801417 ldw r6,80(r17) - 25b8: 10c0010c andi r3,r2,4 - 25bc: 18000626 beq r3,zero,25d8 <__sflush_r+0x78> - 25c0: 88c00117 ldw r3,4(r17) - 25c4: 88800c17 ldw r2,48(r17) - 25c8: 30cdc83a sub r6,r6,r3 - 25cc: 10000226 beq r2,zero,25d8 <__sflush_r+0x78> - 25d0: 88800f17 ldw r2,60(r17) - 25d4: 308dc83a sub r6,r6,r2 - 25d8: 89400717 ldw r5,28(r17) - 25dc: 000f883a mov r7,zero - 25e0: 9809883a mov r4,r19 - 25e4: 403ee83a callr r8 - 25e8: 00ffffc4 movi r3,-1 - 25ec: 10c04426 beq r2,r3,2700 <__sflush_r+0x1a0> - 25f0: 88c0030b ldhu r3,12(r17) - 25f4: 89000417 ldw r4,16(r17) - 25f8: 88000115 stw zero,4(r17) - 25fc: 197dffcc andi r5,r3,63487 - 2600: 8940030d sth r5,12(r17) - 2604: 89000015 stw r4,0(r17) - 2608: 18c4000c andi r3,r3,4096 - 260c: 18002c1e bne r3,zero,26c0 <__sflush_r+0x160> - 2610: 89400c17 ldw r5,48(r17) - 2614: 9c000015 stw r16,0(r19) - 2618: 28000526 beq r5,zero,2630 <__sflush_r+0xd0> - 261c: 88801004 addi r2,r17,64 - 2620: 28800226 beq r5,r2,262c <__sflush_r+0xcc> - 2624: 9809883a mov r4,r19 - 2628: 000292c0 call 292c <_free_r> - 262c: 88000c15 stw zero,48(r17) - 2630: 0005883a mov r2,zero - 2634: dfc00417 ldw ra,16(sp) - 2638: dcc00317 ldw r19,12(sp) - 263c: dc800217 ldw r18,8(sp) - 2640: dc400117 ldw r17,4(sp) - 2644: dc000017 ldw r16,0(sp) - 2648: dec00504 addi sp,sp,20 - 264c: f800283a ret - 2650: 2c800417 ldw r18,16(r5) - 2654: 903ff626 beq r18,zero,2630 <__alt_data_end+0xfffe2630> - 2658: 2c000017 ldw r16,0(r5) - 265c: 108000cc andi r2,r2,3 - 2660: 2c800015 stw r18,0(r5) - 2664: 84a1c83a sub r16,r16,r18 - 2668: 1000131e bne r2,zero,26b8 <__sflush_r+0x158> - 266c: 28800517 ldw r2,20(r5) - 2670: 88800215 stw r2,8(r17) - 2674: 04000316 blt zero,r16,2684 <__sflush_r+0x124> - 2678: 003fed06 br 2630 <__alt_data_end+0xfffe2630> - 267c: 90a5883a add r18,r18,r2 - 2680: 043feb0e bge zero,r16,2630 <__alt_data_end+0xfffe2630> - 2684: 88800917 ldw r2,36(r17) - 2688: 89400717 ldw r5,28(r17) - 268c: 800f883a mov r7,r16 - 2690: 900d883a mov r6,r18 - 2694: 9809883a mov r4,r19 - 2698: 103ee83a callr r2 - 269c: 80a1c83a sub r16,r16,r2 - 26a0: 00bff616 blt zero,r2,267c <__alt_data_end+0xfffe267c> - 26a4: 88c0030b ldhu r3,12(r17) - 26a8: 00bfffc4 movi r2,-1 - 26ac: 18c01014 ori r3,r3,64 - 26b0: 88c0030d sth r3,12(r17) - 26b4: 003fdf06 br 2634 <__alt_data_end+0xfffe2634> - 26b8: 0005883a mov r2,zero - 26bc: 003fec06 br 2670 <__alt_data_end+0xfffe2670> - 26c0: 88801415 stw r2,80(r17) - 26c4: 003fd206 br 2610 <__alt_data_end+0xfffe2610> - 26c8: 28c00f17 ldw r3,60(r5) - 26cc: 00ffb316 blt zero,r3,259c <__alt_data_end+0xfffe259c> - 26d0: 003fd706 br 2630 <__alt_data_end+0xfffe2630> - 26d4: 89400717 ldw r5,28(r17) - 26d8: 000d883a mov r6,zero - 26dc: 01c00044 movi r7,1 - 26e0: 9809883a mov r4,r19 - 26e4: 403ee83a callr r8 - 26e8: 100d883a mov r6,r2 - 26ec: 00bfffc4 movi r2,-1 - 26f0: 30801426 beq r6,r2,2744 <__sflush_r+0x1e4> - 26f4: 8880030b ldhu r2,12(r17) - 26f8: 8a000a17 ldw r8,40(r17) - 26fc: 003fae06 br 25b8 <__alt_data_end+0xfffe25b8> - 2700: 98c00017 ldw r3,0(r19) - 2704: 183fba26 beq r3,zero,25f0 <__alt_data_end+0xfffe25f0> - 2708: 01000744 movi r4,29 - 270c: 19000626 beq r3,r4,2728 <__sflush_r+0x1c8> - 2710: 01000584 movi r4,22 - 2714: 19000426 beq r3,r4,2728 <__sflush_r+0x1c8> - 2718: 88c0030b ldhu r3,12(r17) - 271c: 18c01014 ori r3,r3,64 - 2720: 88c0030d sth r3,12(r17) - 2724: 003fc306 br 2634 <__alt_data_end+0xfffe2634> - 2728: 8880030b ldhu r2,12(r17) - 272c: 88c00417 ldw r3,16(r17) - 2730: 88000115 stw zero,4(r17) - 2734: 10bdffcc andi r2,r2,63487 - 2738: 8880030d sth r2,12(r17) - 273c: 88c00015 stw r3,0(r17) - 2740: 003fb306 br 2610 <__alt_data_end+0xfffe2610> - 2744: 98800017 ldw r2,0(r19) - 2748: 103fea26 beq r2,zero,26f4 <__alt_data_end+0xfffe26f4> - 274c: 00c00744 movi r3,29 - 2750: 10c00226 beq r2,r3,275c <__sflush_r+0x1fc> - 2754: 00c00584 movi r3,22 - 2758: 10c0031e bne r2,r3,2768 <__sflush_r+0x208> - 275c: 9c000015 stw r16,0(r19) - 2760: 0005883a mov r2,zero - 2764: 003fb306 br 2634 <__alt_data_end+0xfffe2634> - 2768: 88c0030b ldhu r3,12(r17) - 276c: 3005883a mov r2,r6 - 2770: 18c01014 ori r3,r3,64 - 2774: 88c0030d sth r3,12(r17) - 2778: 003fae06 br 2634 <__alt_data_end+0xfffe2634> +00002544 <__sflush_r>: + 2544: 2880030b ldhu r2,12(r5) + 2548: defffb04 addi sp,sp,-20 + 254c: dcc00315 stw r19,12(sp) + 2550: dc400115 stw r17,4(sp) + 2554: dfc00415 stw ra,16(sp) + 2558: dc800215 stw r18,8(sp) + 255c: dc000015 stw r16,0(sp) + 2560: 10c0020c andi r3,r2,8 + 2564: 2823883a mov r17,r5 + 2568: 2027883a mov r19,r4 + 256c: 1800311e bne r3,zero,2634 <__sflush_r+0xf0> + 2570: 28c00117 ldw r3,4(r5) + 2574: 10820014 ori r2,r2,2048 + 2578: 2880030d sth r2,12(r5) + 257c: 00c04b0e bge zero,r3,26ac <__sflush_r+0x168> + 2580: 8a000a17 ldw r8,40(r17) + 2584: 40002326 beq r8,zero,2614 <__sflush_r+0xd0> + 2588: 9c000017 ldw r16,0(r19) + 258c: 10c4000c andi r3,r2,4096 + 2590: 98000015 stw zero,0(r19) + 2594: 18004826 beq r3,zero,26b8 <__sflush_r+0x174> + 2598: 89801417 ldw r6,80(r17) + 259c: 10c0010c andi r3,r2,4 + 25a0: 18000626 beq r3,zero,25bc <__sflush_r+0x78> + 25a4: 88c00117 ldw r3,4(r17) + 25a8: 88800c17 ldw r2,48(r17) + 25ac: 30cdc83a sub r6,r6,r3 + 25b0: 10000226 beq r2,zero,25bc <__sflush_r+0x78> + 25b4: 88800f17 ldw r2,60(r17) + 25b8: 308dc83a sub r6,r6,r2 + 25bc: 89400717 ldw r5,28(r17) + 25c0: 000f883a mov r7,zero + 25c4: 9809883a mov r4,r19 + 25c8: 403ee83a callr r8 + 25cc: 00ffffc4 movi r3,-1 + 25d0: 10c04426 beq r2,r3,26e4 <__sflush_r+0x1a0> + 25d4: 88c0030b ldhu r3,12(r17) + 25d8: 89000417 ldw r4,16(r17) + 25dc: 88000115 stw zero,4(r17) + 25e0: 197dffcc andi r5,r3,63487 + 25e4: 8940030d sth r5,12(r17) + 25e8: 89000015 stw r4,0(r17) + 25ec: 18c4000c andi r3,r3,4096 + 25f0: 18002c1e bne r3,zero,26a4 <__sflush_r+0x160> + 25f4: 89400c17 ldw r5,48(r17) + 25f8: 9c000015 stw r16,0(r19) + 25fc: 28000526 beq r5,zero,2614 <__sflush_r+0xd0> + 2600: 88801004 addi r2,r17,64 + 2604: 28800226 beq r5,r2,2610 <__sflush_r+0xcc> + 2608: 9809883a mov r4,r19 + 260c: 00029100 call 2910 <_free_r> + 2610: 88000c15 stw zero,48(r17) + 2614: 0005883a mov r2,zero + 2618: dfc00417 ldw ra,16(sp) + 261c: dcc00317 ldw r19,12(sp) + 2620: dc800217 ldw r18,8(sp) + 2624: dc400117 ldw r17,4(sp) + 2628: dc000017 ldw r16,0(sp) + 262c: dec00504 addi sp,sp,20 + 2630: f800283a ret + 2634: 2c800417 ldw r18,16(r5) + 2638: 903ff626 beq r18,zero,2614 <__sflush_r+0xd0> + 263c: 2c000017 ldw r16,0(r5) + 2640: 108000cc andi r2,r2,3 + 2644: 2c800015 stw r18,0(r5) + 2648: 84a1c83a sub r16,r16,r18 + 264c: 1000131e bne r2,zero,269c <__sflush_r+0x158> + 2650: 28800517 ldw r2,20(r5) + 2654: 88800215 stw r2,8(r17) + 2658: 04000316 blt zero,r16,2668 <__sflush_r+0x124> + 265c: 003fed06 br 2614 <__sflush_r+0xd0> + 2660: 90a5883a add r18,r18,r2 + 2664: 043feb0e bge zero,r16,2614 <__sflush_r+0xd0> + 2668: 88800917 ldw r2,36(r17) + 266c: 89400717 ldw r5,28(r17) + 2670: 800f883a mov r7,r16 + 2674: 900d883a mov r6,r18 + 2678: 9809883a mov r4,r19 + 267c: 103ee83a callr r2 + 2680: 80a1c83a sub r16,r16,r2 + 2684: 00bff616 blt zero,r2,2660 <__sflush_r+0x11c> + 2688: 88c0030b ldhu r3,12(r17) + 268c: 00bfffc4 movi r2,-1 + 2690: 18c01014 ori r3,r3,64 + 2694: 88c0030d sth r3,12(r17) + 2698: 003fdf06 br 2618 <__sflush_r+0xd4> + 269c: 0005883a mov r2,zero + 26a0: 003fec06 br 2654 <__sflush_r+0x110> + 26a4: 88801415 stw r2,80(r17) + 26a8: 003fd206 br 25f4 <__sflush_r+0xb0> + 26ac: 28c00f17 ldw r3,60(r5) + 26b0: 00ffb316 blt zero,r3,2580 <__sflush_r+0x3c> + 26b4: 003fd706 br 2614 <__sflush_r+0xd0> + 26b8: 89400717 ldw r5,28(r17) + 26bc: 000d883a mov r6,zero + 26c0: 01c00044 movi r7,1 + 26c4: 9809883a mov r4,r19 + 26c8: 403ee83a callr r8 + 26cc: 100d883a mov r6,r2 + 26d0: 00bfffc4 movi r2,-1 + 26d4: 30801426 beq r6,r2,2728 <__sflush_r+0x1e4> + 26d8: 8880030b ldhu r2,12(r17) + 26dc: 8a000a17 ldw r8,40(r17) + 26e0: 003fae06 br 259c <__sflush_r+0x58> + 26e4: 98c00017 ldw r3,0(r19) + 26e8: 183fba26 beq r3,zero,25d4 <__sflush_r+0x90> + 26ec: 01000744 movi r4,29 + 26f0: 19000626 beq r3,r4,270c <__sflush_r+0x1c8> + 26f4: 01000584 movi r4,22 + 26f8: 19000426 beq r3,r4,270c <__sflush_r+0x1c8> + 26fc: 88c0030b ldhu r3,12(r17) + 2700: 18c01014 ori r3,r3,64 + 2704: 88c0030d sth r3,12(r17) + 2708: 003fc306 br 2618 <__sflush_r+0xd4> + 270c: 8880030b ldhu r2,12(r17) + 2710: 88c00417 ldw r3,16(r17) + 2714: 88000115 stw zero,4(r17) + 2718: 10bdffcc andi r2,r2,63487 + 271c: 8880030d sth r2,12(r17) + 2720: 88c00015 stw r3,0(r17) + 2724: 003fb306 br 25f4 <__sflush_r+0xb0> + 2728: 98800017 ldw r2,0(r19) + 272c: 103fea26 beq r2,zero,26d8 <__sflush_r+0x194> + 2730: 00c00744 movi r3,29 + 2734: 10c00226 beq r2,r3,2740 <__sflush_r+0x1fc> + 2738: 00c00584 movi r3,22 + 273c: 10c0031e bne r2,r3,274c <__sflush_r+0x208> + 2740: 9c000015 stw r16,0(r19) + 2744: 0005883a mov r2,zero + 2748: 003fb306 br 2618 <__sflush_r+0xd4> + 274c: 88c0030b ldhu r3,12(r17) + 2750: 3005883a mov r2,r6 + 2754: 18c01014 ori r3,r3,64 + 2758: 88c0030d sth r3,12(r17) + 275c: 003fae06 br 2618 <__sflush_r+0xd4> -0000277c <_fflush_r>: - 277c: defffd04 addi sp,sp,-12 - 2780: dc000115 stw r16,4(sp) - 2784: dfc00215 stw ra,8(sp) - 2788: 2021883a mov r16,r4 - 278c: 20000226 beq r4,zero,2798 <_fflush_r+0x1c> - 2790: 20800e17 ldw r2,56(r4) - 2794: 10000c26 beq r2,zero,27c8 <_fflush_r+0x4c> - 2798: 2880030f ldh r2,12(r5) - 279c: 1000051e bne r2,zero,27b4 <_fflush_r+0x38> - 27a0: 0005883a mov r2,zero - 27a4: dfc00217 ldw ra,8(sp) - 27a8: dc000117 ldw r16,4(sp) - 27ac: dec00304 addi sp,sp,12 - 27b0: f800283a ret - 27b4: 8009883a mov r4,r16 - 27b8: dfc00217 ldw ra,8(sp) - 27bc: dc000117 ldw r16,4(sp) - 27c0: dec00304 addi sp,sp,12 - 27c4: 00025601 jmpi 2560 <__sflush_r> - 27c8: d9400015 stw r5,0(sp) - 27cc: 00008040 call 804 <__sinit> - 27d0: d9400017 ldw r5,0(sp) - 27d4: 003ff006 br 2798 <__alt_data_end+0xfffe2798> +00002760 <_fflush_r>: + 2760: defffd04 addi sp,sp,-12 + 2764: dc000115 stw r16,4(sp) + 2768: dfc00215 stw ra,8(sp) + 276c: 2021883a mov r16,r4 + 2770: 20000226 beq r4,zero,277c <_fflush_r+0x1c> + 2774: 20800e17 ldw r2,56(r4) + 2778: 10000c26 beq r2,zero,27ac <_fflush_r+0x4c> + 277c: 2880030f ldh r2,12(r5) + 2780: 1000051e bne r2,zero,2798 <_fflush_r+0x38> + 2784: 0005883a mov r2,zero + 2788: dfc00217 ldw ra,8(sp) + 278c: dc000117 ldw r16,4(sp) + 2790: dec00304 addi sp,sp,12 + 2794: f800283a ret + 2798: 8009883a mov r4,r16 + 279c: dfc00217 ldw ra,8(sp) + 27a0: dc000117 ldw r16,4(sp) + 27a4: dec00304 addi sp,sp,12 + 27a8: 00025441 jmpi 2544 <__sflush_r> + 27ac: d9400015 stw r5,0(sp) + 27b0: 00007e80 call 7e8 <__sinit> + 27b4: d9400017 ldw r5,0(sp) + 27b8: 003ff006 br 277c <_fflush_r+0x1c> -000027d8 : - 27d8: 20000526 beq r4,zero,27f0 - 27dc: 00800034 movhi r2,0 - 27e0: 109ca504 addi r2,r2,29332 - 27e4: 200b883a mov r5,r4 - 27e8: 11000017 ldw r4,0(r2) - 27ec: 000277c1 jmpi 277c <_fflush_r> - 27f0: 00800034 movhi r2,0 - 27f4: 109ca404 addi r2,r2,29328 - 27f8: 11000017 ldw r4,0(r2) - 27fc: 01400034 movhi r5,0 - 2800: 2949df04 addi r5,r5,10108 - 2804: 0000de01 jmpi de0 <_fwalk_reent> +000027bc : + 27bc: 20000526 beq r4,zero,27d4 + 27c0: 00800034 movhi r2,0 + 27c4: 109c9e04 addi r2,r2,29304 + 27c8: 200b883a mov r5,r4 + 27cc: 11000017 ldw r4,0(r2) + 27d0: 00027601 jmpi 2760 <_fflush_r> + 27d4: 00800034 movhi r2,0 + 27d8: 109c9d04 addi r2,r2,29300 + 27dc: 11000017 ldw r4,0(r2) + 27e0: 01400034 movhi r5,0 + 27e4: 2949d804 addi r5,r5,10080 + 27e8: 0000dc41 jmpi dc4 <_fwalk_reent> -00002808 <_malloc_trim_r>: - 2808: defffb04 addi sp,sp,-20 - 280c: dcc00315 stw r19,12(sp) - 2810: 04c00034 movhi r19,0 - 2814: dc800215 stw r18,8(sp) - 2818: dc400115 stw r17,4(sp) - 281c: dc000015 stw r16,0(sp) - 2820: dfc00415 stw ra,16(sp) - 2824: 2821883a mov r16,r5 - 2828: 9cd72004 addi r19,r19,23680 - 282c: 2025883a mov r18,r4 - 2830: 000361c0 call 361c <__malloc_lock> - 2834: 98800217 ldw r2,8(r19) - 2838: 14400117 ldw r17,4(r2) - 283c: 00bfff04 movi r2,-4 - 2840: 88a2703a and r17,r17,r2 - 2844: 8c21c83a sub r16,r17,r16 - 2848: 8403fbc4 addi r16,r16,4079 - 284c: 8020d33a srli r16,r16,12 - 2850: 0083ffc4 movi r2,4095 - 2854: 843fffc4 addi r16,r16,-1 - 2858: 8020933a slli r16,r16,12 - 285c: 1400060e bge r2,r16,2878 <_malloc_trim_r+0x70> - 2860: 000b883a mov r5,zero - 2864: 9009883a mov r4,r18 - 2868: 00020c40 call 20c4 <_sbrk_r> - 286c: 98c00217 ldw r3,8(r19) - 2870: 1c47883a add r3,r3,r17 - 2874: 10c00a26 beq r2,r3,28a0 <_malloc_trim_r+0x98> - 2878: 9009883a mov r4,r18 - 287c: 00036400 call 3640 <__malloc_unlock> - 2880: 0005883a mov r2,zero - 2884: dfc00417 ldw ra,16(sp) - 2888: dcc00317 ldw r19,12(sp) - 288c: dc800217 ldw r18,8(sp) - 2890: dc400117 ldw r17,4(sp) - 2894: dc000017 ldw r16,0(sp) - 2898: dec00504 addi sp,sp,20 - 289c: f800283a ret - 28a0: 040bc83a sub r5,zero,r16 - 28a4: 9009883a mov r4,r18 - 28a8: 00020c40 call 20c4 <_sbrk_r> - 28ac: 00ffffc4 movi r3,-1 - 28b0: 10c00d26 beq r2,r3,28e8 <_malloc_trim_r+0xe0> - 28b4: 00c00034 movhi r3,0 - 28b8: 18dcbd04 addi r3,r3,29428 - 28bc: 18800017 ldw r2,0(r3) - 28c0: 99000217 ldw r4,8(r19) - 28c4: 8c23c83a sub r17,r17,r16 - 28c8: 8c400054 ori r17,r17,1 - 28cc: 1421c83a sub r16,r2,r16 - 28d0: 24400115 stw r17,4(r4) - 28d4: 9009883a mov r4,r18 - 28d8: 1c000015 stw r16,0(r3) - 28dc: 00036400 call 3640 <__malloc_unlock> - 28e0: 00800044 movi r2,1 - 28e4: 003fe706 br 2884 <__alt_data_end+0xfffe2884> - 28e8: 000b883a mov r5,zero - 28ec: 9009883a mov r4,r18 - 28f0: 00020c40 call 20c4 <_sbrk_r> - 28f4: 99000217 ldw r4,8(r19) - 28f8: 014003c4 movi r5,15 - 28fc: 1107c83a sub r3,r2,r4 - 2900: 28ffdd0e bge r5,r3,2878 <__alt_data_end+0xfffe2878> - 2904: 01400034 movhi r5,0 - 2908: 295ca604 addi r5,r5,29336 - 290c: 29400017 ldw r5,0(r5) - 2910: 18c00054 ori r3,r3,1 - 2914: 20c00115 stw r3,4(r4) - 2918: 00c00034 movhi r3,0 - 291c: 1145c83a sub r2,r2,r5 - 2920: 18dcbd04 addi r3,r3,29428 - 2924: 18800015 stw r2,0(r3) - 2928: 003fd306 br 2878 <__alt_data_end+0xfffe2878> +000027ec <_malloc_trim_r>: + 27ec: defffb04 addi sp,sp,-20 + 27f0: dcc00315 stw r19,12(sp) + 27f4: 04c00034 movhi r19,0 + 27f8: dc800215 stw r18,8(sp) + 27fc: dc400115 stw r17,4(sp) + 2800: dc000015 stw r16,0(sp) + 2804: dfc00415 stw ra,16(sp) + 2808: 2821883a mov r16,r5 + 280c: 9cd71904 addi r19,r19,23652 + 2810: 2025883a mov r18,r4 + 2814: 00036000 call 3600 <__malloc_lock> + 2818: 98800217 ldw r2,8(r19) + 281c: 14400117 ldw r17,4(r2) + 2820: 00bfff04 movi r2,-4 + 2824: 88a2703a and r17,r17,r2 + 2828: 8c21c83a sub r16,r17,r16 + 282c: 8403fbc4 addi r16,r16,4079 + 2830: 8020d33a srli r16,r16,12 + 2834: 0083ffc4 movi r2,4095 + 2838: 843fffc4 addi r16,r16,-1 + 283c: 8020933a slli r16,r16,12 + 2840: 1400060e bge r2,r16,285c <_malloc_trim_r+0x70> + 2844: 000b883a mov r5,zero + 2848: 9009883a mov r4,r18 + 284c: 00020a80 call 20a8 <_sbrk_r> + 2850: 98c00217 ldw r3,8(r19) + 2854: 1c47883a add r3,r3,r17 + 2858: 10c00a26 beq r2,r3,2884 <_malloc_trim_r+0x98> + 285c: 9009883a mov r4,r18 + 2860: 00036240 call 3624 <__malloc_unlock> + 2864: 0005883a mov r2,zero + 2868: dfc00417 ldw ra,16(sp) + 286c: dcc00317 ldw r19,12(sp) + 2870: dc800217 ldw r18,8(sp) + 2874: dc400117 ldw r17,4(sp) + 2878: dc000017 ldw r16,0(sp) + 287c: dec00504 addi sp,sp,20 + 2880: f800283a ret + 2884: 040bc83a sub r5,zero,r16 + 2888: 9009883a mov r4,r18 + 288c: 00020a80 call 20a8 <_sbrk_r> + 2890: 00ffffc4 movi r3,-1 + 2894: 10c00d26 beq r2,r3,28cc <_malloc_trim_r+0xe0> + 2898: 00c00034 movhi r3,0 + 289c: 18dcb604 addi r3,r3,29400 + 28a0: 18800017 ldw r2,0(r3) + 28a4: 99000217 ldw r4,8(r19) + 28a8: 8c23c83a sub r17,r17,r16 + 28ac: 8c400054 ori r17,r17,1 + 28b0: 1421c83a sub r16,r2,r16 + 28b4: 24400115 stw r17,4(r4) + 28b8: 9009883a mov r4,r18 + 28bc: 1c000015 stw r16,0(r3) + 28c0: 00036240 call 3624 <__malloc_unlock> + 28c4: 00800044 movi r2,1 + 28c8: 003fe706 br 2868 <_malloc_trim_r+0x7c> + 28cc: 000b883a mov r5,zero + 28d0: 9009883a mov r4,r18 + 28d4: 00020a80 call 20a8 <_sbrk_r> + 28d8: 99000217 ldw r4,8(r19) + 28dc: 014003c4 movi r5,15 + 28e0: 1107c83a sub r3,r2,r4 + 28e4: 28ffdd0e bge r5,r3,285c <_malloc_trim_r+0x70> + 28e8: 01400034 movhi r5,0 + 28ec: 295c9f04 addi r5,r5,29308 + 28f0: 29400017 ldw r5,0(r5) + 28f4: 18c00054 ori r3,r3,1 + 28f8: 20c00115 stw r3,4(r4) + 28fc: 00c00034 movhi r3,0 + 2900: 1145c83a sub r2,r2,r5 + 2904: 18dcb604 addi r3,r3,29400 + 2908: 18800015 stw r2,0(r3) + 290c: 003fd306 br 285c <_malloc_trim_r+0x70> -0000292c <_free_r>: - 292c: 28004126 beq r5,zero,2a34 <_free_r+0x108> - 2930: defffd04 addi sp,sp,-12 - 2934: dc400115 stw r17,4(sp) - 2938: dc000015 stw r16,0(sp) - 293c: 2023883a mov r17,r4 - 2940: 2821883a mov r16,r5 - 2944: dfc00215 stw ra,8(sp) - 2948: 000361c0 call 361c <__malloc_lock> - 294c: 81ffff17 ldw r7,-4(r16) - 2950: 00bfff84 movi r2,-2 - 2954: 01000034 movhi r4,0 - 2958: 81bffe04 addi r6,r16,-8 - 295c: 3884703a and r2,r7,r2 - 2960: 21172004 addi r4,r4,23680 - 2964: 308b883a add r5,r6,r2 - 2968: 2a400117 ldw r9,4(r5) - 296c: 22000217 ldw r8,8(r4) - 2970: 00ffff04 movi r3,-4 - 2974: 48c6703a and r3,r9,r3 - 2978: 2a005726 beq r5,r8,2ad8 <_free_r+0x1ac> - 297c: 28c00115 stw r3,4(r5) - 2980: 39c0004c andi r7,r7,1 - 2984: 3800091e bne r7,zero,29ac <_free_r+0x80> - 2988: 823ffe17 ldw r8,-8(r16) - 298c: 22400204 addi r9,r4,8 - 2990: 320dc83a sub r6,r6,r8 - 2994: 31c00217 ldw r7,8(r6) - 2998: 1205883a add r2,r2,r8 - 299c: 3a406526 beq r7,r9,2b34 <_free_r+0x208> - 29a0: 32000317 ldw r8,12(r6) - 29a4: 3a000315 stw r8,12(r7) - 29a8: 41c00215 stw r7,8(r8) - 29ac: 28cf883a add r7,r5,r3 - 29b0: 39c00117 ldw r7,4(r7) - 29b4: 39c0004c andi r7,r7,1 - 29b8: 38003a26 beq r7,zero,2aa4 <_free_r+0x178> - 29bc: 10c00054 ori r3,r2,1 - 29c0: 30c00115 stw r3,4(r6) - 29c4: 3087883a add r3,r6,r2 - 29c8: 18800015 stw r2,0(r3) - 29cc: 00c07fc4 movi r3,511 - 29d0: 18801936 bltu r3,r2,2a38 <_free_r+0x10c> - 29d4: 1004d0fa srli r2,r2,3 - 29d8: 01c00044 movi r7,1 - 29dc: 21400117 ldw r5,4(r4) - 29e0: 10c00044 addi r3,r2,1 - 29e4: 18c7883a add r3,r3,r3 - 29e8: 1005d0ba srai r2,r2,2 - 29ec: 18c7883a add r3,r3,r3 - 29f0: 18c7883a add r3,r3,r3 - 29f4: 1907883a add r3,r3,r4 - 29f8: 3884983a sll r2,r7,r2 - 29fc: 19c00017 ldw r7,0(r3) - 2a00: 1a3ffe04 addi r8,r3,-8 - 2a04: 1144b03a or r2,r2,r5 - 2a08: 32000315 stw r8,12(r6) - 2a0c: 31c00215 stw r7,8(r6) - 2a10: 20800115 stw r2,4(r4) - 2a14: 19800015 stw r6,0(r3) - 2a18: 39800315 stw r6,12(r7) - 2a1c: 8809883a mov r4,r17 - 2a20: dfc00217 ldw ra,8(sp) - 2a24: dc400117 ldw r17,4(sp) - 2a28: dc000017 ldw r16,0(sp) - 2a2c: dec00304 addi sp,sp,12 - 2a30: 00036401 jmpi 3640 <__malloc_unlock> - 2a34: f800283a ret - 2a38: 100ad27a srli r5,r2,9 - 2a3c: 00c00104 movi r3,4 - 2a40: 19404a36 bltu r3,r5,2b6c <_free_r+0x240> - 2a44: 100ad1ba srli r5,r2,6 - 2a48: 28c00e44 addi r3,r5,57 - 2a4c: 18c7883a add r3,r3,r3 - 2a50: 29400e04 addi r5,r5,56 - 2a54: 18c7883a add r3,r3,r3 - 2a58: 18c7883a add r3,r3,r3 - 2a5c: 1909883a add r4,r3,r4 - 2a60: 20c00017 ldw r3,0(r4) - 2a64: 01c00034 movhi r7,0 - 2a68: 213ffe04 addi r4,r4,-8 - 2a6c: 39d72004 addi r7,r7,23680 - 2a70: 20c04426 beq r4,r3,2b84 <_free_r+0x258> - 2a74: 01ffff04 movi r7,-4 - 2a78: 19400117 ldw r5,4(r3) - 2a7c: 29ca703a and r5,r5,r7 - 2a80: 1140022e bgeu r2,r5,2a8c <_free_r+0x160> - 2a84: 18c00217 ldw r3,8(r3) - 2a88: 20fffb1e bne r4,r3,2a78 <__alt_data_end+0xfffe2a78> - 2a8c: 19000317 ldw r4,12(r3) - 2a90: 31000315 stw r4,12(r6) - 2a94: 30c00215 stw r3,8(r6) - 2a98: 21800215 stw r6,8(r4) - 2a9c: 19800315 stw r6,12(r3) - 2aa0: 003fde06 br 2a1c <__alt_data_end+0xfffe2a1c> - 2aa4: 29c00217 ldw r7,8(r5) - 2aa8: 10c5883a add r2,r2,r3 - 2aac: 00c00034 movhi r3,0 - 2ab0: 18d72204 addi r3,r3,23688 - 2ab4: 38c03b26 beq r7,r3,2ba4 <_free_r+0x278> - 2ab8: 2a000317 ldw r8,12(r5) - 2abc: 11400054 ori r5,r2,1 - 2ac0: 3087883a add r3,r6,r2 - 2ac4: 3a000315 stw r8,12(r7) - 2ac8: 41c00215 stw r7,8(r8) - 2acc: 31400115 stw r5,4(r6) - 2ad0: 18800015 stw r2,0(r3) - 2ad4: 003fbd06 br 29cc <__alt_data_end+0xfffe29cc> - 2ad8: 39c0004c andi r7,r7,1 - 2adc: 10c5883a add r2,r2,r3 - 2ae0: 3800071e bne r7,zero,2b00 <_free_r+0x1d4> - 2ae4: 81fffe17 ldw r7,-8(r16) - 2ae8: 31cdc83a sub r6,r6,r7 - 2aec: 30c00317 ldw r3,12(r6) - 2af0: 31400217 ldw r5,8(r6) - 2af4: 11c5883a add r2,r2,r7 - 2af8: 28c00315 stw r3,12(r5) - 2afc: 19400215 stw r5,8(r3) - 2b00: 10c00054 ori r3,r2,1 - 2b04: 30c00115 stw r3,4(r6) - 2b08: 00c00034 movhi r3,0 - 2b0c: 18dca704 addi r3,r3,29340 - 2b10: 18c00017 ldw r3,0(r3) - 2b14: 21800215 stw r6,8(r4) - 2b18: 10ffc036 bltu r2,r3,2a1c <__alt_data_end+0xfffe2a1c> - 2b1c: 00800034 movhi r2,0 - 2b20: 109cb404 addi r2,r2,29392 - 2b24: 11400017 ldw r5,0(r2) - 2b28: 8809883a mov r4,r17 - 2b2c: 00028080 call 2808 <_malloc_trim_r> - 2b30: 003fba06 br 2a1c <__alt_data_end+0xfffe2a1c> - 2b34: 28c9883a add r4,r5,r3 - 2b38: 21000117 ldw r4,4(r4) - 2b3c: 2100004c andi r4,r4,1 - 2b40: 2000391e bne r4,zero,2c28 <_free_r+0x2fc> - 2b44: 29c00217 ldw r7,8(r5) - 2b48: 29000317 ldw r4,12(r5) - 2b4c: 1885883a add r2,r3,r2 - 2b50: 10c00054 ori r3,r2,1 - 2b54: 39000315 stw r4,12(r7) - 2b58: 21c00215 stw r7,8(r4) - 2b5c: 30c00115 stw r3,4(r6) - 2b60: 308d883a add r6,r6,r2 - 2b64: 30800015 stw r2,0(r6) - 2b68: 003fac06 br 2a1c <__alt_data_end+0xfffe2a1c> - 2b6c: 00c00504 movi r3,20 - 2b70: 19401536 bltu r3,r5,2bc8 <_free_r+0x29c> - 2b74: 28c01704 addi r3,r5,92 - 2b78: 18c7883a add r3,r3,r3 - 2b7c: 294016c4 addi r5,r5,91 - 2b80: 003fb406 br 2a54 <__alt_data_end+0xfffe2a54> - 2b84: 280bd0ba srai r5,r5,2 - 2b88: 00c00044 movi r3,1 - 2b8c: 38800117 ldw r2,4(r7) - 2b90: 194a983a sll r5,r3,r5 - 2b94: 2007883a mov r3,r4 - 2b98: 2884b03a or r2,r5,r2 - 2b9c: 38800115 stw r2,4(r7) - 2ba0: 003fbb06 br 2a90 <__alt_data_end+0xfffe2a90> - 2ba4: 21800515 stw r6,20(r4) - 2ba8: 21800415 stw r6,16(r4) - 2bac: 10c00054 ori r3,r2,1 - 2bb0: 31c00315 stw r7,12(r6) - 2bb4: 31c00215 stw r7,8(r6) - 2bb8: 30c00115 stw r3,4(r6) - 2bbc: 308d883a add r6,r6,r2 - 2bc0: 30800015 stw r2,0(r6) - 2bc4: 003f9506 br 2a1c <__alt_data_end+0xfffe2a1c> - 2bc8: 00c01504 movi r3,84 - 2bcc: 19400536 bltu r3,r5,2be4 <_free_r+0x2b8> - 2bd0: 100ad33a srli r5,r2,12 - 2bd4: 28c01bc4 addi r3,r5,111 +00002910 <_free_r>: + 2910: 28004126 beq r5,zero,2a18 <_free_r+0x108> + 2914: defffd04 addi sp,sp,-12 + 2918: dc400115 stw r17,4(sp) + 291c: dc000015 stw r16,0(sp) + 2920: 2023883a mov r17,r4 + 2924: 2821883a mov r16,r5 + 2928: dfc00215 stw ra,8(sp) + 292c: 00036000 call 3600 <__malloc_lock> + 2930: 81ffff17 ldw r7,-4(r16) + 2934: 00bfff84 movi r2,-2 + 2938: 01000034 movhi r4,0 + 293c: 81bffe04 addi r6,r16,-8 + 2940: 3884703a and r2,r7,r2 + 2944: 21171904 addi r4,r4,23652 + 2948: 308b883a add r5,r6,r2 + 294c: 2a400117 ldw r9,4(r5) + 2950: 22000217 ldw r8,8(r4) + 2954: 00ffff04 movi r3,-4 + 2958: 48c6703a and r3,r9,r3 + 295c: 2a005726 beq r5,r8,2abc <_free_r+0x1ac> + 2960: 28c00115 stw r3,4(r5) + 2964: 39c0004c andi r7,r7,1 + 2968: 3800091e bne r7,zero,2990 <_free_r+0x80> + 296c: 823ffe17 ldw r8,-8(r16) + 2970: 22400204 addi r9,r4,8 + 2974: 320dc83a sub r6,r6,r8 + 2978: 31c00217 ldw r7,8(r6) + 297c: 1205883a add r2,r2,r8 + 2980: 3a406526 beq r7,r9,2b18 <_free_r+0x208> + 2984: 32000317 ldw r8,12(r6) + 2988: 3a000315 stw r8,12(r7) + 298c: 41c00215 stw r7,8(r8) + 2990: 28cf883a add r7,r5,r3 + 2994: 39c00117 ldw r7,4(r7) + 2998: 39c0004c andi r7,r7,1 + 299c: 38003a26 beq r7,zero,2a88 <_free_r+0x178> + 29a0: 10c00054 ori r3,r2,1 + 29a4: 30c00115 stw r3,4(r6) + 29a8: 3087883a add r3,r6,r2 + 29ac: 18800015 stw r2,0(r3) + 29b0: 00c07fc4 movi r3,511 + 29b4: 18801936 bltu r3,r2,2a1c <_free_r+0x10c> + 29b8: 1004d0fa srli r2,r2,3 + 29bc: 01c00044 movi r7,1 + 29c0: 21400117 ldw r5,4(r4) + 29c4: 10c00044 addi r3,r2,1 + 29c8: 18c7883a add r3,r3,r3 + 29cc: 1005d0ba srai r2,r2,2 + 29d0: 18c7883a add r3,r3,r3 + 29d4: 18c7883a add r3,r3,r3 + 29d8: 1907883a add r3,r3,r4 + 29dc: 3884983a sll r2,r7,r2 + 29e0: 19c00017 ldw r7,0(r3) + 29e4: 1a3ffe04 addi r8,r3,-8 + 29e8: 1144b03a or r2,r2,r5 + 29ec: 32000315 stw r8,12(r6) + 29f0: 31c00215 stw r7,8(r6) + 29f4: 20800115 stw r2,4(r4) + 29f8: 19800015 stw r6,0(r3) + 29fc: 39800315 stw r6,12(r7) + 2a00: 8809883a mov r4,r17 + 2a04: dfc00217 ldw ra,8(sp) + 2a08: dc400117 ldw r17,4(sp) + 2a0c: dc000017 ldw r16,0(sp) + 2a10: dec00304 addi sp,sp,12 + 2a14: 00036241 jmpi 3624 <__malloc_unlock> + 2a18: f800283a ret + 2a1c: 100ad27a srli r5,r2,9 + 2a20: 00c00104 movi r3,4 + 2a24: 19404a36 bltu r3,r5,2b50 <_free_r+0x240> + 2a28: 100ad1ba srli r5,r2,6 + 2a2c: 28c00e44 addi r3,r5,57 + 2a30: 18c7883a add r3,r3,r3 + 2a34: 29400e04 addi r5,r5,56 + 2a38: 18c7883a add r3,r3,r3 + 2a3c: 18c7883a add r3,r3,r3 + 2a40: 1909883a add r4,r3,r4 + 2a44: 20c00017 ldw r3,0(r4) + 2a48: 01c00034 movhi r7,0 + 2a4c: 213ffe04 addi r4,r4,-8 + 2a50: 39d71904 addi r7,r7,23652 + 2a54: 20c04426 beq r4,r3,2b68 <_free_r+0x258> + 2a58: 01ffff04 movi r7,-4 + 2a5c: 19400117 ldw r5,4(r3) + 2a60: 29ca703a and r5,r5,r7 + 2a64: 1140022e bgeu r2,r5,2a70 <_free_r+0x160> + 2a68: 18c00217 ldw r3,8(r3) + 2a6c: 20fffb1e bne r4,r3,2a5c <_free_r+0x14c> + 2a70: 19000317 ldw r4,12(r3) + 2a74: 31000315 stw r4,12(r6) + 2a78: 30c00215 stw r3,8(r6) + 2a7c: 21800215 stw r6,8(r4) + 2a80: 19800315 stw r6,12(r3) + 2a84: 003fde06 br 2a00 <_free_r+0xf0> + 2a88: 29c00217 ldw r7,8(r5) + 2a8c: 10c5883a add r2,r2,r3 + 2a90: 00c00034 movhi r3,0 + 2a94: 18d71b04 addi r3,r3,23660 + 2a98: 38c03b26 beq r7,r3,2b88 <_free_r+0x278> + 2a9c: 2a000317 ldw r8,12(r5) + 2aa0: 11400054 ori r5,r2,1 + 2aa4: 3087883a add r3,r6,r2 + 2aa8: 3a000315 stw r8,12(r7) + 2aac: 41c00215 stw r7,8(r8) + 2ab0: 31400115 stw r5,4(r6) + 2ab4: 18800015 stw r2,0(r3) + 2ab8: 003fbd06 br 29b0 <_free_r+0xa0> + 2abc: 39c0004c andi r7,r7,1 + 2ac0: 10c5883a add r2,r2,r3 + 2ac4: 3800071e bne r7,zero,2ae4 <_free_r+0x1d4> + 2ac8: 81fffe17 ldw r7,-8(r16) + 2acc: 31cdc83a sub r6,r6,r7 + 2ad0: 30c00317 ldw r3,12(r6) + 2ad4: 31400217 ldw r5,8(r6) + 2ad8: 11c5883a add r2,r2,r7 + 2adc: 28c00315 stw r3,12(r5) + 2ae0: 19400215 stw r5,8(r3) + 2ae4: 10c00054 ori r3,r2,1 + 2ae8: 30c00115 stw r3,4(r6) + 2aec: 00c00034 movhi r3,0 + 2af0: 18dca004 addi r3,r3,29312 + 2af4: 18c00017 ldw r3,0(r3) + 2af8: 21800215 stw r6,8(r4) + 2afc: 10ffc036 bltu r2,r3,2a00 <_free_r+0xf0> + 2b00: 00800034 movhi r2,0 + 2b04: 109cad04 addi r2,r2,29364 + 2b08: 11400017 ldw r5,0(r2) + 2b0c: 8809883a mov r4,r17 + 2b10: 00027ec0 call 27ec <_malloc_trim_r> + 2b14: 003fba06 br 2a00 <_free_r+0xf0> + 2b18: 28c9883a add r4,r5,r3 + 2b1c: 21000117 ldw r4,4(r4) + 2b20: 2100004c andi r4,r4,1 + 2b24: 2000391e bne r4,zero,2c0c <_free_r+0x2fc> + 2b28: 29c00217 ldw r7,8(r5) + 2b2c: 29000317 ldw r4,12(r5) + 2b30: 1885883a add r2,r3,r2 + 2b34: 10c00054 ori r3,r2,1 + 2b38: 39000315 stw r4,12(r7) + 2b3c: 21c00215 stw r7,8(r4) + 2b40: 30c00115 stw r3,4(r6) + 2b44: 308d883a add r6,r6,r2 + 2b48: 30800015 stw r2,0(r6) + 2b4c: 003fac06 br 2a00 <_free_r+0xf0> + 2b50: 00c00504 movi r3,20 + 2b54: 19401536 bltu r3,r5,2bac <_free_r+0x29c> + 2b58: 28c01704 addi r3,r5,92 + 2b5c: 18c7883a add r3,r3,r3 + 2b60: 294016c4 addi r5,r5,91 + 2b64: 003fb406 br 2a38 <_free_r+0x128> + 2b68: 280bd0ba srai r5,r5,2 + 2b6c: 00c00044 movi r3,1 + 2b70: 38800117 ldw r2,4(r7) + 2b74: 194a983a sll r5,r3,r5 + 2b78: 2007883a mov r3,r4 + 2b7c: 2884b03a or r2,r5,r2 + 2b80: 38800115 stw r2,4(r7) + 2b84: 003fbb06 br 2a74 <_free_r+0x164> + 2b88: 21800515 stw r6,20(r4) + 2b8c: 21800415 stw r6,16(r4) + 2b90: 10c00054 ori r3,r2,1 + 2b94: 31c00315 stw r7,12(r6) + 2b98: 31c00215 stw r7,8(r6) + 2b9c: 30c00115 stw r3,4(r6) + 2ba0: 308d883a add r6,r6,r2 + 2ba4: 30800015 stw r2,0(r6) + 2ba8: 003f9506 br 2a00 <_free_r+0xf0> + 2bac: 00c01504 movi r3,84 + 2bb0: 19400536 bltu r3,r5,2bc8 <_free_r+0x2b8> + 2bb4: 100ad33a srli r5,r2,12 + 2bb8: 28c01bc4 addi r3,r5,111 + 2bbc: 18c7883a add r3,r3,r3 + 2bc0: 29401b84 addi r5,r5,110 + 2bc4: 003f9c06 br 2a38 <_free_r+0x128> + 2bc8: 00c05504 movi r3,340 + 2bcc: 19400536 bltu r3,r5,2be4 <_free_r+0x2d4> + 2bd0: 100ad3fa srli r5,r2,15 + 2bd4: 28c01e04 addi r3,r5,120 2bd8: 18c7883a add r3,r3,r3 - 2bdc: 29401b84 addi r5,r5,110 - 2be0: 003f9c06 br 2a54 <__alt_data_end+0xfffe2a54> - 2be4: 00c05504 movi r3,340 - 2be8: 19400536 bltu r3,r5,2c00 <_free_r+0x2d4> - 2bec: 100ad3fa srli r5,r2,15 - 2bf0: 28c01e04 addi r3,r5,120 + 2bdc: 29401dc4 addi r5,r5,119 + 2be0: 003f9506 br 2a38 <_free_r+0x128> + 2be4: 00c15504 movi r3,1364 + 2be8: 19400536 bltu r3,r5,2c00 <_free_r+0x2f0> + 2bec: 100ad4ba srli r5,r2,18 + 2bf0: 28c01f44 addi r3,r5,125 2bf4: 18c7883a add r3,r3,r3 - 2bf8: 29401dc4 addi r5,r5,119 - 2bfc: 003f9506 br 2a54 <__alt_data_end+0xfffe2a54> - 2c00: 00c15504 movi r3,1364 - 2c04: 19400536 bltu r3,r5,2c1c <_free_r+0x2f0> - 2c08: 100ad4ba srli r5,r2,18 - 2c0c: 28c01f44 addi r3,r5,125 - 2c10: 18c7883a add r3,r3,r3 - 2c14: 29401f04 addi r5,r5,124 - 2c18: 003f8e06 br 2a54 <__alt_data_end+0xfffe2a54> - 2c1c: 00c03f84 movi r3,254 - 2c20: 01401f84 movi r5,126 - 2c24: 003f8b06 br 2a54 <__alt_data_end+0xfffe2a54> - 2c28: 10c00054 ori r3,r2,1 - 2c2c: 30c00115 stw r3,4(r6) - 2c30: 308d883a add r6,r6,r2 - 2c34: 30800015 stw r2,0(r6) - 2c38: 003f7806 br 2a1c <__alt_data_end+0xfffe2a1c> + 2bf8: 29401f04 addi r5,r5,124 + 2bfc: 003f8e06 br 2a38 <_free_r+0x128> + 2c00: 00c03f84 movi r3,254 + 2c04: 01401f84 movi r5,126 + 2c08: 003f8b06 br 2a38 <_free_r+0x128> + 2c0c: 10c00054 ori r3,r2,1 + 2c10: 30c00115 stw r3,4(r6) + 2c14: 308d883a add r6,r6,r2 + 2c18: 30800015 stw r2,0(r6) + 2c1c: 003f7806 br 2a00 <_free_r+0xf0> -00002c3c <_lseek_r>: - 2c3c: defffd04 addi sp,sp,-12 - 2c40: 2805883a mov r2,r5 - 2c44: dc000015 stw r16,0(sp) - 2c48: 04000034 movhi r16,0 - 2c4c: dc400115 stw r17,4(sp) - 2c50: 300b883a mov r5,r6 - 2c54: 841cb504 addi r16,r16,29396 - 2c58: 2023883a mov r17,r4 - 2c5c: 380d883a mov r6,r7 - 2c60: 1009883a mov r4,r2 - 2c64: dfc00215 stw ra,8(sp) - 2c68: 80000015 stw zero,0(r16) - 2c6c: 00034c40 call 34c4 - 2c70: 00ffffc4 movi r3,-1 - 2c74: 10c00526 beq r2,r3,2c8c <_lseek_r+0x50> - 2c78: dfc00217 ldw ra,8(sp) - 2c7c: dc400117 ldw r17,4(sp) - 2c80: dc000017 ldw r16,0(sp) - 2c84: dec00304 addi sp,sp,12 - 2c88: f800283a ret - 2c8c: 80c00017 ldw r3,0(r16) - 2c90: 183ff926 beq r3,zero,2c78 <__alt_data_end+0xfffe2c78> - 2c94: 88c00015 stw r3,0(r17) - 2c98: 003ff706 br 2c78 <__alt_data_end+0xfffe2c78> +00002c20 <_lseek_r>: + 2c20: defffd04 addi sp,sp,-12 + 2c24: 2805883a mov r2,r5 + 2c28: dc000015 stw r16,0(sp) + 2c2c: 04000034 movhi r16,0 + 2c30: dc400115 stw r17,4(sp) + 2c34: 300b883a mov r5,r6 + 2c38: 841cae04 addi r16,r16,29368 + 2c3c: 2023883a mov r17,r4 + 2c40: 380d883a mov r6,r7 + 2c44: 1009883a mov r4,r2 + 2c48: dfc00215 stw ra,8(sp) + 2c4c: 80000015 stw zero,0(r16) + 2c50: 00034a80 call 34a8 + 2c54: 00ffffc4 movi r3,-1 + 2c58: 10c00526 beq r2,r3,2c70 <_lseek_r+0x50> + 2c5c: dfc00217 ldw ra,8(sp) + 2c60: dc400117 ldw r17,4(sp) + 2c64: dc000017 ldw r16,0(sp) + 2c68: dec00304 addi sp,sp,12 + 2c6c: f800283a ret + 2c70: 80c00017 ldw r3,0(r16) + 2c74: 183ff926 beq r3,zero,2c5c <_lseek_r+0x3c> + 2c78: 88c00015 stw r3,0(r17) + 2c7c: 003ff706 br 2c5c <_lseek_r+0x3c> -00002c9c <__smakebuf_r>: - 2c9c: 2880030b ldhu r2,12(r5) - 2ca0: 10c0008c andi r3,r2,2 - 2ca4: 1800411e bne r3,zero,2dac <__smakebuf_r+0x110> - 2ca8: deffec04 addi sp,sp,-80 - 2cac: dc000f15 stw r16,60(sp) - 2cb0: 2821883a mov r16,r5 - 2cb4: 2940038f ldh r5,14(r5) - 2cb8: dc401015 stw r17,64(sp) - 2cbc: dfc01315 stw ra,76(sp) - 2cc0: dcc01215 stw r19,72(sp) - 2cc4: dc801115 stw r18,68(sp) - 2cc8: 2023883a mov r17,r4 - 2ccc: 28001c16 blt r5,zero,2d40 <__smakebuf_r+0xa4> - 2cd0: d80d883a mov r6,sp - 2cd4: 0002eb80 call 2eb8 <_fstat_r> - 2cd8: 10001816 blt r2,zero,2d3c <__smakebuf_r+0xa0> - 2cdc: d8800117 ldw r2,4(sp) - 2ce0: 00e00014 movui r3,32768 - 2ce4: 10bc000c andi r2,r2,61440 - 2ce8: 14c80020 cmpeqi r19,r2,8192 - 2cec: 10c03726 beq r2,r3,2dcc <__smakebuf_r+0x130> - 2cf0: 80c0030b ldhu r3,12(r16) - 2cf4: 18c20014 ori r3,r3,2048 - 2cf8: 80c0030d sth r3,12(r16) - 2cfc: 00c80004 movi r3,8192 - 2d00: 10c0521e bne r2,r3,2e4c <__smakebuf_r+0x1b0> - 2d04: 8140038f ldh r5,14(r16) - 2d08: 8809883a mov r4,r17 - 2d0c: 0002f140 call 2f14 <_isatty_r> - 2d10: 10004c26 beq r2,zero,2e44 <__smakebuf_r+0x1a8> - 2d14: 8080030b ldhu r2,12(r16) - 2d18: 80c010c4 addi r3,r16,67 - 2d1c: 80c00015 stw r3,0(r16) - 2d20: 10800054 ori r2,r2,1 - 2d24: 8080030d sth r2,12(r16) - 2d28: 00800044 movi r2,1 - 2d2c: 80c00415 stw r3,16(r16) - 2d30: 80800515 stw r2,20(r16) - 2d34: 04810004 movi r18,1024 - 2d38: 00000706 br 2d58 <__smakebuf_r+0xbc> - 2d3c: 8080030b ldhu r2,12(r16) - 2d40: 10c0200c andi r3,r2,128 - 2d44: 18001f1e bne r3,zero,2dc4 <__smakebuf_r+0x128> - 2d48: 04810004 movi r18,1024 - 2d4c: 10820014 ori r2,r2,2048 - 2d50: 8080030d sth r2,12(r16) - 2d54: 0027883a mov r19,zero - 2d58: 900b883a mov r5,r18 - 2d5c: 8809883a mov r4,r17 - 2d60: 0000ea40 call ea4 <_malloc_r> - 2d64: 10002c26 beq r2,zero,2e18 <__smakebuf_r+0x17c> - 2d68: 80c0030b ldhu r3,12(r16) - 2d6c: 01000034 movhi r4,0 - 2d70: 21012b04 addi r4,r4,1196 - 2d74: 89000f15 stw r4,60(r17) - 2d78: 18c02014 ori r3,r3,128 - 2d7c: 80c0030d sth r3,12(r16) - 2d80: 80800015 stw r2,0(r16) - 2d84: 80800415 stw r2,16(r16) - 2d88: 84800515 stw r18,20(r16) - 2d8c: 98001a1e bne r19,zero,2df8 <__smakebuf_r+0x15c> - 2d90: dfc01317 ldw ra,76(sp) - 2d94: dcc01217 ldw r19,72(sp) - 2d98: dc801117 ldw r18,68(sp) - 2d9c: dc401017 ldw r17,64(sp) - 2da0: dc000f17 ldw r16,60(sp) - 2da4: dec01404 addi sp,sp,80 - 2da8: f800283a ret - 2dac: 288010c4 addi r2,r5,67 - 2db0: 28800015 stw r2,0(r5) - 2db4: 28800415 stw r2,16(r5) - 2db8: 00800044 movi r2,1 - 2dbc: 28800515 stw r2,20(r5) - 2dc0: f800283a ret - 2dc4: 04801004 movi r18,64 - 2dc8: 003fe006 br 2d4c <__alt_data_end+0xfffe2d4c> - 2dcc: 81000a17 ldw r4,40(r16) - 2dd0: 00c00034 movhi r3,0 - 2dd4: 18c87c04 addi r3,r3,8688 - 2dd8: 20ffc51e bne r4,r3,2cf0 <__alt_data_end+0xfffe2cf0> - 2ddc: 8080030b ldhu r2,12(r16) - 2de0: 04810004 movi r18,1024 - 2de4: 84801315 stw r18,76(r16) - 2de8: 1484b03a or r2,r2,r18 - 2dec: 8080030d sth r2,12(r16) - 2df0: 0027883a mov r19,zero - 2df4: 003fd806 br 2d58 <__alt_data_end+0xfffe2d58> - 2df8: 8140038f ldh r5,14(r16) - 2dfc: 8809883a mov r4,r17 - 2e00: 0002f140 call 2f14 <_isatty_r> - 2e04: 103fe226 beq r2,zero,2d90 <__alt_data_end+0xfffe2d90> - 2e08: 8080030b ldhu r2,12(r16) - 2e0c: 10800054 ori r2,r2,1 +00002c80 <__smakebuf_r>: + 2c80: 2880030b ldhu r2,12(r5) + 2c84: 10c0008c andi r3,r2,2 + 2c88: 1800411e bne r3,zero,2d90 <__smakebuf_r+0x110> + 2c8c: deffec04 addi sp,sp,-80 + 2c90: dc000f15 stw r16,60(sp) + 2c94: 2821883a mov r16,r5 + 2c98: 2940038f ldh r5,14(r5) + 2c9c: dc401015 stw r17,64(sp) + 2ca0: dfc01315 stw ra,76(sp) + 2ca4: dcc01215 stw r19,72(sp) + 2ca8: dc801115 stw r18,68(sp) + 2cac: 2023883a mov r17,r4 + 2cb0: 28001c16 blt r5,zero,2d24 <__smakebuf_r+0xa4> + 2cb4: d80d883a mov r6,sp + 2cb8: 0002e9c0 call 2e9c <_fstat_r> + 2cbc: 10001816 blt r2,zero,2d20 <__smakebuf_r+0xa0> + 2cc0: d8800117 ldw r2,4(sp) + 2cc4: 00e00014 movui r3,32768 + 2cc8: 10bc000c andi r2,r2,61440 + 2ccc: 14c80020 cmpeqi r19,r2,8192 + 2cd0: 10c03726 beq r2,r3,2db0 <__smakebuf_r+0x130> + 2cd4: 80c0030b ldhu r3,12(r16) + 2cd8: 18c20014 ori r3,r3,2048 + 2cdc: 80c0030d sth r3,12(r16) + 2ce0: 00c80004 movi r3,8192 + 2ce4: 10c0521e bne r2,r3,2e30 <__smakebuf_r+0x1b0> + 2ce8: 8140038f ldh r5,14(r16) + 2cec: 8809883a mov r4,r17 + 2cf0: 0002ef80 call 2ef8 <_isatty_r> + 2cf4: 10004c26 beq r2,zero,2e28 <__smakebuf_r+0x1a8> + 2cf8: 8080030b ldhu r2,12(r16) + 2cfc: 80c010c4 addi r3,r16,67 + 2d00: 80c00015 stw r3,0(r16) + 2d04: 10800054 ori r2,r2,1 + 2d08: 8080030d sth r2,12(r16) + 2d0c: 00800044 movi r2,1 + 2d10: 80c00415 stw r3,16(r16) + 2d14: 80800515 stw r2,20(r16) + 2d18: 04810004 movi r18,1024 + 2d1c: 00000706 br 2d3c <__smakebuf_r+0xbc> + 2d20: 8080030b ldhu r2,12(r16) + 2d24: 10c0200c andi r3,r2,128 + 2d28: 18001f1e bne r3,zero,2da8 <__smakebuf_r+0x128> + 2d2c: 04810004 movi r18,1024 + 2d30: 10820014 ori r2,r2,2048 + 2d34: 8080030d sth r2,12(r16) + 2d38: 0027883a mov r19,zero + 2d3c: 900b883a mov r5,r18 + 2d40: 8809883a mov r4,r17 + 2d44: 0000e880 call e88 <_malloc_r> + 2d48: 10002c26 beq r2,zero,2dfc <__smakebuf_r+0x17c> + 2d4c: 80c0030b ldhu r3,12(r16) + 2d50: 01000034 movhi r4,0 + 2d54: 21012404 addi r4,r4,1168 + 2d58: 89000f15 stw r4,60(r17) + 2d5c: 18c02014 ori r3,r3,128 + 2d60: 80c0030d sth r3,12(r16) + 2d64: 80800015 stw r2,0(r16) + 2d68: 80800415 stw r2,16(r16) + 2d6c: 84800515 stw r18,20(r16) + 2d70: 98001a1e bne r19,zero,2ddc <__smakebuf_r+0x15c> + 2d74: dfc01317 ldw ra,76(sp) + 2d78: dcc01217 ldw r19,72(sp) + 2d7c: dc801117 ldw r18,68(sp) + 2d80: dc401017 ldw r17,64(sp) + 2d84: dc000f17 ldw r16,60(sp) + 2d88: dec01404 addi sp,sp,80 + 2d8c: f800283a ret + 2d90: 288010c4 addi r2,r5,67 + 2d94: 28800015 stw r2,0(r5) + 2d98: 28800415 stw r2,16(r5) + 2d9c: 00800044 movi r2,1 + 2da0: 28800515 stw r2,20(r5) + 2da4: f800283a ret + 2da8: 04801004 movi r18,64 + 2dac: 003fe006 br 2d30 <__smakebuf_r+0xb0> + 2db0: 81000a17 ldw r4,40(r16) + 2db4: 00c00034 movhi r3,0 + 2db8: 18c87504 addi r3,r3,8660 + 2dbc: 20ffc51e bne r4,r3,2cd4 <__smakebuf_r+0x54> + 2dc0: 8080030b ldhu r2,12(r16) + 2dc4: 04810004 movi r18,1024 + 2dc8: 84801315 stw r18,76(r16) + 2dcc: 1484b03a or r2,r2,r18 + 2dd0: 8080030d sth r2,12(r16) + 2dd4: 0027883a mov r19,zero + 2dd8: 003fd806 br 2d3c <__smakebuf_r+0xbc> + 2ddc: 8140038f ldh r5,14(r16) + 2de0: 8809883a mov r4,r17 + 2de4: 0002ef80 call 2ef8 <_isatty_r> + 2de8: 103fe226 beq r2,zero,2d74 <__smakebuf_r+0xf4> + 2dec: 8080030b ldhu r2,12(r16) + 2df0: 10800054 ori r2,r2,1 + 2df4: 8080030d sth r2,12(r16) + 2df8: 003fde06 br 2d74 <__smakebuf_r+0xf4> + 2dfc: 8080030b ldhu r2,12(r16) + 2e00: 10c0800c andi r3,r2,512 + 2e04: 183fdb1e bne r3,zero,2d74 <__smakebuf_r+0xf4> + 2e08: 10800094 ori r2,r2,2 + 2e0c: 80c010c4 addi r3,r16,67 2e10: 8080030d sth r2,12(r16) - 2e14: 003fde06 br 2d90 <__alt_data_end+0xfffe2d90> - 2e18: 8080030b ldhu r2,12(r16) - 2e1c: 10c0800c andi r3,r2,512 - 2e20: 183fdb1e bne r3,zero,2d90 <__alt_data_end+0xfffe2d90> - 2e24: 10800094 ori r2,r2,2 - 2e28: 80c010c4 addi r3,r16,67 - 2e2c: 8080030d sth r2,12(r16) - 2e30: 00800044 movi r2,1 - 2e34: 80c00015 stw r3,0(r16) - 2e38: 80c00415 stw r3,16(r16) - 2e3c: 80800515 stw r2,20(r16) - 2e40: 003fd306 br 2d90 <__alt_data_end+0xfffe2d90> - 2e44: 04810004 movi r18,1024 - 2e48: 003fc306 br 2d58 <__alt_data_end+0xfffe2d58> - 2e4c: 0027883a mov r19,zero - 2e50: 04810004 movi r18,1024 - 2e54: 003fc006 br 2d58 <__alt_data_end+0xfffe2d58> + 2e14: 00800044 movi r2,1 + 2e18: 80c00015 stw r3,0(r16) + 2e1c: 80c00415 stw r3,16(r16) + 2e20: 80800515 stw r2,20(r16) + 2e24: 003fd306 br 2d74 <__smakebuf_r+0xf4> + 2e28: 04810004 movi r18,1024 + 2e2c: 003fc306 br 2d3c <__smakebuf_r+0xbc> + 2e30: 0027883a mov r19,zero + 2e34: 04810004 movi r18,1024 + 2e38: 003fc006 br 2d3c <__smakebuf_r+0xbc> -00002e58 <_read_r>: - 2e58: defffd04 addi sp,sp,-12 - 2e5c: 2805883a mov r2,r5 - 2e60: dc000015 stw r16,0(sp) - 2e64: 04000034 movhi r16,0 - 2e68: dc400115 stw r17,4(sp) - 2e6c: 300b883a mov r5,r6 - 2e70: 841cb504 addi r16,r16,29396 - 2e74: 2023883a mov r17,r4 - 2e78: 380d883a mov r6,r7 - 2e7c: 1009883a mov r4,r2 - 2e80: dfc00215 stw ra,8(sp) - 2e84: 80000015 stw zero,0(r16) - 2e88: 00036a00 call 36a0 - 2e8c: 00ffffc4 movi r3,-1 - 2e90: 10c00526 beq r2,r3,2ea8 <_read_r+0x50> - 2e94: dfc00217 ldw ra,8(sp) - 2e98: dc400117 ldw r17,4(sp) - 2e9c: dc000017 ldw r16,0(sp) - 2ea0: dec00304 addi sp,sp,12 - 2ea4: f800283a ret - 2ea8: 80c00017 ldw r3,0(r16) - 2eac: 183ff926 beq r3,zero,2e94 <__alt_data_end+0xfffe2e94> - 2eb0: 88c00015 stw r3,0(r17) - 2eb4: 003ff706 br 2e94 <__alt_data_end+0xfffe2e94> +00002e3c <_read_r>: + 2e3c: defffd04 addi sp,sp,-12 + 2e40: 2805883a mov r2,r5 + 2e44: dc000015 stw r16,0(sp) + 2e48: 04000034 movhi r16,0 + 2e4c: dc400115 stw r17,4(sp) + 2e50: 300b883a mov r5,r6 + 2e54: 841cae04 addi r16,r16,29368 + 2e58: 2023883a mov r17,r4 + 2e5c: 380d883a mov r6,r7 + 2e60: 1009883a mov r4,r2 + 2e64: dfc00215 stw ra,8(sp) + 2e68: 80000015 stw zero,0(r16) + 2e6c: 00036840 call 3684 + 2e70: 00ffffc4 movi r3,-1 + 2e74: 10c00526 beq r2,r3,2e8c <_read_r+0x50> + 2e78: dfc00217 ldw ra,8(sp) + 2e7c: dc400117 ldw r17,4(sp) + 2e80: dc000017 ldw r16,0(sp) + 2e84: dec00304 addi sp,sp,12 + 2e88: f800283a ret + 2e8c: 80c00017 ldw r3,0(r16) + 2e90: 183ff926 beq r3,zero,2e78 <_read_r+0x3c> + 2e94: 88c00015 stw r3,0(r17) + 2e98: 003ff706 br 2e78 <_read_r+0x3c> -00002eb8 <_fstat_r>: - 2eb8: defffd04 addi sp,sp,-12 - 2ebc: 2805883a mov r2,r5 - 2ec0: dc000015 stw r16,0(sp) - 2ec4: 04000034 movhi r16,0 - 2ec8: dc400115 stw r17,4(sp) - 2ecc: 841cb504 addi r16,r16,29396 - 2ed0: 2023883a mov r17,r4 - 2ed4: 300b883a mov r5,r6 - 2ed8: 1009883a mov r4,r2 - 2edc: dfc00215 stw ra,8(sp) - 2ee0: 80000015 stw zero,0(r16) - 2ee4: 00032e80 call 32e8 - 2ee8: 00ffffc4 movi r3,-1 - 2eec: 10c00526 beq r2,r3,2f04 <_fstat_r+0x4c> - 2ef0: dfc00217 ldw ra,8(sp) - 2ef4: dc400117 ldw r17,4(sp) - 2ef8: dc000017 ldw r16,0(sp) - 2efc: dec00304 addi sp,sp,12 - 2f00: f800283a ret - 2f04: 80c00017 ldw r3,0(r16) - 2f08: 183ff926 beq r3,zero,2ef0 <__alt_data_end+0xfffe2ef0> - 2f0c: 88c00015 stw r3,0(r17) - 2f10: 003ff706 br 2ef0 <__alt_data_end+0xfffe2ef0> +00002e9c <_fstat_r>: + 2e9c: defffd04 addi sp,sp,-12 + 2ea0: 2805883a mov r2,r5 + 2ea4: dc000015 stw r16,0(sp) + 2ea8: 04000034 movhi r16,0 + 2eac: dc400115 stw r17,4(sp) + 2eb0: 841cae04 addi r16,r16,29368 + 2eb4: 2023883a mov r17,r4 + 2eb8: 300b883a mov r5,r6 + 2ebc: 1009883a mov r4,r2 + 2ec0: dfc00215 stw ra,8(sp) + 2ec4: 80000015 stw zero,0(r16) + 2ec8: 00032cc0 call 32cc + 2ecc: 00ffffc4 movi r3,-1 + 2ed0: 10c00526 beq r2,r3,2ee8 <_fstat_r+0x4c> + 2ed4: dfc00217 ldw ra,8(sp) + 2ed8: dc400117 ldw r17,4(sp) + 2edc: dc000017 ldw r16,0(sp) + 2ee0: dec00304 addi sp,sp,12 + 2ee4: f800283a ret + 2ee8: 80c00017 ldw r3,0(r16) + 2eec: 183ff926 beq r3,zero,2ed4 <_fstat_r+0x38> + 2ef0: 88c00015 stw r3,0(r17) + 2ef4: 003ff706 br 2ed4 <_fstat_r+0x38> -00002f14 <_isatty_r>: - 2f14: defffd04 addi sp,sp,-12 - 2f18: dc000015 stw r16,0(sp) - 2f1c: 04000034 movhi r16,0 - 2f20: dc400115 stw r17,4(sp) - 2f24: 841cb504 addi r16,r16,29396 - 2f28: 2023883a mov r17,r4 - 2f2c: 2809883a mov r4,r5 - 2f30: dfc00215 stw ra,8(sp) - 2f34: 80000015 stw zero,0(r16) - 2f38: 00033dc0 call 33dc - 2f3c: 00ffffc4 movi r3,-1 - 2f40: 10c00526 beq r2,r3,2f58 <_isatty_r+0x44> - 2f44: dfc00217 ldw ra,8(sp) - 2f48: dc400117 ldw r17,4(sp) - 2f4c: dc000017 ldw r16,0(sp) - 2f50: dec00304 addi sp,sp,12 - 2f54: f800283a ret - 2f58: 80c00017 ldw r3,0(r16) - 2f5c: 183ff926 beq r3,zero,2f44 <__alt_data_end+0xfffe2f44> - 2f60: 88c00015 stw r3,0(r17) - 2f64: 003ff706 br 2f44 <__alt_data_end+0xfffe2f44> +00002ef8 <_isatty_r>: + 2ef8: defffd04 addi sp,sp,-12 + 2efc: dc000015 stw r16,0(sp) + 2f00: 04000034 movhi r16,0 + 2f04: dc400115 stw r17,4(sp) + 2f08: 841cae04 addi r16,r16,29368 + 2f0c: 2023883a mov r17,r4 + 2f10: 2809883a mov r4,r5 + 2f14: dfc00215 stw ra,8(sp) + 2f18: 80000015 stw zero,0(r16) + 2f1c: 00033c00 call 33c0 + 2f20: 00ffffc4 movi r3,-1 + 2f24: 10c00526 beq r2,r3,2f3c <_isatty_r+0x44> + 2f28: dfc00217 ldw ra,8(sp) + 2f2c: dc400117 ldw r17,4(sp) + 2f30: dc000017 ldw r16,0(sp) + 2f34: dec00304 addi sp,sp,12 + 2f38: f800283a ret + 2f3c: 80c00017 ldw r3,0(r16) + 2f40: 183ff926 beq r3,zero,2f28 <_isatty_r+0x30> + 2f44: 88c00015 stw r3,0(r17) + 2f48: 003ff706 br 2f28 <_isatty_r+0x30> -00002f68 <__divsi3>: - 2f68: 20001b16 blt r4,zero,2fd8 <__divsi3+0x70> - 2f6c: 000f883a mov r7,zero - 2f70: 28001616 blt r5,zero,2fcc <__divsi3+0x64> - 2f74: 200d883a mov r6,r4 - 2f78: 29001a2e bgeu r5,r4,2fe4 <__divsi3+0x7c> - 2f7c: 00800804 movi r2,32 - 2f80: 00c00044 movi r3,1 - 2f84: 00000106 br 2f8c <__divsi3+0x24> - 2f88: 10000d26 beq r2,zero,2fc0 <__divsi3+0x58> - 2f8c: 294b883a add r5,r5,r5 - 2f90: 10bfffc4 addi r2,r2,-1 - 2f94: 18c7883a add r3,r3,r3 - 2f98: 293ffb36 bltu r5,r4,2f88 <__alt_data_end+0xfffe2f88> - 2f9c: 0005883a mov r2,zero - 2fa0: 18000726 beq r3,zero,2fc0 <__divsi3+0x58> - 2fa4: 0005883a mov r2,zero - 2fa8: 31400236 bltu r6,r5,2fb4 <__divsi3+0x4c> - 2fac: 314dc83a sub r6,r6,r5 - 2fb0: 10c4b03a or r2,r2,r3 - 2fb4: 1806d07a srli r3,r3,1 - 2fb8: 280ad07a srli r5,r5,1 - 2fbc: 183ffa1e bne r3,zero,2fa8 <__alt_data_end+0xfffe2fa8> - 2fc0: 38000126 beq r7,zero,2fc8 <__divsi3+0x60> - 2fc4: 0085c83a sub r2,zero,r2 - 2fc8: f800283a ret - 2fcc: 014bc83a sub r5,zero,r5 - 2fd0: 39c0005c xori r7,r7,1 - 2fd4: 003fe706 br 2f74 <__alt_data_end+0xfffe2f74> - 2fd8: 0109c83a sub r4,zero,r4 - 2fdc: 01c00044 movi r7,1 - 2fe0: 003fe306 br 2f70 <__alt_data_end+0xfffe2f70> - 2fe4: 00c00044 movi r3,1 - 2fe8: 003fee06 br 2fa4 <__alt_data_end+0xfffe2fa4> +00002f4c <__divsi3>: + 2f4c: 20001b16 blt r4,zero,2fbc <__divsi3+0x70> + 2f50: 000f883a mov r7,zero + 2f54: 28001616 blt r5,zero,2fb0 <__divsi3+0x64> + 2f58: 200d883a mov r6,r4 + 2f5c: 29001a2e bgeu r5,r4,2fc8 <__divsi3+0x7c> + 2f60: 00800804 movi r2,32 + 2f64: 00c00044 movi r3,1 + 2f68: 00000106 br 2f70 <__divsi3+0x24> + 2f6c: 10000d26 beq r2,zero,2fa4 <__divsi3+0x58> + 2f70: 294b883a add r5,r5,r5 + 2f74: 10bfffc4 addi r2,r2,-1 + 2f78: 18c7883a add r3,r3,r3 + 2f7c: 293ffb36 bltu r5,r4,2f6c <__divsi3+0x20> + 2f80: 0005883a mov r2,zero + 2f84: 18000726 beq r3,zero,2fa4 <__divsi3+0x58> + 2f88: 0005883a mov r2,zero + 2f8c: 31400236 bltu r6,r5,2f98 <__divsi3+0x4c> + 2f90: 314dc83a sub r6,r6,r5 + 2f94: 10c4b03a or r2,r2,r3 + 2f98: 1806d07a srli r3,r3,1 + 2f9c: 280ad07a srli r5,r5,1 + 2fa0: 183ffa1e bne r3,zero,2f8c <__divsi3+0x40> + 2fa4: 38000126 beq r7,zero,2fac <__divsi3+0x60> + 2fa8: 0085c83a sub r2,zero,r2 + 2fac: f800283a ret + 2fb0: 014bc83a sub r5,zero,r5 + 2fb4: 39c0005c xori r7,r7,1 + 2fb8: 003fe706 br 2f58 <__divsi3+0xc> + 2fbc: 0109c83a sub r4,zero,r4 + 2fc0: 01c00044 movi r7,1 + 2fc4: 003fe306 br 2f54 <__divsi3+0x8> + 2fc8: 00c00044 movi r3,1 + 2fcc: 003fee06 br 2f88 <__divsi3+0x3c> -00002fec <__modsi3>: - 2fec: 20001716 blt r4,zero,304c <__modsi3+0x60> - 2ff0: 000f883a mov r7,zero - 2ff4: 2005883a mov r2,r4 - 2ff8: 28001216 blt r5,zero,3044 <__modsi3+0x58> - 2ffc: 2900162e bgeu r5,r4,3058 <__modsi3+0x6c> - 3000: 01800804 movi r6,32 - 3004: 00c00044 movi r3,1 - 3008: 00000106 br 3010 <__modsi3+0x24> - 300c: 30000a26 beq r6,zero,3038 <__modsi3+0x4c> - 3010: 294b883a add r5,r5,r5 - 3014: 31bfffc4 addi r6,r6,-1 - 3018: 18c7883a add r3,r3,r3 - 301c: 293ffb36 bltu r5,r4,300c <__alt_data_end+0xfffe300c> - 3020: 18000526 beq r3,zero,3038 <__modsi3+0x4c> - 3024: 1806d07a srli r3,r3,1 - 3028: 11400136 bltu r2,r5,3030 <__modsi3+0x44> - 302c: 1145c83a sub r2,r2,r5 - 3030: 280ad07a srli r5,r5,1 - 3034: 183ffb1e bne r3,zero,3024 <__alt_data_end+0xfffe3024> - 3038: 38000126 beq r7,zero,3040 <__modsi3+0x54> - 303c: 0085c83a sub r2,zero,r2 - 3040: f800283a ret - 3044: 014bc83a sub r5,zero,r5 - 3048: 003fec06 br 2ffc <__alt_data_end+0xfffe2ffc> - 304c: 0109c83a sub r4,zero,r4 - 3050: 01c00044 movi r7,1 - 3054: 003fe706 br 2ff4 <__alt_data_end+0xfffe2ff4> - 3058: 00c00044 movi r3,1 - 305c: 003ff106 br 3024 <__alt_data_end+0xfffe3024> +00002fd0 <__modsi3>: + 2fd0: 20001716 blt r4,zero,3030 <__modsi3+0x60> + 2fd4: 000f883a mov r7,zero + 2fd8: 2005883a mov r2,r4 + 2fdc: 28001216 blt r5,zero,3028 <__modsi3+0x58> + 2fe0: 2900162e bgeu r5,r4,303c <__modsi3+0x6c> + 2fe4: 01800804 movi r6,32 + 2fe8: 00c00044 movi r3,1 + 2fec: 00000106 br 2ff4 <__modsi3+0x24> + 2ff0: 30000a26 beq r6,zero,301c <__modsi3+0x4c> + 2ff4: 294b883a add r5,r5,r5 + 2ff8: 31bfffc4 addi r6,r6,-1 + 2ffc: 18c7883a add r3,r3,r3 + 3000: 293ffb36 bltu r5,r4,2ff0 <__modsi3+0x20> + 3004: 18000526 beq r3,zero,301c <__modsi3+0x4c> + 3008: 1806d07a srli r3,r3,1 + 300c: 11400136 bltu r2,r5,3014 <__modsi3+0x44> + 3010: 1145c83a sub r2,r2,r5 + 3014: 280ad07a srli r5,r5,1 + 3018: 183ffb1e bne r3,zero,3008 <__modsi3+0x38> + 301c: 38000126 beq r7,zero,3024 <__modsi3+0x54> + 3020: 0085c83a sub r2,zero,r2 + 3024: f800283a ret + 3028: 014bc83a sub r5,zero,r5 + 302c: 003fec06 br 2fe0 <__modsi3+0x10> + 3030: 0109c83a sub r4,zero,r4 + 3034: 01c00044 movi r7,1 + 3038: 003fe706 br 2fd8 <__modsi3+0x8> + 303c: 00c00044 movi r3,1 + 3040: 003ff106 br 3008 <__modsi3+0x38> -00003060 <__udivsi3>: - 3060: 200d883a mov r6,r4 - 3064: 2900152e bgeu r5,r4,30bc <__udivsi3+0x5c> - 3068: 28001416 blt r5,zero,30bc <__udivsi3+0x5c> - 306c: 00800804 movi r2,32 - 3070: 00c00044 movi r3,1 - 3074: 00000206 br 3080 <__udivsi3+0x20> - 3078: 10000e26 beq r2,zero,30b4 <__udivsi3+0x54> - 307c: 28000516 blt r5,zero,3094 <__udivsi3+0x34> - 3080: 294b883a add r5,r5,r5 - 3084: 10bfffc4 addi r2,r2,-1 - 3088: 18c7883a add r3,r3,r3 - 308c: 293ffa36 bltu r5,r4,3078 <__alt_data_end+0xfffe3078> - 3090: 18000826 beq r3,zero,30b4 <__udivsi3+0x54> - 3094: 0005883a mov r2,zero - 3098: 31400236 bltu r6,r5,30a4 <__udivsi3+0x44> - 309c: 314dc83a sub r6,r6,r5 - 30a0: 10c4b03a or r2,r2,r3 - 30a4: 1806d07a srli r3,r3,1 - 30a8: 280ad07a srli r5,r5,1 - 30ac: 183ffa1e bne r3,zero,3098 <__alt_data_end+0xfffe3098> - 30b0: f800283a ret - 30b4: 0005883a mov r2,zero - 30b8: f800283a ret - 30bc: 00c00044 movi r3,1 - 30c0: 003ff406 br 3094 <__alt_data_end+0xfffe3094> +00003044 <__udivsi3>: + 3044: 200d883a mov r6,r4 + 3048: 2900152e bgeu r5,r4,30a0 <__udivsi3+0x5c> + 304c: 28001416 blt r5,zero,30a0 <__udivsi3+0x5c> + 3050: 00800804 movi r2,32 + 3054: 00c00044 movi r3,1 + 3058: 00000206 br 3064 <__udivsi3+0x20> + 305c: 10000e26 beq r2,zero,3098 <__udivsi3+0x54> + 3060: 28000516 blt r5,zero,3078 <__udivsi3+0x34> + 3064: 294b883a add r5,r5,r5 + 3068: 10bfffc4 addi r2,r2,-1 + 306c: 18c7883a add r3,r3,r3 + 3070: 293ffa36 bltu r5,r4,305c <__udivsi3+0x18> + 3074: 18000826 beq r3,zero,3098 <__udivsi3+0x54> + 3078: 0005883a mov r2,zero + 307c: 31400236 bltu r6,r5,3088 <__udivsi3+0x44> + 3080: 314dc83a sub r6,r6,r5 + 3084: 10c4b03a or r2,r2,r3 + 3088: 1806d07a srli r3,r3,1 + 308c: 280ad07a srli r5,r5,1 + 3090: 183ffa1e bne r3,zero,307c <__udivsi3+0x38> + 3094: f800283a ret + 3098: 0005883a mov r2,zero + 309c: f800283a ret + 30a0: 00c00044 movi r3,1 + 30a4: 003ff406 br 3078 <__udivsi3+0x34> -000030c4 <__umodsi3>: - 30c4: 2005883a mov r2,r4 - 30c8: 2900122e bgeu r5,r4,3114 <__umodsi3+0x50> - 30cc: 28001116 blt r5,zero,3114 <__umodsi3+0x50> - 30d0: 01800804 movi r6,32 - 30d4: 00c00044 movi r3,1 - 30d8: 00000206 br 30e4 <__umodsi3+0x20> - 30dc: 30000c26 beq r6,zero,3110 <__umodsi3+0x4c> - 30e0: 28000516 blt r5,zero,30f8 <__umodsi3+0x34> - 30e4: 294b883a add r5,r5,r5 - 30e8: 31bfffc4 addi r6,r6,-1 - 30ec: 18c7883a add r3,r3,r3 - 30f0: 293ffa36 bltu r5,r4,30dc <__alt_data_end+0xfffe30dc> - 30f4: 18000626 beq r3,zero,3110 <__umodsi3+0x4c> - 30f8: 1806d07a srli r3,r3,1 - 30fc: 11400136 bltu r2,r5,3104 <__umodsi3+0x40> - 3100: 1145c83a sub r2,r2,r5 - 3104: 280ad07a srli r5,r5,1 - 3108: 183ffb1e bne r3,zero,30f8 <__alt_data_end+0xfffe30f8> - 310c: f800283a ret - 3110: f800283a ret - 3114: 00c00044 movi r3,1 - 3118: 003ff706 br 30f8 <__alt_data_end+0xfffe30f8> +000030a8 <__umodsi3>: + 30a8: 2005883a mov r2,r4 + 30ac: 2900122e bgeu r5,r4,30f8 <__umodsi3+0x50> + 30b0: 28001116 blt r5,zero,30f8 <__umodsi3+0x50> + 30b4: 01800804 movi r6,32 + 30b8: 00c00044 movi r3,1 + 30bc: 00000206 br 30c8 <__umodsi3+0x20> + 30c0: 30000c26 beq r6,zero,30f4 <__umodsi3+0x4c> + 30c4: 28000516 blt r5,zero,30dc <__umodsi3+0x34> + 30c8: 294b883a add r5,r5,r5 + 30cc: 31bfffc4 addi r6,r6,-1 + 30d0: 18c7883a add r3,r3,r3 + 30d4: 293ffa36 bltu r5,r4,30c0 <__umodsi3+0x18> + 30d8: 18000626 beq r3,zero,30f4 <__umodsi3+0x4c> + 30dc: 1806d07a srli r3,r3,1 + 30e0: 11400136 bltu r2,r5,30e8 <__umodsi3+0x40> + 30e4: 1145c83a sub r2,r2,r5 + 30e8: 280ad07a srli r5,r5,1 + 30ec: 183ffb1e bne r3,zero,30dc <__umodsi3+0x34> + 30f0: f800283a ret + 30f4: f800283a ret + 30f8: 00c00044 movi r3,1 + 30fc: 003ff706 br 30dc <__umodsi3+0x34> -0000311c <__mulsi3>: - 311c: 0005883a mov r2,zero - 3120: 20000726 beq r4,zero,3140 <__mulsi3+0x24> - 3124: 20c0004c andi r3,r4,1 - 3128: 2008d07a srli r4,r4,1 - 312c: 18000126 beq r3,zero,3134 <__mulsi3+0x18> - 3130: 1145883a add r2,r2,r5 - 3134: 294b883a add r5,r5,r5 - 3138: 203ffa1e bne r4,zero,3124 <__alt_data_end+0xfffe3124> - 313c: f800283a ret - 3140: f800283a ret +00003100 <__mulsi3>: + 3100: 0005883a mov r2,zero + 3104: 20000726 beq r4,zero,3124 <__mulsi3+0x24> + 3108: 20c0004c andi r3,r4,1 + 310c: 2008d07a srli r4,r4,1 + 3110: 18000126 beq r3,zero,3118 <__mulsi3+0x18> + 3114: 1145883a add r2,r2,r5 + 3118: 294b883a add r5,r5,r5 + 311c: 203ffa1e bne r4,zero,3108 <__mulsi3+0x8> + 3120: f800283a ret + 3124: f800283a ret -00003144 : +00003128 : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 3144: defffe04 addi sp,sp,-8 - 3148: dfc00115 stw ra,4(sp) - 314c: df000015 stw fp,0(sp) - 3150: d839883a mov fp,sp + 3128: defffe04 addi sp,sp,-8 + 312c: dfc00115 stw ra,4(sp) + 3130: df000015 stw fp,0(sp) + 3134: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 3154: d0a00917 ldw r2,-32732(gp) - 3158: 10000326 beq r2,zero,3168 - 315c: d0a00917 ldw r2,-32732(gp) - 3160: 103ee83a callr r2 - 3164: 00000106 br 316c - 3168: d0a01104 addi r2,gp,-32700 + 3138: d0a00917 ldw r2,-32732(gp) + 313c: 10000326 beq r2,zero,314c + 3140: d0a00917 ldw r2,-32732(gp) + 3144: 103ee83a callr r2 + 3148: 00000106 br 3150 + 314c: d0a01104 addi r2,gp,-32700 } - 316c: e037883a mov sp,fp - 3170: dfc00117 ldw ra,4(sp) - 3174: df000017 ldw fp,0(sp) - 3178: dec00204 addi sp,sp,8 - 317c: f800283a ret + 3150: e037883a mov sp,fp + 3154: dfc00117 ldw ra,4(sp) + 3158: df000017 ldw fp,0(sp) + 315c: dec00204 addi sp,sp,8 + 3160: f800283a ret -00003180 : +00003164 : * * ALT_CLOSE is mapped onto the close() system call in alt_syscall.h */ int ALT_CLOSE (int fildes) { - 3180: defffb04 addi sp,sp,-20 - 3184: dfc00415 stw ra,16(sp) - 3188: df000315 stw fp,12(sp) - 318c: df000304 addi fp,sp,12 - 3190: e13fff15 stw r4,-4(fp) + 3164: defffb04 addi sp,sp,-20 + 3168: dfc00415 stw ra,16(sp) + 316c: df000315 stw fp,12(sp) + 3170: df000304 addi fp,sp,12 + 3174: e13fff15 stw r4,-4(fp) * A common error case is that when the file descriptor was created, the call * to open() failed resulting in a negative file descriptor. This is trapped * below so that we don't try and process an invalid file descriptor. */ fd = (fildes < 0) ? NULL : &alt_fd_list[fildes]; - 3194: e0bfff17 ldw r2,-4(fp) - 3198: 10000816 blt r2,zero,31bc - 319c: 01400304 movi r5,12 - 31a0: e13fff17 ldw r4,-4(fp) - 31a4: 000311c0 call 311c <__mulsi3> - 31a8: 1007883a mov r3,r2 - 31ac: 00800034 movhi r2,0 - 31b0: 10982c04 addi r2,r2,24752 - 31b4: 1885883a add r2,r3,r2 - 31b8: 00000106 br 31c0 - 31bc: 0005883a mov r2,zero - 31c0: e0bffd15 stw r2,-12(fp) + 3178: e0bfff17 ldw r2,-4(fp) + 317c: 10000816 blt r2,zero,31a0 + 3180: 01400304 movi r5,12 + 3184: e13fff17 ldw r4,-4(fp) + 3188: 00031000 call 3100 <__mulsi3> + 318c: 1007883a mov r3,r2 + 3190: 00800034 movhi r2,0 + 3194: 10982504 addi r2,r2,24724 + 3198: 1885883a add r2,r3,r2 + 319c: 00000106 br 31a4 + 31a0: 0005883a mov r2,zero + 31a4: e0bffd15 stw r2,-12(fp) if (fd) - 31c4: e0bffd17 ldw r2,-12(fp) - 31c8: 10001926 beq r2,zero,3230 + 31a8: e0bffd17 ldw r2,-12(fp) + 31ac: 10001926 beq r2,zero,3214 /* * If the associated file system/device has a close function, call it so * that any necessary cleanup code can run. */ rval = (fd->dev->close) ? fd->dev->close(fd) : 0; - 31cc: e0bffd17 ldw r2,-12(fp) - 31d0: 10800017 ldw r2,0(r2) - 31d4: 10800417 ldw r2,16(r2) - 31d8: 10000626 beq r2,zero,31f4 - 31dc: e0bffd17 ldw r2,-12(fp) - 31e0: 10800017 ldw r2,0(r2) - 31e4: 10800417 ldw r2,16(r2) - 31e8: e13ffd17 ldw r4,-12(fp) - 31ec: 103ee83a callr r2 - 31f0: 00000106 br 31f8 - 31f4: 0005883a mov r2,zero - 31f8: e0bffe15 stw r2,-8(fp) + 31b0: e0bffd17 ldw r2,-12(fp) + 31b4: 10800017 ldw r2,0(r2) + 31b8: 10800417 ldw r2,16(r2) + 31bc: 10000626 beq r2,zero,31d8 + 31c0: e0bffd17 ldw r2,-12(fp) + 31c4: 10800017 ldw r2,0(r2) + 31c8: 10800417 ldw r2,16(r2) + 31cc: e13ffd17 ldw r4,-12(fp) + 31d0: 103ee83a callr r2 + 31d4: 00000106 br 31dc + 31d8: 0005883a mov r2,zero + 31dc: e0bffe15 stw r2,-8(fp) /* Free the file descriptor structure and return. */ alt_release_fd (fildes); - 31fc: e13fff17 ldw r4,-4(fp) - 3200: 00037a40 call 37a4 + 31e0: e13fff17 ldw r4,-4(fp) + 31e4: 00037880 call 3788 if (rval < 0) - 3204: e0bffe17 ldw r2,-8(fp) - 3208: 1000070e bge r2,zero,3228 + 31e8: e0bffe17 ldw r2,-8(fp) + 31ec: 1000070e bge r2,zero,320c { ALT_ERRNO = -rval; - 320c: 00031440 call 3144 - 3210: 1007883a mov r3,r2 - 3214: e0bffe17 ldw r2,-8(fp) - 3218: 0085c83a sub r2,zero,r2 - 321c: 18800015 stw r2,0(r3) + 31f0: 00031280 call 3128 + 31f4: 1007883a mov r3,r2 + 31f8: e0bffe17 ldw r2,-8(fp) + 31fc: 0085c83a sub r2,zero,r2 + 3200: 18800015 stw r2,0(r3) return -1; - 3220: 00bfffc4 movi r2,-1 - 3224: 00000706 br 3244 + 3204: 00bfffc4 movi r2,-1 + 3208: 00000706 br 3228 } return 0; - 3228: 0005883a mov r2,zero - 322c: 00000506 br 3244 + 320c: 0005883a mov r2,zero + 3210: 00000506 br 3228 } else { ALT_ERRNO = EBADFD; - 3230: 00031440 call 3144 - 3234: 1007883a mov r3,r2 - 3238: 00801444 movi r2,81 - 323c: 18800015 stw r2,0(r3) + 3214: 00031280 call 3128 + 3218: 1007883a mov r3,r2 + 321c: 00801444 movi r2,81 + 3220: 18800015 stw r2,0(r3) return -1; - 3240: 00bfffc4 movi r2,-1 + 3224: 00bfffc4 movi r2,-1 } } - 3244: e037883a mov sp,fp - 3248: dfc00117 ldw ra,4(sp) - 324c: df000017 ldw fp,0(sp) - 3250: dec00204 addi sp,sp,8 - 3254: f800283a ret + 3228: e037883a mov sp,fp + 322c: dfc00117 ldw ra,4(sp) + 3230: df000017 ldw fp,0(sp) + 3234: dec00204 addi sp,sp,8 + 3238: f800283a ret -00003258 : +0000323c : * * Any dirty lines in the data cache are written back to memory. */ void alt_dcache_flush (void* start, alt_u32 len) { - 3258: defffd04 addi sp,sp,-12 - 325c: df000215 stw fp,8(sp) - 3260: df000204 addi fp,sp,8 - 3264: e13ffe15 stw r4,-8(fp) - 3268: e17fff15 stw r5,-4(fp) + 323c: defffd04 addi sp,sp,-12 + 3240: df000215 stw fp,8(sp) + 3244: df000204 addi fp,sp,8 + 3248: e13ffe15 stw r4,-8(fp) + 324c: e17fff15 stw r5,-4(fp) { ALT_FLUSH_DATA(i); } #endif /* NIOS2_DCACHE_SIZE > 0 */ } - 326c: 0001883a nop - 3270: e037883a mov sp,fp - 3274: df000017 ldw fp,0(sp) - 3278: dec00104 addi sp,sp,4 - 327c: f800283a ret + 3250: 0001883a nop + 3254: e037883a mov sp,fp + 3258: df000017 ldw fp,0(sp) + 325c: dec00104 addi sp,sp,4 + 3260: f800283a ret -00003280 : +00003264 : * by the alt_dev_null device. It simple discards all data passed to it, and * indicates that the data has been successfully transmitted. */ static int alt_dev_null_write (alt_fd* fd, const char* ptr, int len) { - 3280: defffc04 addi sp,sp,-16 - 3284: df000315 stw fp,12(sp) - 3288: df000304 addi fp,sp,12 - 328c: e13ffd15 stw r4,-12(fp) - 3290: e17ffe15 stw r5,-8(fp) - 3294: e1bfff15 stw r6,-4(fp) + 3264: defffc04 addi sp,sp,-16 + 3268: df000315 stw fp,12(sp) + 326c: df000304 addi fp,sp,12 + 3270: e13ffd15 stw r4,-12(fp) + 3274: e17ffe15 stw r5,-8(fp) + 3278: e1bfff15 stw r6,-4(fp) return len; - 3298: e0bfff17 ldw r2,-4(fp) + 327c: e0bfff17 ldw r2,-4(fp) } - 329c: e037883a mov sp,fp - 32a0: df000017 ldw fp,0(sp) - 32a4: dec00104 addi sp,sp,4 - 32a8: f800283a ret + 3280: e037883a mov sp,fp + 3284: df000017 ldw fp,0(sp) + 3288: dec00104 addi sp,sp,4 + 328c: f800283a ret -000032ac : +00003290 : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 32ac: defffe04 addi sp,sp,-8 - 32b0: dfc00115 stw ra,4(sp) - 32b4: df000015 stw fp,0(sp) - 32b8: d839883a mov fp,sp + 3290: defffe04 addi sp,sp,-8 + 3294: dfc00115 stw ra,4(sp) + 3298: df000015 stw fp,0(sp) + 329c: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 32bc: d0a00917 ldw r2,-32732(gp) - 32c0: 10000326 beq r2,zero,32d0 - 32c4: d0a00917 ldw r2,-32732(gp) - 32c8: 103ee83a callr r2 - 32cc: 00000106 br 32d4 - 32d0: d0a01104 addi r2,gp,-32700 + 32a0: d0a00917 ldw r2,-32732(gp) + 32a4: 10000326 beq r2,zero,32b4 + 32a8: d0a00917 ldw r2,-32732(gp) + 32ac: 103ee83a callr r2 + 32b0: 00000106 br 32b8 + 32b4: d0a01104 addi r2,gp,-32700 } - 32d4: e037883a mov sp,fp - 32d8: dfc00117 ldw ra,4(sp) - 32dc: df000017 ldw fp,0(sp) - 32e0: dec00204 addi sp,sp,8 - 32e4: f800283a ret + 32b8: e037883a mov sp,fp + 32bc: dfc00117 ldw ra,4(sp) + 32c0: df000017 ldw fp,0(sp) + 32c4: dec00204 addi sp,sp,8 + 32c8: f800283a ret -000032e8 : +000032cc : } #else /* !ALT_USE_DIRECT_DRIVERS */ int ALT_FSTAT (int file, struct stat *st) { - 32e8: defffb04 addi sp,sp,-20 - 32ec: dfc00415 stw ra,16(sp) - 32f0: df000315 stw fp,12(sp) - 32f4: df000304 addi fp,sp,12 - 32f8: e13ffe15 stw r4,-8(fp) - 32fc: e17fff15 stw r5,-4(fp) + 32cc: defffb04 addi sp,sp,-20 + 32d0: dfc00415 stw ra,16(sp) + 32d4: df000315 stw fp,12(sp) + 32d8: df000304 addi fp,sp,12 + 32dc: e13ffe15 stw r4,-8(fp) + 32e0: e17fff15 stw r5,-4(fp) * A common error case is that when the file descriptor was created, the call * to open() failed resulting in a negative file descriptor. This is trapped * below so that we don't try and process an invalid file descriptor. */ fd = (file < 0) ? NULL : &alt_fd_list[file]; - 3300: e0bffe17 ldw r2,-8(fp) - 3304: 10000816 blt r2,zero,3328 - 3308: 01400304 movi r5,12 - 330c: e13ffe17 ldw r4,-8(fp) - 3310: 000311c0 call 311c <__mulsi3> - 3314: 1007883a mov r3,r2 - 3318: 00800034 movhi r2,0 - 331c: 10982c04 addi r2,r2,24752 - 3320: 1885883a add r2,r3,r2 - 3324: 00000106 br 332c - 3328: 0005883a mov r2,zero - 332c: e0bffd15 stw r2,-12(fp) + 32e4: e0bffe17 ldw r2,-8(fp) + 32e8: 10000816 blt r2,zero,330c + 32ec: 01400304 movi r5,12 + 32f0: e13ffe17 ldw r4,-8(fp) + 32f4: 00031000 call 3100 <__mulsi3> + 32f8: 1007883a mov r3,r2 + 32fc: 00800034 movhi r2,0 + 3300: 10982504 addi r2,r2,24724 + 3304: 1885883a add r2,r3,r2 + 3308: 00000106 br 3310 + 330c: 0005883a mov r2,zero + 3310: e0bffd15 stw r2,-12(fp) if (fd) - 3330: e0bffd17 ldw r2,-12(fp) - 3334: 10001026 beq r2,zero,3378 + 3314: e0bffd17 ldw r2,-12(fp) + 3318: 10001026 beq r2,zero,335c { /* Call the drivers fstat() function to fill out the "st" structure. */ if (fd->dev->fstat) - 3338: e0bffd17 ldw r2,-12(fp) - 333c: 10800017 ldw r2,0(r2) - 3340: 10800817 ldw r2,32(r2) - 3344: 10000726 beq r2,zero,3364 + 331c: e0bffd17 ldw r2,-12(fp) + 3320: 10800017 ldw r2,0(r2) + 3324: 10800817 ldw r2,32(r2) + 3328: 10000726 beq r2,zero,3348 { return fd->dev->fstat(fd, st); - 3348: e0bffd17 ldw r2,-12(fp) - 334c: 10800017 ldw r2,0(r2) - 3350: 10800817 ldw r2,32(r2) - 3354: e17fff17 ldw r5,-4(fp) - 3358: e13ffd17 ldw r4,-12(fp) - 335c: 103ee83a callr r2 - 3360: 00000a06 br 338c + 332c: e0bffd17 ldw r2,-12(fp) + 3330: 10800017 ldw r2,0(r2) + 3334: 10800817 ldw r2,32(r2) + 3338: e17fff17 ldw r5,-4(fp) + 333c: e13ffd17 ldw r4,-12(fp) + 3340: 103ee83a callr r2 + 3344: 00000a06 br 3370 * device. */ else { st->st_mode = _IFCHR; - 3364: e0bfff17 ldw r2,-4(fp) - 3368: 00c80004 movi r3,8192 - 336c: 10c00115 stw r3,4(r2) + 3348: e0bfff17 ldw r2,-4(fp) + 334c: 00c80004 movi r3,8192 + 3350: 10c00115 stw r3,4(r2) return 0; - 3370: 0005883a mov r2,zero - 3374: 00000506 br 338c + 3354: 0005883a mov r2,zero + 3358: 00000506 br 3370 } } else { ALT_ERRNO = EBADFD; - 3378: 00032ac0 call 32ac - 337c: 1007883a mov r3,r2 - 3380: 00801444 movi r2,81 - 3384: 18800015 stw r2,0(r3) + 335c: 00032900 call 3290 + 3360: 1007883a mov r3,r2 + 3364: 00801444 movi r2,81 + 3368: 18800015 stw r2,0(r3) return -1; - 3388: 00bfffc4 movi r2,-1 + 336c: 00bfffc4 movi r2,-1 } } - 338c: e037883a mov sp,fp - 3390: dfc00117 ldw ra,4(sp) - 3394: df000017 ldw fp,0(sp) - 3398: dec00204 addi sp,sp,8 - 339c: f800283a ret + 3370: e037883a mov sp,fp + 3374: dfc00117 ldw ra,4(sp) + 3378: df000017 ldw fp,0(sp) + 337c: dec00204 addi sp,sp,8 + 3380: f800283a ret -000033a0 : +00003384 : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 33a0: defffe04 addi sp,sp,-8 - 33a4: dfc00115 stw ra,4(sp) - 33a8: df000015 stw fp,0(sp) - 33ac: d839883a mov fp,sp + 3384: defffe04 addi sp,sp,-8 + 3388: dfc00115 stw ra,4(sp) + 338c: df000015 stw fp,0(sp) + 3390: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 33b0: d0a00917 ldw r2,-32732(gp) - 33b4: 10000326 beq r2,zero,33c4 - 33b8: d0a00917 ldw r2,-32732(gp) - 33bc: 103ee83a callr r2 - 33c0: 00000106 br 33c8 - 33c4: d0a01104 addi r2,gp,-32700 + 3394: d0a00917 ldw r2,-32732(gp) + 3398: 10000326 beq r2,zero,33a8 + 339c: d0a00917 ldw r2,-32732(gp) + 33a0: 103ee83a callr r2 + 33a4: 00000106 br 33ac + 33a8: d0a01104 addi r2,gp,-32700 } - 33c8: e037883a mov sp,fp - 33cc: dfc00117 ldw ra,4(sp) - 33d0: df000017 ldw fp,0(sp) - 33d4: dec00204 addi sp,sp,8 - 33d8: f800283a ret + 33ac: e037883a mov sp,fp + 33b0: dfc00117 ldw ra,4(sp) + 33b4: df000017 ldw fp,0(sp) + 33b8: dec00204 addi sp,sp,8 + 33bc: f800283a ret -000033dc : +000033c0 : * * ALT_ISATTY is mapped onto the isatty() system call in alt_syscall.h */ int ALT_ISATTY (int file) { - 33dc: deffed04 addi sp,sp,-76 - 33e0: dfc01215 stw ra,72(sp) - 33e4: df001115 stw fp,68(sp) - 33e8: df001104 addi fp,sp,68 - 33ec: e13fff15 stw r4,-4(fp) + 33c0: deffed04 addi sp,sp,-76 + 33c4: dfc01215 stw ra,72(sp) + 33c8: df001115 stw fp,68(sp) + 33cc: df001104 addi fp,sp,68 + 33d0: e13fff15 stw r4,-4(fp) * A common error case is that when the file descriptor was created, the call * to open() failed resulting in a negative file descriptor. This is trapped * below so that we don't try and process an invalid file descriptor. */ fd = (file < 0) ? NULL : &alt_fd_list[file]; - 33f0: e0bfff17 ldw r2,-4(fp) - 33f4: 10000816 blt r2,zero,3418 - 33f8: 01400304 movi r5,12 - 33fc: e13fff17 ldw r4,-4(fp) - 3400: 000311c0 call 311c <__mulsi3> - 3404: 1007883a mov r3,r2 - 3408: 00800034 movhi r2,0 - 340c: 10982c04 addi r2,r2,24752 - 3410: 1885883a add r2,r3,r2 - 3414: 00000106 br 341c - 3418: 0005883a mov r2,zero - 341c: e0bfef15 stw r2,-68(fp) + 33d4: e0bfff17 ldw r2,-4(fp) + 33d8: 10000816 blt r2,zero,33fc + 33dc: 01400304 movi r5,12 + 33e0: e13fff17 ldw r4,-4(fp) + 33e4: 00031000 call 3100 <__mulsi3> + 33e8: 1007883a mov r3,r2 + 33ec: 00800034 movhi r2,0 + 33f0: 10982504 addi r2,r2,24724 + 33f4: 1885883a add r2,r3,r2 + 33f8: 00000106 br 3400 + 33fc: 0005883a mov r2,zero + 3400: e0bfef15 stw r2,-68(fp) if (fd) - 3420: e0bfef17 ldw r2,-68(fp) - 3424: 10000e26 beq r2,zero,3460 + 3404: e0bfef17 ldw r2,-68(fp) + 3408: 10000e26 beq r2,zero,3444 /* * If a device driver does not provide an fstat() function, then it is * treated as a terminal device by default. */ if (!fd->dev->fstat) - 3428: e0bfef17 ldw r2,-68(fp) - 342c: 10800017 ldw r2,0(r2) - 3430: 10800817 ldw r2,32(r2) - 3434: 1000021e bne r2,zero,3440 + 340c: e0bfef17 ldw r2,-68(fp) + 3410: 10800017 ldw r2,0(r2) + 3414: 10800817 ldw r2,32(r2) + 3418: 1000021e bne r2,zero,3424 { return 1; - 3438: 00800044 movi r2,1 - 343c: 00000d06 br 3474 + 341c: 00800044 movi r2,1 + 3420: 00000d06 br 3458 * this is called so that the device can identify itself. */ else { fstat (file, &stat); - 3440: e0bff004 addi r2,fp,-64 - 3444: 100b883a mov r5,r2 - 3448: e13fff17 ldw r4,-4(fp) - 344c: 00032e80 call 32e8 + 3424: e0bff004 addi r2,fp,-64 + 3428: 100b883a mov r5,r2 + 342c: e13fff17 ldw r4,-4(fp) + 3430: 00032cc0 call 32cc return (stat.st_mode == _IFCHR) ? 1 : 0; - 3450: e0bff117 ldw r2,-60(fp) - 3454: 10880020 cmpeqi r2,r2,8192 - 3458: 10803fcc andi r2,r2,255 - 345c: 00000506 br 3474 + 3434: e0bff117 ldw r2,-60(fp) + 3438: 10880020 cmpeqi r2,r2,8192 + 343c: 10803fcc andi r2,r2,255 + 3440: 00000506 br 3458 } } else { ALT_ERRNO = EBADFD; - 3460: 00033a00 call 33a0 - 3464: 1007883a mov r3,r2 - 3468: 00801444 movi r2,81 - 346c: 18800015 stw r2,0(r3) + 3444: 00033840 call 3384 + 3448: 1007883a mov r3,r2 + 344c: 00801444 movi r2,81 + 3450: 18800015 stw r2,0(r3) return 0; - 3470: 0005883a mov r2,zero + 3454: 0005883a mov r2,zero } } - 3474: e037883a mov sp,fp - 3478: dfc00117 ldw ra,4(sp) - 347c: df000017 ldw fp,0(sp) - 3480: dec00204 addi sp,sp,8 - 3484: f800283a ret + 3458: e037883a mov sp,fp + 345c: dfc00117 ldw ra,4(sp) + 3460: df000017 ldw fp,0(sp) + 3464: dec00204 addi sp,sp,8 + 3468: f800283a ret -00003488 : +0000346c : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 3488: defffe04 addi sp,sp,-8 - 348c: dfc00115 stw ra,4(sp) - 3490: df000015 stw fp,0(sp) - 3494: d839883a mov fp,sp + 346c: defffe04 addi sp,sp,-8 + 3470: dfc00115 stw ra,4(sp) + 3474: df000015 stw fp,0(sp) + 3478: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 3498: d0a00917 ldw r2,-32732(gp) - 349c: 10000326 beq r2,zero,34ac - 34a0: d0a00917 ldw r2,-32732(gp) - 34a4: 103ee83a callr r2 - 34a8: 00000106 br 34b0 - 34ac: d0a01104 addi r2,gp,-32700 + 347c: d0a00917 ldw r2,-32732(gp) + 3480: 10000326 beq r2,zero,3490 + 3484: d0a00917 ldw r2,-32732(gp) + 3488: 103ee83a callr r2 + 348c: 00000106 br 3494 + 3490: d0a01104 addi r2,gp,-32700 } - 34b0: e037883a mov sp,fp - 34b4: dfc00117 ldw ra,4(sp) - 34b8: df000017 ldw fp,0(sp) - 34bc: dec00204 addi sp,sp,8 - 34c0: f800283a ret + 3494: e037883a mov sp,fp + 3498: dfc00117 ldw ra,4(sp) + 349c: df000017 ldw fp,0(sp) + 34a0: dec00204 addi sp,sp,8 + 34a4: f800283a ret -000034c4 : +000034a8 : * ALT_LSEEK is mapped onto the lseek() system call in alt_syscall.h * */ off_t ALT_LSEEK (int file, off_t ptr, int dir) { - 34c4: defff904 addi sp,sp,-28 - 34c8: dfc00615 stw ra,24(sp) - 34cc: df000515 stw fp,20(sp) - 34d0: df000504 addi fp,sp,20 - 34d4: e13ffd15 stw r4,-12(fp) - 34d8: e17ffe15 stw r5,-8(fp) - 34dc: e1bfff15 stw r6,-4(fp) + 34a8: defff904 addi sp,sp,-28 + 34ac: dfc00615 stw ra,24(sp) + 34b0: df000515 stw fp,20(sp) + 34b4: df000504 addi fp,sp,20 + 34b8: e13ffd15 stw r4,-12(fp) + 34bc: e17ffe15 stw r5,-8(fp) + 34c0: e1bfff15 stw r6,-4(fp) alt_fd* fd; off_t rc = 0; - 34e0: e03ffb15 stw zero,-20(fp) + 34c4: e03ffb15 stw zero,-20(fp) * A common error case is that when the file descriptor was created, the call * to open() failed resulting in a negative file descriptor. This is trapped * below so that we don't try and process an invalid file descriptor. */ fd = (file < 0) ? NULL : &alt_fd_list[file]; - 34e4: e0bffd17 ldw r2,-12(fp) - 34e8: 10000816 blt r2,zero,350c - 34ec: 01400304 movi r5,12 - 34f0: e13ffd17 ldw r4,-12(fp) - 34f4: 000311c0 call 311c <__mulsi3> - 34f8: 1007883a mov r3,r2 - 34fc: 00800034 movhi r2,0 - 3500: 10982c04 addi r2,r2,24752 - 3504: 1885883a add r2,r3,r2 - 3508: 00000106 br 3510 - 350c: 0005883a mov r2,zero - 3510: e0bffc15 stw r2,-16(fp) + 34c8: e0bffd17 ldw r2,-12(fp) + 34cc: 10000816 blt r2,zero,34f0 + 34d0: 01400304 movi r5,12 + 34d4: e13ffd17 ldw r4,-12(fp) + 34d8: 00031000 call 3100 <__mulsi3> + 34dc: 1007883a mov r3,r2 + 34e0: 00800034 movhi r2,0 + 34e4: 10982504 addi r2,r2,24724 + 34e8: 1885883a add r2,r3,r2 + 34ec: 00000106 br 34f4 + 34f0: 0005883a mov r2,zero + 34f4: e0bffc15 stw r2,-16(fp) if (fd) - 3514: e0bffc17 ldw r2,-16(fp) - 3518: 10001026 beq r2,zero,355c + 34f8: e0bffc17 ldw r2,-16(fp) + 34fc: 10001026 beq r2,zero,3540 /* * If the device driver provides an implementation of the lseek() function, * then call that to process the request. */ if (fd->dev->lseek) - 351c: e0bffc17 ldw r2,-16(fp) - 3520: 10800017 ldw r2,0(r2) - 3524: 10800717 ldw r2,28(r2) - 3528: 10000926 beq r2,zero,3550 + 3500: e0bffc17 ldw r2,-16(fp) + 3504: 10800017 ldw r2,0(r2) + 3508: 10800717 ldw r2,28(r2) + 350c: 10000926 beq r2,zero,3534 { rc = fd->dev->lseek(fd, ptr, dir); - 352c: e0bffc17 ldw r2,-16(fp) - 3530: 10800017 ldw r2,0(r2) - 3534: 10800717 ldw r2,28(r2) - 3538: e1bfff17 ldw r6,-4(fp) - 353c: e17ffe17 ldw r5,-8(fp) - 3540: e13ffc17 ldw r4,-16(fp) - 3544: 103ee83a callr r2 - 3548: e0bffb15 stw r2,-20(fp) - 354c: 00000506 br 3564 + 3510: e0bffc17 ldw r2,-16(fp) + 3514: 10800017 ldw r2,0(r2) + 3518: 10800717 ldw r2,28(r2) + 351c: e1bfff17 ldw r6,-4(fp) + 3520: e17ffe17 ldw r5,-8(fp) + 3524: e13ffc17 ldw r4,-16(fp) + 3528: 103ee83a callr r2 + 352c: e0bffb15 stw r2,-20(fp) + 3530: 00000506 br 3548 * Otherwise return an error. */ else { rc = -ENOTSUP; - 3550: 00bfde84 movi r2,-134 - 3554: e0bffb15 stw r2,-20(fp) - 3558: 00000206 br 3564 + 3534: 00bfde84 movi r2,-134 + 3538: e0bffb15 stw r2,-20(fp) + 353c: 00000206 br 3548 } } else { rc = -EBADFD; - 355c: 00bfebc4 movi r2,-81 - 3560: e0bffb15 stw r2,-20(fp) + 3540: 00bfebc4 movi r2,-81 + 3544: e0bffb15 stw r2,-20(fp) } if (rc < 0) - 3564: e0bffb17 ldw r2,-20(fp) - 3568: 1000070e bge r2,zero,3588 + 3548: e0bffb17 ldw r2,-20(fp) + 354c: 1000070e bge r2,zero,356c { ALT_ERRNO = -rc; - 356c: 00034880 call 3488 - 3570: 1007883a mov r3,r2 - 3574: e0bffb17 ldw r2,-20(fp) - 3578: 0085c83a sub r2,zero,r2 - 357c: 18800015 stw r2,0(r3) + 3550: 000346c0 call 346c + 3554: 1007883a mov r3,r2 + 3558: e0bffb17 ldw r2,-20(fp) + 355c: 0085c83a sub r2,zero,r2 + 3560: 18800015 stw r2,0(r3) rc = -1; - 3580: 00bfffc4 movi r2,-1 - 3584: e0bffb15 stw r2,-20(fp) + 3564: 00bfffc4 movi r2,-1 + 3568: e0bffb15 stw r2,-20(fp) } return rc; - 3588: e0bffb17 ldw r2,-20(fp) + 356c: e0bffb17 ldw r2,-20(fp) } - 358c: e037883a mov sp,fp - 3590: dfc00117 ldw ra,4(sp) - 3594: df000017 ldw fp,0(sp) - 3598: dec00204 addi sp,sp,8 - 359c: f800283a ret + 3570: e037883a mov sp,fp + 3574: dfc00117 ldw ra,4(sp) + 3578: df000017 ldw fp,0(sp) + 357c: dec00204 addi sp,sp,8 + 3580: f800283a ret -000035a0 : +00003584 : * devices/filesystems/components in the system; and call the entry point for * the users application, i.e. main(). */ void alt_main (void) { - 35a0: defffd04 addi sp,sp,-12 - 35a4: dfc00215 stw ra,8(sp) - 35a8: df000115 stw fp,4(sp) - 35ac: df000104 addi fp,sp,4 + 3584: defffd04 addi sp,sp,-12 + 3588: dfc00215 stw ra,8(sp) + 358c: df000115 stw fp,4(sp) + 3590: df000104 addi fp,sp,4 #endif /* ALT LOG - please see HAL/sys/alt_log_printf.h for details */ ALT_LOG_PRINT_BOOT("[alt_main.c] Entering alt_main, calling alt_irq_init.\r\n"); /* Initialize the interrupt controller. */ alt_irq_init (NULL); - 35b0: 0009883a mov r4,zero - 35b4: 0003a480 call 3a48 + 3594: 0009883a mov r4,zero + 3598: 0003a2c0 call 3a2c /* Initialize the operating system */ ALT_LOG_PRINT_BOOT("[alt_main.c] Done alt_irq_init, calling alt_os_init.\r\n"); ALT_OS_INIT(); - 35b8: 0001883a nop + 359c: 0001883a nop ALT_LOG_PRINT_BOOT("[alt_main.c] Done OS Init, calling alt_sem_create.\r\n"); ALT_SEM_CREATE (&alt_fd_list_lock, 1); /* Initialize the device drivers/software components. */ ALT_LOG_PRINT_BOOT("[alt_main.c] Calling alt_sys_init.\r\n"); alt_sys_init(); - 35bc: 0003a800 call 3a80 + 35a0: 0003a640 call 3a64 * devices be present (not equal to /dev/null) and if direct drivers * aren't being used. */ ALT_LOG_PRINT_BOOT("[alt_main.c] Redirecting IO.\r\n"); alt_io_redirect(ALT_STDOUT, ALT_STDIN, ALT_STDERR); - 35c0: 01800034 movhi r6,0 - 35c4: 31960f04 addi r6,r6,22588 - 35c8: 01400034 movhi r5,0 - 35cc: 29560f04 addi r5,r5,22588 - 35d0: 01000034 movhi r4,0 - 35d4: 21160f04 addi r4,r4,22588 - 35d8: 0004c8c0 call 4c8c + 35a4: 01800034 movhi r6,0 + 35a8: 31960804 addi r6,r6,22560 + 35ac: 01400034 movhi r5,0 + 35b0: 29560804 addi r5,r5,22560 + 35b4: 01000034 movhi r4,0 + 35b8: 21160804 addi r4,r4,22560 + 35bc: 0004c700 call 4c70 /* * Call the C++ constructors */ ALT_LOG_PRINT_BOOT("[alt_main.c] Calling C++ constructors.\r\n"); _do_ctors (); - 35dc: 00048480 call 4848 <_do_ctors> + 35c0: 000482c0 call 482c <_do_ctors> * redefined as _exit()). This is in the interest of reducing code footprint, * in that the atexit() overhead is removed when it's not needed. */ ALT_LOG_PRINT_BOOT("[alt_main.c] Calling atexit.\r\n"); atexit (_do_dtors); - 35e0: 01000034 movhi r4,0 - 35e4: 21122a04 addi r4,r4,18600 - 35e8: 000544c0 call 544c + 35c4: 01000034 movhi r4,0 + 35c8: 21122304 addi r4,r4,18572 + 35cc: 00054300 call 5430 ALT_LOG_PRINT_BOOT("[alt_main.c] Calling main.\r\n"); #ifdef ALT_NO_EXIT main (alt_argc, alt_argv, alt_envp); #else result = main (alt_argc, alt_argv, alt_envp); - 35ec: d0a01217 ldw r2,-32696(gp) - 35f0: d0e01317 ldw r3,-32692(gp) - 35f4: d1201417 ldw r4,-32688(gp) - 35f8: 200d883a mov r6,r4 - 35fc: 180b883a mov r5,r3 - 3600: 1009883a mov r4,r2 - 3604: 00002480 call 248
- 3608: e0bfff15 stw r2,-4(fp) + 35d0: d0a01217 ldw r2,-32696(gp) + 35d4: d0e01317 ldw r3,-32692(gp) + 35d8: d1201417 ldw r4,-32688(gp) + 35dc: 200d883a mov r6,r4 + 35e0: 180b883a mov r5,r3 + 35e4: 1009883a mov r4,r2 + 35e8: 00002480 call 248
+ 35ec: e0bfff15 stw r2,-4(fp) close(STDOUT_FILENO); - 360c: 01000044 movi r4,1 - 3610: 00031800 call 3180 + 35f0: 01000044 movi r4,1 + 35f4: 00031640 call 3164 exit (result); - 3614: e13fff17 ldw r4,-4(fp) - 3618: 00054600 call 5460 + 35f8: e13fff17 ldw r4,-4(fp) + 35fc: 00054440 call 5444 -0000361c <__malloc_lock>: +00003600 <__malloc_lock>: * configuration is single threaded, so there is nothing to do here. Note that * this requires that malloc is never called by an interrupt service routine. */ void __malloc_lock ( struct _reent *_r ) { - 361c: defffe04 addi sp,sp,-8 - 3620: df000115 stw fp,4(sp) - 3624: df000104 addi fp,sp,4 - 3628: e13fff15 stw r4,-4(fp) + 3600: defffe04 addi sp,sp,-8 + 3604: df000115 stw fp,4(sp) + 3608: df000104 addi fp,sp,4 + 360c: e13fff15 stw r4,-4(fp) } - 362c: 0001883a nop - 3630: e037883a mov sp,fp - 3634: df000017 ldw fp,0(sp) - 3638: dec00104 addi sp,sp,4 - 363c: f800283a ret + 3610: 0001883a nop + 3614: e037883a mov sp,fp + 3618: df000017 ldw fp,0(sp) + 361c: dec00104 addi sp,sp,4 + 3620: f800283a ret -00003640 <__malloc_unlock>: +00003624 <__malloc_unlock>: /* * */ void __malloc_unlock ( struct _reent *_r ) { - 3640: defffe04 addi sp,sp,-8 - 3644: df000115 stw fp,4(sp) - 3648: df000104 addi fp,sp,4 - 364c: e13fff15 stw r4,-4(fp) + 3624: defffe04 addi sp,sp,-8 + 3628: df000115 stw fp,4(sp) + 362c: df000104 addi fp,sp,4 + 3630: e13fff15 stw r4,-4(fp) } - 3650: 0001883a nop - 3654: e037883a mov sp,fp - 3658: df000017 ldw fp,0(sp) - 365c: dec00104 addi sp,sp,4 - 3660: f800283a ret + 3634: 0001883a nop + 3638: e037883a mov sp,fp + 363c: df000017 ldw fp,0(sp) + 3640: dec00104 addi sp,sp,4 + 3644: f800283a ret -00003664 : +00003648 : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 3664: defffe04 addi sp,sp,-8 - 3668: dfc00115 stw ra,4(sp) - 366c: df000015 stw fp,0(sp) - 3670: d839883a mov fp,sp + 3648: defffe04 addi sp,sp,-8 + 364c: dfc00115 stw ra,4(sp) + 3650: df000015 stw fp,0(sp) + 3654: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 3674: d0a00917 ldw r2,-32732(gp) - 3678: 10000326 beq r2,zero,3688 - 367c: d0a00917 ldw r2,-32732(gp) - 3680: 103ee83a callr r2 - 3684: 00000106 br 368c - 3688: d0a01104 addi r2,gp,-32700 + 3658: d0a00917 ldw r2,-32732(gp) + 365c: 10000326 beq r2,zero,366c + 3660: d0a00917 ldw r2,-32732(gp) + 3664: 103ee83a callr r2 + 3668: 00000106 br 3670 + 366c: d0a01104 addi r2,gp,-32700 } - 368c: e037883a mov sp,fp - 3690: dfc00117 ldw ra,4(sp) - 3694: df000017 ldw fp,0(sp) - 3698: dec00204 addi sp,sp,8 - 369c: f800283a ret + 3670: e037883a mov sp,fp + 3674: dfc00117 ldw ra,4(sp) + 3678: df000017 ldw fp,0(sp) + 367c: dec00204 addi sp,sp,8 + 3680: f800283a ret -000036a0 : +00003684 : } #else /* !ALT_USE_DIRECT_DRIVERS */ int ALT_READ (int file, void *ptr, size_t len) { - 36a0: defff904 addi sp,sp,-28 - 36a4: dfc00615 stw ra,24(sp) - 36a8: df000515 stw fp,20(sp) - 36ac: df000504 addi fp,sp,20 - 36b0: e13ffd15 stw r4,-12(fp) - 36b4: e17ffe15 stw r5,-8(fp) - 36b8: e1bfff15 stw r6,-4(fp) + 3684: defff904 addi sp,sp,-28 + 3688: dfc00615 stw ra,24(sp) + 368c: df000515 stw fp,20(sp) + 3690: df000504 addi fp,sp,20 + 3694: e13ffd15 stw r4,-12(fp) + 3698: e17ffe15 stw r5,-8(fp) + 369c: e1bfff15 stw r6,-4(fp) * A common error case is that when the file descriptor was created, the call * to open() failed resulting in a negative file descriptor. This is trapped * below so that we don't try and process an invalid file descriptor. */ fd = (file < 0) ? NULL : &alt_fd_list[file]; - 36bc: e0bffd17 ldw r2,-12(fp) - 36c0: 10000816 blt r2,zero,36e4 - 36c4: 01400304 movi r5,12 - 36c8: e13ffd17 ldw r4,-12(fp) - 36cc: 000311c0 call 311c <__mulsi3> - 36d0: 1007883a mov r3,r2 - 36d4: 00800034 movhi r2,0 - 36d8: 10982c04 addi r2,r2,24752 - 36dc: 1885883a add r2,r3,r2 - 36e0: 00000106 br 36e8 - 36e4: 0005883a mov r2,zero - 36e8: e0bffb15 stw r2,-20(fp) + 36a0: e0bffd17 ldw r2,-12(fp) + 36a4: 10000816 blt r2,zero,36c8 + 36a8: 01400304 movi r5,12 + 36ac: e13ffd17 ldw r4,-12(fp) + 36b0: 00031000 call 3100 <__mulsi3> + 36b4: 1007883a mov r3,r2 + 36b8: 00800034 movhi r2,0 + 36bc: 10982504 addi r2,r2,24724 + 36c0: 1885883a add r2,r3,r2 + 36c4: 00000106 br 36cc + 36c8: 0005883a mov r2,zero + 36cc: e0bffb15 stw r2,-20(fp) if (fd) - 36ec: e0bffb17 ldw r2,-20(fp) - 36f0: 10002226 beq r2,zero,377c + 36d0: e0bffb17 ldw r2,-20(fp) + 36d4: 10002226 beq r2,zero,3760 * If the file has not been opened with read access, or if the driver does * not provide an implementation of read(), generate an error. Otherwise * call the drivers read() function to process the request. */ if (((fd->fd_flags & O_ACCMODE) != O_WRONLY) && - 36f4: e0bffb17 ldw r2,-20(fp) - 36f8: 10800217 ldw r2,8(r2) - 36fc: 108000cc andi r2,r2,3 - 3700: 10800060 cmpeqi r2,r2,1 - 3704: 1000181e bne r2,zero,3768 + 36d8: e0bffb17 ldw r2,-20(fp) + 36dc: 10800217 ldw r2,8(r2) + 36e0: 108000cc andi r2,r2,3 + 36e4: 10800060 cmpeqi r2,r2,1 + 36e8: 1000181e bne r2,zero,374c (fd->dev->read)) - 3708: e0bffb17 ldw r2,-20(fp) - 370c: 10800017 ldw r2,0(r2) - 3710: 10800517 ldw r2,20(r2) + 36ec: e0bffb17 ldw r2,-20(fp) + 36f0: 10800017 ldw r2,0(r2) + 36f4: 10800517 ldw r2,20(r2) * If the file has not been opened with read access, or if the driver does * not provide an implementation of read(), generate an error. Otherwise * call the drivers read() function to process the request. */ if (((fd->fd_flags & O_ACCMODE) != O_WRONLY) && - 3714: 10001426 beq r2,zero,3768 + 36f8: 10001426 beq r2,zero,374c (fd->dev->read)) { if ((rval = fd->dev->read(fd, ptr, len)) < 0) - 3718: e0bffb17 ldw r2,-20(fp) - 371c: 10800017 ldw r2,0(r2) - 3720: 10800517 ldw r2,20(r2) - 3724: e0ffff17 ldw r3,-4(fp) - 3728: 180d883a mov r6,r3 - 372c: e17ffe17 ldw r5,-8(fp) - 3730: e13ffb17 ldw r4,-20(fp) - 3734: 103ee83a callr r2 - 3738: e0bffc15 stw r2,-16(fp) - 373c: e0bffc17 ldw r2,-16(fp) - 3740: 1000070e bge r2,zero,3760 + 36fc: e0bffb17 ldw r2,-20(fp) + 3700: 10800017 ldw r2,0(r2) + 3704: 10800517 ldw r2,20(r2) + 3708: e0ffff17 ldw r3,-4(fp) + 370c: 180d883a mov r6,r3 + 3710: e17ffe17 ldw r5,-8(fp) + 3714: e13ffb17 ldw r4,-20(fp) + 3718: 103ee83a callr r2 + 371c: e0bffc15 stw r2,-16(fp) + 3720: e0bffc17 ldw r2,-16(fp) + 3724: 1000070e bge r2,zero,3744 { ALT_ERRNO = -rval; - 3744: 00036640 call 3664 - 3748: 1007883a mov r3,r2 - 374c: e0bffc17 ldw r2,-16(fp) - 3750: 0085c83a sub r2,zero,r2 - 3754: 18800015 stw r2,0(r3) + 3728: 00036480 call 3648 + 372c: 1007883a mov r3,r2 + 3730: e0bffc17 ldw r2,-16(fp) + 3734: 0085c83a sub r2,zero,r2 + 3738: 18800015 stw r2,0(r3) return -1; - 3758: 00bfffc4 movi r2,-1 - 375c: 00000c06 br 3790 + 373c: 00bfffc4 movi r2,-1 + 3740: 00000c06 br 3774 } return rval; - 3760: e0bffc17 ldw r2,-16(fp) - 3764: 00000a06 br 3790 + 3744: e0bffc17 ldw r2,-16(fp) + 3748: 00000a06 br 3774 } else { ALT_ERRNO = EACCES; - 3768: 00036640 call 3664 - 376c: 1007883a mov r3,r2 - 3770: 00800344 movi r2,13 - 3774: 18800015 stw r2,0(r3) - 3778: 00000406 br 378c + 374c: 00036480 call 3648 + 3750: 1007883a mov r3,r2 + 3754: 00800344 movi r2,13 + 3758: 18800015 stw r2,0(r3) + 375c: 00000406 br 3770 } } else { ALT_ERRNO = EBADFD; - 377c: 00036640 call 3664 - 3780: 1007883a mov r3,r2 - 3784: 00801444 movi r2,81 - 3788: 18800015 stw r2,0(r3) + 3760: 00036480 call 3648 + 3764: 1007883a mov r3,r2 + 3768: 00801444 movi r2,81 + 376c: 18800015 stw r2,0(r3) } return -1; - 378c: 00bfffc4 movi r2,-1 + 3770: 00bfffc4 movi r2,-1 } - 3790: e037883a mov sp,fp - 3794: dfc00117 ldw ra,4(sp) - 3798: df000017 ldw fp,0(sp) - 379c: dec00204 addi sp,sp,8 - 37a0: f800283a ret + 3774: e037883a mov sp,fp + 3778: dfc00117 ldw ra,4(sp) + 377c: df000017 ldw fp,0(sp) + 3780: dec00204 addi sp,sp,8 + 3784: f800283a ret -000037a4 : +00003788 : * File descriptors correcponding to standard in, standard out and standard * error cannont be released backed to the pool. They are always reserved. */ void alt_release_fd (int fd) { - 37a4: defffc04 addi sp,sp,-16 - 37a8: dfc00315 stw ra,12(sp) - 37ac: df000215 stw fp,8(sp) - 37b0: dc000115 stw r16,4(sp) - 37b4: df000204 addi fp,sp,8 - 37b8: e13ffe15 stw r4,-8(fp) + 3788: defffc04 addi sp,sp,-16 + 378c: dfc00315 stw ra,12(sp) + 3790: df000215 stw fp,8(sp) + 3794: dc000115 stw r16,4(sp) + 3798: df000204 addi fp,sp,8 + 379c: e13ffe15 stw r4,-8(fp) if (fd > 2) - 37bc: e0bffe17 ldw r2,-8(fp) - 37c0: 108000d0 cmplti r2,r2,3 - 37c4: 1000111e bne r2,zero,380c + 37a0: e0bffe17 ldw r2,-8(fp) + 37a4: 108000d0 cmplti r2,r2,3 + 37a8: 1000111e bne r2,zero,37f0 { alt_fd_list[fd].fd_flags = 0; - 37c8: 04000034 movhi r16,0 - 37cc: 84182c04 addi r16,r16,24752 - 37d0: e0bffe17 ldw r2,-8(fp) - 37d4: 01400304 movi r5,12 - 37d8: 1009883a mov r4,r2 - 37dc: 000311c0 call 311c <__mulsi3> - 37e0: 8085883a add r2,r16,r2 - 37e4: 10800204 addi r2,r2,8 - 37e8: 10000015 stw zero,0(r2) + 37ac: 04000034 movhi r16,0 + 37b0: 84182504 addi r16,r16,24724 + 37b4: e0bffe17 ldw r2,-8(fp) + 37b8: 01400304 movi r5,12 + 37bc: 1009883a mov r4,r2 + 37c0: 00031000 call 3100 <__mulsi3> + 37c4: 8085883a add r2,r16,r2 + 37c8: 10800204 addi r2,r2,8 + 37cc: 10000015 stw zero,0(r2) alt_fd_list[fd].dev = 0; - 37ec: 04000034 movhi r16,0 - 37f0: 84182c04 addi r16,r16,24752 - 37f4: e0bffe17 ldw r2,-8(fp) - 37f8: 01400304 movi r5,12 - 37fc: 1009883a mov r4,r2 - 3800: 000311c0 call 311c <__mulsi3> - 3804: 8085883a add r2,r16,r2 - 3808: 10000015 stw zero,0(r2) + 37d0: 04000034 movhi r16,0 + 37d4: 84182504 addi r16,r16,24724 + 37d8: e0bffe17 ldw r2,-8(fp) + 37dc: 01400304 movi r5,12 + 37e0: 1009883a mov r4,r2 + 37e4: 00031000 call 3100 <__mulsi3> + 37e8: 8085883a add r2,r16,r2 + 37ec: 10000015 stw zero,0(r2) } } - 380c: 0001883a nop - 3810: e6ffff04 addi sp,fp,-4 - 3814: dfc00217 ldw ra,8(sp) - 3818: df000117 ldw fp,4(sp) - 381c: dc000017 ldw r16,0(sp) - 3820: dec00304 addi sp,sp,12 - 3824: f800283a ret + 37f0: 0001883a nop + 37f4: e6ffff04 addi sp,fp,-4 + 37f8: dfc00217 ldw ra,8(sp) + 37fc: df000117 ldw fp,4(sp) + 3800: dc000017 ldw r16,0(sp) + 3804: dec00304 addi sp,sp,12 + 3808: f800283a ret -00003828 : +0000380c : #endif caddr_t ALT_SBRK (int incr) __attribute__ ((no_instrument_function )); caddr_t ALT_SBRK (int incr) { - 3828: defff904 addi sp,sp,-28 - 382c: df000615 stw fp,24(sp) - 3830: df000604 addi fp,sp,24 - 3834: e13fff15 stw r4,-4(fp) + 380c: defff904 addi sp,sp,-28 + 3810: df000615 stw fp,24(sp) + 3814: df000604 addi fp,sp,24 + 3818: e13fff15 stw r4,-4(fp) static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 3838: 0005303a rdctl r2,status - 383c: e0bffe15 stw r2,-8(fp) + 381c: 0005303a rdctl r2,status + 3820: e0bffe15 stw r2,-8(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 3840: e0fffe17 ldw r3,-8(fp) - 3844: 00bfff84 movi r2,-2 - 3848: 1884703a and r2,r3,r2 - 384c: 1001703a wrctl status,r2 + 3824: e0fffe17 ldw r3,-8(fp) + 3828: 00bfff84 movi r2,-2 + 382c: 1884703a and r2,r3,r2 + 3830: 1001703a wrctl status,r2 return context; - 3850: e0bffe17 ldw r2,-8(fp) + 3834: e0bffe17 ldw r2,-8(fp) alt_irq_context context; char *prev_heap_end; context = alt_irq_disable_all(); - 3854: e0bffb15 stw r2,-20(fp) + 3838: e0bffb15 stw r2,-20(fp) /* Always return data aligned on a word boundary */ heap_end = (char *)(((unsigned int)heap_end + 3) & ~3); - 3858: d0a00a17 ldw r2,-32728(gp) - 385c: 10c000c4 addi r3,r2,3 - 3860: 00bfff04 movi r2,-4 - 3864: 1884703a and r2,r3,r2 - 3868: d0a00a15 stw r2,-32728(gp) + 383c: d0a00a17 ldw r2,-32728(gp) + 3840: 10c000c4 addi r3,r2,3 + 3844: 00bfff04 movi r2,-4 + 3848: 1884703a and r2,r3,r2 + 384c: d0a00a15 stw r2,-32728(gp) if (((heap_end + incr) - __alt_heap_start) > ALT_MAX_HEAP_BYTES) { alt_irq_enable_all(context); return (caddr_t)-1; } #else if ((heap_end + incr) > __alt_heap_limit) { - 386c: d0e00a17 ldw r3,-32728(gp) - 3870: e0bfff17 ldw r2,-4(fp) - 3874: 1887883a add r3,r3,r2 - 3878: 008000b4 movhi r2,2 - 387c: 10800004 addi r2,r2,0 - 3880: 10c0062e bgeu r2,r3,389c - 3884: e0bffb17 ldw r2,-20(fp) - 3888: e0bffa15 stw r2,-24(fp) + 3850: d0e00a17 ldw r3,-32728(gp) + 3854: e0bfff17 ldw r2,-4(fp) + 3858: 1887883a add r3,r3,r2 + 385c: 008000b4 movhi r2,2 + 3860: 10800004 addi r2,r2,0 + 3864: 10c0062e bgeu r2,r3,3880 + 3868: e0bffb17 ldw r2,-20(fp) + 386c: e0bffa15 stw r2,-24(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 388c: e0bffa17 ldw r2,-24(fp) - 3890: 1001703a wrctl status,r2 + 3870: e0bffa17 ldw r2,-24(fp) + 3874: 1001703a wrctl status,r2 alt_irq_enable_all(context); return (caddr_t)-1; - 3894: 00bfffc4 movi r2,-1 - 3898: 00000b06 br 38c8 + 3878: 00bfffc4 movi r2,-1 + 387c: 00000b06 br 38ac } #endif prev_heap_end = heap_end; - 389c: d0a00a17 ldw r2,-32728(gp) - 38a0: e0bffd15 stw r2,-12(fp) + 3880: d0a00a17 ldw r2,-32728(gp) + 3884: e0bffd15 stw r2,-12(fp) heap_end += incr; - 38a4: d0e00a17 ldw r3,-32728(gp) - 38a8: e0bfff17 ldw r2,-4(fp) - 38ac: 1885883a add r2,r3,r2 - 38b0: d0a00a15 stw r2,-32728(gp) - 38b4: e0bffb17 ldw r2,-20(fp) - 38b8: e0bffc15 stw r2,-16(fp) - 38bc: e0bffc17 ldw r2,-16(fp) - 38c0: 1001703a wrctl status,r2 + 3888: d0e00a17 ldw r3,-32728(gp) + 388c: e0bfff17 ldw r2,-4(fp) + 3890: 1885883a add r2,r3,r2 + 3894: d0a00a15 stw r2,-32728(gp) + 3898: e0bffb17 ldw r2,-20(fp) + 389c: e0bffc15 stw r2,-16(fp) + 38a0: e0bffc17 ldw r2,-16(fp) + 38a4: 1001703a wrctl status,r2 #endif alt_irq_enable_all(context); return (caddr_t) prev_heap_end; - 38c4: e0bffd17 ldw r2,-12(fp) + 38a8: e0bffd17 ldw r2,-12(fp) } - 38c8: e037883a mov sp,fp - 38cc: df000017 ldw fp,0(sp) - 38d0: dec00104 addi sp,sp,4 - 38d4: f800283a ret + 38ac: e037883a mov sp,fp + 38b0: df000017 ldw fp,0(sp) + 38b4: dec00104 addi sp,sp,4 + 38b8: f800283a ret -000038d8 : +000038bc : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 38d8: defffe04 addi sp,sp,-8 - 38dc: dfc00115 stw ra,4(sp) - 38e0: df000015 stw fp,0(sp) - 38e4: d839883a mov fp,sp + 38bc: defffe04 addi sp,sp,-8 + 38c0: dfc00115 stw ra,4(sp) + 38c4: df000015 stw fp,0(sp) + 38c8: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 38e8: d0a00917 ldw r2,-32732(gp) - 38ec: 10000326 beq r2,zero,38fc - 38f0: d0a00917 ldw r2,-32732(gp) - 38f4: 103ee83a callr r2 - 38f8: 00000106 br 3900 - 38fc: d0a01104 addi r2,gp,-32700 + 38cc: d0a00917 ldw r2,-32732(gp) + 38d0: 10000326 beq r2,zero,38e0 + 38d4: d0a00917 ldw r2,-32732(gp) + 38d8: 103ee83a callr r2 + 38dc: 00000106 br 38e4 + 38e0: d0a01104 addi r2,gp,-32700 } - 3900: e037883a mov sp,fp - 3904: dfc00117 ldw ra,4(sp) - 3908: df000017 ldw fp,0(sp) - 390c: dec00204 addi sp,sp,8 - 3910: f800283a ret + 38e4: e037883a mov sp,fp + 38e8: dfc00117 ldw ra,4(sp) + 38ec: df000017 ldw fp,0(sp) + 38f0: dec00204 addi sp,sp,8 + 38f4: f800283a ret -00003914 : +000038f8 : } #else /* !ALT_USE_DIRECT_DRIVERS */ int ALT_WRITE (int file, const void *ptr, size_t len) { - 3914: defff904 addi sp,sp,-28 - 3918: dfc00615 stw ra,24(sp) - 391c: df000515 stw fp,20(sp) - 3920: df000504 addi fp,sp,20 - 3924: e13ffd15 stw r4,-12(fp) - 3928: e17ffe15 stw r5,-8(fp) - 392c: e1bfff15 stw r6,-4(fp) + 38f8: defff904 addi sp,sp,-28 + 38fc: dfc00615 stw ra,24(sp) + 3900: df000515 stw fp,20(sp) + 3904: df000504 addi fp,sp,20 + 3908: e13ffd15 stw r4,-12(fp) + 390c: e17ffe15 stw r5,-8(fp) + 3910: e1bfff15 stw r6,-4(fp) * A common error case is that when the file descriptor was created, the call * to open() failed resulting in a negative file descriptor. This is trapped * below so that we don't try and process an invalid file descriptor. */ fd = (file < 0) ? NULL : &alt_fd_list[file]; - 3930: e0bffd17 ldw r2,-12(fp) - 3934: 10000816 blt r2,zero,3958 - 3938: 01400304 movi r5,12 - 393c: e13ffd17 ldw r4,-12(fp) - 3940: 000311c0 call 311c <__mulsi3> - 3944: 1007883a mov r3,r2 - 3948: 00800034 movhi r2,0 - 394c: 10982c04 addi r2,r2,24752 - 3950: 1885883a add r2,r3,r2 - 3954: 00000106 br 395c - 3958: 0005883a mov r2,zero - 395c: e0bffb15 stw r2,-20(fp) + 3914: e0bffd17 ldw r2,-12(fp) + 3918: 10000816 blt r2,zero,393c + 391c: 01400304 movi r5,12 + 3920: e13ffd17 ldw r4,-12(fp) + 3924: 00031000 call 3100 <__mulsi3> + 3928: 1007883a mov r3,r2 + 392c: 00800034 movhi r2,0 + 3930: 10982504 addi r2,r2,24724 + 3934: 1885883a add r2,r3,r2 + 3938: 00000106 br 3940 + 393c: 0005883a mov r2,zero + 3940: e0bffb15 stw r2,-20(fp) if (fd) - 3960: e0bffb17 ldw r2,-20(fp) - 3964: 10002126 beq r2,zero,39ec + 3944: e0bffb17 ldw r2,-20(fp) + 3948: 10002126 beq r2,zero,39d0 * If the file has not been opened with write access, or if the driver does * not provide an implementation of write(), generate an error. Otherwise * call the drivers write() function to process the request. */ if (((fd->fd_flags & O_ACCMODE) != O_RDONLY) && fd->dev->write) - 3968: e0bffb17 ldw r2,-20(fp) - 396c: 10800217 ldw r2,8(r2) - 3970: 108000cc andi r2,r2,3 - 3974: 10001826 beq r2,zero,39d8 - 3978: e0bffb17 ldw r2,-20(fp) - 397c: 10800017 ldw r2,0(r2) - 3980: 10800617 ldw r2,24(r2) - 3984: 10001426 beq r2,zero,39d8 + 394c: e0bffb17 ldw r2,-20(fp) + 3950: 10800217 ldw r2,8(r2) + 3954: 108000cc andi r2,r2,3 + 3958: 10001826 beq r2,zero,39bc + 395c: e0bffb17 ldw r2,-20(fp) + 3960: 10800017 ldw r2,0(r2) + 3964: 10800617 ldw r2,24(r2) + 3968: 10001426 beq r2,zero,39bc { /* ALT_LOG - see altera_hal/HAL/inc/sys/alt_log_printf.h */ ALT_LOG_WRITE_FUNCTION(ptr,len); if ((rval = fd->dev->write(fd, ptr, len)) < 0) - 3988: e0bffb17 ldw r2,-20(fp) - 398c: 10800017 ldw r2,0(r2) - 3990: 10800617 ldw r2,24(r2) - 3994: e0ffff17 ldw r3,-4(fp) - 3998: 180d883a mov r6,r3 - 399c: e17ffe17 ldw r5,-8(fp) - 39a0: e13ffb17 ldw r4,-20(fp) - 39a4: 103ee83a callr r2 - 39a8: e0bffc15 stw r2,-16(fp) - 39ac: e0bffc17 ldw r2,-16(fp) - 39b0: 1000070e bge r2,zero,39d0 + 396c: e0bffb17 ldw r2,-20(fp) + 3970: 10800017 ldw r2,0(r2) + 3974: 10800617 ldw r2,24(r2) + 3978: e0ffff17 ldw r3,-4(fp) + 397c: 180d883a mov r6,r3 + 3980: e17ffe17 ldw r5,-8(fp) + 3984: e13ffb17 ldw r4,-20(fp) + 3988: 103ee83a callr r2 + 398c: e0bffc15 stw r2,-16(fp) + 3990: e0bffc17 ldw r2,-16(fp) + 3994: 1000070e bge r2,zero,39b4 { ALT_ERRNO = -rval; - 39b4: 00038d80 call 38d8 - 39b8: 1007883a mov r3,r2 - 39bc: e0bffc17 ldw r2,-16(fp) - 39c0: 0085c83a sub r2,zero,r2 - 39c4: 18800015 stw r2,0(r3) + 3998: 00038bc0 call 38bc + 399c: 1007883a mov r3,r2 + 39a0: e0bffc17 ldw r2,-16(fp) + 39a4: 0085c83a sub r2,zero,r2 + 39a8: 18800015 stw r2,0(r3) return -1; - 39c8: 00bfffc4 movi r2,-1 - 39cc: 00000c06 br 3a00 + 39ac: 00bfffc4 movi r2,-1 + 39b0: 00000c06 br 39e4 } return rval; - 39d0: e0bffc17 ldw r2,-16(fp) - 39d4: 00000a06 br 3a00 + 39b4: e0bffc17 ldw r2,-16(fp) + 39b8: 00000a06 br 39e4 } else { ALT_ERRNO = EACCES; - 39d8: 00038d80 call 38d8 - 39dc: 1007883a mov r3,r2 - 39e0: 00800344 movi r2,13 - 39e4: 18800015 stw r2,0(r3) - 39e8: 00000406 br 39fc + 39bc: 00038bc0 call 38bc + 39c0: 1007883a mov r3,r2 + 39c4: 00800344 movi r2,13 + 39c8: 18800015 stw r2,0(r3) + 39cc: 00000406 br 39e0 } } else { ALT_ERRNO = EBADFD; - 39ec: 00038d80 call 38d8 - 39f0: 1007883a mov r3,r2 - 39f4: 00801444 movi r2,81 - 39f8: 18800015 stw r2,0(r3) + 39d0: 00038bc0 call 38bc + 39d4: 1007883a mov r3,r2 + 39d8: 00801444 movi r2,81 + 39dc: 18800015 stw r2,0(r3) } return -1; - 39fc: 00bfffc4 movi r2,-1 + 39e0: 00bfffc4 movi r2,-1 } - 3a00: e037883a mov sp,fp - 3a04: dfc00117 ldw ra,4(sp) - 3a08: df000017 ldw fp,0(sp) - 3a0c: dec00204 addi sp,sp,8 - 3a10: f800283a ret + 39e4: e037883a mov sp,fp + 39e8: dfc00117 ldw ra,4(sp) + 39ec: df000017 ldw fp,0(sp) + 39f0: dec00204 addi sp,sp,8 + 39f4: f800283a ret -00003a14 : +000039f8 : */ extern int alt_fs_reg (alt_dev* dev); static ALT_INLINE int alt_dev_reg (alt_dev* dev) { - 3a14: defffd04 addi sp,sp,-12 - 3a18: dfc00215 stw ra,8(sp) - 3a1c: df000115 stw fp,4(sp) - 3a20: df000104 addi fp,sp,4 - 3a24: e13fff15 stw r4,-4(fp) + 39f8: defffd04 addi sp,sp,-12 + 39fc: dfc00215 stw ra,8(sp) + 3a00: df000115 stw fp,4(sp) + 3a04: df000104 addi fp,sp,4 + 3a08: e13fff15 stw r4,-4(fp) extern alt_llist alt_dev_list; return alt_dev_llist_insert ((alt_dev_llist*) dev, &alt_dev_list); - 3a28: d1600604 addi r5,gp,-32744 - 3a2c: e13fff17 ldw r4,-4(fp) - 3a30: 00047a40 call 47a4 + 3a0c: d1600604 addi r5,gp,-32744 + 3a10: e13fff17 ldw r4,-4(fp) + 3a14: 00047880 call 4788 } - 3a34: e037883a mov sp,fp - 3a38: dfc00117 ldw ra,4(sp) - 3a3c: df000017 ldw fp,0(sp) - 3a40: dec00204 addi sp,sp,8 - 3a44: f800283a ret + 3a18: e037883a mov sp,fp + 3a1c: dfc00117 ldw ra,4(sp) + 3a20: df000017 ldw fp,0(sp) + 3a24: dec00204 addi sp,sp,8 + 3a28: f800283a ret -00003a48 : +00003a2c : * The "base" parameter is ignored and only * present for backwards-compatibility. */ void alt_irq_init ( const void* base ) { - 3a48: defffd04 addi sp,sp,-12 - 3a4c: dfc00215 stw ra,8(sp) - 3a50: df000115 stw fp,4(sp) - 3a54: df000104 addi fp,sp,4 - 3a58: e13fff15 stw r4,-4(fp) + 3a2c: defffd04 addi sp,sp,-12 + 3a30: dfc00215 stw ra,8(sp) + 3a34: df000115 stw fp,4(sp) + 3a38: df000104 addi fp,sp,4 + 3a3c: e13fff15 stw r4,-4(fp) ALTERA_NIOS2_GEN2_IRQ_INIT ( CPU, cpu); - 3a5c: 00051300 call 5130 + 3a40: 00051140 call 5114 * alt_irq_cpu_enable_interrupts() enables the CPU to start taking interrupts. */ static ALT_INLINE void ALT_ALWAYS_INLINE alt_irq_cpu_enable_interrupts (void) { NIOS2_WRITE_STATUS(NIOS2_STATUS_PIE_MSK - 3a60: 00800044 movi r2,1 - 3a64: 1001703a wrctl status,r2 + 3a44: 00800044 movi r2,1 + 3a48: 1001703a wrctl status,r2 alt_irq_cpu_enable_interrupts(); } - 3a68: 0001883a nop - 3a6c: e037883a mov sp,fp - 3a70: dfc00117 ldw ra,4(sp) - 3a74: df000017 ldw fp,0(sp) - 3a78: dec00204 addi sp,sp,8 - 3a7c: f800283a ret + 3a4c: 0001883a nop + 3a50: e037883a mov sp,fp + 3a54: dfc00117 ldw ra,4(sp) + 3a58: df000017 ldw fp,0(sp) + 3a5c: dec00204 addi sp,sp,8 + 3a60: f800283a ret -00003a80 : +00003a64 : * Initialize the non-interrupt controller devices. * Called after alt_irq_init(). */ void alt_sys_init( void ) { - 3a80: defffe04 addi sp,sp,-8 - 3a84: dfc00115 stw ra,4(sp) - 3a88: df000015 stw fp,0(sp) - 3a8c: d839883a mov fp,sp + 3a64: defffe04 addi sp,sp,-8 + 3a68: dfc00115 stw ra,4(sp) + 3a6c: df000015 stw fp,0(sp) + 3a70: d839883a mov fp,sp ALTERA_AVALON_TIMER_INIT ( SYS_CLK_TIMER, sys_clk_timer); - 3a90: 01c0fa04 movi r7,1000 - 3a94: 000d883a mov r6,zero - 3a98: 000b883a mov r5,zero - 3a9c: 010000b4 movhi r4,2 - 3aa0: 21041004 addi r4,r4,4160 - 3aa4: 00045c00 call 45c0 + 3a74: 01c0fa04 movi r7,1000 + 3a78: 000d883a mov r6,zero + 3a7c: 000b883a mov r5,zero + 3a80: 010000b4 movhi r4,2 + 3a84: 21041004 addi r4,r4,4160 + 3a88: 00045a40 call 45a4 ALTERA_AVALON_JTAG_UART_INIT ( JTAG_UART, jtag_uart); - 3aa8: 01800044 movi r6,1 - 3aac: 000b883a mov r5,zero - 3ab0: 01000034 movhi r4,0 - 3ab4: 21189604 addi r4,r4,25176 - 3ab8: 0003c440 call 3c44 - 3abc: 01000034 movhi r4,0 - 3ac0: 21188c04 addi r4,r4,25136 - 3ac4: 0003a140 call 3a14 + 3a8c: 01800044 movi r6,1 + 3a90: 000b883a mov r5,zero + 3a94: 01000034 movhi r4,0 + 3a98: 21188f04 addi r4,r4,25148 + 3a9c: 0003c280 call 3c28 + 3aa0: 01000034 movhi r4,0 + 3aa4: 21188504 addi r4,r4,25108 + 3aa8: 00039f80 call 39f8 } - 3ac8: 0001883a nop - 3acc: e037883a mov sp,fp - 3ad0: dfc00117 ldw ra,4(sp) - 3ad4: df000017 ldw fp,0(sp) - 3ad8: dec00204 addi sp,sp,8 - 3adc: f800283a ret + 3aac: 0001883a nop + 3ab0: e037883a mov sp,fp + 3ab4: dfc00117 ldw ra,4(sp) + 3ab8: df000017 ldw fp,0(sp) + 3abc: dec00204 addi sp,sp,8 + 3ac0: f800283a ret -00003ae0 : +00003ac4 : * */ int altera_avalon_jtag_uart_read_fd(alt_fd* fd, char* buffer, int space) { - 3ae0: defffa04 addi sp,sp,-24 - 3ae4: dfc00515 stw ra,20(sp) - 3ae8: df000415 stw fp,16(sp) - 3aec: df000404 addi fp,sp,16 - 3af0: e13ffd15 stw r4,-12(fp) - 3af4: e17ffe15 stw r5,-8(fp) - 3af8: e1bfff15 stw r6,-4(fp) + 3ac4: defffa04 addi sp,sp,-24 + 3ac8: dfc00515 stw ra,20(sp) + 3acc: df000415 stw fp,16(sp) + 3ad0: df000404 addi fp,sp,16 + 3ad4: e13ffd15 stw r4,-12(fp) + 3ad8: e17ffe15 stw r5,-8(fp) + 3adc: e1bfff15 stw r6,-4(fp) altera_avalon_jtag_uart_dev* dev = (altera_avalon_jtag_uart_dev*) fd->dev; - 3afc: e0bffd17 ldw r2,-12(fp) - 3b00: 10800017 ldw r2,0(r2) - 3b04: e0bffc15 stw r2,-16(fp) + 3ae0: e0bffd17 ldw r2,-12(fp) + 3ae4: 10800017 ldw r2,0(r2) + 3ae8: e0bffc15 stw r2,-16(fp) return altera_avalon_jtag_uart_read(&dev->state, buffer, space, - 3b08: e0bffc17 ldw r2,-16(fp) - 3b0c: 10c00a04 addi r3,r2,40 - 3b10: e0bffd17 ldw r2,-12(fp) - 3b14: 10800217 ldw r2,8(r2) - 3b18: 100f883a mov r7,r2 - 3b1c: e1bfff17 ldw r6,-4(fp) - 3b20: e17ffe17 ldw r5,-8(fp) - 3b24: 1809883a mov r4,r3 - 3b28: 00041080 call 4108 + 3aec: e0bffc17 ldw r2,-16(fp) + 3af0: 10c00a04 addi r3,r2,40 + 3af4: e0bffd17 ldw r2,-12(fp) + 3af8: 10800217 ldw r2,8(r2) + 3afc: 100f883a mov r7,r2 + 3b00: e1bfff17 ldw r6,-4(fp) + 3b04: e17ffe17 ldw r5,-8(fp) + 3b08: 1809883a mov r4,r3 + 3b0c: 00040ec0 call 40ec fd->fd_flags); } - 3b2c: e037883a mov sp,fp - 3b30: dfc00117 ldw ra,4(sp) - 3b34: df000017 ldw fp,0(sp) - 3b38: dec00204 addi sp,sp,8 - 3b3c: f800283a ret + 3b10: e037883a mov sp,fp + 3b14: dfc00117 ldw ra,4(sp) + 3b18: df000017 ldw fp,0(sp) + 3b1c: dec00204 addi sp,sp,8 + 3b20: f800283a ret -00003b40 : +00003b24 : int altera_avalon_jtag_uart_write_fd(alt_fd* fd, const char* buffer, int space) { - 3b40: defffa04 addi sp,sp,-24 - 3b44: dfc00515 stw ra,20(sp) - 3b48: df000415 stw fp,16(sp) - 3b4c: df000404 addi fp,sp,16 - 3b50: e13ffd15 stw r4,-12(fp) - 3b54: e17ffe15 stw r5,-8(fp) - 3b58: e1bfff15 stw r6,-4(fp) + 3b24: defffa04 addi sp,sp,-24 + 3b28: dfc00515 stw ra,20(sp) + 3b2c: df000415 stw fp,16(sp) + 3b30: df000404 addi fp,sp,16 + 3b34: e13ffd15 stw r4,-12(fp) + 3b38: e17ffe15 stw r5,-8(fp) + 3b3c: e1bfff15 stw r6,-4(fp) altera_avalon_jtag_uart_dev* dev = (altera_avalon_jtag_uart_dev*) fd->dev; - 3b5c: e0bffd17 ldw r2,-12(fp) - 3b60: 10800017 ldw r2,0(r2) - 3b64: e0bffc15 stw r2,-16(fp) + 3b40: e0bffd17 ldw r2,-12(fp) + 3b44: 10800017 ldw r2,0(r2) + 3b48: e0bffc15 stw r2,-16(fp) return altera_avalon_jtag_uart_write(&dev->state, buffer, space, - 3b68: e0bffc17 ldw r2,-16(fp) - 3b6c: 10c00a04 addi r3,r2,40 - 3b70: e0bffd17 ldw r2,-12(fp) - 3b74: 10800217 ldw r2,8(r2) - 3b78: 100f883a mov r7,r2 - 3b7c: e1bfff17 ldw r6,-4(fp) - 3b80: e17ffe17 ldw r5,-8(fp) - 3b84: 1809883a mov r4,r3 - 3b88: 00043240 call 4324 + 3b4c: e0bffc17 ldw r2,-16(fp) + 3b50: 10c00a04 addi r3,r2,40 + 3b54: e0bffd17 ldw r2,-12(fp) + 3b58: 10800217 ldw r2,8(r2) + 3b5c: 100f883a mov r7,r2 + 3b60: e1bfff17 ldw r6,-4(fp) + 3b64: e17ffe17 ldw r5,-8(fp) + 3b68: 1809883a mov r4,r3 + 3b6c: 00043080 call 4308 fd->fd_flags); } - 3b8c: e037883a mov sp,fp - 3b90: dfc00117 ldw ra,4(sp) - 3b94: df000017 ldw fp,0(sp) - 3b98: dec00204 addi sp,sp,8 - 3b9c: f800283a ret + 3b70: e037883a mov sp,fp + 3b74: dfc00117 ldw ra,4(sp) + 3b78: df000017 ldw fp,0(sp) + 3b7c: dec00204 addi sp,sp,8 + 3b80: f800283a ret -00003ba0 : +00003b84 : #ifndef ALTERA_AVALON_JTAG_UART_SMALL int altera_avalon_jtag_uart_close_fd(alt_fd* fd) { - 3ba0: defffc04 addi sp,sp,-16 - 3ba4: dfc00315 stw ra,12(sp) - 3ba8: df000215 stw fp,8(sp) - 3bac: df000204 addi fp,sp,8 - 3bb0: e13fff15 stw r4,-4(fp) + 3b84: defffc04 addi sp,sp,-16 + 3b88: dfc00315 stw ra,12(sp) + 3b8c: df000215 stw fp,8(sp) + 3b90: df000204 addi fp,sp,8 + 3b94: e13fff15 stw r4,-4(fp) altera_avalon_jtag_uart_dev* dev = (altera_avalon_jtag_uart_dev*) fd->dev; - 3bb4: e0bfff17 ldw r2,-4(fp) - 3bb8: 10800017 ldw r2,0(r2) - 3bbc: e0bffe15 stw r2,-8(fp) + 3b98: e0bfff17 ldw r2,-4(fp) + 3b9c: 10800017 ldw r2,0(r2) + 3ba0: e0bffe15 stw r2,-8(fp) return altera_avalon_jtag_uart_close(&dev->state, fd->fd_flags); - 3bc0: e0bffe17 ldw r2,-8(fp) - 3bc4: 10c00a04 addi r3,r2,40 - 3bc8: e0bfff17 ldw r2,-4(fp) - 3bcc: 10800217 ldw r2,8(r2) - 3bd0: 100b883a mov r5,r2 - 3bd4: 1809883a mov r4,r3 - 3bd8: 0003fb00 call 3fb0 + 3ba4: e0bffe17 ldw r2,-8(fp) + 3ba8: 10c00a04 addi r3,r2,40 + 3bac: e0bfff17 ldw r2,-4(fp) + 3bb0: 10800217 ldw r2,8(r2) + 3bb4: 100b883a mov r5,r2 + 3bb8: 1809883a mov r4,r3 + 3bbc: 0003f940 call 3f94 } - 3bdc: e037883a mov sp,fp - 3be0: dfc00117 ldw ra,4(sp) - 3be4: df000017 ldw fp,0(sp) - 3be8: dec00204 addi sp,sp,8 - 3bec: f800283a ret + 3bc0: e037883a mov sp,fp + 3bc4: dfc00117 ldw ra,4(sp) + 3bc8: df000017 ldw fp,0(sp) + 3bcc: dec00204 addi sp,sp,8 + 3bd0: f800283a ret -00003bf0 : +00003bd4 : int altera_avalon_jtag_uart_ioctl_fd(alt_fd* fd, int req, void* arg) { - 3bf0: defffa04 addi sp,sp,-24 - 3bf4: dfc00515 stw ra,20(sp) - 3bf8: df000415 stw fp,16(sp) - 3bfc: df000404 addi fp,sp,16 - 3c00: e13ffd15 stw r4,-12(fp) - 3c04: e17ffe15 stw r5,-8(fp) - 3c08: e1bfff15 stw r6,-4(fp) + 3bd4: defffa04 addi sp,sp,-24 + 3bd8: dfc00515 stw ra,20(sp) + 3bdc: df000415 stw fp,16(sp) + 3be0: df000404 addi fp,sp,16 + 3be4: e13ffd15 stw r4,-12(fp) + 3be8: e17ffe15 stw r5,-8(fp) + 3bec: e1bfff15 stw r6,-4(fp) altera_avalon_jtag_uart_dev* dev = (altera_avalon_jtag_uart_dev*) fd->dev; - 3c0c: e0bffd17 ldw r2,-12(fp) - 3c10: 10800017 ldw r2,0(r2) - 3c14: e0bffc15 stw r2,-16(fp) + 3bf0: e0bffd17 ldw r2,-12(fp) + 3bf4: 10800017 ldw r2,0(r2) + 3bf8: e0bffc15 stw r2,-16(fp) return altera_avalon_jtag_uart_ioctl(&dev->state, req, arg); - 3c18: e0bffc17 ldw r2,-16(fp) - 3c1c: 10800a04 addi r2,r2,40 - 3c20: e1bfff17 ldw r6,-4(fp) - 3c24: e17ffe17 ldw r5,-8(fp) - 3c28: 1009883a mov r4,r2 - 3c2c: 00040180 call 4018 + 3bfc: e0bffc17 ldw r2,-16(fp) + 3c00: 10800a04 addi r2,r2,40 + 3c04: e1bfff17 ldw r6,-4(fp) + 3c08: e17ffe17 ldw r5,-8(fp) + 3c0c: 1009883a mov r4,r2 + 3c10: 0003ffc0 call 3ffc } - 3c30: e037883a mov sp,fp - 3c34: dfc00117 ldw ra,4(sp) - 3c38: df000017 ldw fp,0(sp) - 3c3c: dec00204 addi sp,sp,8 - 3c40: f800283a ret + 3c14: e037883a mov sp,fp + 3c18: dfc00117 ldw ra,4(sp) + 3c1c: df000017 ldw fp,0(sp) + 3c20: dec00204 addi sp,sp,8 + 3c24: f800283a ret -00003c44 : +00003c28 : * Return 1 on sucessful IRQ register and 0 on failure. */ void altera_avalon_jtag_uart_init(altera_avalon_jtag_uart_state* sp, int irq_controller_id, int irq) { - 3c44: defffa04 addi sp,sp,-24 - 3c48: dfc00515 stw ra,20(sp) - 3c4c: df000415 stw fp,16(sp) - 3c50: df000404 addi fp,sp,16 - 3c54: e13ffd15 stw r4,-12(fp) - 3c58: e17ffe15 stw r5,-8(fp) - 3c5c: e1bfff15 stw r6,-4(fp) + 3c28: defffa04 addi sp,sp,-24 + 3c2c: dfc00515 stw ra,20(sp) + 3c30: df000415 stw fp,16(sp) + 3c34: df000404 addi fp,sp,16 + 3c38: e13ffd15 stw r4,-12(fp) + 3c3c: e17ffe15 stw r5,-8(fp) + 3c40: e1bfff15 stw r6,-4(fp) ALT_FLAG_CREATE(&sp->events, 0); ALT_SEM_CREATE(&sp->read_lock, 1); ALT_SEM_CREATE(&sp->write_lock, 1); /* enable read interrupts at the device */ sp->irq_enable = ALTERA_AVALON_JTAG_UART_CONTROL_RE_MSK; - 3c60: e0bffd17 ldw r2,-12(fp) - 3c64: 00c00044 movi r3,1 - 3c68: 10c00815 stw r3,32(r2) + 3c44: e0bffd17 ldw r2,-12(fp) + 3c48: 00c00044 movi r3,1 + 3c4c: 10c00815 stw r3,32(r2) IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base, sp->irq_enable); - 3c6c: e0bffd17 ldw r2,-12(fp) - 3c70: 10800017 ldw r2,0(r2) - 3c74: 10800104 addi r2,r2,4 - 3c78: 1007883a mov r3,r2 - 3c7c: e0bffd17 ldw r2,-12(fp) - 3c80: 10800817 ldw r2,32(r2) - 3c84: 18800035 stwio r2,0(r3) + 3c50: e0bffd17 ldw r2,-12(fp) + 3c54: 10800017 ldw r2,0(r2) + 3c58: 10800104 addi r2,r2,4 + 3c5c: 1007883a mov r3,r2 + 3c60: e0bffd17 ldw r2,-12(fp) + 3c64: 10800817 ldw r2,32(r2) + 3c68: 18800035 stwio r2,0(r3) /* register the interrupt handler */ #ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT alt_ic_isr_register(irq_controller_id, irq, altera_avalon_jtag_uart_irq, - 3c88: e0bffe17 ldw r2,-8(fp) - 3c8c: e0ffff17 ldw r3,-4(fp) - 3c90: d8000015 stw zero,0(sp) - 3c94: e1fffd17 ldw r7,-12(fp) - 3c98: 01800034 movhi r6,0 - 3c9c: 318f4104 addi r6,r6,15620 - 3ca0: 180b883a mov r5,r3 - 3ca4: 1009883a mov r4,r2 - 3ca8: 00049080 call 4908 + 3c6c: e0bffe17 ldw r2,-8(fp) + 3c70: e0ffff17 ldw r3,-4(fp) + 3c74: d8000015 stw zero,0(sp) + 3c78: e1fffd17 ldw r7,-12(fp) + 3c7c: 01800034 movhi r6,0 + 3c80: 318f3a04 addi r6,r6,15592 + 3c84: 180b883a mov r5,r3 + 3c88: 1009883a mov r4,r2 + 3c8c: 00048ec0 call 48ec #else alt_irq_register(irq, sp, altera_avalon_jtag_uart_irq); #endif /* Register an alarm to go off every second to check for presence of host */ sp->host_inactive = 0; - 3cac: e0bffd17 ldw r2,-12(fp) - 3cb0: 10000915 stw zero,36(r2) + 3c90: e0bffd17 ldw r2,-12(fp) + 3c94: 10000915 stw zero,36(r2) if (alt_alarm_start(&sp->alarm, alt_ticks_per_second(), - 3cb4: e0bffd17 ldw r2,-12(fp) - 3cb8: 10800204 addi r2,r2,8 + 3c98: e0bffd17 ldw r2,-12(fp) + 3c9c: 10800204 addi r2,r2,8 * Obtain the system clock rate in ticks/s. */ static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_ticks_per_second (void) { return _alt_tick_rate; - 3cbc: d0e01617 ldw r3,-32680(gp) - 3cc0: e1fffd17 ldw r7,-12(fp) - 3cc4: 01800034 movhi r6,0 - 3cc8: 318fc404 addi r6,r6,16144 - 3ccc: 180b883a mov r5,r3 - 3cd0: 1009883a mov r4,r2 - 3cd4: 000463c0 call 463c - 3cd8: 1000040e bge r2,zero,3cec + 3ca0: d0e01617 ldw r3,-32680(gp) + 3ca4: e1fffd17 ldw r7,-12(fp) + 3ca8: 01800034 movhi r6,0 + 3cac: 318fbd04 addi r6,r6,16116 + 3cb0: 180b883a mov r5,r3 + 3cb4: 1009883a mov r4,r2 + 3cb8: 00046200 call 4620 + 3cbc: 1000040e bge r2,zero,3cd0 &altera_avalon_jtag_uart_timeout, sp) < 0) { /* If we can't set the alarm then record "don't know if host present" * and behave as though the host is present. */ sp->timeout = INT_MAX; - 3cdc: e0fffd17 ldw r3,-12(fp) - 3ce0: 00a00034 movhi r2,32768 - 3ce4: 10bfffc4 addi r2,r2,-1 - 3ce8: 18800115 stw r2,4(r3) + 3cc0: e0fffd17 ldw r3,-12(fp) + 3cc4: 00a00034 movhi r2,32768 + 3cc8: 10bfffc4 addi r2,r2,-1 + 3ccc: 18800115 stw r2,4(r3) } /* ALT_LOG - see altera_hal/HAL/inc/sys/alt_log_printf.h */ ALT_LOG_JTAG_UART_ALARM_REGISTER(sp, sp->base); } - 3cec: 0001883a nop - 3cf0: e037883a mov sp,fp - 3cf4: dfc00117 ldw ra,4(sp) - 3cf8: df000017 ldw fp,0(sp) - 3cfc: dec00204 addi sp,sp,8 - 3d00: f800283a ret + 3cd0: 0001883a nop + 3cd4: e037883a mov sp,fp + 3cd8: dfc00117 ldw ra,4(sp) + 3cdc: df000017 ldw fp,0(sp) + 3ce0: dec00204 addi sp,sp,8 + 3ce4: f800283a ret -00003d04 : +00003ce8 : #ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT static void altera_avalon_jtag_uart_irq(void* context) #else static void altera_avalon_jtag_uart_irq(void* context, alt_u32 id) #endif { - 3d04: defff804 addi sp,sp,-32 - 3d08: df000715 stw fp,28(sp) - 3d0c: df000704 addi fp,sp,28 - 3d10: e13fff15 stw r4,-4(fp) + 3ce8: defff804 addi sp,sp,-32 + 3cec: df000715 stw fp,28(sp) + 3cf0: df000704 addi fp,sp,28 + 3cf4: e13fff15 stw r4,-4(fp) altera_avalon_jtag_uart_state* sp = (altera_avalon_jtag_uart_state*) context; - 3d14: e0bfff17 ldw r2,-4(fp) - 3d18: e0bffb15 stw r2,-20(fp) + 3cf8: e0bfff17 ldw r2,-4(fp) + 3cfc: e0bffb15 stw r2,-20(fp) unsigned int base = sp->base; - 3d1c: e0bffb17 ldw r2,-20(fp) - 3d20: 10800017 ldw r2,0(r2) - 3d24: e0bffc15 stw r2,-16(fp) + 3d00: e0bffb17 ldw r2,-20(fp) + 3d04: 10800017 ldw r2,0(r2) + 3d08: e0bffc15 stw r2,-16(fp) /* ALT_LOG - see altera_hal/HAL/inc/sys/alt_log_printf.h */ ALT_LOG_JTAG_UART_ISR_FUNCTION(base, sp); for ( ; ; ) { unsigned int control = IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base); - 3d28: e0bffc17 ldw r2,-16(fp) - 3d2c: 10800104 addi r2,r2,4 - 3d30: 10800037 ldwio r2,0(r2) - 3d34: e0bffd15 stw r2,-12(fp) + 3d0c: e0bffc17 ldw r2,-16(fp) + 3d10: 10800104 addi r2,r2,4 + 3d14: 10800037 ldwio r2,0(r2) + 3d18: e0bffd15 stw r2,-12(fp) /* Return once nothing more to do */ if ((control & (ALTERA_AVALON_JTAG_UART_CONTROL_RI_MSK | ALTERA_AVALON_JTAG_UART_CONTROL_WI_MSK)) == 0) - 3d38: e0bffd17 ldw r2,-12(fp) - 3d3c: 1080c00c andi r2,r2,768 - 3d40: 10006d26 beq r2,zero,3ef8 + 3d1c: e0bffd17 ldw r2,-12(fp) + 3d20: 1080c00c andi r2,r2,768 + 3d24: 10006d26 beq r2,zero,3edc break; if (control & ALTERA_AVALON_JTAG_UART_CONTROL_RI_MSK) - 3d44: e0bffd17 ldw r2,-12(fp) - 3d48: 1080400c andi r2,r2,256 - 3d4c: 10003526 beq r2,zero,3e24 + 3d28: e0bffd17 ldw r2,-12(fp) + 3d2c: 1080400c andi r2,r2,256 + 3d30: 10003526 beq r2,zero,3e08 { /* process a read irq. Start by assuming that there is data in the * receive FIFO (otherwise why would we have been interrupted?) */ unsigned int data = 1 << ALTERA_AVALON_JTAG_UART_DATA_RAVAIL_OFST; - 3d50: 00800074 movhi r2,1 - 3d54: e0bff915 stw r2,-28(fp) + 3d34: 00800074 movhi r2,1 + 3d38: e0bff915 stw r2,-28(fp) for ( ; ; ) { /* Check whether there is space in the buffer. If not then we must not * read any characters from the buffer as they will be lost. */ unsigned int next = (sp->rx_in + 1) % ALTERA_AVALON_JTAG_UART_BUF_LEN; - 3d58: e0bffb17 ldw r2,-20(fp) - 3d5c: 10800a17 ldw r2,40(r2) - 3d60: 10800044 addi r2,r2,1 - 3d64: 1081ffcc andi r2,r2,2047 - 3d68: e0bffe15 stw r2,-8(fp) + 3d3c: e0bffb17 ldw r2,-20(fp) + 3d40: 10800a17 ldw r2,40(r2) + 3d44: 10800044 addi r2,r2,1 + 3d48: 1081ffcc andi r2,r2,2047 + 3d4c: e0bffe15 stw r2,-8(fp) if (next == sp->rx_out) - 3d6c: e0bffb17 ldw r2,-20(fp) - 3d70: 10c00b17 ldw r3,44(r2) - 3d74: e0bffe17 ldw r2,-8(fp) - 3d78: 18801526 beq r3,r2,3dd0 + 3d50: e0bffb17 ldw r2,-20(fp) + 3d54: 10c00b17 ldw r3,44(r2) + 3d58: e0bffe17 ldw r2,-8(fp) + 3d5c: 18801526 beq r3,r2,3db4 break; /* Try to remove a character from the FIFO and find out whether there * are any more characters remaining. */ data = IORD_ALTERA_AVALON_JTAG_UART_DATA(base); - 3d7c: e0bffc17 ldw r2,-16(fp) - 3d80: 10800037 ldwio r2,0(r2) - 3d84: e0bff915 stw r2,-28(fp) + 3d60: e0bffc17 ldw r2,-16(fp) + 3d64: 10800037 ldwio r2,0(r2) + 3d68: e0bff915 stw r2,-28(fp) if ((data & ALTERA_AVALON_JTAG_UART_DATA_RVALID_MSK) == 0) - 3d88: e0bff917 ldw r2,-28(fp) - 3d8c: 10a0000c andi r2,r2,32768 - 3d90: 10001126 beq r2,zero,3dd8 + 3d6c: e0bff917 ldw r2,-28(fp) + 3d70: 10a0000c andi r2,r2,32768 + 3d74: 10001126 beq r2,zero,3dbc break; sp->rx_buf[sp->rx_in] = (data & ALTERA_AVALON_JTAG_UART_DATA_DATA_MSK) >> ALTERA_AVALON_JTAG_UART_DATA_DATA_OFST; - 3d94: e0bffb17 ldw r2,-20(fp) - 3d98: 10800a17 ldw r2,40(r2) - 3d9c: e0fff917 ldw r3,-28(fp) - 3da0: 1809883a mov r4,r3 - 3da4: e0fffb17 ldw r3,-20(fp) - 3da8: 1885883a add r2,r3,r2 - 3dac: 10800e04 addi r2,r2,56 - 3db0: 11000005 stb r4,0(r2) + 3d78: e0bffb17 ldw r2,-20(fp) + 3d7c: 10800a17 ldw r2,40(r2) + 3d80: e0fff917 ldw r3,-28(fp) + 3d84: 1809883a mov r4,r3 + 3d88: e0fffb17 ldw r3,-20(fp) + 3d8c: 1885883a add r2,r3,r2 + 3d90: 10800e04 addi r2,r2,56 + 3d94: 11000005 stb r4,0(r2) sp->rx_in = (sp->rx_in + 1) % ALTERA_AVALON_JTAG_UART_BUF_LEN; - 3db4: e0bffb17 ldw r2,-20(fp) - 3db8: 10800a17 ldw r2,40(r2) - 3dbc: 10800044 addi r2,r2,1 - 3dc0: 10c1ffcc andi r3,r2,2047 - 3dc4: e0bffb17 ldw r2,-20(fp) - 3dc8: 10c00a15 stw r3,40(r2) + 3d98: e0bffb17 ldw r2,-20(fp) + 3d9c: 10800a17 ldw r2,40(r2) + 3da0: 10800044 addi r2,r2,1 + 3da4: 10c1ffcc andi r3,r2,2047 + 3da8: e0bffb17 ldw r2,-20(fp) + 3dac: 10c00a15 stw r3,40(r2) /* Post an event to notify jtag_uart_read that a character has been read */ ALT_FLAG_POST (sp->events, ALT_JTAG_UART_READ_RDY, OS_FLAG_SET); } - 3dcc: 003fe206 br 3d58 <__alt_data_end+0xfffe3d58> + 3db0: 003fe206 br 3d3c /* Check whether there is space in the buffer. If not then we must not * read any characters from the buffer as they will be lost. */ unsigned int next = (sp->rx_in + 1) % ALTERA_AVALON_JTAG_UART_BUF_LEN; if (next == sp->rx_out) break; - 3dd0: 0001883a nop - 3dd4: 00000106 br 3ddc + 3db4: 0001883a nop + 3db8: 00000106 br 3dc0 * are any more characters remaining. */ data = IORD_ALTERA_AVALON_JTAG_UART_DATA(base); if ((data & ALTERA_AVALON_JTAG_UART_DATA_RVALID_MSK) == 0) break; - 3dd8: 0001883a nop + 3dbc: 0001883a nop /* Post an event to notify jtag_uart_read that a character has been read */ ALT_FLAG_POST (sp->events, ALT_JTAG_UART_READ_RDY, OS_FLAG_SET); } if (data & ALTERA_AVALON_JTAG_UART_DATA_RAVAIL_MSK) - 3ddc: e0bff917 ldw r2,-28(fp) - 3de0: 10bfffec andhi r2,r2,65535 - 3de4: 10000f26 beq r2,zero,3e24 + 3dc0: e0bff917 ldw r2,-28(fp) + 3dc4: 10bfffec andhi r2,r2,65535 + 3dc8: 10000f26 beq r2,zero,3e08 { /* If there is still data available here then the buffer is full * so turn off receive interrupts until some space becomes available. */ sp->irq_enable &= ~ALTERA_AVALON_JTAG_UART_CONTROL_RE_MSK; - 3de8: e0bffb17 ldw r2,-20(fp) - 3dec: 10c00817 ldw r3,32(r2) - 3df0: 00bfff84 movi r2,-2 - 3df4: 1886703a and r3,r3,r2 - 3df8: e0bffb17 ldw r2,-20(fp) - 3dfc: 10c00815 stw r3,32(r2) + 3dcc: e0bffb17 ldw r2,-20(fp) + 3dd0: 10c00817 ldw r3,32(r2) + 3dd4: 00bfff84 movi r2,-2 + 3dd8: 1886703a and r3,r3,r2 + 3ddc: e0bffb17 ldw r2,-20(fp) + 3de0: 10c00815 stw r3,32(r2) IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(base, sp->irq_enable); - 3e00: e0bffc17 ldw r2,-16(fp) - 3e04: 10800104 addi r2,r2,4 - 3e08: 1007883a mov r3,r2 - 3e0c: e0bffb17 ldw r2,-20(fp) - 3e10: 10800817 ldw r2,32(r2) - 3e14: 18800035 stwio r2,0(r3) + 3de4: e0bffc17 ldw r2,-16(fp) + 3de8: 10800104 addi r2,r2,4 + 3dec: 1007883a mov r3,r2 + 3df0: e0bffb17 ldw r2,-20(fp) + 3df4: 10800817 ldw r2,32(r2) + 3df8: 18800035 stwio r2,0(r3) /* Dummy read to ensure IRQ is cleared prior to ISR completion */ IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base); - 3e18: e0bffc17 ldw r2,-16(fp) - 3e1c: 10800104 addi r2,r2,4 - 3e20: 10800037 ldwio r2,0(r2) + 3dfc: e0bffc17 ldw r2,-16(fp) + 3e00: 10800104 addi r2,r2,4 + 3e04: 10800037 ldwio r2,0(r2) } } if (control & ALTERA_AVALON_JTAG_UART_CONTROL_WI_MSK) - 3e24: e0bffd17 ldw r2,-12(fp) - 3e28: 1080800c andi r2,r2,512 - 3e2c: 103fbe26 beq r2,zero,3d28 <__alt_data_end+0xfffe3d28> + 3e08: e0bffd17 ldw r2,-12(fp) + 3e0c: 1080800c andi r2,r2,512 + 3e10: 103fbe26 beq r2,zero,3d0c { /* process a write irq */ unsigned int space = (control & ALTERA_AVALON_JTAG_UART_CONTROL_WSPACE_MSK) >> ALTERA_AVALON_JTAG_UART_CONTROL_WSPACE_OFST; - 3e30: e0bffd17 ldw r2,-12(fp) - 3e34: 1004d43a srli r2,r2,16 - 3e38: e0bffa15 stw r2,-24(fp) + 3e14: e0bffd17 ldw r2,-12(fp) + 3e18: 1004d43a srli r2,r2,16 + 3e1c: e0bffa15 stw r2,-24(fp) while (space > 0 && sp->tx_out != sp->tx_in) - 3e3c: 00001406 br 3e90 + 3e20: 00001406 br 3e74 { IOWR_ALTERA_AVALON_JTAG_UART_DATA(base, sp->tx_buf[sp->tx_out]); - 3e40: e0bffc17 ldw r2,-16(fp) - 3e44: e0fffb17 ldw r3,-20(fp) - 3e48: 18c00d17 ldw r3,52(r3) - 3e4c: e13ffb17 ldw r4,-20(fp) - 3e50: 20c7883a add r3,r4,r3 - 3e54: 18c20e04 addi r3,r3,2104 - 3e58: 18c00003 ldbu r3,0(r3) - 3e5c: 18c03fcc andi r3,r3,255 - 3e60: 18c0201c xori r3,r3,128 - 3e64: 18ffe004 addi r3,r3,-128 - 3e68: 10c00035 stwio r3,0(r2) + 3e24: e0bffc17 ldw r2,-16(fp) + 3e28: e0fffb17 ldw r3,-20(fp) + 3e2c: 18c00d17 ldw r3,52(r3) + 3e30: e13ffb17 ldw r4,-20(fp) + 3e34: 20c7883a add r3,r4,r3 + 3e38: 18c20e04 addi r3,r3,2104 + 3e3c: 18c00003 ldbu r3,0(r3) + 3e40: 18c03fcc andi r3,r3,255 + 3e44: 18c0201c xori r3,r3,128 + 3e48: 18ffe004 addi r3,r3,-128 + 3e4c: 10c00035 stwio r3,0(r2) sp->tx_out = (sp->tx_out + 1) % ALTERA_AVALON_JTAG_UART_BUF_LEN; - 3e6c: e0bffb17 ldw r2,-20(fp) - 3e70: 10800d17 ldw r2,52(r2) - 3e74: 10800044 addi r2,r2,1 - 3e78: 10c1ffcc andi r3,r2,2047 - 3e7c: e0bffb17 ldw r2,-20(fp) - 3e80: 10c00d15 stw r3,52(r2) + 3e50: e0bffb17 ldw r2,-20(fp) + 3e54: 10800d17 ldw r2,52(r2) + 3e58: 10800044 addi r2,r2,1 + 3e5c: 10c1ffcc andi r3,r2,2047 + 3e60: e0bffb17 ldw r2,-20(fp) + 3e64: 10c00d15 stw r3,52(r2) /* Post an event to notify jtag_uart_write that a character has been written */ ALT_FLAG_POST (sp->events, ALT_JTAG_UART_WRITE_RDY, OS_FLAG_SET); space--; - 3e84: e0bffa17 ldw r2,-24(fp) - 3e88: 10bfffc4 addi r2,r2,-1 - 3e8c: e0bffa15 stw r2,-24(fp) + 3e68: e0bffa17 ldw r2,-24(fp) + 3e6c: 10bfffc4 addi r2,r2,-1 + 3e70: e0bffa15 stw r2,-24(fp) if (control & ALTERA_AVALON_JTAG_UART_CONTROL_WI_MSK) { /* process a write irq */ unsigned int space = (control & ALTERA_AVALON_JTAG_UART_CONTROL_WSPACE_MSK) >> ALTERA_AVALON_JTAG_UART_CONTROL_WSPACE_OFST; while (space > 0 && sp->tx_out != sp->tx_in) - 3e90: e0bffa17 ldw r2,-24(fp) - 3e94: 10000526 beq r2,zero,3eac - 3e98: e0bffb17 ldw r2,-20(fp) - 3e9c: 10c00d17 ldw r3,52(r2) - 3ea0: e0bffb17 ldw r2,-20(fp) - 3ea4: 10800c17 ldw r2,48(r2) - 3ea8: 18bfe51e bne r3,r2,3e40 <__alt_data_end+0xfffe3e40> + 3e74: e0bffa17 ldw r2,-24(fp) + 3e78: 10000526 beq r2,zero,3e90 + 3e7c: e0bffb17 ldw r2,-20(fp) + 3e80: 10c00d17 ldw r3,52(r2) + 3e84: e0bffb17 ldw r2,-20(fp) + 3e88: 10800c17 ldw r2,48(r2) + 3e8c: 18bfe51e bne r3,r2,3e24 ALT_FLAG_POST (sp->events, ALT_JTAG_UART_WRITE_RDY, OS_FLAG_SET); space--; } if (space > 0) - 3eac: e0bffa17 ldw r2,-24(fp) - 3eb0: 103f9d26 beq r2,zero,3d28 <__alt_data_end+0xfffe3d28> + 3e90: e0bffa17 ldw r2,-24(fp) + 3e94: 103f9d26 beq r2,zero,3d0c { /* If we don't have any more data available then turn off the TX interrupt */ sp->irq_enable &= ~ALTERA_AVALON_JTAG_UART_CONTROL_WE_MSK; - 3eb4: e0bffb17 ldw r2,-20(fp) - 3eb8: 10c00817 ldw r3,32(r2) - 3ebc: 00bfff44 movi r2,-3 - 3ec0: 1886703a and r3,r3,r2 - 3ec4: e0bffb17 ldw r2,-20(fp) - 3ec8: 10c00815 stw r3,32(r2) + 3e98: e0bffb17 ldw r2,-20(fp) + 3e9c: 10c00817 ldw r3,32(r2) + 3ea0: 00bfff44 movi r2,-3 + 3ea4: 1886703a and r3,r3,r2 + 3ea8: e0bffb17 ldw r2,-20(fp) + 3eac: 10c00815 stw r3,32(r2) IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base, sp->irq_enable); - 3ecc: e0bffb17 ldw r2,-20(fp) - 3ed0: 10800017 ldw r2,0(r2) - 3ed4: 10800104 addi r2,r2,4 - 3ed8: 1007883a mov r3,r2 - 3edc: e0bffb17 ldw r2,-20(fp) - 3ee0: 10800817 ldw r2,32(r2) - 3ee4: 18800035 stwio r2,0(r3) + 3eb0: e0bffb17 ldw r2,-20(fp) + 3eb4: 10800017 ldw r2,0(r2) + 3eb8: 10800104 addi r2,r2,4 + 3ebc: 1007883a mov r3,r2 + 3ec0: e0bffb17 ldw r2,-20(fp) + 3ec4: 10800817 ldw r2,32(r2) + 3ec8: 18800035 stwio r2,0(r3) /* Dummy read to ensure IRQ is cleared prior to ISR completion */ IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base); - 3ee8: e0bffc17 ldw r2,-16(fp) - 3eec: 10800104 addi r2,r2,4 - 3ef0: 10800037 ldwio r2,0(r2) + 3ecc: e0bffc17 ldw r2,-16(fp) + 3ed0: 10800104 addi r2,r2,4 + 3ed4: 10800037 ldwio r2,0(r2) } } } - 3ef4: 003f8c06 br 3d28 <__alt_data_end+0xfffe3d28> + 3ed8: 003f8c06 br 3d0c { unsigned int control = IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base); /* Return once nothing more to do */ if ((control & (ALTERA_AVALON_JTAG_UART_CONTROL_RI_MSK | ALTERA_AVALON_JTAG_UART_CONTROL_WI_MSK)) == 0) break; - 3ef8: 0001883a nop + 3edc: 0001883a nop /* Dummy read to ensure IRQ is cleared prior to ISR completion */ IORD_ALTERA_AVALON_JTAG_UART_CONTROL(base); } } } } - 3efc: 0001883a nop - 3f00: e037883a mov sp,fp - 3f04: df000017 ldw fp,0(sp) - 3f08: dec00104 addi sp,sp,4 - 3f0c: f800283a ret + 3ee0: 0001883a nop + 3ee4: e037883a mov sp,fp + 3ee8: df000017 ldw fp,0(sp) + 3eec: dec00104 addi sp,sp,4 + 3ef0: f800283a ret -00003f10 : +00003ef4 : * Timeout routine is called every second */ static alt_u32 altera_avalon_jtag_uart_timeout(void* context) { - 3f10: defff804 addi sp,sp,-32 - 3f14: df000715 stw fp,28(sp) - 3f18: df000704 addi fp,sp,28 - 3f1c: e13ffb15 stw r4,-20(fp) + 3ef4: defff804 addi sp,sp,-32 + 3ef8: df000715 stw fp,28(sp) + 3efc: df000704 addi fp,sp,28 + 3f00: e13ffb15 stw r4,-20(fp) altera_avalon_jtag_uart_state* sp = (altera_avalon_jtag_uart_state *) context; - 3f20: e0bffb17 ldw r2,-20(fp) - 3f24: e0bff915 stw r2,-28(fp) + 3f04: e0bffb17 ldw r2,-20(fp) + 3f08: e0bff915 stw r2,-28(fp) unsigned int control = IORD_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base); - 3f28: e0bff917 ldw r2,-28(fp) - 3f2c: 10800017 ldw r2,0(r2) - 3f30: 10800104 addi r2,r2,4 - 3f34: 10800037 ldwio r2,0(r2) - 3f38: e0bffa15 stw r2,-24(fp) + 3f0c: e0bff917 ldw r2,-28(fp) + 3f10: 10800017 ldw r2,0(r2) + 3f14: 10800104 addi r2,r2,4 + 3f18: 10800037 ldwio r2,0(r2) + 3f1c: e0bffa15 stw r2,-24(fp) if (control & ALTERA_AVALON_JTAG_UART_CONTROL_AC_MSK) - 3f3c: e0bffa17 ldw r2,-24(fp) - 3f40: 1081000c andi r2,r2,1024 - 3f44: 10000b26 beq r2,zero,3f74 + 3f20: e0bffa17 ldw r2,-24(fp) + 3f24: 1081000c andi r2,r2,1024 + 3f28: 10000b26 beq r2,zero,3f58 { IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base, sp->irq_enable | ALTERA_AVALON_JTAG_UART_CONTROL_AC_MSK); - 3f48: e0bff917 ldw r2,-28(fp) - 3f4c: 10800017 ldw r2,0(r2) - 3f50: 10800104 addi r2,r2,4 - 3f54: 1007883a mov r3,r2 - 3f58: e0bff917 ldw r2,-28(fp) - 3f5c: 10800817 ldw r2,32(r2) - 3f60: 10810014 ori r2,r2,1024 - 3f64: 18800035 stwio r2,0(r3) + 3f2c: e0bff917 ldw r2,-28(fp) + 3f30: 10800017 ldw r2,0(r2) + 3f34: 10800104 addi r2,r2,4 + 3f38: 1007883a mov r3,r2 + 3f3c: e0bff917 ldw r2,-28(fp) + 3f40: 10800817 ldw r2,32(r2) + 3f44: 10810014 ori r2,r2,1024 + 3f48: 18800035 stwio r2,0(r3) sp->host_inactive = 0; - 3f68: e0bff917 ldw r2,-28(fp) - 3f6c: 10000915 stw zero,36(r2) - 3f70: 00000a06 br 3f9c + 3f4c: e0bff917 ldw r2,-28(fp) + 3f50: 10000915 stw zero,36(r2) + 3f54: 00000a06 br 3f80 } else if (sp->host_inactive < INT_MAX - 2) { - 3f74: e0bff917 ldw r2,-28(fp) - 3f78: 10c00917 ldw r3,36(r2) - 3f7c: 00a00034 movhi r2,32768 - 3f80: 10bfff04 addi r2,r2,-4 - 3f84: 10c00536 bltu r2,r3,3f9c + 3f58: e0bff917 ldw r2,-28(fp) + 3f5c: 10c00917 ldw r3,36(r2) + 3f60: 00a00034 movhi r2,32768 + 3f64: 10bfff04 addi r2,r2,-4 + 3f68: 10c00536 bltu r2,r3,3f80 sp->host_inactive++; - 3f88: e0bff917 ldw r2,-28(fp) - 3f8c: 10800917 ldw r2,36(r2) - 3f90: 10c00044 addi r3,r2,1 - 3f94: e0bff917 ldw r2,-28(fp) - 3f98: 10c00915 stw r3,36(r2) - 3f9c: d0a01617 ldw r2,-32680(gp) + 3f6c: e0bff917 ldw r2,-28(fp) + 3f70: 10800917 ldw r2,36(r2) + 3f74: 10c00044 addi r3,r2,1 + 3f78: e0bff917 ldw r2,-28(fp) + 3f7c: 10c00915 stw r3,36(r2) + 3f80: d0a01617 ldw r2,-32680(gp) ALT_FLAG_POST (sp->events, ALT_JTAG_UART_TIMEOUT, OS_FLAG_SET); } } return alt_ticks_per_second(); } - 3fa0: e037883a mov sp,fp - 3fa4: df000017 ldw fp,0(sp) - 3fa8: dec00104 addi sp,sp,4 - 3fac: f800283a ret + 3f84: e037883a mov sp,fp + 3f88: df000017 ldw fp,0(sp) + 3f8c: dec00104 addi sp,sp,4 + 3f90: f800283a ret -00003fb0 : +00003f94 : * The close routine is not implemented for the small driver; instead it will * map to null. This is because the small driver simply waits while characters * are transmitted; there is no interrupt-serviced buffer to empty */ int altera_avalon_jtag_uart_close(altera_avalon_jtag_uart_state* sp, int flags) { - 3fb0: defffd04 addi sp,sp,-12 - 3fb4: df000215 stw fp,8(sp) - 3fb8: df000204 addi fp,sp,8 - 3fbc: e13ffe15 stw r4,-8(fp) - 3fc0: e17fff15 stw r5,-4(fp) + 3f94: defffd04 addi sp,sp,-12 + 3f98: df000215 stw fp,8(sp) + 3f9c: df000204 addi fp,sp,8 + 3fa0: e13ffe15 stw r4,-8(fp) + 3fa4: e17fff15 stw r5,-4(fp) /* * Wait for all transmit data to be emptied by the JTAG UART ISR, or * for a host-inactivity timeout, in which case transmit data will be lost */ while ( (sp->tx_out != sp->tx_in) && (sp->host_inactive < sp->timeout) ) { - 3fc4: 00000506 br 3fdc + 3fa8: 00000506 br 3fc0 if (flags & O_NONBLOCK) { - 3fc8: e0bfff17 ldw r2,-4(fp) - 3fcc: 1090000c andi r2,r2,16384 - 3fd0: 10000226 beq r2,zero,3fdc + 3fac: e0bfff17 ldw r2,-4(fp) + 3fb0: 1090000c andi r2,r2,16384 + 3fb4: 10000226 beq r2,zero,3fc0 return -EWOULDBLOCK; - 3fd4: 00bffd44 movi r2,-11 - 3fd8: 00000b06 br 4008 + 3fb8: 00bffd44 movi r2,-11 + 3fbc: 00000b06 br 3fec { /* * Wait for all transmit data to be emptied by the JTAG UART ISR, or * for a host-inactivity timeout, in which case transmit data will be lost */ while ( (sp->tx_out != sp->tx_in) && (sp->host_inactive < sp->timeout) ) { + 3fc0: e0bffe17 ldw r2,-8(fp) + 3fc4: 10c00d17 ldw r3,52(r2) + 3fc8: e0bffe17 ldw r2,-8(fp) + 3fcc: 10800c17 ldw r2,48(r2) + 3fd0: 18800526 beq r3,r2,3fe8 + 3fd4: e0bffe17 ldw r2,-8(fp) + 3fd8: 10c00917 ldw r3,36(r2) 3fdc: e0bffe17 ldw r2,-8(fp) - 3fe0: 10c00d17 ldw r3,52(r2) - 3fe4: e0bffe17 ldw r2,-8(fp) - 3fe8: 10800c17 ldw r2,48(r2) - 3fec: 18800526 beq r3,r2,4004 - 3ff0: e0bffe17 ldw r2,-8(fp) - 3ff4: 10c00917 ldw r3,36(r2) - 3ff8: e0bffe17 ldw r2,-8(fp) - 3ffc: 10800117 ldw r2,4(r2) - 4000: 18bff136 bltu r3,r2,3fc8 <__alt_data_end+0xfffe3fc8> + 3fe0: 10800117 ldw r2,4(r2) + 3fe4: 18bff136 bltu r3,r2,3fac if (flags & O_NONBLOCK) { return -EWOULDBLOCK; } } return 0; - 4004: 0005883a mov r2,zero + 3fe8: 0005883a mov r2,zero } - 4008: e037883a mov sp,fp - 400c: df000017 ldw fp,0(sp) - 4010: dec00104 addi sp,sp,4 - 4014: f800283a ret + 3fec: e037883a mov sp,fp + 3ff0: df000017 ldw fp,0(sp) + 3ff4: dec00104 addi sp,sp,4 + 3ff8: f800283a ret -00004018 : +00003ffc : /* ----------------------------------------------------------- */ int altera_avalon_jtag_uart_ioctl(altera_avalon_jtag_uart_state* sp, int req, void* arg) { - 4018: defffa04 addi sp,sp,-24 - 401c: df000515 stw fp,20(sp) - 4020: df000504 addi fp,sp,20 - 4024: e13ffd15 stw r4,-12(fp) - 4028: e17ffe15 stw r5,-8(fp) - 402c: e1bfff15 stw r6,-4(fp) + 3ffc: defffa04 addi sp,sp,-24 + 4000: df000515 stw fp,20(sp) + 4004: df000504 addi fp,sp,20 + 4008: e13ffd15 stw r4,-12(fp) + 400c: e17ffe15 stw r5,-8(fp) + 4010: e1bfff15 stw r6,-4(fp) int rc = -ENOTTY; - 4030: 00bff9c4 movi r2,-25 - 4034: e0bffb15 stw r2,-20(fp) + 4014: 00bff9c4 movi r2,-25 + 4018: e0bffb15 stw r2,-20(fp) switch (req) - 4038: e0bffe17 ldw r2,-8(fp) - 403c: 10da8060 cmpeqi r3,r2,27137 - 4040: 1800031e bne r3,zero,4050 - 4044: 109a80a0 cmpeqi r2,r2,27138 - 4048: 1000181e bne r2,zero,40ac + 401c: e0bffe17 ldw r2,-8(fp) + 4020: 10da8060 cmpeqi r3,r2,27137 + 4024: 1800031e bne r3,zero,4034 + 4028: 109a80a0 cmpeqi r2,r2,27138 + 402c: 1000181e bne r2,zero,4090 rc = 0; } break; default: break; - 404c: 00002906 br 40f4 + 4030: 00002906 br 40d8 switch (req) { case TIOCSTIMEOUT: /* Set the time to wait until assuming host is not connected */ if (sp->timeout != INT_MAX) - 4050: e0bffd17 ldw r2,-12(fp) - 4054: 10c00117 ldw r3,4(r2) - 4058: 00a00034 movhi r2,32768 - 405c: 10bfffc4 addi r2,r2,-1 - 4060: 18802126 beq r3,r2,40e8 + 4034: e0bffd17 ldw r2,-12(fp) + 4038: 10c00117 ldw r3,4(r2) + 403c: 00a00034 movhi r2,32768 + 4040: 10bfffc4 addi r2,r2,-1 + 4044: 18802126 beq r3,r2,40cc { int timeout = *((int *)arg); - 4064: e0bfff17 ldw r2,-4(fp) - 4068: 10800017 ldw r2,0(r2) - 406c: e0bffc15 stw r2,-16(fp) + 4048: e0bfff17 ldw r2,-4(fp) + 404c: 10800017 ldw r2,0(r2) + 4050: e0bffc15 stw r2,-16(fp) sp->timeout = (timeout >= 2 && timeout < INT_MAX) ? timeout : INT_MAX - 1; + 4054: e0bffc17 ldw r2,-16(fp) + 4058: 10800090 cmplti r2,r2,2 + 405c: 1000061e bne r2,zero,4078 + 4060: e0fffc17 ldw r3,-16(fp) + 4064: 00a00034 movhi r2,32768 + 4068: 10bfffc4 addi r2,r2,-1 + 406c: 18800226 beq r3,r2,4078 4070: e0bffc17 ldw r2,-16(fp) - 4074: 10800090 cmplti r2,r2,2 - 4078: 1000061e bne r2,zero,4094 - 407c: e0fffc17 ldw r3,-16(fp) - 4080: 00a00034 movhi r2,32768 - 4084: 10bfffc4 addi r2,r2,-1 - 4088: 18800226 beq r3,r2,4094 - 408c: e0bffc17 ldw r2,-16(fp) - 4090: 00000206 br 409c - 4094: 00a00034 movhi r2,32768 - 4098: 10bfff84 addi r2,r2,-2 - 409c: e0fffd17 ldw r3,-12(fp) - 40a0: 18800115 stw r2,4(r3) + 4074: 00000206 br 4080 + 4078: 00a00034 movhi r2,32768 + 407c: 10bfff84 addi r2,r2,-2 + 4080: e0fffd17 ldw r3,-12(fp) + 4084: 18800115 stw r2,4(r3) rc = 0; - 40a4: e03ffb15 stw zero,-20(fp) + 4088: e03ffb15 stw zero,-20(fp) } break; - 40a8: 00000f06 br 40e8 + 408c: 00000f06 br 40cc case TIOCGCONNECTED: /* Find out whether host is connected */ if (sp->timeout != INT_MAX) - 40ac: e0bffd17 ldw r2,-12(fp) - 40b0: 10c00117 ldw r3,4(r2) - 40b4: 00a00034 movhi r2,32768 - 40b8: 10bfffc4 addi r2,r2,-1 - 40bc: 18800c26 beq r3,r2,40f0 + 4090: e0bffd17 ldw r2,-12(fp) + 4094: 10c00117 ldw r3,4(r2) + 4098: 00a00034 movhi r2,32768 + 409c: 10bfffc4 addi r2,r2,-1 + 40a0: 18800c26 beq r3,r2,40d4 { *((int *)arg) = (sp->host_inactive < sp->timeout) ? 1 : 0; - 40c0: e0bffd17 ldw r2,-12(fp) - 40c4: 10c00917 ldw r3,36(r2) - 40c8: e0bffd17 ldw r2,-12(fp) - 40cc: 10800117 ldw r2,4(r2) - 40d0: 1885803a cmpltu r2,r3,r2 - 40d4: 10c03fcc andi r3,r2,255 - 40d8: e0bfff17 ldw r2,-4(fp) - 40dc: 10c00015 stw r3,0(r2) + 40a4: e0bffd17 ldw r2,-12(fp) + 40a8: 10c00917 ldw r3,36(r2) + 40ac: e0bffd17 ldw r2,-12(fp) + 40b0: 10800117 ldw r2,4(r2) + 40b4: 1885803a cmpltu r2,r3,r2 + 40b8: 10c03fcc andi r3,r2,255 + 40bc: e0bfff17 ldw r2,-4(fp) + 40c0: 10c00015 stw r3,0(r2) rc = 0; - 40e0: e03ffb15 stw zero,-20(fp) + 40c4: e03ffb15 stw zero,-20(fp) } break; - 40e4: 00000206 br 40f0 + 40c8: 00000206 br 40d4 { int timeout = *((int *)arg); sp->timeout = (timeout >= 2 && timeout < INT_MAX) ? timeout : INT_MAX - 1; rc = 0; } break; - 40e8: 0001883a nop - 40ec: 00000106 br 40f4 + 40cc: 0001883a nop + 40d0: 00000106 br 40d8 if (sp->timeout != INT_MAX) { *((int *)arg) = (sp->host_inactive < sp->timeout) ? 1 : 0; rc = 0; } break; - 40f0: 0001883a nop + 40d4: 0001883a nop default: break; } return rc; - 40f4: e0bffb17 ldw r2,-20(fp) + 40d8: e0bffb17 ldw r2,-20(fp) } - 40f8: e037883a mov sp,fp - 40fc: df000017 ldw fp,0(sp) - 4100: dec00104 addi sp,sp,4 - 4104: f800283a ret + 40dc: e037883a mov sp,fp + 40e0: df000017 ldw fp,0(sp) + 40e4: dec00104 addi sp,sp,4 + 40e8: f800283a ret -00004108 : +000040ec : /* ----------------------------------------------------------- */ int altera_avalon_jtag_uart_read(altera_avalon_jtag_uart_state* sp, char * buffer, int space, int flags) { - 4108: defff304 addi sp,sp,-52 - 410c: dfc00c15 stw ra,48(sp) - 4110: df000b15 stw fp,44(sp) - 4114: df000b04 addi fp,sp,44 - 4118: e13ffc15 stw r4,-16(fp) - 411c: e17ffd15 stw r5,-12(fp) - 4120: e1bffe15 stw r6,-8(fp) - 4124: e1ffff15 stw r7,-4(fp) + 40ec: defff304 addi sp,sp,-52 + 40f0: dfc00c15 stw ra,48(sp) + 40f4: df000b15 stw fp,44(sp) + 40f8: df000b04 addi fp,sp,44 + 40fc: e13ffc15 stw r4,-16(fp) + 4100: e17ffd15 stw r5,-12(fp) + 4104: e1bffe15 stw r6,-8(fp) + 4108: e1ffff15 stw r7,-4(fp) char * ptr = buffer; - 4128: e0bffd17 ldw r2,-12(fp) - 412c: e0bff515 stw r2,-44(fp) + 410c: e0bffd17 ldw r2,-12(fp) + 4110: e0bff515 stw r2,-44(fp) * When running in a multi threaded environment, obtain the "read_lock" * semaphore. This ensures that reading from the device is thread-safe. */ ALT_SEM_PEND (sp->read_lock, 0); while (space > 0) - 4130: 00004706 br 4250 + 4114: 00004706 br 4234 unsigned int in, out; /* Read as much data as possible */ do { in = sp->rx_in; - 4134: e0bffc17 ldw r2,-16(fp) - 4138: 10800a17 ldw r2,40(r2) - 413c: e0bff715 stw r2,-36(fp) + 4118: e0bffc17 ldw r2,-16(fp) + 411c: 10800a17 ldw r2,40(r2) + 4120: e0bff715 stw r2,-36(fp) out = sp->rx_out; - 4140: e0bffc17 ldw r2,-16(fp) - 4144: 10800b17 ldw r2,44(r2) - 4148: e0bff815 stw r2,-32(fp) + 4124: e0bffc17 ldw r2,-16(fp) + 4128: 10800b17 ldw r2,44(r2) + 412c: e0bff815 stw r2,-32(fp) if (in >= out) - 414c: e0fff717 ldw r3,-36(fp) - 4150: e0bff817 ldw r2,-32(fp) - 4154: 18800536 bltu r3,r2,416c + 4130: e0fff717 ldw r3,-36(fp) + 4134: e0bff817 ldw r2,-32(fp) + 4138: 18800536 bltu r3,r2,4150 n = in - out; - 4158: e0fff717 ldw r3,-36(fp) - 415c: e0bff817 ldw r2,-32(fp) - 4160: 1885c83a sub r2,r3,r2 - 4164: e0bff615 stw r2,-40(fp) - 4168: 00000406 br 417c + 413c: e0fff717 ldw r3,-36(fp) + 4140: e0bff817 ldw r2,-32(fp) + 4144: 1885c83a sub r2,r3,r2 + 4148: e0bff615 stw r2,-40(fp) + 414c: 00000406 br 4160 else n = ALTERA_AVALON_JTAG_UART_BUF_LEN - out; - 416c: 00c20004 movi r3,2048 - 4170: e0bff817 ldw r2,-32(fp) - 4174: 1885c83a sub r2,r3,r2 - 4178: e0bff615 stw r2,-40(fp) + 4150: 00c20004 movi r3,2048 + 4154: e0bff817 ldw r2,-32(fp) + 4158: 1885c83a sub r2,r3,r2 + 415c: e0bff615 stw r2,-40(fp) if (n == 0) - 417c: e0bff617 ldw r2,-40(fp) - 4180: 10001e26 beq r2,zero,41fc + 4160: e0bff617 ldw r2,-40(fp) + 4164: 10001e26 beq r2,zero,41e0 break; /* No more data available */ if (n > space) - 4184: e0fffe17 ldw r3,-8(fp) - 4188: e0bff617 ldw r2,-40(fp) - 418c: 1880022e bgeu r3,r2,4198 + 4168: e0fffe17 ldw r3,-8(fp) + 416c: e0bff617 ldw r2,-40(fp) + 4170: 1880022e bgeu r3,r2,417c n = space; - 4190: e0bffe17 ldw r2,-8(fp) - 4194: e0bff615 stw r2,-40(fp) + 4174: e0bffe17 ldw r2,-8(fp) + 4178: e0bff615 stw r2,-40(fp) memcpy(ptr, sp->rx_buf + out, n); - 4198: e0bffc17 ldw r2,-16(fp) - 419c: 10c00e04 addi r3,r2,56 - 41a0: e0bff817 ldw r2,-32(fp) - 41a4: 1885883a add r2,r3,r2 - 41a8: e1bff617 ldw r6,-40(fp) - 41ac: 100b883a mov r5,r2 - 41b0: e13ff517 ldw r4,-44(fp) - 41b4: 00017940 call 1794 + 417c: e0bffc17 ldw r2,-16(fp) + 4180: 10c00e04 addi r3,r2,56 + 4184: e0bff817 ldw r2,-32(fp) + 4188: 1885883a add r2,r3,r2 + 418c: e1bff617 ldw r6,-40(fp) + 4190: 100b883a mov r5,r2 + 4194: e13ff517 ldw r4,-44(fp) + 4198: 00017780 call 1778 ptr += n; - 41b8: e0fff517 ldw r3,-44(fp) - 41bc: e0bff617 ldw r2,-40(fp) - 41c0: 1885883a add r2,r3,r2 - 41c4: e0bff515 stw r2,-44(fp) + 419c: e0fff517 ldw r3,-44(fp) + 41a0: e0bff617 ldw r2,-40(fp) + 41a4: 1885883a add r2,r3,r2 + 41a8: e0bff515 stw r2,-44(fp) space -= n; - 41c8: e0fffe17 ldw r3,-8(fp) - 41cc: e0bff617 ldw r2,-40(fp) - 41d0: 1885c83a sub r2,r3,r2 - 41d4: e0bffe15 stw r2,-8(fp) + 41ac: e0fffe17 ldw r3,-8(fp) + 41b0: e0bff617 ldw r2,-40(fp) + 41b4: 1885c83a sub r2,r3,r2 + 41b8: e0bffe15 stw r2,-8(fp) sp->rx_out = (out + n) % ALTERA_AVALON_JTAG_UART_BUF_LEN; - 41d8: e0fff817 ldw r3,-32(fp) - 41dc: e0bff617 ldw r2,-40(fp) - 41e0: 1885883a add r2,r3,r2 - 41e4: 10c1ffcc andi r3,r2,2047 - 41e8: e0bffc17 ldw r2,-16(fp) - 41ec: 10c00b15 stw r3,44(r2) + 41bc: e0fff817 ldw r3,-32(fp) + 41c0: e0bff617 ldw r2,-40(fp) + 41c4: 1885883a add r2,r3,r2 + 41c8: 10c1ffcc andi r3,r2,2047 + 41cc: e0bffc17 ldw r2,-16(fp) + 41d0: 10c00b15 stw r3,44(r2) } while (space > 0); - 41f0: e0bffe17 ldw r2,-8(fp) - 41f4: 00bfcf16 blt zero,r2,4134 <__alt_data_end+0xfffe4134> - 41f8: 00000106 br 4200 + 41d4: e0bffe17 ldw r2,-8(fp) + 41d8: 00bfcf16 blt zero,r2,4118 + 41dc: 00000106 br 41e4 n = in - out; else n = ALTERA_AVALON_JTAG_UART_BUF_LEN - out; if (n == 0) break; /* No more data available */ - 41fc: 0001883a nop + 41e0: 0001883a nop sp->rx_out = (out + n) % ALTERA_AVALON_JTAG_UART_BUF_LEN; } while (space > 0); /* If we read any data then return it */ if (ptr != buffer) - 4200: e0fff517 ldw r3,-44(fp) - 4204: e0bffd17 ldw r2,-12(fp) - 4208: 1880141e bne r3,r2,425c + 41e4: e0fff517 ldw r3,-44(fp) + 41e8: e0bffd17 ldw r2,-12(fp) + 41ec: 1880141e bne r3,r2,4240 break; /* If in non-blocking mode then return error */ if (flags & O_NONBLOCK) - 420c: e0bfff17 ldw r2,-4(fp) - 4210: 1090000c andi r2,r2,16384 - 4214: 1000131e bne r2,zero,4264 + 41f0: e0bfff17 ldw r2,-4(fp) + 41f4: 1090000c andi r2,r2,16384 + 41f8: 1000131e bne r2,zero,4248 while (in == sp->rx_in && sp->host_inactive < sp->timeout) ; } #else /* No OS: Always spin */ while (in == sp->rx_in && sp->host_inactive < sp->timeout) - 4218: 0001883a nop - 421c: e0bffc17 ldw r2,-16(fp) - 4220: 10c00a17 ldw r3,40(r2) - 4224: e0bff717 ldw r2,-36(fp) - 4228: 1880051e bne r3,r2,4240 - 422c: e0bffc17 ldw r2,-16(fp) - 4230: 10c00917 ldw r3,36(r2) - 4234: e0bffc17 ldw r2,-16(fp) - 4238: 10800117 ldw r2,4(r2) - 423c: 18bff736 bltu r3,r2,421c <__alt_data_end+0xfffe421c> + 41fc: 0001883a nop + 4200: e0bffc17 ldw r2,-16(fp) + 4204: 10c00a17 ldw r3,40(r2) + 4208: e0bff717 ldw r2,-36(fp) + 420c: 1880051e bne r3,r2,4224 + 4210: e0bffc17 ldw r2,-16(fp) + 4214: 10c00917 ldw r3,36(r2) + 4218: e0bffc17 ldw r2,-16(fp) + 421c: 10800117 ldw r2,4(r2) + 4220: 18bff736 bltu r3,r2,4200 ; #endif /* __ucosii__ */ if (in == sp->rx_in) - 4240: e0bffc17 ldw r2,-16(fp) - 4244: 10c00a17 ldw r3,40(r2) - 4248: e0bff717 ldw r2,-36(fp) - 424c: 18800726 beq r3,r2,426c + 4224: e0bffc17 ldw r2,-16(fp) + 4228: 10c00a17 ldw r3,40(r2) + 422c: e0bff717 ldw r2,-36(fp) + 4230: 18800726 beq r3,r2,4250 * When running in a multi threaded environment, obtain the "read_lock" * semaphore. This ensures that reading from the device is thread-safe. */ ALT_SEM_PEND (sp->read_lock, 0); while (space > 0) - 4250: e0bffe17 ldw r2,-8(fp) - 4254: 00bfb716 blt zero,r2,4134 <__alt_data_end+0xfffe4134> - 4258: 00000506 br 4270 + 4234: e0bffe17 ldw r2,-8(fp) + 4238: 00bfb716 blt zero,r2,4118 + 423c: 00000506 br 4254 } while (space > 0); /* If we read any data then return it */ if (ptr != buffer) break; - 425c: 0001883a nop - 4260: 00000306 br 4270 + 4240: 0001883a nop + 4244: 00000306 br 4254 /* If in non-blocking mode then return error */ if (flags & O_NONBLOCK) break; - 4264: 0001883a nop - 4268: 00000106 br 4270 + 4248: 0001883a nop + 424c: 00000106 br 4254 while (in == sp->rx_in && sp->host_inactive < sp->timeout) ; #endif /* __ucosii__ */ if (in == sp->rx_in) break; - 426c: 0001883a nop + 4250: 0001883a nop * semaphore so that other threads can access the buffer. */ ALT_SEM_POST (sp->read_lock); if (ptr != buffer) - 4270: e0fff517 ldw r3,-44(fp) - 4274: e0bffd17 ldw r2,-12(fp) - 4278: 18801826 beq r3,r2,42dc + 4254: e0fff517 ldw r3,-44(fp) + 4258: e0bffd17 ldw r2,-12(fp) + 425c: 18801826 beq r3,r2,42c0 static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 427c: 0005303a rdctl r2,status - 4280: e0bffb15 stw r2,-20(fp) + 4260: 0005303a rdctl r2,status + 4264: e0bffb15 stw r2,-20(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 4284: e0fffb17 ldw r3,-20(fp) - 4288: 00bfff84 movi r2,-2 - 428c: 1884703a and r2,r3,r2 - 4290: 1001703a wrctl status,r2 + 4268: e0fffb17 ldw r3,-20(fp) + 426c: 00bfff84 movi r2,-2 + 4270: 1884703a and r2,r3,r2 + 4274: 1001703a wrctl status,r2 return context; - 4294: e0bffb17 ldw r2,-20(fp) + 4278: e0bffb17 ldw r2,-20(fp) { /* If we read any data then there is space in the buffer so enable interrupts */ context = alt_irq_disable_all(); - 4298: e0bffa15 stw r2,-24(fp) + 427c: e0bffa15 stw r2,-24(fp) sp->irq_enable |= ALTERA_AVALON_JTAG_UART_CONTROL_RE_MSK; - 429c: e0bffc17 ldw r2,-16(fp) - 42a0: 10800817 ldw r2,32(r2) - 42a4: 10c00054 ori r3,r2,1 - 42a8: e0bffc17 ldw r2,-16(fp) - 42ac: 10c00815 stw r3,32(r2) + 4280: e0bffc17 ldw r2,-16(fp) + 4284: 10800817 ldw r2,32(r2) + 4288: 10c00054 ori r3,r2,1 + 428c: e0bffc17 ldw r2,-16(fp) + 4290: 10c00815 stw r3,32(r2) IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base, sp->irq_enable); - 42b0: e0bffc17 ldw r2,-16(fp) - 42b4: 10800017 ldw r2,0(r2) - 42b8: 10800104 addi r2,r2,4 - 42bc: 1007883a mov r3,r2 - 42c0: e0bffc17 ldw r2,-16(fp) - 42c4: 10800817 ldw r2,32(r2) - 42c8: 18800035 stwio r2,0(r3) - 42cc: e0bffa17 ldw r2,-24(fp) - 42d0: e0bff915 stw r2,-28(fp) + 4294: e0bffc17 ldw r2,-16(fp) + 4298: 10800017 ldw r2,0(r2) + 429c: 10800104 addi r2,r2,4 + 42a0: 1007883a mov r3,r2 + 42a4: e0bffc17 ldw r2,-16(fp) + 42a8: 10800817 ldw r2,32(r2) + 42ac: 18800035 stwio r2,0(r3) + 42b0: e0bffa17 ldw r2,-24(fp) + 42b4: e0bff915 stw r2,-28(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 42d4: e0bff917 ldw r2,-28(fp) - 42d8: 1001703a wrctl status,r2 + 42b8: e0bff917 ldw r2,-28(fp) + 42bc: 1001703a wrctl status,r2 alt_irq_enable_all(context); } if (ptr != buffer) - 42dc: e0fff517 ldw r3,-44(fp) - 42e0: e0bffd17 ldw r2,-12(fp) - 42e4: 18800426 beq r3,r2,42f8 + 42c0: e0fff517 ldw r3,-44(fp) + 42c4: e0bffd17 ldw r2,-12(fp) + 42c8: 18800426 beq r3,r2,42dc return ptr - buffer; - 42e8: e0fff517 ldw r3,-44(fp) - 42ec: e0bffd17 ldw r2,-12(fp) - 42f0: 1885c83a sub r2,r3,r2 - 42f4: 00000606 br 4310 + 42cc: e0fff517 ldw r3,-44(fp) + 42d0: e0bffd17 ldw r2,-12(fp) + 42d4: 1885c83a sub r2,r3,r2 + 42d8: 00000606 br 42f4 else if (flags & O_NONBLOCK) - 42f8: e0bfff17 ldw r2,-4(fp) - 42fc: 1090000c andi r2,r2,16384 - 4300: 10000226 beq r2,zero,430c + 42dc: e0bfff17 ldw r2,-4(fp) + 42e0: 1090000c andi r2,r2,16384 + 42e4: 10000226 beq r2,zero,42f0 return -EWOULDBLOCK; - 4304: 00bffd44 movi r2,-11 - 4308: 00000106 br 4310 + 42e8: 00bffd44 movi r2,-11 + 42ec: 00000106 br 42f4 else return -EIO; - 430c: 00bffec4 movi r2,-5 + 42f0: 00bffec4 movi r2,-5 } - 4310: e037883a mov sp,fp - 4314: dfc00117 ldw ra,4(sp) - 4318: df000017 ldw fp,0(sp) - 431c: dec00204 addi sp,sp,8 - 4320: f800283a ret + 42f4: e037883a mov sp,fp + 42f8: dfc00117 ldw ra,4(sp) + 42fc: df000017 ldw fp,0(sp) + 4300: dec00204 addi sp,sp,8 + 4304: f800283a ret -00004324 : +00004308 : /* ----------------------------------------------------------- */ int altera_avalon_jtag_uart_write(altera_avalon_jtag_uart_state* sp, const char * ptr, int count, int flags) { - 4324: defff304 addi sp,sp,-52 - 4328: dfc00c15 stw ra,48(sp) - 432c: df000b15 stw fp,44(sp) - 4330: df000b04 addi fp,sp,44 - 4334: e13ffc15 stw r4,-16(fp) - 4338: e17ffd15 stw r5,-12(fp) - 433c: e1bffe15 stw r6,-8(fp) - 4340: e1ffff15 stw r7,-4(fp) + 4308: defff304 addi sp,sp,-52 + 430c: dfc00c15 stw ra,48(sp) + 4310: df000b15 stw fp,44(sp) + 4314: df000b04 addi fp,sp,44 + 4318: e13ffc15 stw r4,-16(fp) + 431c: e17ffd15 stw r5,-12(fp) + 4320: e1bffe15 stw r6,-8(fp) + 4324: e1ffff15 stw r7,-4(fp) /* Remove warning at optimisation level 03 by seting out to 0 */ unsigned int in, out=0; - 4344: e03ff515 stw zero,-44(fp) + 4328: e03ff515 stw zero,-44(fp) unsigned int n; alt_irq_context context; const char * start = ptr; - 4348: e0bffd17 ldw r2,-12(fp) - 434c: e0bff715 stw r2,-36(fp) + 432c: e0bffd17 ldw r2,-12(fp) + 4330: e0bff715 stw r2,-36(fp) ALT_SEM_PEND (sp->write_lock, 0); do { /* Copy as much as we can into the transmit buffer */ while (count > 0) - 4350: 00003706 br 4430 + 4334: 00003706 br 4414 { /* We need a stable value of the out pointer to calculate the space available */ in = sp->tx_in; - 4354: e0bffc17 ldw r2,-16(fp) - 4358: 10800c17 ldw r2,48(r2) - 435c: e0bff915 stw r2,-28(fp) + 4338: e0bffc17 ldw r2,-16(fp) + 433c: 10800c17 ldw r2,48(r2) + 4340: e0bff915 stw r2,-28(fp) out = sp->tx_out; - 4360: e0bffc17 ldw r2,-16(fp) - 4364: 10800d17 ldw r2,52(r2) - 4368: e0bff515 stw r2,-44(fp) + 4344: e0bffc17 ldw r2,-16(fp) + 4348: 10800d17 ldw r2,52(r2) + 434c: e0bff515 stw r2,-44(fp) if (in < out) - 436c: e0fff917 ldw r3,-28(fp) - 4370: e0bff517 ldw r2,-44(fp) - 4374: 1880062e bgeu r3,r2,4390 + 4350: e0fff917 ldw r3,-28(fp) + 4354: e0bff517 ldw r2,-44(fp) + 4358: 1880062e bgeu r3,r2,4374 n = out - 1 - in; - 4378: e0fff517 ldw r3,-44(fp) - 437c: e0bff917 ldw r2,-28(fp) - 4380: 1885c83a sub r2,r3,r2 - 4384: 10bfffc4 addi r2,r2,-1 - 4388: e0bff615 stw r2,-40(fp) - 438c: 00000b06 br 43bc + 435c: e0fff517 ldw r3,-44(fp) + 4360: e0bff917 ldw r2,-28(fp) + 4364: 1885c83a sub r2,r3,r2 + 4368: 10bfffc4 addi r2,r2,-1 + 436c: e0bff615 stw r2,-40(fp) + 4370: 00000b06 br 43a0 else if (out > 0) - 4390: e0bff517 ldw r2,-44(fp) - 4394: 10000526 beq r2,zero,43ac + 4374: e0bff517 ldw r2,-44(fp) + 4378: 10000526 beq r2,zero,4390 n = ALTERA_AVALON_JTAG_UART_BUF_LEN - in; - 4398: 00c20004 movi r3,2048 - 439c: e0bff917 ldw r2,-28(fp) - 43a0: 1885c83a sub r2,r3,r2 - 43a4: e0bff615 stw r2,-40(fp) - 43a8: 00000406 br 43bc + 437c: 00c20004 movi r3,2048 + 4380: e0bff917 ldw r2,-28(fp) + 4384: 1885c83a sub r2,r3,r2 + 4388: e0bff615 stw r2,-40(fp) + 438c: 00000406 br 43a0 else n = ALTERA_AVALON_JTAG_UART_BUF_LEN - 1 - in; - 43ac: 00c1ffc4 movi r3,2047 - 43b0: e0bff917 ldw r2,-28(fp) - 43b4: 1885c83a sub r2,r3,r2 - 43b8: e0bff615 stw r2,-40(fp) + 4390: 00c1ffc4 movi r3,2047 + 4394: e0bff917 ldw r2,-28(fp) + 4398: 1885c83a sub r2,r3,r2 + 439c: e0bff615 stw r2,-40(fp) if (n == 0) - 43bc: e0bff617 ldw r2,-40(fp) - 43c0: 10001e26 beq r2,zero,443c + 43a0: e0bff617 ldw r2,-40(fp) + 43a4: 10001e26 beq r2,zero,4420 break; if (n > count) - 43c4: e0fffe17 ldw r3,-8(fp) - 43c8: e0bff617 ldw r2,-40(fp) - 43cc: 1880022e bgeu r3,r2,43d8 + 43a8: e0fffe17 ldw r3,-8(fp) + 43ac: e0bff617 ldw r2,-40(fp) + 43b0: 1880022e bgeu r3,r2,43bc n = count; - 43d0: e0bffe17 ldw r2,-8(fp) - 43d4: e0bff615 stw r2,-40(fp) + 43b4: e0bffe17 ldw r2,-8(fp) + 43b8: e0bff615 stw r2,-40(fp) memcpy(sp->tx_buf + in, ptr, n); - 43d8: e0bffc17 ldw r2,-16(fp) - 43dc: 10c20e04 addi r3,r2,2104 - 43e0: e0bff917 ldw r2,-28(fp) - 43e4: 1885883a add r2,r3,r2 - 43e8: e1bff617 ldw r6,-40(fp) - 43ec: e17ffd17 ldw r5,-12(fp) - 43f0: 1009883a mov r4,r2 - 43f4: 00017940 call 1794 + 43bc: e0bffc17 ldw r2,-16(fp) + 43c0: 10c20e04 addi r3,r2,2104 + 43c4: e0bff917 ldw r2,-28(fp) + 43c8: 1885883a add r2,r3,r2 + 43cc: e1bff617 ldw r6,-40(fp) + 43d0: e17ffd17 ldw r5,-12(fp) + 43d4: 1009883a mov r4,r2 + 43d8: 00017780 call 1778 ptr += n; - 43f8: e0fffd17 ldw r3,-12(fp) - 43fc: e0bff617 ldw r2,-40(fp) - 4400: 1885883a add r2,r3,r2 - 4404: e0bffd15 stw r2,-12(fp) + 43dc: e0fffd17 ldw r3,-12(fp) + 43e0: e0bff617 ldw r2,-40(fp) + 43e4: 1885883a add r2,r3,r2 + 43e8: e0bffd15 stw r2,-12(fp) count -= n; - 4408: e0fffe17 ldw r3,-8(fp) - 440c: e0bff617 ldw r2,-40(fp) - 4410: 1885c83a sub r2,r3,r2 - 4414: e0bffe15 stw r2,-8(fp) + 43ec: e0fffe17 ldw r3,-8(fp) + 43f0: e0bff617 ldw r2,-40(fp) + 43f4: 1885c83a sub r2,r3,r2 + 43f8: e0bffe15 stw r2,-8(fp) sp->tx_in = (in + n) % ALTERA_AVALON_JTAG_UART_BUF_LEN; - 4418: e0fff917 ldw r3,-28(fp) - 441c: e0bff617 ldw r2,-40(fp) - 4420: 1885883a add r2,r3,r2 - 4424: 10c1ffcc andi r3,r2,2047 - 4428: e0bffc17 ldw r2,-16(fp) - 442c: 10c00c15 stw r3,48(r2) + 43fc: e0fff917 ldw r3,-28(fp) + 4400: e0bff617 ldw r2,-40(fp) + 4404: 1885883a add r2,r3,r2 + 4408: 10c1ffcc andi r3,r2,2047 + 440c: e0bffc17 ldw r2,-16(fp) + 4410: 10c00c15 stw r3,48(r2) ALT_SEM_PEND (sp->write_lock, 0); do { /* Copy as much as we can into the transmit buffer */ while (count > 0) - 4430: e0bffe17 ldw r2,-8(fp) - 4434: 00bfc716 blt zero,r2,4354 <__alt_data_end+0xfffe4354> - 4438: 00000106 br 4440 + 4414: e0bffe17 ldw r2,-8(fp) + 4418: 00bfc716 blt zero,r2,4338 + 441c: 00000106 br 4424 n = ALTERA_AVALON_JTAG_UART_BUF_LEN - in; else n = ALTERA_AVALON_JTAG_UART_BUF_LEN - 1 - in; if (n == 0) break; - 443c: 0001883a nop + 4420: 0001883a nop static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 4440: 0005303a rdctl r2,status - 4444: e0bffb15 stw r2,-20(fp) + 4424: 0005303a rdctl r2,status + 4428: e0bffb15 stw r2,-20(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 4448: e0fffb17 ldw r3,-20(fp) - 444c: 00bfff84 movi r2,-2 - 4450: 1884703a and r2,r3,r2 - 4454: 1001703a wrctl status,r2 + 442c: e0fffb17 ldw r3,-20(fp) + 4430: 00bfff84 movi r2,-2 + 4434: 1884703a and r2,r3,r2 + 4438: 1001703a wrctl status,r2 return context; - 4458: e0bffb17 ldw r2,-20(fp) + 443c: e0bffb17 ldw r2,-20(fp) * to enable interrupts if there is no space left in the FIFO * * For now kick the interrupt routine every time to make it transmit * the data */ context = alt_irq_disable_all(); - 445c: e0bffa15 stw r2,-24(fp) + 4440: e0bffa15 stw r2,-24(fp) sp->irq_enable |= ALTERA_AVALON_JTAG_UART_CONTROL_WE_MSK; - 4460: e0bffc17 ldw r2,-16(fp) - 4464: 10800817 ldw r2,32(r2) - 4468: 10c00094 ori r3,r2,2 - 446c: e0bffc17 ldw r2,-16(fp) - 4470: 10c00815 stw r3,32(r2) + 4444: e0bffc17 ldw r2,-16(fp) + 4448: 10800817 ldw r2,32(r2) + 444c: 10c00094 ori r3,r2,2 + 4450: e0bffc17 ldw r2,-16(fp) + 4454: 10c00815 stw r3,32(r2) IOWR_ALTERA_AVALON_JTAG_UART_CONTROL(sp->base, sp->irq_enable); - 4474: e0bffc17 ldw r2,-16(fp) - 4478: 10800017 ldw r2,0(r2) - 447c: 10800104 addi r2,r2,4 - 4480: 1007883a mov r3,r2 - 4484: e0bffc17 ldw r2,-16(fp) - 4488: 10800817 ldw r2,32(r2) - 448c: 18800035 stwio r2,0(r3) - 4490: e0bffa17 ldw r2,-24(fp) - 4494: e0bff815 stw r2,-32(fp) + 4458: e0bffc17 ldw r2,-16(fp) + 445c: 10800017 ldw r2,0(r2) + 4460: 10800104 addi r2,r2,4 + 4464: 1007883a mov r3,r2 + 4468: e0bffc17 ldw r2,-16(fp) + 446c: 10800817 ldw r2,32(r2) + 4470: 18800035 stwio r2,0(r3) + 4474: e0bffa17 ldw r2,-24(fp) + 4478: e0bff815 stw r2,-32(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 4498: e0bff817 ldw r2,-32(fp) - 449c: 1001703a wrctl status,r2 + 447c: e0bff817 ldw r2,-32(fp) + 4480: 1001703a wrctl status,r2 /* * If there is any data left then either return now or block until * some has been sent */ /* consider: test whether there is anything there while doing this and delay for at most 2s. */ if (count > 0) - 44a0: e0bffe17 ldw r2,-8(fp) - 44a4: 0080100e bge zero,r2,44e8 + 4484: e0bffe17 ldw r2,-8(fp) + 4488: 0080100e bge zero,r2,44cc { if (flags & O_NONBLOCK) - 44a8: e0bfff17 ldw r2,-4(fp) - 44ac: 1090000c andi r2,r2,16384 - 44b0: 1000101e bne r2,zero,44f4 + 448c: e0bfff17 ldw r2,-4(fp) + 4490: 1090000c andi r2,r2,16384 + 4494: 1000101e bne r2,zero,44d8 /* * No OS present: Always wait for data to be removed from buffer. Once * the interrupt routine has removed some data then we will be able to * insert some more. */ while (out == sp->tx_out && sp->host_inactive < sp->timeout) - 44b4: 0001883a nop - 44b8: e0bffc17 ldw r2,-16(fp) - 44bc: 10c00d17 ldw r3,52(r2) - 44c0: e0bff517 ldw r2,-44(fp) - 44c4: 1880051e bne r3,r2,44dc - 44c8: e0bffc17 ldw r2,-16(fp) - 44cc: 10c00917 ldw r3,36(r2) - 44d0: e0bffc17 ldw r2,-16(fp) - 44d4: 10800117 ldw r2,4(r2) - 44d8: 18bff736 bltu r3,r2,44b8 <__alt_data_end+0xfffe44b8> + 4498: 0001883a nop + 449c: e0bffc17 ldw r2,-16(fp) + 44a0: 10c00d17 ldw r3,52(r2) + 44a4: e0bff517 ldw r2,-44(fp) + 44a8: 1880051e bne r3,r2,44c0 + 44ac: e0bffc17 ldw r2,-16(fp) + 44b0: 10c00917 ldw r3,36(r2) + 44b4: e0bffc17 ldw r2,-16(fp) + 44b8: 10800117 ldw r2,4(r2) + 44bc: 18bff736 bltu r3,r2,449c ; #endif /* __ucosii__ */ if (sp->host_inactive) - 44dc: e0bffc17 ldw r2,-16(fp) - 44e0: 10800917 ldw r2,36(r2) - 44e4: 1000051e bne r2,zero,44fc + 44c0: e0bffc17 ldw r2,-16(fp) + 44c4: 10800917 ldw r2,36(r2) + 44c8: 1000051e bne r2,zero,44e0 break; } } while (count > 0); - 44e8: e0bffe17 ldw r2,-8(fp) - 44ec: 00bfd016 blt zero,r2,4430 <__alt_data_end+0xfffe4430> - 44f0: 00000306 br 4500 + 44cc: e0bffe17 ldw r2,-8(fp) + 44d0: 00bfd016 blt zero,r2,4414 + 44d4: 00000306 br 44e4 */ /* consider: test whether there is anything there while doing this and delay for at most 2s. */ if (count > 0) { if (flags & O_NONBLOCK) break; - 44f4: 0001883a nop - 44f8: 00000106 br 4500 + 44d8: 0001883a nop + 44dc: 00000106 br 44e4 while (out == sp->tx_out && sp->host_inactive < sp->timeout) ; #endif /* __ucosii__ */ if (sp->host_inactive) break; - 44fc: 0001883a nop + 44e0: 0001883a nop * Now that access to the circular buffer is complete, release the write * semaphore so that other threads can access the buffer. */ ALT_SEM_POST (sp->write_lock); if (ptr != start) - 4500: e0fffd17 ldw r3,-12(fp) - 4504: e0bff717 ldw r2,-36(fp) - 4508: 18800426 beq r3,r2,451c + 44e4: e0fffd17 ldw r3,-12(fp) + 44e8: e0bff717 ldw r2,-36(fp) + 44ec: 18800426 beq r3,r2,4500 return ptr - start; - 450c: e0fffd17 ldw r3,-12(fp) - 4510: e0bff717 ldw r2,-36(fp) - 4514: 1885c83a sub r2,r3,r2 - 4518: 00000606 br 4534 + 44f0: e0fffd17 ldw r3,-12(fp) + 44f4: e0bff717 ldw r2,-36(fp) + 44f8: 1885c83a sub r2,r3,r2 + 44fc: 00000606 br 4518 else if (flags & O_NONBLOCK) - 451c: e0bfff17 ldw r2,-4(fp) - 4520: 1090000c andi r2,r2,16384 - 4524: 10000226 beq r2,zero,4530 + 4500: e0bfff17 ldw r2,-4(fp) + 4504: 1090000c andi r2,r2,16384 + 4508: 10000226 beq r2,zero,4514 return -EWOULDBLOCK; - 4528: 00bffd44 movi r2,-11 - 452c: 00000106 br 4534 + 450c: 00bffd44 movi r2,-11 + 4510: 00000106 br 4518 sp->tx_out = sp->tx_in = 0; return ptr - start + count; } #endif else return -EIO; /* Host not connected */ - 4530: 00bffec4 movi r2,-5 + 4514: 00bffec4 movi r2,-5 } - 4534: e037883a mov sp,fp - 4538: dfc00117 ldw ra,4(sp) - 453c: df000017 ldw fp,0(sp) - 4540: dec00204 addi sp,sp,8 - 4544: f800283a ret + 4518: e037883a mov sp,fp + 451c: dfc00117 ldw ra,4(sp) + 4520: df000017 ldw fp,0(sp) + 4524: dec00204 addi sp,sp,8 + 4528: f800283a ret -00004548 : +0000452c : #ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT static void alt_avalon_timer_sc_irq (void* base) #else static void alt_avalon_timer_sc_irq (void* base, alt_u32 id) #endif { - 4548: defffa04 addi sp,sp,-24 - 454c: dfc00515 stw ra,20(sp) - 4550: df000415 stw fp,16(sp) - 4554: df000404 addi fp,sp,16 - 4558: e13fff15 stw r4,-4(fp) + 452c: defffa04 addi sp,sp,-24 + 4530: dfc00515 stw ra,20(sp) + 4534: df000415 stw fp,16(sp) + 4538: df000404 addi fp,sp,16 + 453c: e13fff15 stw r4,-4(fp) alt_irq_context cpu_sr; /* clear the interrupt */ IOWR_ALTERA_AVALON_TIMER_STATUS (base, 0); - 455c: 0007883a mov r3,zero - 4560: e0bfff17 ldw r2,-4(fp) - 4564: 10c00035 stwio r3,0(r2) + 4540: 0007883a mov r3,zero + 4544: e0bfff17 ldw r2,-4(fp) + 4548: 10c00035 stwio r3,0(r2) /* * Dummy read to ensure IRQ is negated before the ISR returns. * The control register is read because reading the status * register has side-effects per the register map documentation. */ IORD_ALTERA_AVALON_TIMER_CONTROL (base); - 4568: e0bfff17 ldw r2,-4(fp) - 456c: 10800104 addi r2,r2,4 - 4570: 10800037 ldwio r2,0(r2) + 454c: e0bfff17 ldw r2,-4(fp) + 4550: 10800104 addi r2,r2,4 + 4554: 10800037 ldwio r2,0(r2) static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 4574: 0005303a rdctl r2,status - 4578: e0bffd15 stw r2,-12(fp) + 4558: 0005303a rdctl r2,status + 455c: e0bffd15 stw r2,-12(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 457c: e0fffd17 ldw r3,-12(fp) - 4580: 00bfff84 movi r2,-2 - 4584: 1884703a and r2,r3,r2 - 4588: 1001703a wrctl status,r2 + 4560: e0fffd17 ldw r3,-12(fp) + 4564: 00bfff84 movi r2,-2 + 4568: 1884703a and r2,r3,r2 + 456c: 1001703a wrctl status,r2 return context; - 458c: e0bffd17 ldw r2,-12(fp) + 4570: e0bffd17 ldw r2,-12(fp) /* * Notify the system of a clock tick. disable interrupts * during this time to safely support ISR preemption */ cpu_sr = alt_irq_disable_all(); - 4590: e0bffc15 stw r2,-16(fp) + 4574: e0bffc15 stw r2,-16(fp) alt_tick (); - 4594: 00050280 call 5028 - 4598: e0bffc17 ldw r2,-16(fp) - 459c: e0bffe15 stw r2,-8(fp) + 4578: 000500c0 call 500c + 457c: e0bffc17 ldw r2,-16(fp) + 4580: e0bffe15 stw r2,-8(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 45a0: e0bffe17 ldw r2,-8(fp) - 45a4: 1001703a wrctl status,r2 + 4584: e0bffe17 ldw r2,-8(fp) + 4588: 1001703a wrctl status,r2 alt_irq_enable_all(cpu_sr); } - 45a8: 0001883a nop - 45ac: e037883a mov sp,fp - 45b0: dfc00117 ldw ra,4(sp) - 45b4: df000017 ldw fp,0(sp) - 45b8: dec00204 addi sp,sp,8 - 45bc: f800283a ret + 458c: 0001883a nop + 4590: e037883a mov sp,fp + 4594: dfc00117 ldw ra,4(sp) + 4598: df000017 ldw fp,0(sp) + 459c: dec00204 addi sp,sp,8 + 45a0: f800283a ret -000045c0 : +000045a4 : * auto-generated alt_sys_init() function. */ void alt_avalon_timer_sc_init (void* base, alt_u32 irq_controller_id, alt_u32 irq, alt_u32 freq) { - 45c0: defff804 addi sp,sp,-32 - 45c4: dfc00715 stw ra,28(sp) - 45c8: df000615 stw fp,24(sp) - 45cc: df000604 addi fp,sp,24 - 45d0: e13ffc15 stw r4,-16(fp) - 45d4: e17ffd15 stw r5,-12(fp) - 45d8: e1bffe15 stw r6,-8(fp) - 45dc: e1ffff15 stw r7,-4(fp) - 45e0: e0bfff17 ldw r2,-4(fp) - 45e4: e0bffb15 stw r2,-20(fp) + 45a4: defff804 addi sp,sp,-32 + 45a8: dfc00715 stw ra,28(sp) + 45ac: df000615 stw fp,24(sp) + 45b0: df000604 addi fp,sp,24 + 45b4: e13ffc15 stw r4,-16(fp) + 45b8: e17ffd15 stw r5,-12(fp) + 45bc: e1bffe15 stw r6,-8(fp) + 45c0: e1ffff15 stw r7,-4(fp) + 45c4: e0bfff17 ldw r2,-4(fp) + 45c8: e0bffb15 stw r2,-20(fp) * in order to initialise the value of the clock frequency. */ static ALT_INLINE int ALT_ALWAYS_INLINE alt_sysclk_init (alt_u32 nticks) { if (! _alt_tick_rate) - 45e8: d0a01617 ldw r2,-32680(gp) - 45ec: 1000021e bne r2,zero,45f8 + 45cc: d0a01617 ldw r2,-32680(gp) + 45d0: 1000021e bne r2,zero,45dc { _alt_tick_rate = nticks; - 45f0: e0bffb17 ldw r2,-20(fp) - 45f4: d0a01615 stw r2,-32680(gp) + 45d4: e0bffb17 ldw r2,-20(fp) + 45d8: d0a01615 stw r2,-32680(gp) alt_sysclk_init (freq); /* set to free running mode */ IOWR_ALTERA_AVALON_TIMER_CONTROL (base, - 45f8: e0bffc17 ldw r2,-16(fp) - 45fc: 10800104 addi r2,r2,4 - 4600: 00c001c4 movi r3,7 - 4604: 10c00035 stwio r3,0(r2) + 45dc: e0bffc17 ldw r2,-16(fp) + 45e0: 10800104 addi r2,r2,4 + 45e4: 00c001c4 movi r3,7 + 45e8: 10c00035 stwio r3,0(r2) ALTERA_AVALON_TIMER_CONTROL_CONT_MSK | ALTERA_AVALON_TIMER_CONTROL_START_MSK); /* register the interrupt handler, and enable the interrupt */ #ifdef ALT_ENHANCED_INTERRUPT_API_PRESENT alt_ic_isr_register(irq_controller_id, irq, alt_avalon_timer_sc_irq, - 4608: d8000015 stw zero,0(sp) - 460c: e1fffc17 ldw r7,-16(fp) - 4610: 01800034 movhi r6,0 - 4614: 31915204 addi r6,r6,17736 - 4618: e17ffe17 ldw r5,-8(fp) - 461c: e13ffd17 ldw r4,-12(fp) - 4620: 00049080 call 4908 + 45ec: d8000015 stw zero,0(sp) + 45f0: e1fffc17 ldw r7,-16(fp) + 45f4: 01800034 movhi r6,0 + 45f8: 31914b04 addi r6,r6,17708 + 45fc: e17ffe17 ldw r5,-8(fp) + 4600: e13ffd17 ldw r4,-12(fp) + 4604: 00048ec0 call 48ec base, NULL); #else alt_irq_register (irq, base, alt_avalon_timer_sc_irq); #endif } - 4624: 0001883a nop - 4628: e037883a mov sp,fp - 462c: dfc00117 ldw ra,4(sp) - 4630: df000017 ldw fp,0(sp) - 4634: dec00204 addi sp,sp,8 - 4638: f800283a ret + 4608: 0001883a nop + 460c: e037883a mov sp,fp + 4610: dfc00117 ldw ra,4(sp) + 4614: df000017 ldw fp,0(sp) + 4618: dec00204 addi sp,sp,8 + 461c: f800283a ret -0000463c : +00004620 : */ int alt_alarm_start (alt_alarm* alarm, alt_u32 nticks, alt_u32 (*callback) (void* context), void* context) { - 463c: defff504 addi sp,sp,-44 - 4640: df000a15 stw fp,40(sp) - 4644: df000a04 addi fp,sp,40 - 4648: e13ffc15 stw r4,-16(fp) - 464c: e17ffd15 stw r5,-12(fp) - 4650: e1bffe15 stw r6,-8(fp) - 4654: e1ffff15 stw r7,-4(fp) + 4620: defff504 addi sp,sp,-44 + 4624: df000a15 stw fp,40(sp) + 4628: df000a04 addi fp,sp,40 + 462c: e13ffc15 stw r4,-16(fp) + 4630: e17ffd15 stw r5,-12(fp) + 4634: e1bffe15 stw r6,-8(fp) + 4638: e1ffff15 stw r7,-4(fp) alt_irq_context irq_context; alt_u32 current_nticks = 0; - 4658: e03ff615 stw zero,-40(fp) + 463c: e03ff615 stw zero,-40(fp) * Obtain the system clock rate in ticks/s. */ static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_ticks_per_second (void) { return _alt_tick_rate; - 465c: d0a01617 ldw r2,-32680(gp) + 4640: d0a01617 ldw r2,-32680(gp) if (alt_ticks_per_second ()) - 4660: 10003c26 beq r2,zero,4754 + 4644: 10003c26 beq r2,zero,4738 { if (alarm) - 4664: e0bffc17 ldw r2,-16(fp) - 4668: 10003826 beq r2,zero,474c + 4648: e0bffc17 ldw r2,-16(fp) + 464c: 10003826 beq r2,zero,4730 { alarm->callback = callback; - 466c: e0bffc17 ldw r2,-16(fp) - 4670: e0fffe17 ldw r3,-8(fp) - 4674: 10c00315 stw r3,12(r2) + 4650: e0bffc17 ldw r2,-16(fp) + 4654: e0fffe17 ldw r3,-8(fp) + 4658: 10c00315 stw r3,12(r2) alarm->context = context; - 4678: e0bffc17 ldw r2,-16(fp) - 467c: e0ffff17 ldw r3,-4(fp) - 4680: 10c00515 stw r3,20(r2) + 465c: e0bffc17 ldw r2,-16(fp) + 4660: e0ffff17 ldw r3,-4(fp) + 4664: 10c00515 stw r3,20(r2) static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 4684: 0005303a rdctl r2,status - 4688: e0bff915 stw r2,-28(fp) + 4668: 0005303a rdctl r2,status + 466c: e0bff915 stw r2,-28(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 468c: e0fff917 ldw r3,-28(fp) - 4690: 00bfff84 movi r2,-2 - 4694: 1884703a and r2,r3,r2 - 4698: 1001703a wrctl status,r2 + 4670: e0fff917 ldw r3,-28(fp) + 4674: 00bfff84 movi r2,-2 + 4678: 1884703a and r2,r3,r2 + 467c: 1001703a wrctl status,r2 return context; - 469c: e0bff917 ldw r2,-28(fp) + 4680: e0bff917 ldw r2,-28(fp) irq_context = alt_irq_disable_all (); - 46a0: e0bff815 stw r2,-32(fp) + 4684: e0bff815 stw r2,-32(fp) * alt_nticks() returns the elapsed number of system clock ticks since reset. */ static ALT_INLINE alt_u32 ALT_ALWAYS_INLINE alt_nticks (void) { return _alt_nticks; - 46a4: d0a01717 ldw r2,-32676(gp) + 4688: d0a01717 ldw r2,-32676(gp) current_nticks = alt_nticks(); - 46a8: e0bff615 stw r2,-40(fp) + 468c: e0bff615 stw r2,-40(fp) alarm->time = nticks + current_nticks + 1; - 46ac: e0fffd17 ldw r3,-12(fp) - 46b0: e0bff617 ldw r2,-40(fp) - 46b4: 1885883a add r2,r3,r2 - 46b8: 10c00044 addi r3,r2,1 - 46bc: e0bffc17 ldw r2,-16(fp) - 46c0: 10c00215 stw r3,8(r2) + 4690: e0fffd17 ldw r3,-12(fp) + 4694: e0bff617 ldw r2,-40(fp) + 4698: 1885883a add r2,r3,r2 + 469c: 10c00044 addi r3,r2,1 + 46a0: e0bffc17 ldw r2,-16(fp) + 46a4: 10c00215 stw r3,8(r2) /* * If the desired alarm time causes a roll-over, set the rollover * flag. This will prevent the subsequent tick event from causing * an alarm too early. */ if(alarm->time < current_nticks) - 46c4: e0bffc17 ldw r2,-16(fp) - 46c8: 10c00217 ldw r3,8(r2) - 46cc: e0bff617 ldw r2,-40(fp) - 46d0: 1880042e bgeu r3,r2,46e4 + 46a8: e0bffc17 ldw r2,-16(fp) + 46ac: 10c00217 ldw r3,8(r2) + 46b0: e0bff617 ldw r2,-40(fp) + 46b4: 1880042e bgeu r3,r2,46c8 { alarm->rollover = 1; - 46d4: e0bffc17 ldw r2,-16(fp) - 46d8: 00c00044 movi r3,1 - 46dc: 10c00405 stb r3,16(r2) - 46e0: 00000206 br 46ec + 46b8: e0bffc17 ldw r2,-16(fp) + 46bc: 00c00044 movi r3,1 + 46c0: 10c00405 stb r3,16(r2) + 46c4: 00000206 br 46d0 } else { alarm->rollover = 0; - 46e4: e0bffc17 ldw r2,-16(fp) - 46e8: 10000405 stb zero,16(r2) + 46c8: e0bffc17 ldw r2,-16(fp) + 46cc: 10000405 stb zero,16(r2) } alt_llist_insert (&alt_alarm_list, &alarm->llist); - 46ec: e0bffc17 ldw r2,-16(fp) - 46f0: d0e00c04 addi r3,gp,-32720 - 46f4: e0fffa15 stw r3,-24(fp) - 46f8: e0bffb15 stw r2,-20(fp) + 46d0: e0bffc17 ldw r2,-16(fp) + 46d4: d0e00c04 addi r3,gp,-32720 + 46d8: e0fffa15 stw r3,-24(fp) + 46dc: e0bffb15 stw r2,-20(fp) */ static ALT_INLINE void ALT_ALWAYS_INLINE alt_llist_insert(alt_llist* list, alt_llist* entry) { entry->previous = list; - 46fc: e0bffb17 ldw r2,-20(fp) - 4700: e0fffa17 ldw r3,-24(fp) - 4704: 10c00115 stw r3,4(r2) + 46e0: e0bffb17 ldw r2,-20(fp) + 46e4: e0fffa17 ldw r3,-24(fp) + 46e8: 10c00115 stw r3,4(r2) entry->next = list->next; - 4708: e0bffa17 ldw r2,-24(fp) - 470c: 10c00017 ldw r3,0(r2) - 4710: e0bffb17 ldw r2,-20(fp) - 4714: 10c00015 stw r3,0(r2) + 46ec: e0bffa17 ldw r2,-24(fp) + 46f0: 10c00017 ldw r3,0(r2) + 46f4: e0bffb17 ldw r2,-20(fp) + 46f8: 10c00015 stw r3,0(r2) list->next->previous = entry; - 4718: e0bffa17 ldw r2,-24(fp) - 471c: 10800017 ldw r2,0(r2) - 4720: e0fffb17 ldw r3,-20(fp) - 4724: 10c00115 stw r3,4(r2) + 46fc: e0bffa17 ldw r2,-24(fp) + 4700: 10800017 ldw r2,0(r2) + 4704: e0fffb17 ldw r3,-20(fp) + 4708: 10c00115 stw r3,4(r2) list->next = entry; - 4728: e0bffa17 ldw r2,-24(fp) - 472c: e0fffb17 ldw r3,-20(fp) - 4730: 10c00015 stw r3,0(r2) - 4734: e0bff817 ldw r2,-32(fp) - 4738: e0bff715 stw r2,-36(fp) + 470c: e0bffa17 ldw r2,-24(fp) + 4710: e0fffb17 ldw r3,-20(fp) + 4714: 10c00015 stw r3,0(r2) + 4718: e0bff817 ldw r2,-32(fp) + 471c: e0bff715 stw r2,-36(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 473c: e0bff717 ldw r2,-36(fp) - 4740: 1001703a wrctl status,r2 + 4720: e0bff717 ldw r2,-36(fp) + 4724: 1001703a wrctl status,r2 alt_irq_enable_all (irq_context); return 0; - 4744: 0005883a mov r2,zero - 4748: 00000306 br 4758 + 4728: 0005883a mov r2,zero + 472c: 00000306 br 473c } else { return -EINVAL; - 474c: 00bffa84 movi r2,-22 - 4750: 00000106 br 4758 + 4730: 00bffa84 movi r2,-22 + 4734: 00000106 br 473c } } else { return -ENOTSUP; - 4754: 00bfde84 movi r2,-134 + 4738: 00bfde84 movi r2,-134 } } - 4758: e037883a mov sp,fp - 475c: df000017 ldw fp,0(sp) - 4760: dec00104 addi sp,sp,4 - 4764: f800283a ret + 473c: e037883a mov sp,fp + 4740: df000017 ldw fp,0(sp) + 4744: dec00104 addi sp,sp,4 + 4748: f800283a ret -00004768 : +0000474c : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 4768: defffe04 addi sp,sp,-8 - 476c: dfc00115 stw ra,4(sp) - 4770: df000015 stw fp,0(sp) - 4774: d839883a mov fp,sp + 474c: defffe04 addi sp,sp,-8 + 4750: dfc00115 stw ra,4(sp) + 4754: df000015 stw fp,0(sp) + 4758: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 4778: d0a00917 ldw r2,-32732(gp) - 477c: 10000326 beq r2,zero,478c - 4780: d0a00917 ldw r2,-32732(gp) - 4784: 103ee83a callr r2 - 4788: 00000106 br 4790 - 478c: d0a01104 addi r2,gp,-32700 + 475c: d0a00917 ldw r2,-32732(gp) + 4760: 10000326 beq r2,zero,4770 + 4764: d0a00917 ldw r2,-32732(gp) + 4768: 103ee83a callr r2 + 476c: 00000106 br 4774 + 4770: d0a01104 addi r2,gp,-32700 } - 4790: e037883a mov sp,fp - 4794: dfc00117 ldw ra,4(sp) - 4798: df000017 ldw fp,0(sp) - 479c: dec00204 addi sp,sp,8 - 47a0: f800283a ret + 4774: e037883a mov sp,fp + 4778: dfc00117 ldw ra,4(sp) + 477c: df000017 ldw fp,0(sp) + 4780: dec00204 addi sp,sp,8 + 4784: f800283a ret -000047a4 : +00004788 : /* * */ int alt_dev_llist_insert (alt_dev_llist* dev, alt_llist* list) { - 47a4: defffa04 addi sp,sp,-24 - 47a8: dfc00515 stw ra,20(sp) - 47ac: df000415 stw fp,16(sp) - 47b0: df000404 addi fp,sp,16 - 47b4: e13ffe15 stw r4,-8(fp) - 47b8: e17fff15 stw r5,-4(fp) + 4788: defffa04 addi sp,sp,-24 + 478c: dfc00515 stw ra,20(sp) + 4790: df000415 stw fp,16(sp) + 4794: df000404 addi fp,sp,16 + 4798: e13ffe15 stw r4,-8(fp) + 479c: e17fff15 stw r5,-4(fp) /* * check that the device exists, and that it has a valid name. */ if (!dev || !dev->name) - 47bc: e0bffe17 ldw r2,-8(fp) - 47c0: 10000326 beq r2,zero,47d0 - 47c4: e0bffe17 ldw r2,-8(fp) - 47c8: 10800217 ldw r2,8(r2) - 47cc: 1000061e bne r2,zero,47e8 + 47a0: e0bffe17 ldw r2,-8(fp) + 47a4: 10000326 beq r2,zero,47b4 + 47a8: e0bffe17 ldw r2,-8(fp) + 47ac: 10800217 ldw r2,8(r2) + 47b0: 1000061e bne r2,zero,47cc { ALT_ERRNO = EINVAL; - 47d0: 00047680 call 4768 - 47d4: 1007883a mov r3,r2 - 47d8: 00800584 movi r2,22 - 47dc: 18800015 stw r2,0(r3) + 47b4: 000474c0 call 474c + 47b8: 1007883a mov r3,r2 + 47bc: 00800584 movi r2,22 + 47c0: 18800015 stw r2,0(r3) return -EINVAL; - 47e0: 00bffa84 movi r2,-22 - 47e4: 00001306 br 4834 + 47c4: 00bffa84 movi r2,-22 + 47c8: 00001306 br 4818 /* * register the device. */ alt_llist_insert(list, &dev->llist); - 47e8: e0bffe17 ldw r2,-8(fp) - 47ec: e0ffff17 ldw r3,-4(fp) - 47f0: e0fffc15 stw r3,-16(fp) - 47f4: e0bffd15 stw r2,-12(fp) + 47cc: e0bffe17 ldw r2,-8(fp) + 47d0: e0ffff17 ldw r3,-4(fp) + 47d4: e0fffc15 stw r3,-16(fp) + 47d8: e0bffd15 stw r2,-12(fp) */ static ALT_INLINE void ALT_ALWAYS_INLINE alt_llist_insert(alt_llist* list, alt_llist* entry) { entry->previous = list; - 47f8: e0bffd17 ldw r2,-12(fp) - 47fc: e0fffc17 ldw r3,-16(fp) - 4800: 10c00115 stw r3,4(r2) + 47dc: e0bffd17 ldw r2,-12(fp) + 47e0: e0fffc17 ldw r3,-16(fp) + 47e4: 10c00115 stw r3,4(r2) entry->next = list->next; - 4804: e0bffc17 ldw r2,-16(fp) - 4808: 10c00017 ldw r3,0(r2) - 480c: e0bffd17 ldw r2,-12(fp) - 4810: 10c00015 stw r3,0(r2) + 47e8: e0bffc17 ldw r2,-16(fp) + 47ec: 10c00017 ldw r3,0(r2) + 47f0: e0bffd17 ldw r2,-12(fp) + 47f4: 10c00015 stw r3,0(r2) list->next->previous = entry; - 4814: e0bffc17 ldw r2,-16(fp) - 4818: 10800017 ldw r2,0(r2) - 481c: e0fffd17 ldw r3,-12(fp) - 4820: 10c00115 stw r3,4(r2) + 47f8: e0bffc17 ldw r2,-16(fp) + 47fc: 10800017 ldw r2,0(r2) + 4800: e0fffd17 ldw r3,-12(fp) + 4804: 10c00115 stw r3,4(r2) list->next = entry; - 4824: e0bffc17 ldw r2,-16(fp) - 4828: e0fffd17 ldw r3,-12(fp) - 482c: 10c00015 stw r3,0(r2) + 4808: e0bffc17 ldw r2,-16(fp) + 480c: e0fffd17 ldw r3,-12(fp) + 4810: 10c00015 stw r3,0(r2) return 0; - 4830: 0005883a mov r2,zero + 4814: 0005883a mov r2,zero } - 4834: e037883a mov sp,fp - 4838: dfc00117 ldw ra,4(sp) - 483c: df000017 ldw fp,0(sp) - 4840: dec00204 addi sp,sp,8 - 4844: f800283a ret + 4818: e037883a mov sp,fp + 481c: dfc00117 ldw ra,4(sp) + 4820: df000017 ldw fp,0(sp) + 4824: dec00204 addi sp,sp,8 + 4828: f800283a ret -00004848 <_do_ctors>: +0000482c <_do_ctors>: /* * Run the C++ static constructors. */ void _do_ctors(void) { - 4848: defffd04 addi sp,sp,-12 - 484c: dfc00215 stw ra,8(sp) - 4850: df000115 stw fp,4(sp) - 4854: df000104 addi fp,sp,4 + 482c: defffd04 addi sp,sp,-12 + 4830: dfc00215 stw ra,8(sp) + 4834: df000115 stw fp,4(sp) + 4838: df000104 addi fp,sp,4 constructor* ctor; for (ctor = &__CTOR_END__[-1]; ctor >= __CTOR_LIST__; ctor--) - 4858: 00800034 movhi r2,0 - 485c: 1095f704 addi r2,r2,22492 - 4860: e0bfff15 stw r2,-4(fp) - 4864: 00000606 br 4880 <_do_ctors+0x38> + 483c: 00800034 movhi r2,0 + 4840: 1095f004 addi r2,r2,22464 + 4844: e0bfff15 stw r2,-4(fp) + 4848: 00000606 br 4864 <_do_ctors+0x38> (*ctor) (); - 4868: e0bfff17 ldw r2,-4(fp) - 486c: 10800017 ldw r2,0(r2) - 4870: 103ee83a callr r2 + 484c: e0bfff17 ldw r2,-4(fp) + 4850: 10800017 ldw r2,0(r2) + 4854: 103ee83a callr r2 void _do_ctors(void) { constructor* ctor; for (ctor = &__CTOR_END__[-1]; ctor >= __CTOR_LIST__; ctor--) - 4874: e0bfff17 ldw r2,-4(fp) - 4878: 10bfff04 addi r2,r2,-4 - 487c: e0bfff15 stw r2,-4(fp) - 4880: e0ffff17 ldw r3,-4(fp) - 4884: 00800034 movhi r2,0 - 4888: 1095f804 addi r2,r2,22496 - 488c: 18bff62e bgeu r3,r2,4868 <__alt_data_end+0xfffe4868> + 4858: e0bfff17 ldw r2,-4(fp) + 485c: 10bfff04 addi r2,r2,-4 + 4860: e0bfff15 stw r2,-4(fp) + 4864: e0ffff17 ldw r3,-4(fp) + 4868: 00800034 movhi r2,0 + 486c: 1095f104 addi r2,r2,22468 + 4870: 18bff62e bgeu r3,r2,484c <_do_ctors+0x20> (*ctor) (); } - 4890: 0001883a nop - 4894: e037883a mov sp,fp - 4898: dfc00117 ldw ra,4(sp) - 489c: df000017 ldw fp,0(sp) - 48a0: dec00204 addi sp,sp,8 - 48a4: f800283a ret + 4874: 0001883a nop + 4878: e037883a mov sp,fp + 487c: dfc00117 ldw ra,4(sp) + 4880: df000017 ldw fp,0(sp) + 4884: dec00204 addi sp,sp,8 + 4888: f800283a ret -000048a8 <_do_dtors>: +0000488c <_do_dtors>: /* * Run the C++ static destructors. */ void _do_dtors(void) { - 48a8: defffd04 addi sp,sp,-12 - 48ac: dfc00215 stw ra,8(sp) - 48b0: df000115 stw fp,4(sp) - 48b4: df000104 addi fp,sp,4 + 488c: defffd04 addi sp,sp,-12 + 4890: dfc00215 stw ra,8(sp) + 4894: df000115 stw fp,4(sp) + 4898: df000104 addi fp,sp,4 destructor* dtor; for (dtor = &__DTOR_END__[-1]; dtor >= __DTOR_LIST__; dtor--) - 48b8: 00800034 movhi r2,0 - 48bc: 1095f704 addi r2,r2,22492 - 48c0: e0bfff15 stw r2,-4(fp) - 48c4: 00000606 br 48e0 <_do_dtors+0x38> + 489c: 00800034 movhi r2,0 + 48a0: 1095f004 addi r2,r2,22464 + 48a4: e0bfff15 stw r2,-4(fp) + 48a8: 00000606 br 48c4 <_do_dtors+0x38> (*dtor) (); - 48c8: e0bfff17 ldw r2,-4(fp) - 48cc: 10800017 ldw r2,0(r2) - 48d0: 103ee83a callr r2 + 48ac: e0bfff17 ldw r2,-4(fp) + 48b0: 10800017 ldw r2,0(r2) + 48b4: 103ee83a callr r2 void _do_dtors(void) { destructor* dtor; for (dtor = &__DTOR_END__[-1]; dtor >= __DTOR_LIST__; dtor--) - 48d4: e0bfff17 ldw r2,-4(fp) - 48d8: 10bfff04 addi r2,r2,-4 - 48dc: e0bfff15 stw r2,-4(fp) - 48e0: e0ffff17 ldw r3,-4(fp) - 48e4: 00800034 movhi r2,0 - 48e8: 1095f804 addi r2,r2,22496 - 48ec: 18bff62e bgeu r3,r2,48c8 <__alt_data_end+0xfffe48c8> + 48b8: e0bfff17 ldw r2,-4(fp) + 48bc: 10bfff04 addi r2,r2,-4 + 48c0: e0bfff15 stw r2,-4(fp) + 48c4: e0ffff17 ldw r3,-4(fp) + 48c8: 00800034 movhi r2,0 + 48cc: 1095f104 addi r2,r2,22468 + 48d0: 18bff62e bgeu r3,r2,48ac <_do_dtors+0x20> (*dtor) (); } - 48f0: 0001883a nop - 48f4: e037883a mov sp,fp - 48f8: dfc00117 ldw ra,4(sp) - 48fc: df000017 ldw fp,0(sp) - 4900: dec00204 addi sp,sp,8 - 4904: f800283a ret + 48d4: 0001883a nop + 48d8: e037883a mov sp,fp + 48dc: dfc00117 ldw ra,4(sp) + 48e0: df000017 ldw fp,0(sp) + 48e4: dec00204 addi sp,sp,8 + 48e8: f800283a ret -00004908 : +000048ec : * @param irq IRQ number * @return 0 if successful, else error (-1) */ int alt_ic_isr_register(alt_u32 ic_id, alt_u32 irq, alt_isr_func isr, void *isr_context, void *flags) { - 4908: defff904 addi sp,sp,-28 - 490c: dfc00615 stw ra,24(sp) - 4910: df000515 stw fp,20(sp) - 4914: df000504 addi fp,sp,20 - 4918: e13ffc15 stw r4,-16(fp) - 491c: e17ffd15 stw r5,-12(fp) - 4920: e1bffe15 stw r6,-8(fp) - 4924: e1ffff15 stw r7,-4(fp) + 48ec: defff904 addi sp,sp,-28 + 48f0: dfc00615 stw ra,24(sp) + 48f4: df000515 stw fp,20(sp) + 48f8: df000504 addi fp,sp,20 + 48fc: e13ffc15 stw r4,-16(fp) + 4900: e17ffd15 stw r5,-12(fp) + 4904: e1bffe15 stw r6,-8(fp) + 4908: e1ffff15 stw r7,-4(fp) return alt_iic_isr_register(ic_id, irq, isr, isr_context, flags); - 4928: e0800217 ldw r2,8(fp) - 492c: d8800015 stw r2,0(sp) - 4930: e1ffff17 ldw r7,-4(fp) - 4934: e1bffe17 ldw r6,-8(fp) - 4938: e17ffd17 ldw r5,-12(fp) - 493c: e13ffc17 ldw r4,-16(fp) - 4940: 0004ab80 call 4ab8 + 490c: e0800217 ldw r2,8(fp) + 4910: d8800015 stw r2,0(sp) + 4914: e1ffff17 ldw r7,-4(fp) + 4918: e1bffe17 ldw r6,-8(fp) + 491c: e17ffd17 ldw r5,-12(fp) + 4920: e13ffc17 ldw r4,-16(fp) + 4924: 0004a9c0 call 4a9c } - 4944: e037883a mov sp,fp - 4948: dfc00117 ldw ra,4(sp) - 494c: df000017 ldw fp,0(sp) - 4950: dec00204 addi sp,sp,8 - 4954: f800283a ret + 4928: e037883a mov sp,fp + 492c: dfc00117 ldw ra,4(sp) + 4930: df000017 ldw fp,0(sp) + 4934: dec00204 addi sp,sp,8 + 4938: f800283a ret -00004958 : +0000493c : * @param ic_id Ignored. * @param irq IRQ number * @return 0 if successful, else error (-1) */ int alt_ic_irq_enable (alt_u32 ic_id, alt_u32 irq) { - 4958: defff904 addi sp,sp,-28 - 495c: df000615 stw fp,24(sp) - 4960: df000604 addi fp,sp,24 - 4964: e13ffe15 stw r4,-8(fp) - 4968: e17fff15 stw r5,-4(fp) - 496c: e0bfff17 ldw r2,-4(fp) - 4970: e0bffa15 stw r2,-24(fp) + 493c: defff904 addi sp,sp,-28 + 4940: df000615 stw fp,24(sp) + 4944: df000604 addi fp,sp,24 + 4948: e13ffe15 stw r4,-8(fp) + 494c: e17fff15 stw r5,-4(fp) + 4950: e0bfff17 ldw r2,-4(fp) + 4954: e0bffa15 stw r2,-24(fp) static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 4974: 0005303a rdctl r2,status - 4978: e0bffb15 stw r2,-20(fp) + 4958: 0005303a rdctl r2,status + 495c: e0bffb15 stw r2,-20(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 497c: e0fffb17 ldw r3,-20(fp) - 4980: 00bfff84 movi r2,-2 - 4984: 1884703a and r2,r3,r2 - 4988: 1001703a wrctl status,r2 + 4960: e0fffb17 ldw r3,-20(fp) + 4964: 00bfff84 movi r2,-2 + 4968: 1884703a and r2,r3,r2 + 496c: 1001703a wrctl status,r2 return context; - 498c: e0bffb17 ldw r2,-20(fp) + 4970: e0bffb17 ldw r2,-20(fp) static ALT_INLINE int ALT_ALWAYS_INLINE alt_irq_enable (alt_u32 id) { alt_irq_context status; extern volatile alt_u32 alt_irq_active; status = alt_irq_disable_all (); - 4990: e0bffc15 stw r2,-16(fp) + 4974: e0bffc15 stw r2,-16(fp) alt_irq_active |= (1 << id); - 4994: 00c00044 movi r3,1 - 4998: e0bffa17 ldw r2,-24(fp) - 499c: 1884983a sll r2,r3,r2 - 49a0: 1007883a mov r3,r2 - 49a4: d0a01517 ldw r2,-32684(gp) - 49a8: 1884b03a or r2,r3,r2 - 49ac: d0a01515 stw r2,-32684(gp) + 4978: 00c00044 movi r3,1 + 497c: e0bffa17 ldw r2,-24(fp) + 4980: 1884983a sll r2,r3,r2 + 4984: 1007883a mov r3,r2 + 4988: d0a01517 ldw r2,-32684(gp) + 498c: 1884b03a or r2,r3,r2 + 4990: d0a01515 stw r2,-32684(gp) NIOS2_WRITE_IENABLE (alt_irq_active); - 49b0: d0a01517 ldw r2,-32684(gp) - 49b4: 100170fa wrctl ienable,r2 - 49b8: e0bffc17 ldw r2,-16(fp) - 49bc: e0bffd15 stw r2,-12(fp) + 4994: d0a01517 ldw r2,-32684(gp) + 4998: 100170fa wrctl ienable,r2 + 499c: e0bffc17 ldw r2,-16(fp) + 49a0: e0bffd15 stw r2,-12(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 49c0: e0bffd17 ldw r2,-12(fp) - 49c4: 1001703a wrctl status,r2 + 49a4: e0bffd17 ldw r2,-12(fp) + 49a8: 1001703a wrctl status,r2 alt_irq_enable_all(status); return 0; - 49c8: 0005883a mov r2,zero + 49ac: 0005883a mov r2,zero return alt_irq_enable(irq); - 49cc: 0001883a nop + 49b0: 0001883a nop } - 49d0: e037883a mov sp,fp - 49d4: df000017 ldw fp,0(sp) - 49d8: dec00104 addi sp,sp,4 - 49dc: f800283a ret + 49b4: e037883a mov sp,fp + 49b8: df000017 ldw fp,0(sp) + 49bc: dec00104 addi sp,sp,4 + 49c0: f800283a ret -000049e0 : +000049c4 : * @param ic_id Ignored. * @param irq IRQ number * @return 0 if successful, else error (-1) */ int alt_ic_irq_disable(alt_u32 ic_id, alt_u32 irq) { - 49e0: defff904 addi sp,sp,-28 - 49e4: df000615 stw fp,24(sp) - 49e8: df000604 addi fp,sp,24 - 49ec: e13ffe15 stw r4,-8(fp) - 49f0: e17fff15 stw r5,-4(fp) - 49f4: e0bfff17 ldw r2,-4(fp) - 49f8: e0bffa15 stw r2,-24(fp) + 49c4: defff904 addi sp,sp,-28 + 49c8: df000615 stw fp,24(sp) + 49cc: df000604 addi fp,sp,24 + 49d0: e13ffe15 stw r4,-8(fp) + 49d4: e17fff15 stw r5,-4(fp) + 49d8: e0bfff17 ldw r2,-4(fp) + 49dc: e0bffa15 stw r2,-24(fp) static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 49fc: 0005303a rdctl r2,status - 4a00: e0bffb15 stw r2,-20(fp) + 49e0: 0005303a rdctl r2,status + 49e4: e0bffb15 stw r2,-20(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 4a04: e0fffb17 ldw r3,-20(fp) - 4a08: 00bfff84 movi r2,-2 - 4a0c: 1884703a and r2,r3,r2 - 4a10: 1001703a wrctl status,r2 + 49e8: e0fffb17 ldw r3,-20(fp) + 49ec: 00bfff84 movi r2,-2 + 49f0: 1884703a and r2,r3,r2 + 49f4: 1001703a wrctl status,r2 return context; - 4a14: e0bffb17 ldw r2,-20(fp) + 49f8: e0bffb17 ldw r2,-20(fp) static ALT_INLINE int ALT_ALWAYS_INLINE alt_irq_disable (alt_u32 id) { alt_irq_context status; extern volatile alt_u32 alt_irq_active; status = alt_irq_disable_all (); - 4a18: e0bffc15 stw r2,-16(fp) + 49fc: e0bffc15 stw r2,-16(fp) alt_irq_active &= ~(1 << id); - 4a1c: 00c00044 movi r3,1 - 4a20: e0bffa17 ldw r2,-24(fp) - 4a24: 1884983a sll r2,r3,r2 - 4a28: 0084303a nor r2,zero,r2 - 4a2c: 1007883a mov r3,r2 - 4a30: d0a01517 ldw r2,-32684(gp) - 4a34: 1884703a and r2,r3,r2 - 4a38: d0a01515 stw r2,-32684(gp) + 4a00: 00c00044 movi r3,1 + 4a04: e0bffa17 ldw r2,-24(fp) + 4a08: 1884983a sll r2,r3,r2 + 4a0c: 0084303a nor r2,zero,r2 + 4a10: 1007883a mov r3,r2 + 4a14: d0a01517 ldw r2,-32684(gp) + 4a18: 1884703a and r2,r3,r2 + 4a1c: d0a01515 stw r2,-32684(gp) NIOS2_WRITE_IENABLE (alt_irq_active); - 4a3c: d0a01517 ldw r2,-32684(gp) - 4a40: 100170fa wrctl ienable,r2 - 4a44: e0bffc17 ldw r2,-16(fp) - 4a48: e0bffd15 stw r2,-12(fp) + 4a20: d0a01517 ldw r2,-32684(gp) + 4a24: 100170fa wrctl ienable,r2 + 4a28: e0bffc17 ldw r2,-16(fp) + 4a2c: e0bffd15 stw r2,-12(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 4a4c: e0bffd17 ldw r2,-12(fp) - 4a50: 1001703a wrctl status,r2 + 4a30: e0bffd17 ldw r2,-12(fp) + 4a34: 1001703a wrctl status,r2 alt_irq_enable_all(status); return 0; - 4a54: 0005883a mov r2,zero + 4a38: 0005883a mov r2,zero return alt_irq_disable(irq); - 4a58: 0001883a nop + 4a3c: 0001883a nop } - 4a5c: e037883a mov sp,fp - 4a60: df000017 ldw fp,0(sp) - 4a64: dec00104 addi sp,sp,4 - 4a68: f800283a ret + 4a40: e037883a mov sp,fp + 4a44: df000017 ldw fp,0(sp) + 4a48: dec00104 addi sp,sp,4 + 4a4c: f800283a ret -00004a6c : +00004a50 : * @param irq IRQ number * @return Zero if corresponding interrupt is disabled and * non-zero otherwise. */ alt_u32 alt_ic_irq_enabled(alt_u32 ic_id, alt_u32 irq) { - 4a6c: defffc04 addi sp,sp,-16 - 4a70: df000315 stw fp,12(sp) - 4a74: df000304 addi fp,sp,12 - 4a78: e13ffe15 stw r4,-8(fp) - 4a7c: e17fff15 stw r5,-4(fp) + 4a50: defffc04 addi sp,sp,-16 + 4a54: df000315 stw fp,12(sp) + 4a58: df000304 addi fp,sp,12 + 4a5c: e13ffe15 stw r4,-8(fp) + 4a60: e17fff15 stw r5,-4(fp) alt_u32 irq_enabled; NIOS2_READ_IENABLE(irq_enabled); - 4a80: 000530fa rdctl r2,ienable - 4a84: e0bffd15 stw r2,-12(fp) + 4a64: 000530fa rdctl r2,ienable + 4a68: e0bffd15 stw r2,-12(fp) return (irq_enabled & (1 << irq)) ? 1: 0; - 4a88: 00c00044 movi r3,1 - 4a8c: e0bfff17 ldw r2,-4(fp) - 4a90: 1884983a sll r2,r3,r2 - 4a94: 1007883a mov r3,r2 - 4a98: e0bffd17 ldw r2,-12(fp) - 4a9c: 1884703a and r2,r3,r2 - 4aa0: 1004c03a cmpne r2,r2,zero - 4aa4: 10803fcc andi r2,r2,255 + 4a6c: 00c00044 movi r3,1 + 4a70: e0bfff17 ldw r2,-4(fp) + 4a74: 1884983a sll r2,r3,r2 + 4a78: 1007883a mov r3,r2 + 4a7c: e0bffd17 ldw r2,-12(fp) + 4a80: 1884703a and r2,r3,r2 + 4a84: 1004c03a cmpne r2,r2,zero + 4a88: 10803fcc andi r2,r2,255 } - 4aa8: e037883a mov sp,fp - 4aac: df000017 ldw fp,0(sp) - 4ab0: dec00104 addi sp,sp,4 - 4ab4: f800283a ret + 4a8c: e037883a mov sp,fp + 4a90: df000017 ldw fp,0(sp) + 4a94: dec00104 addi sp,sp,4 + 4a98: f800283a ret -00004ab8 : +00004a9c : * @param flags * @return 0 if successful, else error (-1) */ int alt_iic_isr_register(alt_u32 ic_id, alt_u32 irq, alt_isr_func isr, void *isr_context, void *flags) { - 4ab8: defff504 addi sp,sp,-44 - 4abc: dfc00a15 stw ra,40(sp) - 4ac0: df000915 stw fp,36(sp) - 4ac4: df000904 addi fp,sp,36 - 4ac8: e13ffc15 stw r4,-16(fp) - 4acc: e17ffd15 stw r5,-12(fp) - 4ad0: e1bffe15 stw r6,-8(fp) - 4ad4: e1ffff15 stw r7,-4(fp) + 4a9c: defff504 addi sp,sp,-44 + 4aa0: dfc00a15 stw ra,40(sp) + 4aa4: df000915 stw fp,36(sp) + 4aa8: df000904 addi fp,sp,36 + 4aac: e13ffc15 stw r4,-16(fp) + 4ab0: e17ffd15 stw r5,-12(fp) + 4ab4: e1bffe15 stw r6,-8(fp) + 4ab8: e1ffff15 stw r7,-4(fp) int rc = -EINVAL; - 4ad8: 00bffa84 movi r2,-22 - 4adc: e0bff715 stw r2,-36(fp) + 4abc: 00bffa84 movi r2,-22 + 4ac0: e0bff715 stw r2,-36(fp) int id = irq; /* IRQ interpreted as the interrupt ID. */ - 4ae0: e0bffd17 ldw r2,-12(fp) - 4ae4: e0bff815 stw r2,-32(fp) + 4ac4: e0bffd17 ldw r2,-12(fp) + 4ac8: e0bff815 stw r2,-32(fp) alt_irq_context status; if (id < ALT_NIRQ) - 4ae8: e0bff817 ldw r2,-32(fp) - 4aec: 10800808 cmpgei r2,r2,32 - 4af0: 1000271e bne r2,zero,4b90 + 4acc: e0bff817 ldw r2,-32(fp) + 4ad0: 10800808 cmpgei r2,r2,32 + 4ad4: 1000271e bne r2,zero,4b74 static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 4af4: 0005303a rdctl r2,status - 4af8: e0bffb15 stw r2,-20(fp) + 4ad8: 0005303a rdctl r2,status + 4adc: e0bffb15 stw r2,-20(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 4afc: e0fffb17 ldw r3,-20(fp) - 4b00: 00bfff84 movi r2,-2 - 4b04: 1884703a and r2,r3,r2 - 4b08: 1001703a wrctl status,r2 + 4ae0: e0fffb17 ldw r3,-20(fp) + 4ae4: 00bfff84 movi r2,-2 + 4ae8: 1884703a and r2,r3,r2 + 4aec: 1001703a wrctl status,r2 return context; - 4b0c: e0bffb17 ldw r2,-20(fp) + 4af0: e0bffb17 ldw r2,-20(fp) * interrupts are disabled while the handler tables are updated to ensure * that an interrupt doesn't occur while the tables are in an inconsistant * state. */ status = alt_irq_disable_all(); - 4b10: e0bffa15 stw r2,-24(fp) + 4af4: e0bffa15 stw r2,-24(fp) alt_irq[id].handler = isr; + 4af8: 00800034 movhi r2,0 + 4afc: 109cc004 addi r2,r2,29440 + 4b00: e0fff817 ldw r3,-32(fp) + 4b04: 180690fa slli r3,r3,3 + 4b08: 10c5883a add r2,r2,r3 + 4b0c: e0fffe17 ldw r3,-8(fp) + 4b10: 10c00015 stw r3,0(r2) + alt_irq[id].context = isr_context; 4b14: 00800034 movhi r2,0 - 4b18: 109cc704 addi r2,r2,29468 + 4b18: 109cc004 addi r2,r2,29440 4b1c: e0fff817 ldw r3,-32(fp) 4b20: 180690fa slli r3,r3,3 4b24: 10c5883a add r2,r2,r3 - 4b28: e0fffe17 ldw r3,-8(fp) - 4b2c: 10c00015 stw r3,0(r2) - alt_irq[id].context = isr_context; - 4b30: 00800034 movhi r2,0 - 4b34: 109cc704 addi r2,r2,29468 - 4b38: e0fff817 ldw r3,-32(fp) - 4b3c: 180690fa slli r3,r3,3 - 4b40: 10c5883a add r2,r2,r3 - 4b44: 10800104 addi r2,r2,4 - 4b48: e0ffff17 ldw r3,-4(fp) - 4b4c: 10c00015 stw r3,0(r2) + 4b28: 10800104 addi r2,r2,4 + 4b2c: e0ffff17 ldw r3,-4(fp) + 4b30: 10c00015 stw r3,0(r2) rc = (isr) ? alt_ic_irq_enable(ic_id, id) : alt_ic_irq_disable(ic_id, id); - 4b50: e0bffe17 ldw r2,-8(fp) - 4b54: 10000526 beq r2,zero,4b6c - 4b58: e0bff817 ldw r2,-32(fp) - 4b5c: 100b883a mov r5,r2 - 4b60: e13ffc17 ldw r4,-16(fp) - 4b64: 00049580 call 4958 - 4b68: 00000406 br 4b7c - 4b6c: e0bff817 ldw r2,-32(fp) - 4b70: 100b883a mov r5,r2 - 4b74: e13ffc17 ldw r4,-16(fp) - 4b78: 00049e00 call 49e0 - 4b7c: e0bff715 stw r2,-36(fp) - 4b80: e0bffa17 ldw r2,-24(fp) - 4b84: e0bff915 stw r2,-28(fp) + 4b34: e0bffe17 ldw r2,-8(fp) + 4b38: 10000526 beq r2,zero,4b50 + 4b3c: e0bff817 ldw r2,-32(fp) + 4b40: 100b883a mov r5,r2 + 4b44: e13ffc17 ldw r4,-16(fp) + 4b48: 000493c0 call 493c + 4b4c: 00000406 br 4b60 + 4b50: e0bff817 ldw r2,-32(fp) + 4b54: 100b883a mov r5,r2 + 4b58: e13ffc17 ldw r4,-16(fp) + 4b5c: 00049c40 call 49c4 + 4b60: e0bff715 stw r2,-36(fp) + 4b64: e0bffa17 ldw r2,-24(fp) + 4b68: e0bff915 stw r2,-28(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 4b88: e0bff917 ldw r2,-28(fp) - 4b8c: 1001703a wrctl status,r2 + 4b6c: e0bff917 ldw r2,-28(fp) + 4b70: 1001703a wrctl status,r2 alt_irq_enable_all(status); } return rc; - 4b90: e0bff717 ldw r2,-36(fp) + 4b74: e0bff717 ldw r2,-36(fp) } - 4b94: e037883a mov sp,fp - 4b98: dfc00117 ldw ra,4(sp) - 4b9c: df000017 ldw fp,0(sp) - 4ba0: dec00204 addi sp,sp,8 - 4ba4: f800283a ret + 4b78: e037883a mov sp,fp + 4b7c: dfc00117 ldw ra,4(sp) + 4b80: df000017 ldw fp,0(sp) + 4b84: dec00204 addi sp,sp,8 + 4b88: f800283a ret -00004ba8 : +00004b8c : * If the device can not be succesfully opened, then the input file descriptor * remains unchanged. */ static void alt_open_fd(alt_fd* fd, const char* name, int flags, int mode) { - 4ba8: defff804 addi sp,sp,-32 - 4bac: dfc00715 stw ra,28(sp) - 4bb0: df000615 stw fp,24(sp) - 4bb4: dc000515 stw r16,20(sp) - 4bb8: df000604 addi fp,sp,24 - 4bbc: e13ffb15 stw r4,-20(fp) - 4bc0: e17ffc15 stw r5,-16(fp) - 4bc4: e1bffd15 stw r6,-12(fp) - 4bc8: e1fffe15 stw r7,-8(fp) + 4b8c: defff804 addi sp,sp,-32 + 4b90: dfc00715 stw ra,28(sp) + 4b94: df000615 stw fp,24(sp) + 4b98: dc000515 stw r16,20(sp) + 4b9c: df000604 addi fp,sp,24 + 4ba0: e13ffb15 stw r4,-20(fp) + 4ba4: e17ffc15 stw r5,-16(fp) + 4ba8: e1bffd15 stw r6,-12(fp) + 4bac: e1fffe15 stw r7,-8(fp) int old; old = open (name, flags, mode); - 4bcc: e1bffe17 ldw r6,-8(fp) - 4bd0: e17ffd17 ldw r5,-12(fp) - 4bd4: e13ffc17 ldw r4,-16(fp) - 4bd8: 0004e300 call 4e30 - 4bdc: e0bffa15 stw r2,-24(fp) + 4bb0: e1bffe17 ldw r6,-8(fp) + 4bb4: e17ffd17 ldw r5,-12(fp) + 4bb8: e13ffc17 ldw r4,-16(fp) + 4bbc: 0004e140 call 4e14 + 4bc0: e0bffa15 stw r2,-24(fp) if (old >= 0) - 4be0: e0bffa17 ldw r2,-24(fp) - 4be4: 10002216 blt r2,zero,4c70 + 4bc4: e0bffa17 ldw r2,-24(fp) + 4bc8: 10002216 blt r2,zero,4c54 { fd->dev = alt_fd_list[old].dev; - 4be8: 04000034 movhi r16,0 - 4bec: 84182c04 addi r16,r16,24752 - 4bf0: e0bffa17 ldw r2,-24(fp) - 4bf4: 01400304 movi r5,12 - 4bf8: 1009883a mov r4,r2 - 4bfc: 000311c0 call 311c <__mulsi3> - 4c00: 8085883a add r2,r16,r2 - 4c04: 10c00017 ldw r3,0(r2) - 4c08: e0bffb17 ldw r2,-20(fp) - 4c0c: 10c00015 stw r3,0(r2) + 4bcc: 04000034 movhi r16,0 + 4bd0: 84182504 addi r16,r16,24724 + 4bd4: e0bffa17 ldw r2,-24(fp) + 4bd8: 01400304 movi r5,12 + 4bdc: 1009883a mov r4,r2 + 4be0: 00031000 call 3100 <__mulsi3> + 4be4: 8085883a add r2,r16,r2 + 4be8: 10c00017 ldw r3,0(r2) + 4bec: e0bffb17 ldw r2,-20(fp) + 4bf0: 10c00015 stw r3,0(r2) fd->priv = alt_fd_list[old].priv; - 4c10: 04000034 movhi r16,0 - 4c14: 84182c04 addi r16,r16,24752 - 4c18: e0bffa17 ldw r2,-24(fp) - 4c1c: 01400304 movi r5,12 - 4c20: 1009883a mov r4,r2 - 4c24: 000311c0 call 311c <__mulsi3> - 4c28: 8085883a add r2,r16,r2 - 4c2c: 10800104 addi r2,r2,4 - 4c30: 10c00017 ldw r3,0(r2) - 4c34: e0bffb17 ldw r2,-20(fp) - 4c38: 10c00115 stw r3,4(r2) + 4bf4: 04000034 movhi r16,0 + 4bf8: 84182504 addi r16,r16,24724 + 4bfc: e0bffa17 ldw r2,-24(fp) + 4c00: 01400304 movi r5,12 + 4c04: 1009883a mov r4,r2 + 4c08: 00031000 call 3100 <__mulsi3> + 4c0c: 8085883a add r2,r16,r2 + 4c10: 10800104 addi r2,r2,4 + 4c14: 10c00017 ldw r3,0(r2) + 4c18: e0bffb17 ldw r2,-20(fp) + 4c1c: 10c00115 stw r3,4(r2) fd->fd_flags = alt_fd_list[old].fd_flags; - 4c3c: 04000034 movhi r16,0 - 4c40: 84182c04 addi r16,r16,24752 - 4c44: e0bffa17 ldw r2,-24(fp) - 4c48: 01400304 movi r5,12 - 4c4c: 1009883a mov r4,r2 - 4c50: 000311c0 call 311c <__mulsi3> - 4c54: 8085883a add r2,r16,r2 - 4c58: 10800204 addi r2,r2,8 - 4c5c: 10c00017 ldw r3,0(r2) - 4c60: e0bffb17 ldw r2,-20(fp) - 4c64: 10c00215 stw r3,8(r2) + 4c20: 04000034 movhi r16,0 + 4c24: 84182504 addi r16,r16,24724 + 4c28: e0bffa17 ldw r2,-24(fp) + 4c2c: 01400304 movi r5,12 + 4c30: 1009883a mov r4,r2 + 4c34: 00031000 call 3100 <__mulsi3> + 4c38: 8085883a add r2,r16,r2 + 4c3c: 10800204 addi r2,r2,8 + 4c40: 10c00017 ldw r3,0(r2) + 4c44: e0bffb17 ldw r2,-20(fp) + 4c48: 10c00215 stw r3,8(r2) alt_release_fd (old); - 4c68: e13ffa17 ldw r4,-24(fp) - 4c6c: 00037a40 call 37a4 + 4c4c: e13ffa17 ldw r4,-24(fp) + 4c50: 00037880 call 3788 } } - 4c70: 0001883a nop - 4c74: e6ffff04 addi sp,fp,-4 - 4c78: dfc00217 ldw ra,8(sp) - 4c7c: df000117 ldw fp,4(sp) - 4c80: dc000017 ldw r16,0(sp) - 4c84: dec00304 addi sp,sp,12 - 4c88: f800283a ret + 4c54: 0001883a nop + 4c58: e6ffff04 addi sp,fp,-4 + 4c5c: dfc00217 ldw ra,8(sp) + 4c60: df000117 ldw fp,4(sp) + 4c64: dc000017 ldw r16,0(sp) + 4c68: dec00304 addi sp,sp,12 + 4c6c: f800283a ret -00004c8c : +00004c70 : */ void alt_io_redirect(const char* stdout_dev, const char* stdin_dev, const char* stderr_dev) { - 4c8c: defffb04 addi sp,sp,-20 - 4c90: dfc00415 stw ra,16(sp) - 4c94: df000315 stw fp,12(sp) - 4c98: df000304 addi fp,sp,12 - 4c9c: e13ffd15 stw r4,-12(fp) - 4ca0: e17ffe15 stw r5,-8(fp) - 4ca4: e1bfff15 stw r6,-4(fp) + 4c70: defffb04 addi sp,sp,-20 + 4c74: dfc00415 stw ra,16(sp) + 4c78: df000315 stw fp,12(sp) + 4c7c: df000304 addi fp,sp,12 + 4c80: e13ffd15 stw r4,-12(fp) + 4c84: e17ffe15 stw r5,-8(fp) + 4c88: e1bfff15 stw r6,-4(fp) /* Redirect the channels */ alt_open_fd (&alt_fd_list[STDOUT_FILENO], stdout_dev, O_WRONLY, 0777); - 4ca8: 01c07fc4 movi r7,511 - 4cac: 01800044 movi r6,1 - 4cb0: e17ffd17 ldw r5,-12(fp) - 4cb4: 01000034 movhi r4,0 - 4cb8: 21182f04 addi r4,r4,24764 - 4cbc: 0004ba80 call 4ba8 + 4c8c: 01c07fc4 movi r7,511 + 4c90: 01800044 movi r6,1 + 4c94: e17ffd17 ldw r5,-12(fp) + 4c98: 01000034 movhi r4,0 + 4c9c: 21182804 addi r4,r4,24736 + 4ca0: 0004b8c0 call 4b8c alt_open_fd (&alt_fd_list[STDIN_FILENO], stdin_dev, O_RDONLY, 0777); - 4cc0: 01c07fc4 movi r7,511 - 4cc4: 000d883a mov r6,zero - 4cc8: e17ffe17 ldw r5,-8(fp) - 4ccc: 01000034 movhi r4,0 - 4cd0: 21182c04 addi r4,r4,24752 - 4cd4: 0004ba80 call 4ba8 + 4ca4: 01c07fc4 movi r7,511 + 4ca8: 000d883a mov r6,zero + 4cac: e17ffe17 ldw r5,-8(fp) + 4cb0: 01000034 movhi r4,0 + 4cb4: 21182504 addi r4,r4,24724 + 4cb8: 0004b8c0 call 4b8c alt_open_fd (&alt_fd_list[STDERR_FILENO], stderr_dev, O_WRONLY, 0777); - 4cd8: 01c07fc4 movi r7,511 - 4cdc: 01800044 movi r6,1 - 4ce0: e17fff17 ldw r5,-4(fp) - 4ce4: 01000034 movhi r4,0 - 4ce8: 21183204 addi r4,r4,24776 - 4cec: 0004ba80 call 4ba8 + 4cbc: 01c07fc4 movi r7,511 + 4cc0: 01800044 movi r6,1 + 4cc4: e17fff17 ldw r5,-4(fp) + 4cc8: 01000034 movhi r4,0 + 4ccc: 21182b04 addi r4,r4,24748 + 4cd0: 0004b8c0 call 4b8c } - 4cf0: 0001883a nop - 4cf4: e037883a mov sp,fp - 4cf8: dfc00117 ldw ra,4(sp) - 4cfc: df000017 ldw fp,0(sp) - 4d00: dec00204 addi sp,sp,8 - 4d04: f800283a ret + 4cd4: 0001883a nop + 4cd8: e037883a mov sp,fp + 4cdc: dfc00117 ldw ra,4(sp) + 4ce0: df000017 ldw fp,0(sp) + 4ce4: dec00204 addi sp,sp,8 + 4ce8: f800283a ret -00004d08 : +00004cec : #undef errno extern int errno; static ALT_INLINE int* alt_get_errno(void) { - 4d08: defffe04 addi sp,sp,-8 - 4d0c: dfc00115 stw ra,4(sp) - 4d10: df000015 stw fp,0(sp) - 4d14: d839883a mov fp,sp + 4cec: defffe04 addi sp,sp,-8 + 4cf0: dfc00115 stw ra,4(sp) + 4cf4: df000015 stw fp,0(sp) + 4cf8: d839883a mov fp,sp return ((alt_errno) ? alt_errno() : &errno); - 4d18: d0a00917 ldw r2,-32732(gp) - 4d1c: 10000326 beq r2,zero,4d2c - 4d20: d0a00917 ldw r2,-32732(gp) - 4d24: 103ee83a callr r2 - 4d28: 00000106 br 4d30 - 4d2c: d0a01104 addi r2,gp,-32700 + 4cfc: d0a00917 ldw r2,-32732(gp) + 4d00: 10000326 beq r2,zero,4d10 + 4d04: d0a00917 ldw r2,-32732(gp) + 4d08: 103ee83a callr r2 + 4d0c: 00000106 br 4d14 + 4d10: d0a01104 addi r2,gp,-32700 } - 4d30: e037883a mov sp,fp - 4d34: dfc00117 ldw ra,4(sp) - 4d38: df000017 ldw fp,0(sp) - 4d3c: dec00204 addi sp,sp,8 - 4d40: f800283a ret + 4d14: e037883a mov sp,fp + 4d18: dfc00117 ldw ra,4(sp) + 4d1c: df000017 ldw fp,0(sp) + 4d20: dec00204 addi sp,sp,8 + 4d24: f800283a ret -00004d44 : +00004d28 : * performed for devices. Filesystems are required to handle the ioctl() call * themselves, and report the error from the filesystems open() function. */ static int alt_file_locked (alt_fd* fd) { - 4d44: defffb04 addi sp,sp,-20 - 4d48: dfc00415 stw ra,16(sp) - 4d4c: df000315 stw fp,12(sp) - 4d50: dc000215 stw r16,8(sp) - 4d54: df000304 addi fp,sp,12 - 4d58: e13ffe15 stw r4,-8(fp) + 4d28: defffb04 addi sp,sp,-20 + 4d2c: dfc00415 stw ra,16(sp) + 4d30: df000315 stw fp,12(sp) + 4d34: dc000215 stw r16,8(sp) + 4d38: df000304 addi fp,sp,12 + 4d3c: e13ffe15 stw r4,-8(fp) /* * Mark the file descriptor as belonging to a device. */ fd->fd_flags |= ALT_FD_DEV; - 4d5c: e0bffe17 ldw r2,-8(fp) - 4d60: 10800217 ldw r2,8(r2) - 4d64: 10d00034 orhi r3,r2,16384 - 4d68: e0bffe17 ldw r2,-8(fp) - 4d6c: 10c00215 stw r3,8(r2) + 4d40: e0bffe17 ldw r2,-8(fp) + 4d44: 10800217 ldw r2,8(r2) + 4d48: 10d00034 orhi r3,r2,16384 + 4d4c: e0bffe17 ldw r2,-8(fp) + 4d50: 10c00215 stw r3,8(r2) /* * Loop through all current file descriptors searching for one that's locked * for exclusive access. If a match is found, generate an error. */ for (i = 0; i <= alt_max_fd; i++) - 4d70: e03ffd15 stw zero,-12(fp) - 4d74: 00002306 br 4e04 + 4d54: e03ffd15 stw zero,-12(fp) + 4d58: 00002306 br 4de8 { if ((alt_fd_list[i].dev == fd->dev) && - 4d78: 04000034 movhi r16,0 - 4d7c: 84182c04 addi r16,r16,24752 - 4d80: e0bffd17 ldw r2,-12(fp) - 4d84: 01400304 movi r5,12 - 4d88: 1009883a mov r4,r2 - 4d8c: 000311c0 call 311c <__mulsi3> - 4d90: 8085883a add r2,r16,r2 - 4d94: 10c00017 ldw r3,0(r2) - 4d98: e0bffe17 ldw r2,-8(fp) - 4d9c: 10800017 ldw r2,0(r2) - 4da0: 1880151e bne r3,r2,4df8 + 4d5c: 04000034 movhi r16,0 + 4d60: 84182504 addi r16,r16,24724 + 4d64: e0bffd17 ldw r2,-12(fp) + 4d68: 01400304 movi r5,12 + 4d6c: 1009883a mov r4,r2 + 4d70: 00031000 call 3100 <__mulsi3> + 4d74: 8085883a add r2,r16,r2 + 4d78: 10c00017 ldw r3,0(r2) + 4d7c: e0bffe17 ldw r2,-8(fp) + 4d80: 10800017 ldw r2,0(r2) + 4d84: 1880151e bne r3,r2,4ddc (alt_fd_list[i].fd_flags & ALT_FD_EXCL) && - 4da4: 04000034 movhi r16,0 - 4da8: 84182c04 addi r16,r16,24752 - 4dac: e0bffd17 ldw r2,-12(fp) - 4db0: 01400304 movi r5,12 - 4db4: 1009883a mov r4,r2 - 4db8: 000311c0 call 311c <__mulsi3> - 4dbc: 8085883a add r2,r16,r2 - 4dc0: 10800204 addi r2,r2,8 - 4dc4: 10800017 ldw r2,0(r2) + 4d88: 04000034 movhi r16,0 + 4d8c: 84182504 addi r16,r16,24724 + 4d90: e0bffd17 ldw r2,-12(fp) + 4d94: 01400304 movi r5,12 + 4d98: 1009883a mov r4,r2 + 4d9c: 00031000 call 3100 <__mulsi3> + 4da0: 8085883a add r2,r16,r2 + 4da4: 10800204 addi r2,r2,8 + 4da8: 10800017 ldw r2,0(r2) * for exclusive access. If a match is found, generate an error. */ for (i = 0; i <= alt_max_fd; i++) { if ((alt_fd_list[i].dev == fd->dev) && - 4dc8: 10000b0e bge r2,zero,4df8 + 4dac: 10000b0e bge r2,zero,4ddc (alt_fd_list[i].fd_flags & ALT_FD_EXCL) && (&alt_fd_list[i] != fd)) - 4dcc: 01400304 movi r5,12 - 4dd0: e13ffd17 ldw r4,-12(fp) - 4dd4: 000311c0 call 311c <__mulsi3> - 4dd8: 1007883a mov r3,r2 - 4ddc: 00800034 movhi r2,0 - 4de0: 10982c04 addi r2,r2,24752 - 4de4: 1887883a add r3,r3,r2 + 4db0: 01400304 movi r5,12 + 4db4: e13ffd17 ldw r4,-12(fp) + 4db8: 00031000 call 3100 <__mulsi3> + 4dbc: 1007883a mov r3,r2 + 4dc0: 00800034 movhi r2,0 + 4dc4: 10982504 addi r2,r2,24724 + 4dc8: 1887883a add r3,r3,r2 */ for (i = 0; i <= alt_max_fd; i++) { if ((alt_fd_list[i].dev == fd->dev) && (alt_fd_list[i].fd_flags & ALT_FD_EXCL) && - 4de8: e0bffe17 ldw r2,-8(fp) - 4dec: 18800226 beq r3,r2,4df8 + 4dcc: e0bffe17 ldw r2,-8(fp) + 4dd0: 18800226 beq r3,r2,4ddc (&alt_fd_list[i] != fd)) { return -EACCES; - 4df0: 00bffcc4 movi r2,-13 - 4df4: 00000806 br 4e18 + 4dd4: 00bffcc4 movi r2,-13 + 4dd8: 00000806 br 4dfc /* * Loop through all current file descriptors searching for one that's locked * for exclusive access. If a match is found, generate an error. */ for (i = 0; i <= alt_max_fd; i++) - 4df8: e0bffd17 ldw r2,-12(fp) - 4dfc: 10800044 addi r2,r2,1 - 4e00: e0bffd15 stw r2,-12(fp) - 4e04: d0a00817 ldw r2,-32736(gp) - 4e08: 1007883a mov r3,r2 - 4e0c: e0bffd17 ldw r2,-12(fp) - 4e10: 18bfd92e bgeu r3,r2,4d78 <__alt_data_end+0xfffe4d78> + 4ddc: e0bffd17 ldw r2,-12(fp) + 4de0: 10800044 addi r2,r2,1 + 4de4: e0bffd15 stw r2,-12(fp) + 4de8: d0a00817 ldw r2,-32736(gp) + 4dec: 1007883a mov r3,r2 + 4df0: e0bffd17 ldw r2,-12(fp) + 4df4: 18bfd92e bgeu r3,r2,4d5c } } /* The device is not locked */ return 0; - 4e14: 0005883a mov r2,zero + 4df8: 0005883a mov r2,zero } - 4e18: e6ffff04 addi sp,fp,-4 - 4e1c: dfc00217 ldw ra,8(sp) - 4e20: df000117 ldw fp,4(sp) - 4e24: dc000017 ldw r16,0(sp) - 4e28: dec00304 addi sp,sp,12 - 4e2c: f800283a ret + 4dfc: e6ffff04 addi sp,fp,-4 + 4e00: dfc00217 ldw ra,8(sp) + 4e04: df000117 ldw fp,4(sp) + 4e08: dc000017 ldw r16,0(sp) + 4e0c: dec00304 addi sp,sp,12 + 4e10: f800283a ret -00004e30 : +00004e14 : * * ALT_OPEN is mapped onto the open() system call in alt_syscall.h */ int ALT_OPEN (const char* file, int flags, int mode) { - 4e30: defff604 addi sp,sp,-40 - 4e34: dfc00915 stw ra,36(sp) - 4e38: df000815 stw fp,32(sp) - 4e3c: df000804 addi fp,sp,32 - 4e40: e13ffd15 stw r4,-12(fp) - 4e44: e17ffe15 stw r5,-8(fp) - 4e48: e1bfff15 stw r6,-4(fp) + 4e14: defff604 addi sp,sp,-40 + 4e18: dfc00915 stw ra,36(sp) + 4e1c: df000815 stw fp,32(sp) + 4e20: df000804 addi fp,sp,32 + 4e24: e13ffd15 stw r4,-12(fp) + 4e28: e17ffe15 stw r5,-8(fp) + 4e2c: e1bfff15 stw r6,-4(fp) alt_dev* dev; alt_fd* fd; int index = -1; - 4e4c: 00bfffc4 movi r2,-1 - 4e50: e0bff915 stw r2,-28(fp) + 4e30: 00bfffc4 movi r2,-1 + 4e34: e0bff915 stw r2,-28(fp) int status = -ENODEV; - 4e54: 00bffb44 movi r2,-19 - 4e58: e0bffa15 stw r2,-24(fp) + 4e38: 00bffb44 movi r2,-19 + 4e3c: e0bffa15 stw r2,-24(fp) int isafs = 0; - 4e5c: e03ffb15 stw zero,-20(fp) + 4e40: e03ffb15 stw zero,-20(fp) /* * Check the device list, to see if a device with a matching name is * registered. */ if (!(dev = alt_find_dev (file, &alt_dev_list))) - 4e60: d1600604 addi r5,gp,-32744 - 4e64: e13ffd17 ldw r4,-12(fp) - 4e68: 00051540 call 5154 - 4e6c: e0bff815 stw r2,-32(fp) - 4e70: e0bff817 ldw r2,-32(fp) - 4e74: 1000051e bne r2,zero,4e8c + 4e44: d1600604 addi r5,gp,-32744 + 4e48: e13ffd17 ldw r4,-12(fp) + 4e4c: 00051380 call 5138 + 4e50: e0bff815 stw r2,-32(fp) + 4e54: e0bff817 ldw r2,-32(fp) + 4e58: 1000051e bne r2,zero,4e70 { /* No matching device, so try the filesystem list */ dev = alt_find_file (file); - 4e78: e13ffd17 ldw r4,-12(fp) - 4e7c: 00051e40 call 51e4 - 4e80: e0bff815 stw r2,-32(fp) + 4e5c: e13ffd17 ldw r4,-12(fp) + 4e60: 00051c80 call 51c8 + 4e64: e0bff815 stw r2,-32(fp) isafs = 1; - 4e84: 00800044 movi r2,1 - 4e88: e0bffb15 stw r2,-20(fp) + 4e68: 00800044 movi r2,1 + 4e6c: e0bffb15 stw r2,-20(fp) /* * If a matching device or filesystem is found, allocate a file descriptor. */ if (dev) - 4e8c: e0bff817 ldw r2,-32(fp) - 4e90: 10002b26 beq r2,zero,4f40 + 4e70: e0bff817 ldw r2,-32(fp) + 4e74: 10002b26 beq r2,zero,4f24 { if ((index = alt_get_fd (dev)) < 0) - 4e94: e13ff817 ldw r4,-32(fp) - 4e98: 00052ec0 call 52ec - 4e9c: e0bff915 stw r2,-28(fp) - 4ea0: e0bff917 ldw r2,-28(fp) - 4ea4: 1000030e bge r2,zero,4eb4 + 4e78: e13ff817 ldw r4,-32(fp) + 4e7c: 00052d00 call 52d0 + 4e80: e0bff915 stw r2,-28(fp) + 4e84: e0bff917 ldw r2,-28(fp) + 4e88: 1000030e bge r2,zero,4e98 { status = index; - 4ea8: e0bff917 ldw r2,-28(fp) - 4eac: e0bffa15 stw r2,-24(fp) - 4eb0: 00002506 br 4f48 + 4e8c: e0bff917 ldw r2,-28(fp) + 4e90: e0bffa15 stw r2,-24(fp) + 4e94: 00002506 br 4f2c } else { fd = &alt_fd_list[index]; - 4eb4: 01400304 movi r5,12 - 4eb8: e13ff917 ldw r4,-28(fp) - 4ebc: 000311c0 call 311c <__mulsi3> - 4ec0: 1007883a mov r3,r2 - 4ec4: 00800034 movhi r2,0 - 4ec8: 10982c04 addi r2,r2,24752 - 4ecc: 1885883a add r2,r3,r2 - 4ed0: e0bffc15 stw r2,-16(fp) + 4e98: 01400304 movi r5,12 + 4e9c: e13ff917 ldw r4,-28(fp) + 4ea0: 00031000 call 3100 <__mulsi3> + 4ea4: 1007883a mov r3,r2 + 4ea8: 00800034 movhi r2,0 + 4eac: 10982504 addi r2,r2,24724 + 4eb0: 1885883a add r2,r3,r2 + 4eb4: e0bffc15 stw r2,-16(fp) fd->fd_flags = (flags & ~ALT_FD_FLAGS_MASK); - 4ed4: e0fffe17 ldw r3,-8(fp) - 4ed8: 00900034 movhi r2,16384 - 4edc: 10bfffc4 addi r2,r2,-1 - 4ee0: 1886703a and r3,r3,r2 - 4ee4: e0bffc17 ldw r2,-16(fp) - 4ee8: 10c00215 stw r3,8(r2) + 4eb8: e0fffe17 ldw r3,-8(fp) + 4ebc: 00900034 movhi r2,16384 + 4ec0: 10bfffc4 addi r2,r2,-1 + 4ec4: 1886703a and r3,r3,r2 + 4ec8: e0bffc17 ldw r2,-16(fp) + 4ecc: 10c00215 stw r3,8(r2) /* If this is a device, ensure it isn't already locked */ if (isafs || ((status = alt_file_locked (fd)) >= 0)) - 4eec: e0bffb17 ldw r2,-20(fp) - 4ef0: 1000051e bne r2,zero,4f08 - 4ef4: e13ffc17 ldw r4,-16(fp) - 4ef8: 0004d440 call 4d44 - 4efc: e0bffa15 stw r2,-24(fp) - 4f00: e0bffa17 ldw r2,-24(fp) - 4f04: 10001016 blt r2,zero,4f48 + 4ed0: e0bffb17 ldw r2,-20(fp) + 4ed4: 1000051e bne r2,zero,4eec + 4ed8: e13ffc17 ldw r4,-16(fp) + 4edc: 0004d280 call 4d28 + 4ee0: e0bffa15 stw r2,-24(fp) + 4ee4: e0bffa17 ldw r2,-24(fp) + 4ee8: 10001016 blt r2,zero,4f2c /* * If the device or filesystem provides an open() callback function, * call it now to perform any device/filesystem specific operations. */ status = (dev->open) ? dev->open(fd, file, flags, mode): 0; - 4f08: e0bff817 ldw r2,-32(fp) - 4f0c: 10800317 ldw r2,12(r2) - 4f10: 10000826 beq r2,zero,4f34 - 4f14: e0bff817 ldw r2,-32(fp) - 4f18: 10800317 ldw r2,12(r2) - 4f1c: e1ffff17 ldw r7,-4(fp) - 4f20: e1bffe17 ldw r6,-8(fp) - 4f24: e17ffd17 ldw r5,-12(fp) - 4f28: e13ffc17 ldw r4,-16(fp) - 4f2c: 103ee83a callr r2 - 4f30: 00000106 br 4f38 - 4f34: 0005883a mov r2,zero - 4f38: e0bffa15 stw r2,-24(fp) - 4f3c: 00000206 br 4f48 + 4eec: e0bff817 ldw r2,-32(fp) + 4ef0: 10800317 ldw r2,12(r2) + 4ef4: 10000826 beq r2,zero,4f18 + 4ef8: e0bff817 ldw r2,-32(fp) + 4efc: 10800317 ldw r2,12(r2) + 4f00: e1ffff17 ldw r7,-4(fp) + 4f04: e1bffe17 ldw r6,-8(fp) + 4f08: e17ffd17 ldw r5,-12(fp) + 4f0c: e13ffc17 ldw r4,-16(fp) + 4f10: 103ee83a callr r2 + 4f14: 00000106 br 4f1c + 4f18: 0005883a mov r2,zero + 4f1c: e0bffa15 stw r2,-24(fp) + 4f20: 00000206 br 4f2c } } } else { status = -ENODEV; - 4f40: 00bffb44 movi r2,-19 - 4f44: e0bffa15 stw r2,-24(fp) + 4f24: 00bffb44 movi r2,-19 + 4f28: e0bffa15 stw r2,-24(fp) } /* Allocation failed, so clean up and return an error */ if (status < 0) - 4f48: e0bffa17 ldw r2,-24(fp) - 4f4c: 1000090e bge r2,zero,4f74 + 4f2c: e0bffa17 ldw r2,-24(fp) + 4f30: 1000090e bge r2,zero,4f58 { alt_release_fd (index); - 4f50: e13ff917 ldw r4,-28(fp) - 4f54: 00037a40 call 37a4 + 4f34: e13ff917 ldw r4,-28(fp) + 4f38: 00037880 call 3788 ALT_ERRNO = -status; - 4f58: 0004d080 call 4d08 - 4f5c: 1007883a mov r3,r2 - 4f60: e0bffa17 ldw r2,-24(fp) - 4f64: 0085c83a sub r2,zero,r2 - 4f68: 18800015 stw r2,0(r3) + 4f3c: 0004cec0 call 4cec + 4f40: 1007883a mov r3,r2 + 4f44: e0bffa17 ldw r2,-24(fp) + 4f48: 0085c83a sub r2,zero,r2 + 4f4c: 18800015 stw r2,0(r3) return -1; - 4f6c: 00bfffc4 movi r2,-1 - 4f70: 00000106 br 4f78 + 4f50: 00bfffc4 movi r2,-1 + 4f54: 00000106 br 4f5c } /* return the reference upon success */ return index; - 4f74: e0bff917 ldw r2,-28(fp) + 4f58: e0bff917 ldw r2,-28(fp) } - 4f78: e037883a mov sp,fp - 4f7c: dfc00117 ldw ra,4(sp) - 4f80: df000017 ldw fp,0(sp) - 4f84: dec00204 addi sp,sp,8 - 4f88: f800283a ret + 4f5c: e037883a mov sp,fp + 4f60: dfc00117 ldw ra,4(sp) + 4f64: df000017 ldw fp,0(sp) + 4f68: dec00204 addi sp,sp,8 + 4f6c: f800283a ret -00004f8c : +00004f70 : * alarms. Alternatively an alarm can unregister itself by returning zero when * the alarm executes. */ void alt_alarm_stop (alt_alarm* alarm) { - 4f8c: defffa04 addi sp,sp,-24 - 4f90: df000515 stw fp,20(sp) - 4f94: df000504 addi fp,sp,20 - 4f98: e13fff15 stw r4,-4(fp) + 4f70: defffa04 addi sp,sp,-24 + 4f74: df000515 stw fp,20(sp) + 4f78: df000504 addi fp,sp,20 + 4f7c: e13fff15 stw r4,-4(fp) static ALT_INLINE alt_irq_context ALT_ALWAYS_INLINE alt_irq_disable_all (void) { alt_irq_context context; NIOS2_READ_STATUS (context); - 4f9c: 0005303a rdctl r2,status - 4fa0: e0bffc15 stw r2,-16(fp) + 4f80: 0005303a rdctl r2,status + 4f84: e0bffc15 stw r2,-16(fp) NIOS2_WRITE_STATUS (context & ~NIOS2_STATUS_PIE_MSK); - 4fa4: e0fffc17 ldw r3,-16(fp) - 4fa8: 00bfff84 movi r2,-2 - 4fac: 1884703a and r2,r3,r2 - 4fb0: 1001703a wrctl status,r2 + 4f88: e0fffc17 ldw r3,-16(fp) + 4f8c: 00bfff84 movi r2,-2 + 4f90: 1884703a and r2,r3,r2 + 4f94: 1001703a wrctl status,r2 return context; - 4fb4: e0bffc17 ldw r2,-16(fp) + 4f98: e0bffc17 ldw r2,-16(fp) alt_irq_context irq_context; irq_context = alt_irq_disable_all(); - 4fb8: e0bffb15 stw r2,-20(fp) + 4f9c: e0bffb15 stw r2,-20(fp) alt_llist_remove (&alarm->llist); - 4fbc: e0bfff17 ldw r2,-4(fp) - 4fc0: e0bffd15 stw r2,-12(fp) + 4fa0: e0bfff17 ldw r2,-4(fp) + 4fa4: e0bffd15 stw r2,-12(fp) * input argument is the element to remove. */ static ALT_INLINE void ALT_ALWAYS_INLINE alt_llist_remove(alt_llist* entry) { entry->next->previous = entry->previous; - 4fc4: e0bffd17 ldw r2,-12(fp) - 4fc8: 10800017 ldw r2,0(r2) - 4fcc: e0fffd17 ldw r3,-12(fp) - 4fd0: 18c00117 ldw r3,4(r3) - 4fd4: 10c00115 stw r3,4(r2) + 4fa8: e0bffd17 ldw r2,-12(fp) + 4fac: 10800017 ldw r2,0(r2) + 4fb0: e0fffd17 ldw r3,-12(fp) + 4fb4: 18c00117 ldw r3,4(r3) + 4fb8: 10c00115 stw r3,4(r2) entry->previous->next = entry->next; - 4fd8: e0bffd17 ldw r2,-12(fp) - 4fdc: 10800117 ldw r2,4(r2) - 4fe0: e0fffd17 ldw r3,-12(fp) - 4fe4: 18c00017 ldw r3,0(r3) - 4fe8: 10c00015 stw r3,0(r2) + 4fbc: e0bffd17 ldw r2,-12(fp) + 4fc0: 10800117 ldw r2,4(r2) + 4fc4: e0fffd17 ldw r3,-12(fp) + 4fc8: 18c00017 ldw r3,0(r3) + 4fcc: 10c00015 stw r3,0(r2) /* * Set the entry to point to itself, so that any further calls to * alt_llist_remove() are harmless. */ entry->previous = entry; - 4fec: e0bffd17 ldw r2,-12(fp) - 4ff0: e0fffd17 ldw r3,-12(fp) - 4ff4: 10c00115 stw r3,4(r2) + 4fd0: e0bffd17 ldw r2,-12(fp) + 4fd4: e0fffd17 ldw r3,-12(fp) + 4fd8: 10c00115 stw r3,4(r2) entry->next = entry; - 4ff8: e0bffd17 ldw r2,-12(fp) - 4ffc: e0fffd17 ldw r3,-12(fp) - 5000: 10c00015 stw r3,0(r2) - 5004: e0bffb17 ldw r2,-20(fp) - 5008: e0bffe15 stw r2,-8(fp) + 4fdc: e0bffd17 ldw r2,-12(fp) + 4fe0: e0fffd17 ldw r3,-12(fp) + 4fe4: 10c00015 stw r3,0(r2) + 4fe8: e0bffb17 ldw r2,-20(fp) + 4fec: e0bffe15 stw r2,-8(fp) status &= ~NIOS2_STATUS_PIE_MSK; status |= (context & NIOS2_STATUS_PIE_MSK); NIOS2_WRITE_STATUS (status); #else NIOS2_WRITE_STATUS (context); - 500c: e0bffe17 ldw r2,-8(fp) - 5010: 1001703a wrctl status,r2 + 4ff0: e0bffe17 ldw r2,-8(fp) + 4ff4: 1001703a wrctl status,r2 alt_irq_enable_all (irq_context); } - 5014: 0001883a nop - 5018: e037883a mov sp,fp - 501c: df000017 ldw fp,0(sp) - 5020: dec00104 addi sp,sp,4 - 5024: f800283a ret + 4ff8: 0001883a nop + 4ffc: e037883a mov sp,fp + 5000: df000017 ldw fp,0(sp) + 5004: dec00104 addi sp,sp,4 + 5008: f800283a ret -00005028 : +0000500c : * * alt_tick() is expected to run at interrupt level. */ void alt_tick (void) { - 5028: defffb04 addi sp,sp,-20 - 502c: dfc00415 stw ra,16(sp) - 5030: df000315 stw fp,12(sp) - 5034: df000304 addi fp,sp,12 + 500c: defffb04 addi sp,sp,-20 + 5010: dfc00415 stw ra,16(sp) + 5014: df000315 stw fp,12(sp) + 5018: df000304 addi fp,sp,12 alt_alarm* next; alt_alarm* alarm = (alt_alarm*) alt_alarm_list.next; - 5038: d0a00c17 ldw r2,-32720(gp) - 503c: e0bffd15 stw r2,-12(fp) + 501c: d0a00c17 ldw r2,-32720(gp) + 5020: e0bffd15 stw r2,-12(fp) alt_u32 next_callback; /* update the tick counter */ _alt_nticks++; - 5040: d0a01717 ldw r2,-32676(gp) - 5044: 10800044 addi r2,r2,1 - 5048: d0a01715 stw r2,-32676(gp) + 5024: d0a01717 ldw r2,-32676(gp) + 5028: 10800044 addi r2,r2,1 + 502c: d0a01715 stw r2,-32676(gp) /* process the registered callbacks */ while (alarm != (alt_alarm*) &alt_alarm_list) - 504c: 00002e06 br 5108 + 5030: 00002e06 br 50ec { next = (alt_alarm*) alarm->llist.next; - 5050: e0bffd17 ldw r2,-12(fp) - 5054: 10800017 ldw r2,0(r2) - 5058: e0bffe15 stw r2,-8(fp) + 5034: e0bffd17 ldw r2,-12(fp) + 5038: 10800017 ldw r2,0(r2) + 503c: e0bffe15 stw r2,-8(fp) /* * Upon the tick-counter rolling over it is safe to clear the * roll-over flag; once the flag is cleared this (or subsequnt) * tick events are enabled to generate an alarm event. */ if ((alarm->rollover) && (_alt_nticks == 0)) - 505c: e0bffd17 ldw r2,-12(fp) - 5060: 10800403 ldbu r2,16(r2) - 5064: 10803fcc andi r2,r2,255 - 5068: 10000426 beq r2,zero,507c - 506c: d0a01717 ldw r2,-32676(gp) - 5070: 1000021e bne r2,zero,507c + 5040: e0bffd17 ldw r2,-12(fp) + 5044: 10800403 ldbu r2,16(r2) + 5048: 10803fcc andi r2,r2,255 + 504c: 10000426 beq r2,zero,5060 + 5050: d0a01717 ldw r2,-32676(gp) + 5054: 1000021e bne r2,zero,5060 { alarm->rollover = 0; - 5074: e0bffd17 ldw r2,-12(fp) - 5078: 10000405 stb zero,16(r2) + 5058: e0bffd17 ldw r2,-12(fp) + 505c: 10000405 stb zero,16(r2) } /* if the alarm period has expired, make the callback */ if ((alarm->time <= _alt_nticks) && (alarm->rollover == 0)) - 507c: e0bffd17 ldw r2,-12(fp) - 5080: 10800217 ldw r2,8(r2) - 5084: d0e01717 ldw r3,-32676(gp) - 5088: 18801d36 bltu r3,r2,5100 - 508c: e0bffd17 ldw r2,-12(fp) - 5090: 10800403 ldbu r2,16(r2) - 5094: 10803fcc andi r2,r2,255 - 5098: 1000191e bne r2,zero,5100 + 5060: e0bffd17 ldw r2,-12(fp) + 5064: 10800217 ldw r2,8(r2) + 5068: d0e01717 ldw r3,-32676(gp) + 506c: 18801d36 bltu r3,r2,50e4 + 5070: e0bffd17 ldw r2,-12(fp) + 5074: 10800403 ldbu r2,16(r2) + 5078: 10803fcc andi r2,r2,255 + 507c: 1000191e bne r2,zero,50e4 { next_callback = alarm->callback (alarm->context); - 509c: e0bffd17 ldw r2,-12(fp) - 50a0: 10800317 ldw r2,12(r2) - 50a4: e0fffd17 ldw r3,-12(fp) - 50a8: 18c00517 ldw r3,20(r3) - 50ac: 1809883a mov r4,r3 - 50b0: 103ee83a callr r2 - 50b4: e0bfff15 stw r2,-4(fp) + 5080: e0bffd17 ldw r2,-12(fp) + 5084: 10800317 ldw r2,12(r2) + 5088: e0fffd17 ldw r3,-12(fp) + 508c: 18c00517 ldw r3,20(r3) + 5090: 1809883a mov r4,r3 + 5094: 103ee83a callr r2 + 5098: e0bfff15 stw r2,-4(fp) /* deactivate the alarm if the return value is zero */ if (next_callback == 0) - 50b8: e0bfff17 ldw r2,-4(fp) - 50bc: 1000031e bne r2,zero,50cc + 509c: e0bfff17 ldw r2,-4(fp) + 50a0: 1000031e bne r2,zero,50b0 { alt_alarm_stop (alarm); - 50c0: e13ffd17 ldw r4,-12(fp) - 50c4: 0004f8c0 call 4f8c - 50c8: 00000d06 br 5100 + 50a4: e13ffd17 ldw r4,-12(fp) + 50a8: 0004f700 call 4f70 + 50ac: 00000d06 br 50e4 } else { alarm->time += next_callback; - 50cc: e0bffd17 ldw r2,-12(fp) - 50d0: 10c00217 ldw r3,8(r2) - 50d4: e0bfff17 ldw r2,-4(fp) - 50d8: 1887883a add r3,r3,r2 - 50dc: e0bffd17 ldw r2,-12(fp) - 50e0: 10c00215 stw r3,8(r2) + 50b0: e0bffd17 ldw r2,-12(fp) + 50b4: 10c00217 ldw r3,8(r2) + 50b8: e0bfff17 ldw r2,-4(fp) + 50bc: 1887883a add r3,r3,r2 + 50c0: e0bffd17 ldw r2,-12(fp) + 50c4: 10c00215 stw r3,8(r2) /* * If the desired alarm time causes a roll-over, set the rollover * flag. This will prevent the subsequent tick event from causing * an alarm too early. */ if(alarm->time < _alt_nticks) - 50e4: e0bffd17 ldw r2,-12(fp) - 50e8: 10c00217 ldw r3,8(r2) - 50ec: d0a01717 ldw r2,-32676(gp) - 50f0: 1880032e bgeu r3,r2,5100 + 50c8: e0bffd17 ldw r2,-12(fp) + 50cc: 10c00217 ldw r3,8(r2) + 50d0: d0a01717 ldw r2,-32676(gp) + 50d4: 1880032e bgeu r3,r2,50e4 { alarm->rollover = 1; - 50f4: e0bffd17 ldw r2,-12(fp) - 50f8: 00c00044 movi r3,1 - 50fc: 10c00405 stb r3,16(r2) + 50d8: e0bffd17 ldw r2,-12(fp) + 50dc: 00c00044 movi r3,1 + 50e0: 10c00405 stb r3,16(r2) } } } alarm = next; - 5100: e0bffe17 ldw r2,-8(fp) - 5104: e0bffd15 stw r2,-12(fp) + 50e4: e0bffe17 ldw r2,-8(fp) + 50e8: e0bffd15 stw r2,-12(fp) _alt_nticks++; /* process the registered callbacks */ while (alarm != (alt_alarm*) &alt_alarm_list) - 5108: e0fffd17 ldw r3,-12(fp) - 510c: d0a00c04 addi r2,gp,-32720 - 5110: 18bfcf1e bne r3,r2,5050 <__alt_data_end+0xfffe5050> + 50ec: e0fffd17 ldw r3,-12(fp) + 50f0: d0a00c04 addi r2,gp,-32720 + 50f4: 18bfcf1e bne r3,r2,5034 /* * Update the operating system specific timer facilities. */ ALT_OS_TIME_TICK(); - 5114: 0001883a nop + 50f8: 0001883a nop } - 5118: 0001883a nop - 511c: e037883a mov sp,fp - 5120: dfc00117 ldw ra,4(sp) - 5124: df000017 ldw fp,0(sp) - 5128: dec00204 addi sp,sp,8 - 512c: f800283a ret + 50fc: 0001883a nop + 5100: e037883a mov sp,fp + 5104: dfc00117 ldw ra,4(sp) + 5108: df000017 ldw fp,0(sp) + 510c: dec00204 addi sp,sp,8 + 5110: f800283a ret -00005130 : +00005114 : /* * To initialize the internal interrupt controller, just clear the IENABLE * register so that all possible IRQs are disabled. */ void altera_nios2_gen2_irq_init(void) { - 5130: deffff04 addi sp,sp,-4 - 5134: df000015 stw fp,0(sp) - 5138: d839883a mov fp,sp + 5114: deffff04 addi sp,sp,-4 + 5118: df000015 stw fp,0(sp) + 511c: d839883a mov fp,sp NIOS2_WRITE_IENABLE(0); - 513c: 000170fa wrctl ienable,zero + 5120: 000170fa wrctl ienable,zero } - 5140: 0001883a nop - 5144: e037883a mov sp,fp - 5148: df000017 ldw fp,0(sp) - 514c: dec00104 addi sp,sp,4 - 5150: f800283a ret + 5124: 0001883a nop + 5128: e037883a mov sp,fp + 512c: df000017 ldw fp,0(sp) + 5130: dec00104 addi sp,sp,4 + 5134: f800283a ret -00005154 : +00005138 : * "name" must be an exact match for the devices registered name for a match to * be found. */ alt_dev* alt_find_dev(const char* name, alt_llist* llist) { - 5154: defffa04 addi sp,sp,-24 - 5158: dfc00515 stw ra,20(sp) - 515c: df000415 stw fp,16(sp) - 5160: df000404 addi fp,sp,16 - 5164: e13ffe15 stw r4,-8(fp) - 5168: e17fff15 stw r5,-4(fp) + 5138: defffa04 addi sp,sp,-24 + 513c: dfc00515 stw ra,20(sp) + 5140: df000415 stw fp,16(sp) + 5144: df000404 addi fp,sp,16 + 5148: e13ffe15 stw r4,-8(fp) + 514c: e17fff15 stw r5,-4(fp) alt_dev* next = (alt_dev*) llist->next; - 516c: e0bfff17 ldw r2,-4(fp) - 5170: 10800017 ldw r2,0(r2) - 5174: e0bffc15 stw r2,-16(fp) + 5150: e0bfff17 ldw r2,-4(fp) + 5154: 10800017 ldw r2,0(r2) + 5158: e0bffc15 stw r2,-16(fp) alt_32 len; len = strlen(name) + 1; - 5178: e13ffe17 ldw r4,-8(fp) - 517c: 000040c0 call 40c - 5180: 10800044 addi r2,r2,1 - 5184: e0bffd15 stw r2,-12(fp) + 515c: e13ffe17 ldw r4,-8(fp) + 5160: 00003f00 call 3f0 + 5164: 10800044 addi r2,r2,1 + 5168: e0bffd15 stw r2,-12(fp) /* * Check each list entry in turn, until a match is found, or we reach the * end of the list (i.e. next winds up pointing back to the list head). */ while (next != (alt_dev*) llist) - 5188: 00000d06 br 51c0 + 516c: 00000d06 br 51a4 /* * memcmp() is used here rather than strcmp() in order to reduce the size * of the executable. */ if (!memcmp (next->name, name, len)) - 518c: e0bffc17 ldw r2,-16(fp) - 5190: 10800217 ldw r2,8(r2) - 5194: e0fffd17 ldw r3,-12(fp) - 5198: 180d883a mov r6,r3 - 519c: e17ffe17 ldw r5,-8(fp) - 51a0: 1009883a mov r4,r2 - 51a4: 00054980 call 5498 - 51a8: 1000021e bne r2,zero,51b4 + 5170: e0bffc17 ldw r2,-16(fp) + 5174: 10800217 ldw r2,8(r2) + 5178: e0fffd17 ldw r3,-12(fp) + 517c: 180d883a mov r6,r3 + 5180: e17ffe17 ldw r5,-8(fp) + 5184: 1009883a mov r4,r2 + 5188: 000547c0 call 547c + 518c: 1000021e bne r2,zero,5198 { /* match found */ return next; - 51ac: e0bffc17 ldw r2,-16(fp) - 51b0: 00000706 br 51d0 + 5190: e0bffc17 ldw r2,-16(fp) + 5194: 00000706 br 51b4 } next = (alt_dev*) next->llist.next; - 51b4: e0bffc17 ldw r2,-16(fp) - 51b8: 10800017 ldw r2,0(r2) - 51bc: e0bffc15 stw r2,-16(fp) + 5198: e0bffc17 ldw r2,-16(fp) + 519c: 10800017 ldw r2,0(r2) + 51a0: e0bffc15 stw r2,-16(fp) /* * Check each list entry in turn, until a match is found, or we reach the * end of the list (i.e. next winds up pointing back to the list head). */ while (next != (alt_dev*) llist) - 51c0: e0fffc17 ldw r3,-16(fp) - 51c4: e0bfff17 ldw r2,-4(fp) - 51c8: 18bff01e bne r3,r2,518c <__alt_data_end+0xfffe518c> + 51a4: e0fffc17 ldw r3,-16(fp) + 51a8: e0bfff17 ldw r2,-4(fp) + 51ac: 18bff01e bne r3,r2,5170 next = (alt_dev*) next->llist.next; } /* No match found */ return NULL; - 51cc: 0005883a mov r2,zero + 51b0: 0005883a mov r2,zero } - 51d0: e037883a mov sp,fp - 51d4: dfc00117 ldw ra,4(sp) - 51d8: df000017 ldw fp,0(sp) - 51dc: dec00204 addi sp,sp,8 - 51e0: f800283a ret + 51b4: e037883a mov sp,fp + 51b8: dfc00117 ldw ra,4(sp) + 51bc: df000017 ldw fp,0(sp) + 51c0: dec00204 addi sp,sp,8 + 51c4: f800283a ret -000051e4 : +000051c8 : * either '/' or '\0' is the prefix of the filename. For example the filename: * "/myfilesystem/junk.txt" would match: "/myfilesystem", but not: "/myfile". */ alt_dev* alt_find_file (const char* name) { - 51e4: defffb04 addi sp,sp,-20 - 51e8: dfc00415 stw ra,16(sp) - 51ec: df000315 stw fp,12(sp) - 51f0: df000304 addi fp,sp,12 - 51f4: e13fff15 stw r4,-4(fp) + 51c8: defffb04 addi sp,sp,-20 + 51cc: dfc00415 stw ra,16(sp) + 51d0: df000315 stw fp,12(sp) + 51d4: df000304 addi fp,sp,12 + 51d8: e13fff15 stw r4,-4(fp) alt_dev* next = (alt_dev*) alt_fs_list.next; - 51f8: d0a00417 ldw r2,-32752(gp) - 51fc: e0bffd15 stw r2,-12(fp) + 51dc: d0a00417 ldw r2,-32752(gp) + 51e0: e0bffd15 stw r2,-12(fp) /* * Check each list entry in turn, until a match is found, or we reach the * end of the list (i.e. next winds up pointing back to the list head). */ while (next != (alt_dev*) &alt_fs_list) - 5200: 00003106 br 52c8 + 51e4: 00003106 br 52ac { len = strlen(next->name); - 5204: e0bffd17 ldw r2,-12(fp) - 5208: 10800217 ldw r2,8(r2) - 520c: 1009883a mov r4,r2 - 5210: 000040c0 call 40c - 5214: e0bffe15 stw r2,-8(fp) + 51e8: e0bffd17 ldw r2,-12(fp) + 51ec: 10800217 ldw r2,8(r2) + 51f0: 1009883a mov r4,r2 + 51f4: 00003f00 call 3f0 + 51f8: e0bffe15 stw r2,-8(fp) if (next->name[len-1] == '/') - 5218: e0bffd17 ldw r2,-12(fp) - 521c: 10c00217 ldw r3,8(r2) - 5220: e0bffe17 ldw r2,-8(fp) - 5224: 10bfffc4 addi r2,r2,-1 - 5228: 1885883a add r2,r3,r2 - 522c: 10800003 ldbu r2,0(r2) - 5230: 10803fcc andi r2,r2,255 - 5234: 1080201c xori r2,r2,128 - 5238: 10bfe004 addi r2,r2,-128 - 523c: 10800bd8 cmpnei r2,r2,47 - 5240: 1000031e bne r2,zero,5250 + 51fc: e0bffd17 ldw r2,-12(fp) + 5200: 10c00217 ldw r3,8(r2) + 5204: e0bffe17 ldw r2,-8(fp) + 5208: 10bfffc4 addi r2,r2,-1 + 520c: 1885883a add r2,r3,r2 + 5210: 10800003 ldbu r2,0(r2) + 5214: 10803fcc andi r2,r2,255 + 5218: 1080201c xori r2,r2,128 + 521c: 10bfe004 addi r2,r2,-128 + 5220: 10800bd8 cmpnei r2,r2,47 + 5224: 1000031e bne r2,zero,5234 { len -= 1; - 5244: e0bffe17 ldw r2,-8(fp) - 5248: 10bfffc4 addi r2,r2,-1 - 524c: e0bffe15 stw r2,-8(fp) + 5228: e0bffe17 ldw r2,-8(fp) + 522c: 10bfffc4 addi r2,r2,-1 + 5230: e0bffe15 stw r2,-8(fp) } if (((name[len] == '/') || (name[len] == '\0')) && - 5250: e0bffe17 ldw r2,-8(fp) - 5254: e0ffff17 ldw r3,-4(fp) - 5258: 1885883a add r2,r3,r2 - 525c: 10800003 ldbu r2,0(r2) - 5260: 10803fcc andi r2,r2,255 - 5264: 1080201c xori r2,r2,128 - 5268: 10bfe004 addi r2,r2,-128 - 526c: 10800be0 cmpeqi r2,r2,47 - 5270: 1000081e bne r2,zero,5294 - 5274: e0bffe17 ldw r2,-8(fp) - 5278: e0ffff17 ldw r3,-4(fp) - 527c: 1885883a add r2,r3,r2 - 5280: 10800003 ldbu r2,0(r2) - 5284: 10803fcc andi r2,r2,255 - 5288: 1080201c xori r2,r2,128 - 528c: 10bfe004 addi r2,r2,-128 - 5290: 10000a1e bne r2,zero,52bc + 5234: e0bffe17 ldw r2,-8(fp) + 5238: e0ffff17 ldw r3,-4(fp) + 523c: 1885883a add r2,r3,r2 + 5240: 10800003 ldbu r2,0(r2) + 5244: 10803fcc andi r2,r2,255 + 5248: 1080201c xori r2,r2,128 + 524c: 10bfe004 addi r2,r2,-128 + 5250: 10800be0 cmpeqi r2,r2,47 + 5254: 1000081e bne r2,zero,5278 + 5258: e0bffe17 ldw r2,-8(fp) + 525c: e0ffff17 ldw r3,-4(fp) + 5260: 1885883a add r2,r3,r2 + 5264: 10800003 ldbu r2,0(r2) + 5268: 10803fcc andi r2,r2,255 + 526c: 1080201c xori r2,r2,128 + 5270: 10bfe004 addi r2,r2,-128 + 5274: 10000a1e bne r2,zero,52a0 !memcmp (next->name, name, len)) - 5294: e0bffd17 ldw r2,-12(fp) - 5298: 10800217 ldw r2,8(r2) - 529c: e0fffe17 ldw r3,-8(fp) - 52a0: 180d883a mov r6,r3 - 52a4: e17fff17 ldw r5,-4(fp) - 52a8: 1009883a mov r4,r2 - 52ac: 00054980 call 5498 + 5278: e0bffd17 ldw r2,-12(fp) + 527c: 10800217 ldw r2,8(r2) + 5280: e0fffe17 ldw r3,-8(fp) + 5284: 180d883a mov r6,r3 + 5288: e17fff17 ldw r5,-4(fp) + 528c: 1009883a mov r4,r2 + 5290: 000547c0 call 547c if (next->name[len-1] == '/') { len -= 1; } if (((name[len] == '/') || (name[len] == '\0')) && - 52b0: 1000021e bne r2,zero,52bc + 5294: 1000021e bne r2,zero,52a0 !memcmp (next->name, name, len)) { /* match found */ return next; - 52b4: e0bffd17 ldw r2,-12(fp) - 52b8: 00000706 br 52d8 + 5298: e0bffd17 ldw r2,-12(fp) + 529c: 00000706 br 52bc } next = (alt_dev*) next->llist.next; - 52bc: e0bffd17 ldw r2,-12(fp) - 52c0: 10800017 ldw r2,0(r2) - 52c4: e0bffd15 stw r2,-12(fp) + 52a0: e0bffd17 ldw r2,-12(fp) + 52a4: 10800017 ldw r2,0(r2) + 52a8: e0bffd15 stw r2,-12(fp) /* * Check each list entry in turn, until a match is found, or we reach the * end of the list (i.e. next winds up pointing back to the list head). */ while (next != (alt_dev*) &alt_fs_list) - 52c8: e0fffd17 ldw r3,-12(fp) - 52cc: d0a00404 addi r2,gp,-32752 - 52d0: 18bfcc1e bne r3,r2,5204 <__alt_data_end+0xfffe5204> + 52ac: e0fffd17 ldw r3,-12(fp) + 52b0: d0a00404 addi r2,gp,-32752 + 52b4: 18bfcc1e bne r3,r2,51e8 next = (alt_dev*) next->llist.next; } /* No match found */ return NULL; - 52d4: 0005883a mov r2,zero + 52b8: 0005883a mov r2,zero } - 52d8: e037883a mov sp,fp - 52dc: dfc00117 ldw ra,4(sp) - 52e0: df000017 ldw fp,0(sp) - 52e4: dec00204 addi sp,sp,8 - 52e8: f800283a ret + 52bc: e037883a mov sp,fp + 52c0: dfc00117 ldw ra,4(sp) + 52c4: df000017 ldw fp,0(sp) + 52c8: dec00204 addi sp,sp,8 + 52cc: f800283a ret -000052ec : +000052d0 : * the offset of the file descriptor within the file descriptor array). A * negative value indicates failure. */ int alt_get_fd (alt_dev* dev) { - 52ec: defffa04 addi sp,sp,-24 - 52f0: dfc00515 stw ra,20(sp) - 52f4: df000415 stw fp,16(sp) - 52f8: dc000315 stw r16,12(sp) - 52fc: df000404 addi fp,sp,16 - 5300: e13ffe15 stw r4,-8(fp) + 52d0: defffa04 addi sp,sp,-24 + 52d4: dfc00515 stw ra,20(sp) + 52d8: df000415 stw fp,16(sp) + 52dc: dc000315 stw r16,12(sp) + 52e0: df000404 addi fp,sp,16 + 52e4: e13ffe15 stw r4,-8(fp) alt_32 i; int rc = -EMFILE; - 5304: 00bffa04 movi r2,-24 - 5308: e0bffd15 stw r2,-12(fp) + 52e8: 00bffa04 movi r2,-24 + 52ec: e0bffd15 stw r2,-12(fp) * indicates the highest file descriptor ever allocated. This is used to * improve efficency when searching the file descriptor list, and * therefore reduce contention on the alt_fd_list_lock semaphore. */ for (i = 0; i < ALT_MAX_FD; i++) - 530c: e03ffc15 stw zero,-16(fp) - 5310: 00001d06 br 5388 + 52f0: e03ffc15 stw zero,-16(fp) + 52f4: 00001d06 br 536c { if (!alt_fd_list[i].dev) - 5314: 04000034 movhi r16,0 - 5318: 84182c04 addi r16,r16,24752 - 531c: e0bffc17 ldw r2,-16(fp) - 5320: 01400304 movi r5,12 - 5324: 1009883a mov r4,r2 - 5328: 000311c0 call 311c <__mulsi3> - 532c: 8085883a add r2,r16,r2 - 5330: 10800017 ldw r2,0(r2) - 5334: 1000111e bne r2,zero,537c + 52f8: 04000034 movhi r16,0 + 52fc: 84182504 addi r16,r16,24724 + 5300: e0bffc17 ldw r2,-16(fp) + 5304: 01400304 movi r5,12 + 5308: 1009883a mov r4,r2 + 530c: 00031000 call 3100 <__mulsi3> + 5310: 8085883a add r2,r16,r2 + 5314: 10800017 ldw r2,0(r2) + 5318: 1000111e bne r2,zero,5360 { alt_fd_list[i].dev = dev; - 5338: 04000034 movhi r16,0 - 533c: 84182c04 addi r16,r16,24752 - 5340: e0bffc17 ldw r2,-16(fp) - 5344: 01400304 movi r5,12 - 5348: 1009883a mov r4,r2 - 534c: 000311c0 call 311c <__mulsi3> - 5350: 8085883a add r2,r16,r2 - 5354: e0fffe17 ldw r3,-8(fp) - 5358: 10c00015 stw r3,0(r2) + 531c: 04000034 movhi r16,0 + 5320: 84182504 addi r16,r16,24724 + 5324: e0bffc17 ldw r2,-16(fp) + 5328: 01400304 movi r5,12 + 532c: 1009883a mov r4,r2 + 5330: 00031000 call 3100 <__mulsi3> + 5334: 8085883a add r2,r16,r2 + 5338: e0fffe17 ldw r3,-8(fp) + 533c: 10c00015 stw r3,0(r2) if (i > alt_max_fd) - 535c: d0e00817 ldw r3,-32736(gp) - 5360: e0bffc17 ldw r2,-16(fp) - 5364: 1880020e bge r3,r2,5370 + 5340: d0e00817 ldw r3,-32736(gp) + 5344: e0bffc17 ldw r2,-16(fp) + 5348: 1880020e bge r3,r2,5354 { alt_max_fd = i; - 5368: e0bffc17 ldw r2,-16(fp) - 536c: d0a00815 stw r2,-32736(gp) + 534c: e0bffc17 ldw r2,-16(fp) + 5350: d0a00815 stw r2,-32736(gp) } rc = i; - 5370: e0bffc17 ldw r2,-16(fp) - 5374: e0bffd15 stw r2,-12(fp) + 5354: e0bffc17 ldw r2,-16(fp) + 5358: e0bffd15 stw r2,-12(fp) goto alt_get_fd_exit; - 5378: 00000606 br 5394 + 535c: 00000606 br 5378 * indicates the highest file descriptor ever allocated. This is used to * improve efficency when searching the file descriptor list, and * therefore reduce contention on the alt_fd_list_lock semaphore. */ for (i = 0; i < ALT_MAX_FD; i++) - 537c: e0bffc17 ldw r2,-16(fp) - 5380: 10800044 addi r2,r2,1 - 5384: e0bffc15 stw r2,-16(fp) - 5388: e0bffc17 ldw r2,-16(fp) - 538c: 10800810 cmplti r2,r2,32 - 5390: 103fe01e bne r2,zero,5314 <__alt_data_end+0xfffe5314> + 5360: e0bffc17 ldw r2,-16(fp) + 5364: 10800044 addi r2,r2,1 + 5368: e0bffc15 stw r2,-16(fp) + 536c: e0bffc17 ldw r2,-16(fp) + 5370: 10800810 cmplti r2,r2,32 + 5374: 103fe01e bne r2,zero,52f8 * file descriptor pool. */ ALT_SEM_POST(alt_fd_list_lock); return rc; - 5394: e0bffd17 ldw r2,-12(fp) + 5378: e0bffd17 ldw r2,-12(fp) } - 5398: e6ffff04 addi sp,fp,-4 - 539c: dfc00217 ldw ra,8(sp) - 53a0: df000117 ldw fp,4(sp) - 53a4: dc000017 ldw r16,0(sp) - 53a8: dec00304 addi sp,sp,12 - 53ac: f800283a ret + 537c: e6ffff04 addi sp,fp,-4 + 5380: dfc00217 ldw ra,8(sp) + 5384: df000117 ldw fp,4(sp) + 5388: dc000017 ldw r16,0(sp) + 538c: dec00304 addi sp,sp,12 + 5390: f800283a ret -000053b0 : +00005394 : * Return: 1: BADADDR (bad_addr argument to handler) is valid * 0: BADADDR is not valid */ int alt_exception_cause_generated_bad_addr(alt_exception_cause cause) { - 53b0: defffe04 addi sp,sp,-8 - 53b4: df000115 stw fp,4(sp) - 53b8: df000104 addi fp,sp,4 - 53bc: e13fff15 stw r4,-4(fp) + 5394: defffe04 addi sp,sp,-8 + 5398: df000115 stw fp,4(sp) + 539c: df000104 addi fp,sp,4 + 53a0: e13fff15 stw r4,-4(fp) switch (cause) { - 53c0: e0bfff17 ldw r2,-4(fp) - 53c4: 10bffe84 addi r2,r2,-6 - 53c8: 10c00428 cmpgeui r3,r2,16 - 53cc: 18001a1e bne r3,zero,5438 - 53d0: 100690ba slli r3,r2,2 - 53d4: 00800034 movhi r2,0 - 53d8: 1094fa04 addi r2,r2,21480 - 53dc: 1885883a add r2,r3,r2 - 53e0: 10800017 ldw r2,0(r2) - 53e4: 1000683a jmp r2 - 53e8: 00005428 cmpgeui zero,zero,336 - 53ec: 00005428 cmpgeui zero,zero,336 - 53f0: 00005438 rdprs zero,zero,336 - 53f4: 00005438 rdprs zero,zero,336 - 53f8: 00005438 rdprs zero,zero,336 - 53fc: 00005428 cmpgeui zero,zero,336 - 5400: 00005430 cmpltui zero,zero,336 - 5404: 00005438 rdprs zero,zero,336 - 5408: 00005428 cmpgeui zero,zero,336 - 540c: 00005428 cmpgeui zero,zero,336 - 5410: 00005438 rdprs zero,zero,336 - 5414: 00005428 cmpgeui zero,zero,336 - 5418: 00005430 cmpltui zero,zero,336 - 541c: 00005438 rdprs zero,zero,336 - 5420: 00005438 rdprs zero,zero,336 - 5424: 00005428 cmpgeui zero,zero,336 + 53a4: e0bfff17 ldw r2,-4(fp) + 53a8: 10bffe84 addi r2,r2,-6 + 53ac: 10c00428 cmpgeui r3,r2,16 + 53b0: 18001a1e bne r3,zero,541c + 53b4: 100690ba slli r3,r2,2 + 53b8: 00800034 movhi r2,0 + 53bc: 1094f304 addi r2,r2,21452 + 53c0: 1885883a add r2,r3,r2 + 53c4: 10800017 ldw r2,0(r2) + 53c8: 1000683a jmp r2 + 53cc: 0000540c andi zero,zero,336 + 53d0: 0000540c andi zero,zero,336 + 53d4: 0000541c xori zero,zero,336 + 53d8: 0000541c xori zero,zero,336 + 53dc: 0000541c xori zero,zero,336 + 53e0: 0000540c andi zero,zero,336 + 53e4: 00005414 movui zero,336 + 53e8: 0000541c xori zero,zero,336 + 53ec: 0000540c andi zero,zero,336 + 53f0: 0000540c andi zero,zero,336 + 53f4: 0000541c xori zero,zero,336 + 53f8: 0000540c andi zero,zero,336 + 53fc: 00005414 movui zero,336 + 5400: 0000541c xori zero,zero,336 + 5404: 0000541c xori zero,zero,336 + 5408: 0000540c andi zero,zero,336 case NIOS2_EXCEPTION_MISALIGNED_TARGET_PC: case NIOS2_EXCEPTION_TLB_READ_PERM_VIOLATION: case NIOS2_EXCEPTION_TLB_WRITE_PERM_VIOLATION: case NIOS2_EXCEPTION_MPU_DATA_REGION_VIOLATION: case NIOS2_EXCEPTION_ECC_DATA_ERR: return 1; - 5428: 00800044 movi r2,1 - 542c: 00000306 br 543c + 540c: 00800044 movi r2,1 + 5410: 00000306 br 5420 case NIOS2_EXCEPTION_TLB_MISS: case NIOS2_EXCEPTION_ECC_TLB_ERR: return 0; - 5430: 0005883a mov r2,zero - 5434: 00000106 br 543c + 5414: 0005883a mov r2,zero + 5418: 00000106 br 5420 default: return 0; - 5438: 0005883a mov r2,zero + 541c: 0005883a mov r2,zero } } - 543c: e037883a mov sp,fp - 5440: df000017 ldw fp,0(sp) - 5444: dec00104 addi sp,sp,4 - 5448: f800283a ret + 5420: e037883a mov sp,fp + 5424: df000017 ldw fp,0(sp) + 5428: dec00104 addi sp,sp,4 + 542c: f800283a ret -0000544c : - 544c: 200b883a mov r5,r4 - 5450: 000f883a mov r7,zero - 5454: 000d883a mov r6,zero - 5458: 0009883a mov r4,zero - 545c: 00055141 jmpi 5514 <__register_exitproc> +00005430 : + 5430: 200b883a mov r5,r4 + 5434: 000f883a mov r7,zero + 5438: 000d883a mov r6,zero + 543c: 0009883a mov r4,zero + 5440: 00054f81 jmpi 54f8 <__register_exitproc> -00005460 : - 5460: defffe04 addi sp,sp,-8 - 5464: 000b883a mov r5,zero - 5468: dc000015 stw r16,0(sp) - 546c: dfc00115 stw ra,4(sp) - 5470: 2021883a mov r16,r4 - 5474: 000562c0 call 562c <__call_exitprocs> - 5478: 00800034 movhi r2,0 - 547c: 109ca404 addi r2,r2,29328 - 5480: 11000017 ldw r4,0(r2) - 5484: 20800f17 ldw r2,60(r4) - 5488: 10000126 beq r2,zero,5490 - 548c: 103ee83a callr r2 - 5490: 8009883a mov r4,r16 - 5494: 00057ac0 call 57ac <_exit> +00005444 : + 5444: defffe04 addi sp,sp,-8 + 5448: 000b883a mov r5,zero + 544c: dc000015 stw r16,0(sp) + 5450: dfc00115 stw ra,4(sp) + 5454: 2021883a mov r16,r4 + 5458: 00056100 call 5610 <__call_exitprocs> + 545c: 00800034 movhi r2,0 + 5460: 109c9d04 addi r2,r2,29300 + 5464: 11000017 ldw r4,0(r2) + 5468: 20800f17 ldw r2,60(r4) + 546c: 10000126 beq r2,zero,5474 + 5470: 103ee83a callr r2 + 5474: 8009883a mov r4,r16 + 5478: 00057900 call 5790 <_exit> -00005498 : - 5498: 01c000c4 movi r7,3 - 549c: 3980192e bgeu r7,r6,5504 - 54a0: 2144b03a or r2,r4,r5 - 54a4: 11c4703a and r2,r2,r7 - 54a8: 10000f26 beq r2,zero,54e8 - 54ac: 20800003 ldbu r2,0(r4) - 54b0: 28c00003 ldbu r3,0(r5) - 54b4: 10c0151e bne r2,r3,550c - 54b8: 31bfff84 addi r6,r6,-2 - 54bc: 01ffffc4 movi r7,-1 - 54c0: 00000406 br 54d4 - 54c4: 20800003 ldbu r2,0(r4) - 54c8: 28c00003 ldbu r3,0(r5) - 54cc: 31bfffc4 addi r6,r6,-1 - 54d0: 10c00e1e bne r2,r3,550c - 54d4: 21000044 addi r4,r4,1 - 54d8: 29400044 addi r5,r5,1 - 54dc: 31fff91e bne r6,r7,54c4 <__alt_data_end+0xfffe54c4> - 54e0: 0005883a mov r2,zero - 54e4: f800283a ret - 54e8: 20c00017 ldw r3,0(r4) - 54ec: 28800017 ldw r2,0(r5) - 54f0: 18bfee1e bne r3,r2,54ac <__alt_data_end+0xfffe54ac> - 54f4: 31bfff04 addi r6,r6,-4 - 54f8: 21000104 addi r4,r4,4 - 54fc: 29400104 addi r5,r5,4 - 5500: 39bff936 bltu r7,r6,54e8 <__alt_data_end+0xfffe54e8> - 5504: 303fe91e bne r6,zero,54ac <__alt_data_end+0xfffe54ac> - 5508: 003ff506 br 54e0 <__alt_data_end+0xfffe54e0> - 550c: 10c5c83a sub r2,r2,r3 - 5510: f800283a ret +0000547c : + 547c: 01c000c4 movi r7,3 + 5480: 3980192e bgeu r7,r6,54e8 + 5484: 2144b03a or r2,r4,r5 + 5488: 11c4703a and r2,r2,r7 + 548c: 10000f26 beq r2,zero,54cc + 5490: 20800003 ldbu r2,0(r4) + 5494: 28c00003 ldbu r3,0(r5) + 5498: 10c0151e bne r2,r3,54f0 + 549c: 31bfff84 addi r6,r6,-2 + 54a0: 01ffffc4 movi r7,-1 + 54a4: 00000406 br 54b8 + 54a8: 20800003 ldbu r2,0(r4) + 54ac: 28c00003 ldbu r3,0(r5) + 54b0: 31bfffc4 addi r6,r6,-1 + 54b4: 10c00e1e bne r2,r3,54f0 + 54b8: 21000044 addi r4,r4,1 + 54bc: 29400044 addi r5,r5,1 + 54c0: 31fff91e bne r6,r7,54a8 + 54c4: 0005883a mov r2,zero + 54c8: f800283a ret + 54cc: 20c00017 ldw r3,0(r4) + 54d0: 28800017 ldw r2,0(r5) + 54d4: 18bfee1e bne r3,r2,5490 + 54d8: 31bfff04 addi r6,r6,-4 + 54dc: 21000104 addi r4,r4,4 + 54e0: 29400104 addi r5,r5,4 + 54e4: 39bff936 bltu r7,r6,54cc + 54e8: 303fe91e bne r6,zero,5490 + 54ec: 003ff506 br 54c4 + 54f0: 10c5c83a sub r2,r2,r3 + 54f4: f800283a ret -00005514 <__register_exitproc>: - 5514: defffa04 addi sp,sp,-24 - 5518: dc000315 stw r16,12(sp) - 551c: 04000034 movhi r16,0 - 5520: 841ca404 addi r16,r16,29328 - 5524: 80c00017 ldw r3,0(r16) - 5528: dc400415 stw r17,16(sp) - 552c: dfc00515 stw ra,20(sp) - 5530: 18805217 ldw r2,328(r3) - 5534: 2023883a mov r17,r4 - 5538: 10003726 beq r2,zero,5618 <__register_exitproc+0x104> - 553c: 10c00117 ldw r3,4(r2) - 5540: 010007c4 movi r4,31 - 5544: 20c00e16 blt r4,r3,5580 <__register_exitproc+0x6c> - 5548: 1a000044 addi r8,r3,1 - 554c: 8800221e bne r17,zero,55d8 <__register_exitproc+0xc4> - 5550: 18c00084 addi r3,r3,2 - 5554: 18c7883a add r3,r3,r3 - 5558: 18c7883a add r3,r3,r3 - 555c: 12000115 stw r8,4(r2) - 5560: 10c7883a add r3,r2,r3 - 5564: 19400015 stw r5,0(r3) - 5568: 0005883a mov r2,zero - 556c: dfc00517 ldw ra,20(sp) - 5570: dc400417 ldw r17,16(sp) - 5574: dc000317 ldw r16,12(sp) - 5578: dec00604 addi sp,sp,24 - 557c: f800283a ret - 5580: 00800034 movhi r2,0 - 5584: 10800004 addi r2,r2,0 - 5588: 10002626 beq r2,zero,5624 <__register_exitproc+0x110> - 558c: 01006404 movi r4,400 - 5590: d9400015 stw r5,0(sp) - 5594: d9800115 stw r6,4(sp) - 5598: d9c00215 stw r7,8(sp) - 559c: 00000000 call 0 <__alt_mem_mem> - 55a0: d9400017 ldw r5,0(sp) - 55a4: d9800117 ldw r6,4(sp) - 55a8: d9c00217 ldw r7,8(sp) - 55ac: 10001d26 beq r2,zero,5624 <__register_exitproc+0x110> - 55b0: 81000017 ldw r4,0(r16) - 55b4: 10000115 stw zero,4(r2) - 55b8: 02000044 movi r8,1 - 55bc: 22405217 ldw r9,328(r4) - 55c0: 0007883a mov r3,zero - 55c4: 12400015 stw r9,0(r2) - 55c8: 20805215 stw r2,328(r4) - 55cc: 10006215 stw zero,392(r2) - 55d0: 10006315 stw zero,396(r2) - 55d4: 883fde26 beq r17,zero,5550 <__alt_data_end+0xfffe5550> - 55d8: 18c9883a add r4,r3,r3 - 55dc: 2109883a add r4,r4,r4 - 55e0: 1109883a add r4,r2,r4 - 55e4: 21802215 stw r6,136(r4) - 55e8: 01800044 movi r6,1 - 55ec: 12406217 ldw r9,392(r2) - 55f0: 30cc983a sll r6,r6,r3 - 55f4: 4992b03a or r9,r9,r6 - 55f8: 12406215 stw r9,392(r2) - 55fc: 21c04215 stw r7,264(r4) - 5600: 01000084 movi r4,2 - 5604: 893fd21e bne r17,r4,5550 <__alt_data_end+0xfffe5550> - 5608: 11006317 ldw r4,396(r2) - 560c: 218cb03a or r6,r4,r6 - 5610: 11806315 stw r6,396(r2) - 5614: 003fce06 br 5550 <__alt_data_end+0xfffe5550> - 5618: 18805304 addi r2,r3,332 - 561c: 18805215 stw r2,328(r3) - 5620: 003fc606 br 553c <__alt_data_end+0xfffe553c> - 5624: 00bfffc4 movi r2,-1 - 5628: 003fd006 br 556c <__alt_data_end+0xfffe556c> +000054f8 <__register_exitproc>: + 54f8: defffa04 addi sp,sp,-24 + 54fc: dc000315 stw r16,12(sp) + 5500: 04000034 movhi r16,0 + 5504: 841c9d04 addi r16,r16,29300 + 5508: 80c00017 ldw r3,0(r16) + 550c: dc400415 stw r17,16(sp) + 5510: dfc00515 stw ra,20(sp) + 5514: 18805217 ldw r2,328(r3) + 5518: 2023883a mov r17,r4 + 551c: 10003726 beq r2,zero,55fc <__register_exitproc+0x104> + 5520: 10c00117 ldw r3,4(r2) + 5524: 010007c4 movi r4,31 + 5528: 20c00e16 blt r4,r3,5564 <__register_exitproc+0x6c> + 552c: 1a000044 addi r8,r3,1 + 5530: 8800221e bne r17,zero,55bc <__register_exitproc+0xc4> + 5534: 18c00084 addi r3,r3,2 + 5538: 18c7883a add r3,r3,r3 + 553c: 18c7883a add r3,r3,r3 + 5540: 12000115 stw r8,4(r2) + 5544: 10c7883a add r3,r2,r3 + 5548: 19400015 stw r5,0(r3) + 554c: 0005883a mov r2,zero + 5550: dfc00517 ldw ra,20(sp) + 5554: dc400417 ldw r17,16(sp) + 5558: dc000317 ldw r16,12(sp) + 555c: dec00604 addi sp,sp,24 + 5560: f800283a ret + 5564: 00800034 movhi r2,0 + 5568: 10800004 addi r2,r2,0 + 556c: 10002626 beq r2,zero,5608 <__register_exitproc+0x110> + 5570: 01006404 movi r4,400 + 5574: d9400015 stw r5,0(sp) + 5578: d9800115 stw r6,4(sp) + 557c: d9c00215 stw r7,8(sp) + 5580: 00000000 call 0 <__alt_mem_mem> + 5584: d9400017 ldw r5,0(sp) + 5588: d9800117 ldw r6,4(sp) + 558c: d9c00217 ldw r7,8(sp) + 5590: 10001d26 beq r2,zero,5608 <__register_exitproc+0x110> + 5594: 81000017 ldw r4,0(r16) + 5598: 10000115 stw zero,4(r2) + 559c: 02000044 movi r8,1 + 55a0: 22405217 ldw r9,328(r4) + 55a4: 0007883a mov r3,zero + 55a8: 12400015 stw r9,0(r2) + 55ac: 20805215 stw r2,328(r4) + 55b0: 10006215 stw zero,392(r2) + 55b4: 10006315 stw zero,396(r2) + 55b8: 883fde26 beq r17,zero,5534 <__register_exitproc+0x3c> + 55bc: 18c9883a add r4,r3,r3 + 55c0: 2109883a add r4,r4,r4 + 55c4: 1109883a add r4,r2,r4 + 55c8: 21802215 stw r6,136(r4) + 55cc: 01800044 movi r6,1 + 55d0: 12406217 ldw r9,392(r2) + 55d4: 30cc983a sll r6,r6,r3 + 55d8: 4992b03a or r9,r9,r6 + 55dc: 12406215 stw r9,392(r2) + 55e0: 21c04215 stw r7,264(r4) + 55e4: 01000084 movi r4,2 + 55e8: 893fd21e bne r17,r4,5534 <__register_exitproc+0x3c> + 55ec: 11006317 ldw r4,396(r2) + 55f0: 218cb03a or r6,r4,r6 + 55f4: 11806315 stw r6,396(r2) + 55f8: 003fce06 br 5534 <__register_exitproc+0x3c> + 55fc: 18805304 addi r2,r3,332 + 5600: 18805215 stw r2,328(r3) + 5604: 003fc606 br 5520 <__register_exitproc+0x28> + 5608: 00bfffc4 movi r2,-1 + 560c: 003fd006 br 5550 <__register_exitproc+0x58> -0000562c <__call_exitprocs>: - 562c: defff504 addi sp,sp,-44 - 5630: df000915 stw fp,36(sp) - 5634: dd400615 stw r21,24(sp) - 5638: dc800315 stw r18,12(sp) - 563c: dfc00a15 stw ra,40(sp) - 5640: ddc00815 stw r23,32(sp) - 5644: dd800715 stw r22,28(sp) - 5648: dd000515 stw r20,20(sp) - 564c: dcc00415 stw r19,16(sp) - 5650: dc400215 stw r17,8(sp) - 5654: dc000115 stw r16,4(sp) - 5658: d9000015 stw r4,0(sp) - 565c: 2839883a mov fp,r5 - 5660: 04800044 movi r18,1 - 5664: 057fffc4 movi r21,-1 - 5668: 00800034 movhi r2,0 - 566c: 109ca404 addi r2,r2,29328 - 5670: 12000017 ldw r8,0(r2) - 5674: 45005217 ldw r20,328(r8) - 5678: 44c05204 addi r19,r8,328 - 567c: a0001c26 beq r20,zero,56f0 <__call_exitprocs+0xc4> - 5680: a0800117 ldw r2,4(r20) - 5684: 15ffffc4 addi r23,r2,-1 - 5688: b8000d16 blt r23,zero,56c0 <__call_exitprocs+0x94> - 568c: 14000044 addi r16,r2,1 - 5690: 8421883a add r16,r16,r16 - 5694: 8421883a add r16,r16,r16 - 5698: 84402004 addi r17,r16,128 - 569c: a463883a add r17,r20,r17 - 56a0: a421883a add r16,r20,r16 - 56a4: e0001e26 beq fp,zero,5720 <__call_exitprocs+0xf4> - 56a8: 80804017 ldw r2,256(r16) - 56ac: e0801c26 beq fp,r2,5720 <__call_exitprocs+0xf4> - 56b0: bdffffc4 addi r23,r23,-1 - 56b4: 843fff04 addi r16,r16,-4 - 56b8: 8c7fff04 addi r17,r17,-4 - 56bc: bd7ff91e bne r23,r21,56a4 <__alt_data_end+0xfffe56a4> - 56c0: 00800034 movhi r2,0 - 56c4: 10800004 addi r2,r2,0 - 56c8: 10000926 beq r2,zero,56f0 <__call_exitprocs+0xc4> - 56cc: a0800117 ldw r2,4(r20) - 56d0: 1000301e bne r2,zero,5794 <__call_exitprocs+0x168> - 56d4: a0800017 ldw r2,0(r20) - 56d8: 10003226 beq r2,zero,57a4 <__call_exitprocs+0x178> - 56dc: a009883a mov r4,r20 - 56e0: 98800015 stw r2,0(r19) - 56e4: 00000000 call 0 <__alt_mem_mem> - 56e8: 9d000017 ldw r20,0(r19) - 56ec: a03fe41e bne r20,zero,5680 <__alt_data_end+0xfffe5680> - 56f0: dfc00a17 ldw ra,40(sp) - 56f4: df000917 ldw fp,36(sp) - 56f8: ddc00817 ldw r23,32(sp) - 56fc: dd800717 ldw r22,28(sp) - 5700: dd400617 ldw r21,24(sp) - 5704: dd000517 ldw r20,20(sp) - 5708: dcc00417 ldw r19,16(sp) - 570c: dc800317 ldw r18,12(sp) - 5710: dc400217 ldw r17,8(sp) - 5714: dc000117 ldw r16,4(sp) - 5718: dec00b04 addi sp,sp,44 - 571c: f800283a ret - 5720: a0800117 ldw r2,4(r20) - 5724: 80c00017 ldw r3,0(r16) - 5728: 10bfffc4 addi r2,r2,-1 - 572c: 15c01426 beq r2,r23,5780 <__call_exitprocs+0x154> - 5730: 80000015 stw zero,0(r16) - 5734: 183fde26 beq r3,zero,56b0 <__alt_data_end+0xfffe56b0> - 5738: 95c8983a sll r4,r18,r23 - 573c: a0806217 ldw r2,392(r20) - 5740: a5800117 ldw r22,4(r20) - 5744: 2084703a and r2,r4,r2 - 5748: 10000b26 beq r2,zero,5778 <__call_exitprocs+0x14c> - 574c: a0806317 ldw r2,396(r20) - 5750: 2088703a and r4,r4,r2 - 5754: 20000c1e bne r4,zero,5788 <__call_exitprocs+0x15c> - 5758: 89400017 ldw r5,0(r17) - 575c: d9000017 ldw r4,0(sp) - 5760: 183ee83a callr r3 - 5764: a0800117 ldw r2,4(r20) - 5768: 15bfbf1e bne r2,r22,5668 <__alt_data_end+0xfffe5668> - 576c: 98800017 ldw r2,0(r19) - 5770: 153fcf26 beq r2,r20,56b0 <__alt_data_end+0xfffe56b0> - 5774: 003fbc06 br 5668 <__alt_data_end+0xfffe5668> - 5778: 183ee83a callr r3 - 577c: 003ff906 br 5764 <__alt_data_end+0xfffe5764> - 5780: a5c00115 stw r23,4(r20) - 5784: 003feb06 br 5734 <__alt_data_end+0xfffe5734> - 5788: 89000017 ldw r4,0(r17) - 578c: 183ee83a callr r3 - 5790: 003ff406 br 5764 <__alt_data_end+0xfffe5764> - 5794: a0800017 ldw r2,0(r20) - 5798: a027883a mov r19,r20 - 579c: 1029883a mov r20,r2 - 57a0: 003fb606 br 567c <__alt_data_end+0xfffe567c> - 57a4: 0005883a mov r2,zero - 57a8: 003ffb06 br 5798 <__alt_data_end+0xfffe5798> +00005610 <__call_exitprocs>: + 5610: defff504 addi sp,sp,-44 + 5614: df000915 stw fp,36(sp) + 5618: dd400615 stw r21,24(sp) + 561c: dc800315 stw r18,12(sp) + 5620: dfc00a15 stw ra,40(sp) + 5624: ddc00815 stw r23,32(sp) + 5628: dd800715 stw r22,28(sp) + 562c: dd000515 stw r20,20(sp) + 5630: dcc00415 stw r19,16(sp) + 5634: dc400215 stw r17,8(sp) + 5638: dc000115 stw r16,4(sp) + 563c: d9000015 stw r4,0(sp) + 5640: 2839883a mov fp,r5 + 5644: 04800044 movi r18,1 + 5648: 057fffc4 movi r21,-1 + 564c: 00800034 movhi r2,0 + 5650: 109c9d04 addi r2,r2,29300 + 5654: 12000017 ldw r8,0(r2) + 5658: 45005217 ldw r20,328(r8) + 565c: 44c05204 addi r19,r8,328 + 5660: a0001c26 beq r20,zero,56d4 <__call_exitprocs+0xc4> + 5664: a0800117 ldw r2,4(r20) + 5668: 15ffffc4 addi r23,r2,-1 + 566c: b8000d16 blt r23,zero,56a4 <__call_exitprocs+0x94> + 5670: 14000044 addi r16,r2,1 + 5674: 8421883a add r16,r16,r16 + 5678: 8421883a add r16,r16,r16 + 567c: 84402004 addi r17,r16,128 + 5680: a463883a add r17,r20,r17 + 5684: a421883a add r16,r20,r16 + 5688: e0001e26 beq fp,zero,5704 <__call_exitprocs+0xf4> + 568c: 80804017 ldw r2,256(r16) + 5690: e0801c26 beq fp,r2,5704 <__call_exitprocs+0xf4> + 5694: bdffffc4 addi r23,r23,-1 + 5698: 843fff04 addi r16,r16,-4 + 569c: 8c7fff04 addi r17,r17,-4 + 56a0: bd7ff91e bne r23,r21,5688 <__call_exitprocs+0x78> + 56a4: 00800034 movhi r2,0 + 56a8: 10800004 addi r2,r2,0 + 56ac: 10000926 beq r2,zero,56d4 <__call_exitprocs+0xc4> + 56b0: a0800117 ldw r2,4(r20) + 56b4: 1000301e bne r2,zero,5778 <__call_exitprocs+0x168> + 56b8: a0800017 ldw r2,0(r20) + 56bc: 10003226 beq r2,zero,5788 <__call_exitprocs+0x178> + 56c0: a009883a mov r4,r20 + 56c4: 98800015 stw r2,0(r19) + 56c8: 00000000 call 0 <__alt_mem_mem> + 56cc: 9d000017 ldw r20,0(r19) + 56d0: a03fe41e bne r20,zero,5664 <__call_exitprocs+0x54> + 56d4: dfc00a17 ldw ra,40(sp) + 56d8: df000917 ldw fp,36(sp) + 56dc: ddc00817 ldw r23,32(sp) + 56e0: dd800717 ldw r22,28(sp) + 56e4: dd400617 ldw r21,24(sp) + 56e8: dd000517 ldw r20,20(sp) + 56ec: dcc00417 ldw r19,16(sp) + 56f0: dc800317 ldw r18,12(sp) + 56f4: dc400217 ldw r17,8(sp) + 56f8: dc000117 ldw r16,4(sp) + 56fc: dec00b04 addi sp,sp,44 + 5700: f800283a ret + 5704: a0800117 ldw r2,4(r20) + 5708: 80c00017 ldw r3,0(r16) + 570c: 10bfffc4 addi r2,r2,-1 + 5710: 15c01426 beq r2,r23,5764 <__call_exitprocs+0x154> + 5714: 80000015 stw zero,0(r16) + 5718: 183fde26 beq r3,zero,5694 <__call_exitprocs+0x84> + 571c: 95c8983a sll r4,r18,r23 + 5720: a0806217 ldw r2,392(r20) + 5724: a5800117 ldw r22,4(r20) + 5728: 2084703a and r2,r4,r2 + 572c: 10000b26 beq r2,zero,575c <__call_exitprocs+0x14c> + 5730: a0806317 ldw r2,396(r20) + 5734: 2088703a and r4,r4,r2 + 5738: 20000c1e bne r4,zero,576c <__call_exitprocs+0x15c> + 573c: 89400017 ldw r5,0(r17) + 5740: d9000017 ldw r4,0(sp) + 5744: 183ee83a callr r3 + 5748: a0800117 ldw r2,4(r20) + 574c: 15bfbf1e bne r2,r22,564c <__call_exitprocs+0x3c> + 5750: 98800017 ldw r2,0(r19) + 5754: 153fcf26 beq r2,r20,5694 <__call_exitprocs+0x84> + 5758: 003fbc06 br 564c <__call_exitprocs+0x3c> + 575c: 183ee83a callr r3 + 5760: 003ff906 br 5748 <__call_exitprocs+0x138> + 5764: a5c00115 stw r23,4(r20) + 5768: 003feb06 br 5718 <__call_exitprocs+0x108> + 576c: 89000017 ldw r4,0(r17) + 5770: 183ee83a callr r3 + 5774: 003ff406 br 5748 <__call_exitprocs+0x138> + 5778: a0800017 ldw r2,0(r20) + 577c: a027883a mov r19,r20 + 5780: 1029883a mov r20,r2 + 5784: 003fb606 br 5660 <__call_exitprocs+0x50> + 5788: 0005883a mov r2,zero + 578c: 003ffb06 br 577c <__call_exitprocs+0x16c> -000057ac <_exit>: +00005790 <_exit>: * * ALT_EXIT is mapped onto the _exit() system call in alt_syscall.h */ void ALT_EXIT (int exit_code) { - 57ac: defffd04 addi sp,sp,-12 - 57b0: df000215 stw fp,8(sp) - 57b4: df000204 addi fp,sp,8 - 57b8: e13fff15 stw r4,-4(fp) + 5790: defffd04 addi sp,sp,-12 + 5794: df000215 stw fp,8(sp) + 5798: df000204 addi fp,sp,8 + 579c: e13fff15 stw r4,-4(fp) ALT_LOG_PRINT_BOOT("[alt_exit.c] Entering _exit() function.\r\n"); ALT_LOG_PRINT_BOOT("[alt_exit.c] Exit code from main was %d.\r\n",exit_code); /* Stop all other threads */ ALT_LOG_PRINT_BOOT("[alt_exit.c] Calling ALT_OS_STOP().\r\n"); ALT_OS_STOP(); - 57bc: 0001883a nop - 57c0: e0bfff17 ldw r2,-4(fp) - 57c4: e0bffe15 stw r2,-8(fp) + 57a0: 0001883a nop + 57a4: e0bfff17 ldw r2,-4(fp) + 57a8: e0bffe15 stw r2,-8(fp) /* * Routine called on exit. */ static ALT_INLINE ALT_ALWAYS_INLINE void alt_sim_halt(int exit_code) { register int r2 asm ("r2") = exit_code; - 57c8: e0bffe17 ldw r2,-8(fp) + 57ac: e0bffe17 ldw r2,-8(fp) __asm__ volatile ("\n0:\n\taddi %0,%0, -1\n\tbgt %0,zero,0b" : : "r" (ALT_CPU_FREQ/100) ); /* Delay for >30ms */ __asm__ volatile ("break 2" : : "r"(r2), "r"(r3) ALT_GMON_DATA ); #else /* !DEBUG_STUB */ if (r2) { - 57cc: 10000226 beq r2,zero,57d8 <_exit+0x2c> + 57b0: 10000226 beq r2,zero,57bc <_exit+0x2c> ALT_SIM_FAIL(); - 57d0: 002af070 cmpltui zero,zero,43969 - 57d4: 00000106 br 57dc <_exit+0x30> + 57b4: 002af070 cmpltui zero,zero,43969 + 57b8: 00000106 br 57c0 <_exit+0x30> } else { ALT_SIM_PASS(); - 57d8: 002af0b0 cmpltui zero,zero,43970 + 57bc: 002af0b0 cmpltui zero,zero,43970 ALT_SIM_HALT(exit_code); /* spin forever, since there's no where to go back to */ ALT_LOG_PRINT_BOOT("[alt_exit.c] Spinning forever.\r\n"); while (1); - 57dc: 003fff06 br 57dc <__alt_data_end+0xfffe57dc> + 57c0: 003fff06 br 57c0 <_exit+0x30> diff --git a/Top/software/semafor/mem_init/hdl_sim/niosII_mem.dat b/Top/software/semafor/mem_init/hdl_sim/niosII_mem.dat index 5a8a51d..884d569 100644 --- a/Top/software/semafor/mem_init/hdl_sim/niosII_mem.dat +++ b/Top/software/semafor/mem_init/hdl_sim/niosII_mem.dat @@ -78,13 +78,13 @@ @004D 1884703A @004E 10001426 @004F 00800034 -@0050 109CC704 +@0050 109CC004 @0051 E0FFFD17 @0052 180690FA @0053 10C5883A @0054 10C00017 @0055 00800034 -@0056 109CC704 +@0056 109CC004 @0057 E13FFD17 @0058 200890FA @0059 1105883A @@ -141,5532 +141,5532 @@ @008C 06C000B4 @008D DEC00014 @008E 06800034 -@008F D6BCA414 -@0090 00035A00 +@008F D6BC9D14 +@0090 00035840 @0091 003FFF06 -@0092 DEFFFA04 -@0093 DFC00515 -@0094 DF000415 -@0095 DF000404 +@0092 DEFFFB04 +@0093 DFC00415 +@0094 DF000315 +@0095 DF000304 @0096 008000B4 @0097 10840004 -@0098 E0BFFE15 -@0099 E03FFC15 -@009A 00002306 -@009B E03FFF15 -@009C 00800104 -@009D E0BFFD15 -@009E 00001406 -@009F E0FFFF17 -@00A0 00800804 -@00A1 1888983A -@00A2 E0BFFD17 -@00A3 117FFFC4 -@00A4 00800034 -@00A5 1095F804 -@00A6 E0FFFC17 +@0098 E0BFFF15 +@0099 E03FFD15 +@009A 00001D06 +@009B 00800104 +@009C E0BFFE15 +@009D 00001506 +@009E E0BFFE17 +@009F 113FFFC4 +@00A0 00800034 +@00A1 1095F104 +@00A2 E0FFFD17 +@00A3 18C7883A +@00A4 18C7883A +@00A5 1907883A +@00A6 18C7883A @00A7 18C7883A -@00A8 18C7883A -@00A9 1947883A -@00AA 18C7883A -@00AB 18C7883A -@00AC 10C5883A -@00AD 10800017 -@00AE 2084B03A +@00A8 10C5883A +@00A9 10C00017 +@00AA E0BFFF17 +@00AB 10C00015 +@00AC 000323C0 +@00AD E0BFFF17 +@00AE 10800104 @00AF E0BFFF15 -@00B0 E0BFFD17 +@00B0 E0BFFE17 @00B1 10BFFFC4 -@00B2 E0BFFD15 -@00B3 E0BFFD17 -@00B4 00BFEA16 -@00B5 E0BFFE17 -@00B6 E0FFFF17 -@00B7 10C00015 -@00B8 E0BFFE17 -@00B9 10800104 -@00BA E0BFFE15 -@00BB E0BFFC17 -@00BC 10800044 -@00BD E0BFFC15 -@00BE E0BFFC17 -@00BF 10800110 -@00C0 103FDA1E -@00C1 00032580 -@00C2 0007883A -@00C3 008000B4 -@00C4 10841904 -@00C5 10C00035 -@00C6 00C00044 -@00C7 008000B4 -@00C8 10841804 -@00C9 10C00035 -@00CA 01000034 -@00CB 21160804 -@00CC 00003F80 -@00CD 003FFF06 -@00CE DEFFF604 -@00CF DC000715 -@00D0 2021883A -@00D1 2809883A -@00D2 DC400815 -@00D3 DFC00915 -@00D4 2823883A -@00D5 000040C0 -@00D6 10C00044 -@00D7 D8800115 -@00D8 00800034 -@00D9 10960A04 -@00DA D8800215 -@00DB 00800044 -@00DC D8800315 -@00DD 00800084 -@00DE DC400015 -@00DF D8C00615 -@00E0 DEC00415 -@00E1 D8800515 -@00E2 80000226 -@00E3 80800E17 -@00E4 10001426 -@00E5 81400217 -@00E6 2880030B -@00E7 10C8000C -@00E8 1800061E -@00E9 29001917 -@00EA 00F7FFC4 -@00EB 10880014 -@00EC 20C6703A -@00ED 2880030D -@00EE 28C01915 -@00EF D9800404 -@00F0 8009883A -@00F1 00008540 -@00F2 1000091E -@00F3 00800284 -@00F4 DFC00917 -@00F5 DC400817 -@00F6 DC000717 -@00F7 DEC00A04 -@00F8 F800283A -@00F9 8009883A -@00FA 00008040 -@00FB 003FE906 -@00FC 00BFFFC4 -@00FD 003FF606 -@00FE 00800034 -@00FF 109CA504 -@0100 200B883A -@0101 11000017 -@0102 00003381 -@0103 208000CC -@0104 10002026 -@0105 20800007 -@0106 10002026 -@0107 2005883A -@0108 00000206 -@0109 10C00007 -@010A 18001826 -@010B 10800044 -@010C 10C000CC -@010D 183FFB1E -@010E 10C00017 -@010F 01FFBFF4 -@0110 39FFBFC4 -@0111 00CA303A -@0112 01A02074 -@0113 19C7883A -@0114 31A02004 -@0115 1946703A +@00B2 E0BFFE15 +@00B3 E0BFFE17 +@00B4 00BFE916 +@00B5 E0BFFD17 +@00B6 10800044 +@00B7 E0BFFD15 +@00B8 E0BFFD17 +@00B9 10800110 +@00BA 103FE01E +@00BB 0007883A +@00BC 008000B4 +@00BD 10841904 +@00BE 10C00035 +@00BF 00C00044 +@00C0 008000B4 +@00C1 10841804 +@00C2 10C00035 +@00C3 01000034 +@00C4 21160104 +@00C5 00003DC0 +@00C6 003FFF06 +@00C7 DEFFF604 +@00C8 DC000715 +@00C9 2021883A +@00CA 2809883A +@00CB DC400815 +@00CC DFC00915 +@00CD 2823883A +@00CE 00003F00 +@00CF 10C00044 +@00D0 D8800115 +@00D1 00800034 +@00D2 10960304 +@00D3 D8800215 +@00D4 00800044 +@00D5 D8800315 +@00D6 00800084 +@00D7 DC400015 +@00D8 D8C00615 +@00D9 DEC00415 +@00DA D8800515 +@00DB 80000226 +@00DC 80800E17 +@00DD 10001426 +@00DE 81400217 +@00DF 2880030B +@00E0 10C8000C +@00E1 1800061E +@00E2 29001917 +@00E3 00F7FFC4 +@00E4 10880014 +@00E5 20C6703A +@00E6 2880030D +@00E7 28C01915 +@00E8 D9800404 +@00E9 8009883A +@00EA 00008380 +@00EB 1000091E +@00EC 00800284 +@00ED DFC00917 +@00EE DC400817 +@00EF DC000717 +@00F0 DEC00A04 +@00F1 F800283A +@00F2 8009883A +@00F3 00007E80 +@00F4 003FE906 +@00F5 00BFFFC4 +@00F6 003FF606 +@00F7 00800034 +@00F8 109C9E04 +@00F9 200B883A +@00FA 11000017 +@00FB 000031C1 +@00FC 208000CC +@00FD 10002026 +@00FE 20800007 +@00FF 10002026 +@0100 2005883A +@0101 00000206 +@0102 10C00007 +@0103 18001826 +@0104 10800044 +@0105 10C000CC +@0106 183FFB1E +@0107 10C00017 +@0108 01FFBFF4 +@0109 39FFBFC4 +@010A 00CA303A +@010B 01A02074 +@010C 19C7883A +@010D 31A02004 +@010E 1946703A +@010F 1986703A +@0110 1800091E +@0111 10800104 +@0112 10C00017 +@0113 19CB883A +@0114 00C6303A +@0115 28C6703A @0116 1986703A -@0117 1800091E -@0118 10800104 -@0119 10C00017 -@011A 19CB883A -@011B 00C6303A -@011C 28C6703A -@011D 1986703A -@011E 183FF926 -@011F 00000106 -@0120 10800044 -@0121 10C00007 -@0122 183FFD1E -@0123 1105C83A -@0124 F800283A -@0125 2005883A -@0126 003FE706 -@0127 0005883A -@0128 F800283A -@0129 0005883A -@012A F800283A -@012B 01400034 -@012C 29491704 -@012D 0000DE01 -@012E DEFFF704 -@012F 00C00034 -@0130 DFC00815 -@0131 DDC00715 -@0132 DD800615 -@0133 DD400515 -@0134 DD000415 -@0135 DCC00315 -@0136 DC800215 -@0137 DC400115 -@0138 DC000015 -@0139 18C12B04 -@013A 24000117 -@013B 20C00F15 -@013C 2080BB04 -@013D 00C000C4 -@013E 20C0B915 -@013F 2080BA15 -@0140 2000B815 -@0141 05C00204 -@0142 00800104 -@0143 2025883A -@0144 B80D883A -@0145 81001704 -@0146 000B883A -@0147 80000015 -@0148 80000115 -@0149 80000215 -@014A 8080030D -@014B 80001915 -@014C 8000038D -@014D 80000415 -@014E 80000515 -@014F 80000615 -@0150 0001A380 -@0151 05800034 -@0152 94400217 -@0153 05400034 -@0154 05000034 -@0155 04C00034 -@0156 B5884604 -@0157 AD485D04 -@0158 A5087C04 -@0159 9CC89304 -@015A 85800815 -@015B 85400915 -@015C 85000A15 -@015D 84C00B15 -@015E 84000715 -@015F 00800284 -@0160 8880030D -@0161 00800044 -@0162 B80D883A -@0163 89001704 -@0164 000B883A -@0165 88000015 -@0166 88000115 -@0167 88000215 -@0168 88001915 -@0169 8880038D -@016A 88000415 -@016B 88000515 -@016C 88000615 -@016D 0001A380 -@016E 94000317 -@016F 00800484 -@0170 8C400715 -@0171 8D800815 -@0172 8D400915 -@0173 8D000A15 -@0174 8CC00B15 -@0175 8080030D -@0176 00800084 -@0177 80000015 -@0178 80000115 -@0179 80000215 -@017A 80001915 -@017B 8080038D -@017C 80000415 -@017D 80000515 -@017E 80000615 -@017F B80D883A -@0180 000B883A -@0181 81001704 -@0182 0001A380 -@0183 00800044 -@0184 84000715 -@0185 85800815 -@0186 85400915 -@0187 85000A15 -@0188 84C00B15 -@0189 90800E15 -@018A DFC00817 -@018B DDC00717 -@018C DD800617 -@018D DD400517 -@018E DD000417 -@018F DCC00317 -@0190 DC800217 -@0191 DC400117 -@0192 DC000017 -@0193 DEC00904 -@0194 F800283A -@0195 0005883A -@0196 F800283A -@0197 DEFFFC04 -@0198 DC800215 -@0199 2825883A -@019A DC000015 -@019B 01401A04 -@019C 2021883A -@019D 913FFFC4 -@019E DFC00315 -@019F DC400115 -@01A0 000311C0 -@01A1 8009883A -@01A2 11401D04 -@01A3 1023883A -@01A4 0000EA40 -@01A5 1021883A -@01A6 10000726 -@01A7 11000304 -@01A8 10000015 -@01A9 14800115 -@01AA 11000215 -@01AB 89801A04 -@01AC 000B883A -@01AD 0001A380 -@01AE 8005883A -@01AF DFC00317 -@01B0 DC800217 -@01B1 DC400117 -@01B2 DC000017 -@01B3 DEC00404 -@01B4 F800283A -@01B5 DEFFFB04 -@01B6 DC000015 -@01B7 04000034 -@01B8 841CA404 -@01B9 DCC00315 -@01BA 2027883A +@0117 183FF926 +@0118 00000106 +@0119 10800044 +@011A 10C00007 +@011B 183FFD1E +@011C 1105C83A +@011D F800283A +@011E 2005883A +@011F 003FE706 +@0120 0005883A +@0121 F800283A +@0122 0005883A +@0123 F800283A +@0124 01400034 +@0125 29491004 +@0126 0000DC41 +@0127 DEFFF704 +@0128 00C00034 +@0129 DFC00815 +@012A DDC00715 +@012B DD800615 +@012C DD400515 +@012D DD000415 +@012E DCC00315 +@012F DC800215 +@0130 DC400115 +@0131 DC000015 +@0132 18C12404 +@0133 24000117 +@0134 20C00F15 +@0135 2080BB04 +@0136 00C000C4 +@0137 20C0B915 +@0138 2080BA15 +@0139 2000B815 +@013A 05C00204 +@013B 00800104 +@013C 2025883A +@013D B80D883A +@013E 81001704 +@013F 000B883A +@0140 80000015 +@0141 80000115 +@0142 80000215 +@0143 8080030D +@0144 80001915 +@0145 8000038D +@0146 80000415 +@0147 80000515 +@0148 80000615 +@0149 0001A1C0 +@014A 05800034 +@014B 94400217 +@014C 05400034 +@014D 05000034 +@014E 04C00034 +@014F B5883F04 +@0150 AD485604 +@0151 A5087504 +@0152 9CC88C04 +@0153 85800815 +@0154 85400915 +@0155 85000A15 +@0156 84C00B15 +@0157 84000715 +@0158 00800284 +@0159 8880030D +@015A 00800044 +@015B B80D883A +@015C 89001704 +@015D 000B883A +@015E 88000015 +@015F 88000115 +@0160 88000215 +@0161 88001915 +@0162 8880038D +@0163 88000415 +@0164 88000515 +@0165 88000615 +@0166 0001A1C0 +@0167 94000317 +@0168 00800484 +@0169 8C400715 +@016A 8D800815 +@016B 8D400915 +@016C 8D000A15 +@016D 8CC00B15 +@016E 8080030D +@016F 00800084 +@0170 80000015 +@0171 80000115 +@0172 80000215 +@0173 80001915 +@0174 8080038D +@0175 80000415 +@0176 80000515 +@0177 80000615 +@0178 B80D883A +@0179 000B883A +@017A 81001704 +@017B 0001A1C0 +@017C 00800044 +@017D 84000715 +@017E 85800815 +@017F 85400915 +@0180 85000A15 +@0181 84C00B15 +@0182 90800E15 +@0183 DFC00817 +@0184 DDC00717 +@0185 DD800617 +@0186 DD400517 +@0187 DD000417 +@0188 DCC00317 +@0189 DC800217 +@018A DC400117 +@018B DC000017 +@018C DEC00904 +@018D F800283A +@018E 0005883A +@018F F800283A +@0190 DEFFFC04 +@0191 DC800215 +@0192 2825883A +@0193 DC000015 +@0194 01401A04 +@0195 2021883A +@0196 913FFFC4 +@0197 DFC00315 +@0198 DC400115 +@0199 00031000 +@019A 8009883A +@019B 11401D04 +@019C 1023883A +@019D 0000E880 +@019E 1021883A +@019F 10000726 +@01A0 11000304 +@01A1 10000015 +@01A2 14800115 +@01A3 11000215 +@01A4 89801A04 +@01A5 000B883A +@01A6 0001A1C0 +@01A7 8005883A +@01A8 DFC00317 +@01A9 DC800217 +@01AA DC400117 +@01AB DC000017 +@01AC DEC00404 +@01AD F800283A +@01AE DEFFFB04 +@01AF DC000015 +@01B0 04000034 +@01B1 841C9D04 +@01B2 DCC00315 +@01B3 2027883A +@01B4 81000017 +@01B5 DFC00415 +@01B6 DC800215 +@01B7 20800E17 +@01B8 DC400115 +@01B9 1000021E +@01BA 000049C0 @01BB 81000017 -@01BC DFC00415 -@01BD DC800215 -@01BE 20800E17 -@01BF DC400115 -@01C0 1000021E -@01C1 00004B80 -@01C2 81000017 -@01C3 2480B804 -@01C4 047FFFC4 -@01C5 91000117 -@01C6 94000217 -@01C7 213FFFC4 -@01C8 20000A16 -@01C9 8080030F -@01CA 10000C26 -@01CB 80C01D04 -@01CC 00000206 -@01CD 18BFE60F -@01CE 10000826 -@01CF 213FFFC4 -@01D0 1C3FFD04 -@01D1 18C01A04 -@01D2 247FFA1E -@01D3 90800017 -@01D4 10001D26 -@01D5 1025883A -@01D6 003FEE06 -@01D7 00BFFFC4 -@01D8 8080038D -@01D9 00800044 -@01DA 8080030D -@01DB 80001915 -@01DC 80000015 -@01DD 80000215 -@01DE 80000115 -@01DF 80000415 -@01E0 80000515 -@01E1 80000615 -@01E2 01800204 -@01E3 000B883A -@01E4 81001704 -@01E5 0001A380 -@01E6 8005883A -@01E7 80000C15 -@01E8 80000D15 -@01E9 80001115 -@01EA 80001215 -@01EB DFC00417 -@01EC DCC00317 -@01ED DC800217 -@01EE DC400117 -@01EF DC000017 -@01F0 DEC00504 -@01F1 F800283A -@01F2 01400104 -@01F3 9809883A -@01F4 000065C0 -@01F5 90800015 -@01F6 103FDE1E -@01F7 00800304 -@01F8 98800015 -@01F9 0005883A -@01FA 003FF006 -@01FB 00800034 -@01FC 109CA404 -@01FD 11000017 -@01FE 01400034 -@01FF 29491704 -@0200 0000DE01 -@0201 20800E17 -@0202 10000126 -@0203 F800283A -@0204 00004B81 -@0205 F800283A -@0206 F800283A -@0207 F800283A -@0208 F800283A -@0209 00800034 -@020A 109CA504 -@020B 11000017 -@020C 01400034 -@020D 29419504 -@020E 0000D1C1 -@020F 00800034 -@0210 109CA504 -@0211 11000017 -@0212 01400034 -@0213 29412904 -@0214 0000D1C1 -@0215 30800217 -@0216 10006726 -@0217 28C0030B -@0218 DEFFF404 -@0219 DD400715 -@021A DD000615 -@021B DC000215 -@021C DFC00B15 -@021D DF000A15 -@021E DDC00915 -@021F DD800815 -@0220 DCC00515 -@0221 DC800415 -@0222 DC400315 -@0223 1880020C -@0224 2821883A -@0225 202B883A -@0226 3029883A -@0227 10002726 -@0228 28800417 -@0229 10002526 -@022A 1880008C -@022B A4400017 -@022C 10002A26 -@022D 05A00034 -@022E 0027883A -@022F 0025883A -@0230 B5BF0004 -@0231 980D883A -@0232 A809883A -@0233 90004626 -@0234 900F883A -@0235 B480022E -@0236 01E00034 -@0237 39FF0004 -@0238 80800917 -@0239 81400717 -@023A 103EE83A -@023B 00805A0E -@023C A0C00217 -@023D 98A7883A -@023E 90A5C83A -@023F 1885C83A -@0240 A0800215 -@0241 103FEF1E -@0242 0005883A -@0243 DFC00B17 -@0244 DF000A17 -@0245 DDC00917 -@0246 DD800817 -@0247 DD400717 -@0248 DD000617 -@0249 DCC00517 -@024A DC800417 -@024B DC400317 -@024C DC000217 -@024D DEC00C04 -@024E F800283A -@024F 800B883A -@0250 A809883A -@0251 00022B40 -@0252 1000EE1E -@0253 80C0030B -@0254 A4400017 -@0255 1880008C -@0256 103FD61E -@0257 1880004C -@0258 1000421E -@0259 0039883A -@025A 0025883A -@025B 90001A26 -@025C 1880800C -@025D 84C00217 -@025E 10002126 -@025F 982F883A -@0260 94C09636 -@0261 1881200C -@0262 1000A11E -@0263 81000017 -@0264 B80D883A -@0265 E00B883A -@0266 00018DC0 -@0267 80C00217 -@0268 81000017 -@0269 9005883A -@026A 1CE7C83A -@026B 25CF883A -@026C 84C00215 -@026D 81C00015 -@026E A0C00217 -@026F E0B9883A -@0270 90A5C83A -@0271 18A7C83A -@0272 A4C00215 -@0273 983FCE26 -@0274 80C0030B -@0275 903FE61E -@0276 8F000017 -@0277 8C800117 -@0278 8C400204 -@0279 003FE106 -@027A 8CC00017 -@027B 8C800117 -@027C 8C400204 -@027D 003FB306 -@027E 0005883A -@027F F800283A -@0280 81000017 -@0281 80800417 -@0282 11005A36 -@0283 85C00517 -@0284 95C05836 -@0285 00A00034 -@0286 10BFFFC4 -@0287 9009883A -@0288 1480012E -@0289 1009883A -@028A B80B883A -@028B 0002F680 -@028C B80B883A -@028D 1009883A -@028E 000311C0 -@028F 81400717 -@0290 80C00917 -@0291 100F883A -@0292 E00D883A -@0293 A809883A -@0294 183EE83A -@0295 00BFD816 -@0296 8080030B -@0297 10801014 -@0298 8080030D -@0299 00BFFFC4 -@029A 003FA806 -@029B 0027883A -@029C 0011883A -@029D 0039883A -@029E 0025883A -@029F 90001F26 -@02A0 40005A26 -@02A1 982D883A -@02A2 94C0012E -@02A3 902D883A -@02A4 81000017 -@02A5 80800417 -@02A6 B02F883A -@02A7 81C00517 -@02A8 1100032E -@02A9 80C00217 -@02AA 38C7883A -@02AB 1D801816 -@02AC B1C03E16 -@02AD 80800917 -@02AE 81400717 -@02AF E00D883A -@02B0 DA000115 -@02B1 A809883A -@02B2 103EE83A -@02B3 102F883A -@02B4 DA000117 -@02B5 00BFE00E -@02B6 9DE7C83A -@02B7 98001F26 -@02B8 A0800217 -@02B9 E5F9883A -@02BA 95E5C83A -@02BB 15EFC83A -@02BC A5C00215 -@02BD B83F8426 -@02BE 903FE11E -@02BF 8F000017 -@02C0 8C800117 -@02C1 0011883A -@02C2 8C400204 -@02C3 003FDB06 -@02C4 180D883A -@02C5 E00B883A -@02C6 DA000115 -@02C7 D8C00015 -@02C8 00018DC0 -@02C9 D8C00017 -@02CA 80800017 -@02CB 800B883A -@02CC A809883A -@02CD 10C5883A -@02CE 80800015 -@02CF D8C00015 -@02D0 000277C0 -@02D1 D8C00017 -@02D2 DA000117 -@02D3 103FC21E -@02D4 182F883A -@02D5 9DE7C83A -@02D6 983FE11E -@02D7 800B883A -@02D8 A809883A -@02D9 000277C0 -@02DA 103FBB1E -@02DB 0011883A -@02DC 003FDB06 -@02DD 94C0012E -@02DE 9027883A -@02DF 980D883A -@02E0 E00B883A -@02E1 00018DC0 -@02E2 80800217 -@02E3 80C00017 -@02E4 14C5C83A -@02E5 1CC7883A -@02E6 80800215 -@02E7 80C00015 -@02E8 10004326 -@02E9 9805883A -@02EA 003F8306 -@02EB B00D883A -@02EC E00B883A -@02ED DA000115 -@02EE 00018DC0 -@02EF 80800217 -@02F0 80C00017 -@02F1 DA000117 -@02F2 1585C83A -@02F3 1DAD883A -@02F4 80800215 -@02F5 85800015 -@02F6 003FBF06 -@02F7 81000017 -@02F8 9027883A -@02F9 902F883A -@02FA 003F6906 -@02FB 900D883A -@02FC 01400284 -@02FD E009883A -@02FE 00016B00 -@02FF 10003E26 -@0300 10800044 -@0301 1727C83A -@0302 02000044 -@0303 003F9D06 -@0304 80800517 -@0305 81400417 -@0306 81C00017 -@0307 10A7883A -@0308 9885883A -@0309 1026D7FA -@030A 396DC83A -@030B B1000044 -@030C 9885883A -@030D 1027D07A -@030E 2485883A -@030F 980D883A -@0310 9880022E -@0311 1027883A -@0312 100D883A -@0313 18C1000C -@0314 18001C26 -@0315 300B883A -@0316 A809883A -@0317 0000EA40 -@0318 102F883A -@0319 10002926 -@031A 81400417 -@031B B00D883A -@031C 1009883A -@031D 00017940 -@031E 8080030B -@031F 00FEDFC4 -@0320 10C4703A -@0321 10802014 -@0322 8080030D -@0323 BD89883A -@0324 9D8FC83A -@0325 85C00415 -@0326 84C00515 -@0327 81000015 -@0328 9027883A -@0329 81C00215 -@032A 902F883A -@032B 003F3806 -@032C 800B883A -@032D A809883A -@032E 000277C0 -@032F 103FB926 -@0330 003F6506 -@0331 A809883A -@0332 0001B600 -@0333 102F883A -@0334 103FEE1E -@0335 81400417 -@0336 A809883A -@0337 000292C0 -@0338 8080030B -@0339 00FFDFC4 -@033A 1884703A -@033B 00C00304 -@033C A8C00015 -@033D 003F5906 -@033E 94C00044 -@033F 02000044 -@0340 003F6006 -@0341 00BFFFC4 -@0342 003F0006 -@0343 00800304 -@0344 A8800015 -@0345 8080030B -@0346 003F5006 -@0347 DEFFF704 -@0348 DD000415 -@0349 DFC00815 -@034A DDC00715 -@034B DD800615 -@034C DD400515 -@034D DCC00315 -@034E DC800215 -@034F DC400115 -@0350 DC000015 -@0351 2500B804 -@0352 A0002326 -@0353 282B883A -@0354 002F883A -@0355 05800044 -@0356 04FFFFC4 -@0357 A4400117 -@0358 A4800217 -@0359 8C7FFFC4 -@035A 88000D16 -@035B 94000304 -@035C 94800384 -@035D 8080000B -@035E 8C7FFFC4 -@035F 813FFD04 -@0360 B080042E -@0361 9080000F -@0362 14C00226 -@0363 A83EE83A -@0364 B8AEB03A -@0365 84001A04 -@0366 94801A04 -@0367 8CFFF51E -@0368 A5000017 -@0369 A03FED1E -@036A B805883A -@036B DFC00817 -@036C DDC00717 -@036D DD800617 -@036E DD400517 -@036F DD000417 -@0370 DCC00317 -@0371 DC800217 -@0372 DC400117 -@0373 DC000017 -@0374 DEC00904 -@0375 F800283A -@0376 002F883A -@0377 003FF206 -@0378 DEFFF704 -@0379 DD000415 -@037A DFC00815 -@037B DDC00715 -@037C DD800615 -@037D DD400515 -@037E DCC00315 -@037F DC800215 -@0380 DC400115 -@0381 DC000015 -@0382 2500B804 -@0383 A0002326 -@0384 282B883A -@0385 2027883A -@0386 002F883A -@0387 05800044 -@0388 04BFFFC4 -@0389 A4400117 -@038A A4000217 -@038B 8C7FFFC4 -@038C 88000C16 -@038D 84000304 -@038E 8080000B -@038F 8C7FFFC4 -@0390 817FFD04 -@0391 B080052E -@0392 8080008F -@0393 9809883A -@0394 14800226 -@0395 A83EE83A -@0396 B8AEB03A -@0397 84001A04 -@0398 8CBFF51E -@0399 A5000017 -@039A A03FEE1E -@039B B805883A -@039C DFC00817 -@039D DDC00717 -@039E DD800617 -@039F DD400517 -@03A0 DD000417 -@03A1 DCC00317 -@03A2 DC800217 -@03A3 DC400117 -@03A4 DC000017 -@03A5 DEC00904 -@03A6 F800283A -@03A7 002F883A -@03A8 003FF206 -@03A9 DEFFF504 -@03AA DC800315 -@03AB DFC00A15 -@03AC DF000915 -@03AD DDC00815 -@03AE DD800715 -@03AF DD400615 -@03B0 DD000515 -@03B1 DCC00415 -@03B2 DC400215 -@03B3 DC000115 -@03B4 288002C4 -@03B5 00C00584 -@03B6 2025883A -@03B7 18807F2E -@03B8 047FFE04 -@03B9 1462703A -@03BA 8800A316 -@03BB 8940A236 -@03BC 000361C0 -@03BD 00807DC4 -@03BE 1441E92E -@03BF 8804D27A -@03C0 1000A126 -@03C1 00C00104 -@03C2 18811E36 -@03C3 8804D1BA -@03C4 12000E44 -@03C5 11C00E04 -@03C6 4209883A -@03C7 04C00034 -@03C8 2109883A -@03C9 9CD72004 -@03CA 2109883A -@03CB 9909883A -@03CC 24000117 -@03CD 213FFE04 -@03CE 24009726 -@03CF 80800117 -@03D0 01BFFF04 -@03D1 014003C4 +@01BC 2480B804 +@01BD 047FFFC4 +@01BE 91000117 +@01BF 94000217 +@01C0 213FFFC4 +@01C1 20000A16 +@01C2 8080030F +@01C3 10000C26 +@01C4 80C01D04 +@01C5 00000206 +@01C6 18BFE60F +@01C7 10000826 +@01C8 213FFFC4 +@01C9 1C3FFD04 +@01CA 18C01A04 +@01CB 247FFA1E +@01CC 90800017 +@01CD 10001D26 +@01CE 1025883A +@01CF 003FEE06 +@01D0 00BFFFC4 +@01D1 8080038D +@01D2 00800044 +@01D3 8080030D +@01D4 80001915 +@01D5 80000015 +@01D6 80000215 +@01D7 80000115 +@01D8 80000415 +@01D9 80000515 +@01DA 80000615 +@01DB 01800204 +@01DC 000B883A +@01DD 81001704 +@01DE 0001A1C0 +@01DF 8005883A +@01E0 80000C15 +@01E1 80000D15 +@01E2 80001115 +@01E3 80001215 +@01E4 DFC00417 +@01E5 DCC00317 +@01E6 DC800217 +@01E7 DC400117 +@01E8 DC000017 +@01E9 DEC00504 +@01EA F800283A +@01EB 01400104 +@01EC 9809883A +@01ED 00006400 +@01EE 90800015 +@01EF 103FDE1E +@01F0 00800304 +@01F1 98800015 +@01F2 0005883A +@01F3 003FF006 +@01F4 00800034 +@01F5 109C9D04 +@01F6 11000017 +@01F7 01400034 +@01F8 29491004 +@01F9 0000DC41 +@01FA 20800E17 +@01FB 10000126 +@01FC F800283A +@01FD 000049C1 +@01FE F800283A +@01FF F800283A +@0200 F800283A +@0201 F800283A +@0202 00800034 +@0203 109C9E04 +@0204 11000017 +@0205 01400034 +@0206 29418E04 +@0207 0000D001 +@0208 00800034 +@0209 109C9E04 +@020A 11000017 +@020B 01400034 +@020C 29412204 +@020D 0000D001 +@020E 30800217 +@020F 10006726 +@0210 28C0030B +@0211 DEFFF404 +@0212 DD400715 +@0213 DD000615 +@0214 DC000215 +@0215 DFC00B15 +@0216 DF000A15 +@0217 DDC00915 +@0218 DD800815 +@0219 DCC00515 +@021A DC800415 +@021B DC400315 +@021C 1880020C +@021D 2821883A +@021E 202B883A +@021F 3029883A +@0220 10002726 +@0221 28800417 +@0222 10002526 +@0223 1880008C +@0224 A4400017 +@0225 10002A26 +@0226 05A00034 +@0227 0027883A +@0228 0025883A +@0229 B5BF0004 +@022A 980D883A +@022B A809883A +@022C 90004626 +@022D 900F883A +@022E B480022E +@022F 01E00034 +@0230 39FF0004 +@0231 80800917 +@0232 81400717 +@0233 103EE83A +@0234 00805A0E +@0235 A0C00217 +@0236 98A7883A +@0237 90A5C83A +@0238 1885C83A +@0239 A0800215 +@023A 103FEF1E +@023B 0005883A +@023C DFC00B17 +@023D DF000A17 +@023E DDC00917 +@023F DD800817 +@0240 DD400717 +@0241 DD000617 +@0242 DCC00517 +@0243 DC800417 +@0244 DC400317 +@0245 DC000217 +@0246 DEC00C04 +@0247 F800283A +@0248 800B883A +@0249 A809883A +@024A 00022980 +@024B 1000EE1E +@024C 80C0030B +@024D A4400017 +@024E 1880008C +@024F 103FD61E +@0250 1880004C +@0251 1000421E +@0252 0039883A +@0253 0025883A +@0254 90001A26 +@0255 1880800C +@0256 84C00217 +@0257 10002126 +@0258 982F883A +@0259 94C09636 +@025A 1881200C +@025B 1000A11E +@025C 81000017 +@025D B80D883A +@025E E00B883A +@025F 00018C00 +@0260 80C00217 +@0261 81000017 +@0262 9005883A +@0263 1CE7C83A +@0264 25CF883A +@0265 84C00215 +@0266 81C00015 +@0267 A0C00217 +@0268 E0B9883A +@0269 90A5C83A +@026A 18A7C83A +@026B A4C00215 +@026C 983FCE26 +@026D 80C0030B +@026E 903FE61E +@026F 8F000017 +@0270 8C800117 +@0271 8C400204 +@0272 003FE106 +@0273 8CC00017 +@0274 8C800117 +@0275 8C400204 +@0276 003FB306 +@0277 0005883A +@0278 F800283A +@0279 81000017 +@027A 80800417 +@027B 11005A36 +@027C 85C00517 +@027D 95C05836 +@027E 00A00034 +@027F 10BFFFC4 +@0280 9009883A +@0281 1480012E +@0282 1009883A +@0283 B80B883A +@0284 0002F4C0 +@0285 B80B883A +@0286 1009883A +@0287 00031000 +@0288 81400717 +@0289 80C00917 +@028A 100F883A +@028B E00D883A +@028C A809883A +@028D 183EE83A +@028E 00BFD816 +@028F 8080030B +@0290 10801014 +@0291 8080030D +@0292 00BFFFC4 +@0293 003FA806 +@0294 0027883A +@0295 0011883A +@0296 0039883A +@0297 0025883A +@0298 90001F26 +@0299 40005A26 +@029A 982D883A +@029B 94C0012E +@029C 902D883A +@029D 81000017 +@029E 80800417 +@029F B02F883A +@02A0 81C00517 +@02A1 1100032E +@02A2 80C00217 +@02A3 38C7883A +@02A4 1D801816 +@02A5 B1C03E16 +@02A6 80800917 +@02A7 81400717 +@02A8 E00D883A +@02A9 DA000115 +@02AA A809883A +@02AB 103EE83A +@02AC 102F883A +@02AD DA000117 +@02AE 00BFE00E +@02AF 9DE7C83A +@02B0 98001F26 +@02B1 A0800217 +@02B2 E5F9883A +@02B3 95E5C83A +@02B4 15EFC83A +@02B5 A5C00215 +@02B6 B83F8426 +@02B7 903FE11E +@02B8 8F000017 +@02B9 8C800117 +@02BA 0011883A +@02BB 8C400204 +@02BC 003FDB06 +@02BD 180D883A +@02BE E00B883A +@02BF DA000115 +@02C0 D8C00015 +@02C1 00018C00 +@02C2 D8C00017 +@02C3 80800017 +@02C4 800B883A +@02C5 A809883A +@02C6 10C5883A +@02C7 80800015 +@02C8 D8C00015 +@02C9 00027600 +@02CA D8C00017 +@02CB DA000117 +@02CC 103FC21E +@02CD 182F883A +@02CE 9DE7C83A +@02CF 983FE11E +@02D0 800B883A +@02D1 A809883A +@02D2 00027600 +@02D3 103FBB1E +@02D4 0011883A +@02D5 003FDB06 +@02D6 94C0012E +@02D7 9027883A +@02D8 980D883A +@02D9 E00B883A +@02DA 00018C00 +@02DB 80800217 +@02DC 80C00017 +@02DD 14C5C83A +@02DE 1CC7883A +@02DF 80800215 +@02E0 80C00015 +@02E1 10004326 +@02E2 9805883A +@02E3 003F8306 +@02E4 B00D883A +@02E5 E00B883A +@02E6 DA000115 +@02E7 00018C00 +@02E8 80800217 +@02E9 80C00017 +@02EA DA000117 +@02EB 1585C83A +@02EC 1DAD883A +@02ED 80800215 +@02EE 85800015 +@02EF 003FBF06 +@02F0 81000017 +@02F1 9027883A +@02F2 902F883A +@02F3 003F6906 +@02F4 900D883A +@02F5 01400284 +@02F6 E009883A +@02F7 00016940 +@02F8 10003E26 +@02F9 10800044 +@02FA 1727C83A +@02FB 02000044 +@02FC 003F9D06 +@02FD 80800517 +@02FE 81400417 +@02FF 81C00017 +@0300 10A7883A +@0301 9885883A +@0302 1026D7FA +@0303 396DC83A +@0304 B1000044 +@0305 9885883A +@0306 1027D07A +@0307 2485883A +@0308 980D883A +@0309 9880022E +@030A 1027883A +@030B 100D883A +@030C 18C1000C +@030D 18001C26 +@030E 300B883A +@030F A809883A +@0310 0000E880 +@0311 102F883A +@0312 10002926 +@0313 81400417 +@0314 B00D883A +@0315 1009883A +@0316 00017780 +@0317 8080030B +@0318 00FEDFC4 +@0319 10C4703A +@031A 10802014 +@031B 8080030D +@031C BD89883A +@031D 9D8FC83A +@031E 85C00415 +@031F 84C00515 +@0320 81000015 +@0321 9027883A +@0322 81C00215 +@0323 902F883A +@0324 003F3806 +@0325 800B883A +@0326 A809883A +@0327 00027600 +@0328 103FB926 +@0329 003F6506 +@032A A809883A +@032B 0001B440 +@032C 102F883A +@032D 103FEE1E +@032E 81400417 +@032F A809883A +@0330 00029100 +@0331 8080030B +@0332 00FFDFC4 +@0333 1884703A +@0334 00C00304 +@0335 A8C00015 +@0336 003F5906 +@0337 94C00044 +@0338 02000044 +@0339 003F6006 +@033A 00BFFFC4 +@033B 003F0006 +@033C 00800304 +@033D A8800015 +@033E 8080030B +@033F 003F5006 +@0340 DEFFF704 +@0341 DD000415 +@0342 DFC00815 +@0343 DDC00715 +@0344 DD800615 +@0345 DD400515 +@0346 DCC00315 +@0347 DC800215 +@0348 DC400115 +@0349 DC000015 +@034A 2500B804 +@034B A0002326 +@034C 282B883A +@034D 002F883A +@034E 05800044 +@034F 04FFFFC4 +@0350 A4400117 +@0351 A4800217 +@0352 8C7FFFC4 +@0353 88000D16 +@0354 94000304 +@0355 94800384 +@0356 8080000B +@0357 8C7FFFC4 +@0358 813FFD04 +@0359 B080042E +@035A 9080000F +@035B 14C00226 +@035C A83EE83A +@035D B8AEB03A +@035E 84001A04 +@035F 94801A04 +@0360 8CFFF51E +@0361 A5000017 +@0362 A03FED1E +@0363 B805883A +@0364 DFC00817 +@0365 DDC00717 +@0366 DD800617 +@0367 DD400517 +@0368 DD000417 +@0369 DCC00317 +@036A DC800217 +@036B DC400117 +@036C DC000017 +@036D DEC00904 +@036E F800283A +@036F 002F883A +@0370 003FF206 +@0371 DEFFF704 +@0372 DD000415 +@0373 DFC00815 +@0374 DDC00715 +@0375 DD800615 +@0376 DD400515 +@0377 DCC00315 +@0378 DC800215 +@0379 DC400115 +@037A DC000015 +@037B 2500B804 +@037C A0002326 +@037D 282B883A +@037E 2027883A +@037F 002F883A +@0380 05800044 +@0381 04BFFFC4 +@0382 A4400117 +@0383 A4000217 +@0384 8C7FFFC4 +@0385 88000C16 +@0386 84000304 +@0387 8080000B +@0388 8C7FFFC4 +@0389 817FFD04 +@038A B080052E +@038B 8080008F +@038C 9809883A +@038D 14800226 +@038E A83EE83A +@038F B8AEB03A +@0390 84001A04 +@0391 8CBFF51E +@0392 A5000017 +@0393 A03FEE1E +@0394 B805883A +@0395 DFC00817 +@0396 DDC00717 +@0397 DD800617 +@0398 DD400517 +@0399 DD000417 +@039A DCC00317 +@039B DC800217 +@039C DC400117 +@039D DC000017 +@039E DEC00904 +@039F F800283A +@03A0 002F883A +@03A1 003FF206 +@03A2 DEFFF504 +@03A3 DC800315 +@03A4 DFC00A15 +@03A5 DF000915 +@03A6 DDC00815 +@03A7 DD800715 +@03A8 DD400615 +@03A9 DD000515 +@03AA DCC00415 +@03AB DC400215 +@03AC DC000115 +@03AD 288002C4 +@03AE 00C00584 +@03AF 2025883A +@03B0 18807F2E +@03B1 047FFE04 +@03B2 1462703A +@03B3 8800A316 +@03B4 8940A236 +@03B5 00036000 +@03B6 00807DC4 +@03B7 1441E92E +@03B8 8804D27A +@03B9 1000A126 +@03BA 00C00104 +@03BB 18811E36 +@03BC 8804D1BA +@03BD 12000E44 +@03BE 11C00E04 +@03BF 4209883A +@03C0 04C00034 +@03C1 2109883A +@03C2 9CD71904 +@03C3 2109883A +@03C4 9909883A +@03C5 24000117 +@03C6 213FFE04 +@03C7 24009726 +@03C8 80800117 +@03C9 01BFFF04 +@03CA 014003C4 +@03CB 1184703A +@03CC 1447C83A +@03CD 28C00716 +@03CE 1800920E +@03CF 84000317 +@03D0 24008E26 +@03D1 80800117 @03D2 1184703A @03D3 1447C83A -@03D4 28C00716 -@03D5 1800920E -@03D6 84000317 -@03D7 24008E26 -@03D8 80800117 -@03D9 1184703A -@03DA 1447C83A -@03DB 28FFF90E -@03DC 3809883A -@03DD 01800034 -@03DE 9C000417 -@03DF 31972004 -@03E0 32000204 -@03E1 82013426 -@03E2 80C00117 -@03E3 00BFFF04 -@03E4 188E703A -@03E5 3C45C83A -@03E6 00C003C4 -@03E7 18811F16 -@03E8 32000515 -@03E9 32000415 -@03EA 10007F0E -@03EB 00807FC4 -@03EC 11C0FD36 -@03ED 3806D0FA -@03EE 01C00044 -@03EF 30800117 -@03F0 19400044 -@03F1 294B883A -@03F2 1807D0BA -@03F3 294B883A -@03F4 294B883A -@03F5 298B883A -@03F6 38C6983A -@03F7 29C00017 -@03F8 2A7FFE04 -@03F9 1886B03A -@03FA 82400315 -@03FB 81C00215 -@03FC 30C00115 -@03FD 2C000015 -@03FE 3C000315 -@03FF 2005D0BA -@0400 01400044 -@0401 288A983A -@0402 19406F36 -@0403 28C4703A -@0404 10000A1E -@0405 00BFFF04 -@0406 294B883A -@0407 2088703A -@0408 28C4703A -@0409 21000104 -@040A 1000041E -@040B 294B883A -@040C 28C4703A -@040D 21000104 -@040E 103FFC26 -@040F 02BFFF04 -@0410 024003C4 -@0411 21800044 -@0412 318D883A -@0413 318D883A -@0414 318D883A -@0415 998D883A -@0416 333FFE04 -@0417 2017883A -@0418 31800104 -@0419 34000017 -@041A 31FFFD04 -@041B 81C0041E -@041C 0000FB06 -@041D 1801030E -@041E 84000317 -@041F 81C0F826 -@0420 80800117 -@0421 1284703A -@0422 1447C83A -@0423 48FFF90E -@0424 80800317 -@0425 81000217 -@0426 89400054 -@0427 81400115 -@0428 20800315 -@0429 11000215 -@042A 8463883A -@042B 9C400515 -@042C 9C400415 -@042D 18800054 -@042E 88800115 -@042F 8A000315 -@0430 8A000215 -@0431 88E3883A -@0432 88C00015 -@0433 9009883A -@0434 00036400 -@0435 80800204 -@0436 00001B06 -@0437 04400404 -@0438 89402536 -@0439 000361C0 -@043A 00800184 -@043B 01000084 -@043C 04C00034 -@043D 1085883A -@043E 9CD72004 -@043F 1085883A -@0440 9885883A -@0441 14000117 -@0442 10FFFE04 -@0443 80C0D926 -@0444 80C00117 -@0445 81000317 -@0446 00BFFF04 -@0447 1884703A -@0448 81400217 -@0449 8085883A -@044A 10C00117 -@044B 29000315 -@044C 21400215 -@044D 18C00054 -@044E 10C00115 -@044F 9009883A -@0450 00036400 -@0451 80800204 -@0452 DFC00A17 -@0453 DF000917 -@0454 DDC00817 -@0455 DD800717 -@0456 DD400617 -@0457 DD000517 -@0458 DCC00417 -@0459 DC800317 -@045A DC400217 -@045B DC000117 -@045C DEC00B04 -@045D F800283A -@045E 00800304 -@045F 90800015 -@0460 0005883A -@0461 003FF006 -@0462 01002004 -@0463 02001004 -@0464 01C00FC4 -@0465 003F6106 -@0466 4009883A -@0467 003F7506 -@0468 81000317 -@0469 003FDE06 -@046A 81C5883A -@046B 11400117 -@046C 9009883A -@046D 29400054 -@046E 11400115 -@046F 00036400 -@0470 80800204 -@0471 003FE006 -@0472 9C000217 -@0473 00BFFF04 -@0474 85800117 -@0475 B0AC703A -@0476 B4400336 -@0477 B445C83A -@0478 00C003C4 -@0479 18805D16 -@047A 05C00034 -@047B 00800034 -@047C 109CB404 -@047D BDDCA604 -@047E 15400017 -@047F B8C00017 -@0480 00BFFFC4 -@0481 858D883A -@0482 8D6B883A -@0483 1880EA26 -@0484 AD4403C4 -@0485 00BC0004 -@0486 A8AA703A -@0487 A80B883A -@0488 9009883A -@0489 D9800015 -@048A 00020C40 -@048B 1029883A -@048C 00BFFFC4 -@048D D9800017 -@048E A080E826 -@048F A180A636 -@0490 07000034 -@0491 E71CBD04 -@0492 E0800017 -@0493 A887883A -@0494 E0C00015 -@0495 3500E626 -@0496 B9000017 -@0497 00BFFFC4 -@0498 2080EE26 -@0499 A185C83A -@049A 10C5883A -@049B E0800015 -@049C A0C001CC -@049D 1800BC26 -@049E A0E9C83A -@049F 00840204 -@04A0 A5000204 -@04A1 10C7C83A -@04A2 A545883A -@04A3 1083FFCC -@04A4 18ABC83A -@04A5 A80B883A -@04A6 9009883A -@04A7 00020C40 -@04A8 00FFFFC4 -@04A9 10C0E126 -@04AA 1505C83A -@04AB 1545883A -@04AC 10800054 -@04AD E0C00017 -@04AE 9D000215 -@04AF A0800115 -@04B0 A8C7883A -@04B1 E0C00015 -@04B2 84C00E26 -@04B3 018003C4 -@04B4 3580A72E -@04B5 81400117 -@04B6 013FFE04 -@04B7 B0BFFD04 -@04B8 1104703A -@04B9 2900004C -@04BA 2088B03A -@04BB 81000115 -@04BC 01400144 -@04BD 8089883A -@04BE 21400115 -@04BF 21400215 -@04C0 3080CD36 -@04C1 00800034 -@04C2 109CB304 -@04C3 11000017 -@04C4 20C0012E -@04C5 10C00015 -@04C6 00800034 -@04C7 109CB204 -@04C8 11000017 -@04C9 9C000217 -@04CA 20C0012E -@04CB 10C00015 -@04CC 80C00117 -@04CD 00BFFF04 -@04CE 1886703A -@04CF 1C45C83A -@04D0 1C400236 -@04D1 00C003C4 -@04D2 18800416 -@04D3 9009883A -@04D4 00036400 -@04D5 0005883A -@04D6 003F7B06 -@04D7 88C00054 -@04D8 80C00115 -@04D9 8463883A -@04DA 10800054 -@04DB 9C400215 -@04DC 88800115 -@04DD 9009883A -@04DE 00036400 -@04DF 80800204 -@04E0 003F7106 -@04E1 00C00504 -@04E2 18804A2E -@04E3 00C01504 -@04E4 18806E36 -@04E5 8804D33A -@04E6 12001BC4 -@04E7 11C01B84 -@04E8 4209883A -@04E9 003EDD06 -@04EA 3804D27A -@04EB 00C00104 -@04EC 1880442E -@04ED 00C00504 -@04EE 18808136 -@04EF 11401704 -@04F0 10C016C4 -@04F1 294B883A -@04F2 294B883A -@04F3 294B883A -@04F4 994B883A -@04F5 28800017 -@04F6 01800034 -@04F7 297FFE04 -@04F8 31972004 -@04F9 28806526 -@04FA 01BFFF04 -@04FB 10C00117 -@04FC 1986703A -@04FD 38C0022E -@04FE 10800217 -@04FF 28BFFB1E -@0500 11400317 -@0501 98C00117 -@0502 81400315 -@0503 80800215 -@0504 2C000215 -@0505 14000315 -@0506 003EF806 -@0507 88C00054 -@0508 80C00115 -@0509 8463883A -@050A 34400515 -@050B 34400415 -@050C 10C00054 -@050D 8A000315 -@050E 8A000215 -@050F 88C00115 -@0510 88A3883A -@0511 88800015 -@0512 9009883A -@0513 00036400 -@0514 80800204 -@0515 003F3C06 -@0516 30C00117 -@0517 003EE706 -@0518 5AC00044 -@0519 588000CC -@051A 31800204 -@051B 103EFD1E -@051C 00002406 -@051D 14000317 -@051E 143F251E -@051F 21000084 -@0520 003EBC06 -@0521 8085883A -@0522 10C00117 -@0523 81000317 -@0524 81400217 -@0525 18C00054 -@0526 10C00115 -@0527 29000315 -@0528 21400215 -@0529 9009883A -@052A 00036400 -@052B 80800204 -@052C 003F2506 -@052D 12001704 -@052E 11C016C4 -@052F 4209883A -@0530 003E9606 -@0531 3804D1BA -@0532 11400E44 -@0533 10C00E04 -@0534 294B883A -@0535 003FBC06 -@0536 84FF5926 -@0537 00800034 -@0538 10972004 -@0539 14000217 -@053A 00BFFF04 -@053B 80C00117 -@053C 1886703A -@053D 003F9106 -@053E 60800217 -@053F 213FFFC4 -@0540 1300651E -@0541 208000CC -@0542 633FFE04 -@0543 103FFA1E -@0544 98800117 -@0545 0146303A -@0546 1884703A -@0547 98800115 -@0548 294B883A -@0549 117F2836 -@054A 283F2726 -@054B 2886703A -@054C 5809883A -@054D 183EC31E -@054E 294B883A -@054F 2886703A -@0550 21000104 -@0551 183FFC26 -@0552 003EBE06 -@0553 00C05504 -@0554 18801236 -@0555 8804D3FA -@0556 12001E04 -@0557 11C01DC4 -@0558 4209883A -@0559 003E6D06 -@055A 00C40004 -@055B 003F4606 -@055C 00800044 -@055D A0800115 -@055E 003F7406 -@055F 1805D0BA -@0560 01C00044 -@0561 30C00117 -@0562 388E983A -@0563 2805883A -@0564 38C6B03A -@0565 30C00115 -@0566 003F9B06 -@0567 00C15504 -@0568 18801A36 -@0569 8804D4BA -@056A 12001F44 -@056B 11C01F04 -@056C 4209883A -@056D 003E5906 -@056E AD400404 -@056F 003F1706 -@0570 00C01504 -@0571 18802336 -@0572 3804D33A -@0573 11401BC4 -@0574 10C01B84 -@0575 294B883A -@0576 003F7B06 -@0577 9C000217 -@0578 00BFFF04 -@0579 80C00117 -@057A 1886703A -@057B 003F5306 -@057C 3083FFCC -@057D 103F181E -@057E 99000217 -@057F B545883A -@0580 10800054 -@0581 20800115 -@0582 003F3E06 -@0583 01003F84 -@0584 02001FC4 -@0585 01C01F84 -@0586 003E4006 -@0587 00800034 -@0588 109CA604 -@0589 15000015 -@058A 003F1106 -@058B 00800044 -@058C 002B883A -@058D 003F1F06 -@058E 81400204 -@058F 9009883A -@0590 000292C0 -@0591 00800034 -@0592 109CBD04 -@0593 10C00017 -@0594 003F2C06 -@0595 00C05504 +@03D4 28FFF90E +@03D5 3809883A +@03D6 01800034 +@03D7 9C000417 +@03D8 31971904 +@03D9 32000204 +@03DA 82013426 +@03DB 80C00117 +@03DC 00BFFF04 +@03DD 188E703A +@03DE 3C45C83A +@03DF 00C003C4 +@03E0 18811F16 +@03E1 32000515 +@03E2 32000415 +@03E3 10007F0E +@03E4 00807FC4 +@03E5 11C0FD36 +@03E6 3806D0FA +@03E7 01C00044 +@03E8 30800117 +@03E9 19400044 +@03EA 294B883A +@03EB 1807D0BA +@03EC 294B883A +@03ED 294B883A +@03EE 298B883A +@03EF 38C6983A +@03F0 29C00017 +@03F1 2A7FFE04 +@03F2 1886B03A +@03F3 82400315 +@03F4 81C00215 +@03F5 30C00115 +@03F6 2C000015 +@03F7 3C000315 +@03F8 2005D0BA +@03F9 01400044 +@03FA 288A983A +@03FB 19406F36 +@03FC 28C4703A +@03FD 10000A1E +@03FE 00BFFF04 +@03FF 294B883A +@0400 2088703A +@0401 28C4703A +@0402 21000104 +@0403 1000041E +@0404 294B883A +@0405 28C4703A +@0406 21000104 +@0407 103FFC26 +@0408 02BFFF04 +@0409 024003C4 +@040A 21800044 +@040B 318D883A +@040C 318D883A +@040D 318D883A +@040E 998D883A +@040F 333FFE04 +@0410 2017883A +@0411 31800104 +@0412 34000017 +@0413 31FFFD04 +@0414 81C0041E +@0415 0000FB06 +@0416 1801030E +@0417 84000317 +@0418 81C0F826 +@0419 80800117 +@041A 1284703A +@041B 1447C83A +@041C 48FFF90E +@041D 80800317 +@041E 81000217 +@041F 89400054 +@0420 81400115 +@0421 20800315 +@0422 11000215 +@0423 8463883A +@0424 9C400515 +@0425 9C400415 +@0426 18800054 +@0427 88800115 +@0428 8A000315 +@0429 8A000215 +@042A 88E3883A +@042B 88C00015 +@042C 9009883A +@042D 00036240 +@042E 80800204 +@042F 00001B06 +@0430 04400404 +@0431 89402536 +@0432 00036000 +@0433 00800184 +@0434 01000084 +@0435 04C00034 +@0436 1085883A +@0437 9CD71904 +@0438 1085883A +@0439 9885883A +@043A 14000117 +@043B 10FFFE04 +@043C 80C0D926 +@043D 80C00117 +@043E 81000317 +@043F 00BFFF04 +@0440 1884703A +@0441 81400217 +@0442 8085883A +@0443 10C00117 +@0444 29000315 +@0445 21400215 +@0446 18C00054 +@0447 10C00115 +@0448 9009883A +@0449 00036240 +@044A 80800204 +@044B DFC00A17 +@044C DF000917 +@044D DDC00817 +@044E DD800717 +@044F DD400617 +@0450 DD000517 +@0451 DCC00417 +@0452 DC800317 +@0453 DC400217 +@0454 DC000117 +@0455 DEC00B04 +@0456 F800283A +@0457 00800304 +@0458 90800015 +@0459 0005883A +@045A 003FF006 +@045B 01002004 +@045C 02001004 +@045D 01C00FC4 +@045E 003F6106 +@045F 4009883A +@0460 003F7506 +@0461 81000317 +@0462 003FDE06 +@0463 81C5883A +@0464 11400117 +@0465 9009883A +@0466 29400054 +@0467 11400115 +@0468 00036240 +@0469 80800204 +@046A 003FE006 +@046B 9C000217 +@046C 00BFFF04 +@046D 85800117 +@046E B0AC703A +@046F B4400336 +@0470 B445C83A +@0471 00C003C4 +@0472 18805D16 +@0473 05C00034 +@0474 00800034 +@0475 109CAD04 +@0476 BDDC9F04 +@0477 15400017 +@0478 B8C00017 +@0479 00BFFFC4 +@047A 858D883A +@047B 8D6B883A +@047C 1880EA26 +@047D AD4403C4 +@047E 00BC0004 +@047F A8AA703A +@0480 A80B883A +@0481 9009883A +@0482 D9800015 +@0483 00020A80 +@0484 1029883A +@0485 00BFFFC4 +@0486 D9800017 +@0487 A080E826 +@0488 A180A636 +@0489 07000034 +@048A E71CB604 +@048B E0800017 +@048C A887883A +@048D E0C00015 +@048E 3500E626 +@048F B9000017 +@0490 00BFFFC4 +@0491 2080EE26 +@0492 A185C83A +@0493 10C5883A +@0494 E0800015 +@0495 A0C001CC +@0496 1800BC26 +@0497 A0E9C83A +@0498 00840204 +@0499 A5000204 +@049A 10C7C83A +@049B A545883A +@049C 1083FFCC +@049D 18ABC83A +@049E A80B883A +@049F 9009883A +@04A0 00020A80 +@04A1 00FFFFC4 +@04A2 10C0E126 +@04A3 1505C83A +@04A4 1545883A +@04A5 10800054 +@04A6 E0C00017 +@04A7 9D000215 +@04A8 A0800115 +@04A9 A8C7883A +@04AA E0C00015 +@04AB 84C00E26 +@04AC 018003C4 +@04AD 3580A72E +@04AE 81400117 +@04AF 013FFE04 +@04B0 B0BFFD04 +@04B1 1104703A +@04B2 2900004C +@04B3 2088B03A +@04B4 81000115 +@04B5 01400144 +@04B6 8089883A +@04B7 21400115 +@04B8 21400215 +@04B9 3080CD36 +@04BA 00800034 +@04BB 109CAC04 +@04BC 11000017 +@04BD 20C0012E +@04BE 10C00015 +@04BF 00800034 +@04C0 109CAB04 +@04C1 11000017 +@04C2 9C000217 +@04C3 20C0012E +@04C4 10C00015 +@04C5 80C00117 +@04C6 00BFFF04 +@04C7 1886703A +@04C8 1C45C83A +@04C9 1C400236 +@04CA 00C003C4 +@04CB 18800416 +@04CC 9009883A +@04CD 00036240 +@04CE 0005883A +@04CF 003F7B06 +@04D0 88C00054 +@04D1 80C00115 +@04D2 8463883A +@04D3 10800054 +@04D4 9C400215 +@04D5 88800115 +@04D6 9009883A +@04D7 00036240 +@04D8 80800204 +@04D9 003F7106 +@04DA 00C00504 +@04DB 18804A2E +@04DC 00C01504 +@04DD 18806E36 +@04DE 8804D33A +@04DF 12001BC4 +@04E0 11C01B84 +@04E1 4209883A +@04E2 003EDD06 +@04E3 3804D27A +@04E4 00C00104 +@04E5 1880442E +@04E6 00C00504 +@04E7 18808136 +@04E8 11401704 +@04E9 10C016C4 +@04EA 294B883A +@04EB 294B883A +@04EC 294B883A +@04ED 994B883A +@04EE 28800017 +@04EF 01800034 +@04F0 297FFE04 +@04F1 31971904 +@04F2 28806526 +@04F3 01BFFF04 +@04F4 10C00117 +@04F5 1986703A +@04F6 38C0022E +@04F7 10800217 +@04F8 28BFFB1E +@04F9 11400317 +@04FA 98C00117 +@04FB 81400315 +@04FC 80800215 +@04FD 2C000215 +@04FE 14000315 +@04FF 003EF806 +@0500 88C00054 +@0501 80C00115 +@0502 8463883A +@0503 34400515 +@0504 34400415 +@0505 10C00054 +@0506 8A000315 +@0507 8A000215 +@0508 88C00115 +@0509 88A3883A +@050A 88800015 +@050B 9009883A +@050C 00036240 +@050D 80800204 +@050E 003F3C06 +@050F 30C00117 +@0510 003EE706 +@0511 5AC00044 +@0512 588000CC +@0513 31800204 +@0514 103EFD1E +@0515 00002406 +@0516 14000317 +@0517 143F251E +@0518 21000084 +@0519 003EBC06 +@051A 8085883A +@051B 10C00117 +@051C 81000317 +@051D 81400217 +@051E 18C00054 +@051F 10C00115 +@0520 29000315 +@0521 21400215 +@0522 9009883A +@0523 00036240 +@0524 80800204 +@0525 003F2506 +@0526 12001704 +@0527 11C016C4 +@0528 4209883A +@0529 003E9606 +@052A 3804D1BA +@052B 11400E44 +@052C 10C00E04 +@052D 294B883A +@052E 003FBC06 +@052F 84FF5926 +@0530 00800034 +@0531 10971904 +@0532 14000217 +@0533 00BFFF04 +@0534 80C00117 +@0535 1886703A +@0536 003F9106 +@0537 60800217 +@0538 213FFFC4 +@0539 1300651E +@053A 208000CC +@053B 633FFE04 +@053C 103FFA1E +@053D 98800117 +@053E 0146303A +@053F 1884703A +@0540 98800115 +@0541 294B883A +@0542 117F2836 +@0543 283F2726 +@0544 2886703A +@0545 5809883A +@0546 183EC31E +@0547 294B883A +@0548 2886703A +@0549 21000104 +@054A 183FFC26 +@054B 003EBE06 +@054C 00C05504 +@054D 18801236 +@054E 8804D3FA +@054F 12001E04 +@0550 11C01DC4 +@0551 4209883A +@0552 003E6D06 +@0553 00C40004 +@0554 003F4606 +@0555 00800044 +@0556 A0800115 +@0557 003F7406 +@0558 1805D0BA +@0559 01C00044 +@055A 30C00117 +@055B 388E983A +@055C 2805883A +@055D 38C6B03A +@055E 30C00115 +@055F 003F9B06 +@0560 00C15504 +@0561 18801A36 +@0562 8804D4BA +@0563 12001F44 +@0564 11C01F04 +@0565 4209883A +@0566 003E5906 +@0567 AD400404 +@0568 003F1706 +@0569 00C01504 +@056A 18802336 +@056B 3804D33A +@056C 11401BC4 +@056D 10C01B84 +@056E 294B883A +@056F 003F7B06 +@0570 9C000217 +@0571 00BFFF04 +@0572 80C00117 +@0573 1886703A +@0574 003F5306 +@0575 3083FFCC +@0576 103F181E +@0577 99000217 +@0578 B545883A +@0579 10800054 +@057A 20800115 +@057B 003F3E06 +@057C 01003F84 +@057D 02001FC4 +@057E 01C01F84 +@057F 003E4006 +@0580 00800034 +@0581 109C9F04 +@0582 15000015 +@0583 003F1106 +@0584 00800044 +@0585 002B883A +@0586 003F1F06 +@0587 81400204 +@0588 9009883A +@0589 00029100 +@058A 00800034 +@058B 109CB604 +@058C 10C00017 +@058D 003F2C06 +@058E 00C05504 +@058F 18800536 +@0590 3804D3FA +@0591 11401E04 +@0592 10C01DC4 +@0593 294B883A +@0594 003F5606 +@0595 00C15504 @0596 18800536 -@0597 3804D3FA -@0598 11401E04 -@0599 10C01DC4 +@0597 3804D4BA +@0598 11401F44 +@0599 10C01F04 @059A 294B883A -@059B 003F5606 -@059C 00C15504 -@059D 18800536 -@059E 3804D4BA -@059F 11401F44 -@05A0 10C01F04 -@05A1 294B883A -@05A2 003F4F06 -@05A3 01403F84 -@05A4 00C01F84 -@05A5 003F4C06 -@05A6 98800117 -@05A7 003FA006 -@05A8 8808D0FA -@05A9 20800044 -@05AA 1085883A -@05AB 003E9006 -@05AC 208000CC -@05AD 280F883A -@05AE 10003426 -@05AF 30BFFFC4 -@05B0 30001A26 -@05B1 20C00003 -@05B2 29803FCC -@05B3 30C0051E -@05B4 00001806 -@05B5 10001526 -@05B6 20C00003 -@05B7 10BFFFC4 -@05B8 30C01426 -@05B9 21000044 -@05BA 20C000CC -@05BB 183FF91E -@05BC 020000C4 -@05BD 40801136 -@05BE 10000C26 -@05BF 20C00003 -@05C0 29403FCC -@05C1 28C00B26 -@05C2 20C00044 -@05C3 39803FCC -@05C4 2089883A -@05C5 00000306 -@05C6 18C00044 -@05C7 197FFFC3 -@05C8 31400526 -@05C9 1805883A -@05CA 20FFFB1E -@05CB 0005883A -@05CC F800283A -@05CD 2005883A -@05CE F800283A -@05CF 28C03FCC -@05D0 1812923A -@05D1 02FFBFF4 -@05D2 02A02074 -@05D3 48D2B03A -@05D4 4806943A -@05D5 5AFFBFC4 -@05D6 52A02004 -@05D7 48D2B03A -@05D8 20C00017 -@05D9 48C6F03A -@05DA 1ACD883A -@05DB 00C6303A -@05DC 30C6703A -@05DD 1A86703A -@05DE 183FE01E -@05DF 10BFFF04 -@05E0 21000104 -@05E1 40BFF636 -@05E2 003FDB06 -@05E3 3005883A -@05E4 003FD706 -@05E5 DEFFFD04 -@05E6 DFC00215 -@05E7 DC400115 -@05E8 DC000015 -@05E9 00C003C4 -@05EA 2005883A -@05EB 1980452E -@05EC 2906B03A -@05ED 18C000CC -@05EE 1800441E -@05EF 347FFC04 -@05F0 8822D13A -@05F1 28C00104 -@05F2 23400104 -@05F3 8820913A -@05F4 2B000204 -@05F5 22C00204 -@05F6 84000504 -@05F7 2A800304 -@05F8 22400304 -@05F9 2C21883A -@05FA 2811883A -@05FB 200F883A -@05FC 41000017 -@05FD 1FC00017 -@05FE 63C00017 -@05FF 39000015 -@0600 53800017 -@0601 6FC00015 -@0602 5BC00015 -@0603 4B800015 -@0604 18C00404 -@0605 39C00404 -@0606 42000404 -@0607 6B400404 -@0608 63000404 -@0609 5AC00404 -@060A 52800404 -@060B 4A400404 -@060C 1C3FEF1E -@060D 89C00044 -@060E 380E913A -@060F 310003CC -@0610 02C000C4 -@0611 11C7883A -@0612 29CB883A -@0613 5900212E -@0614 1813883A -@0615 2811883A -@0616 200F883A -@0617 42800017 -@0618 4A400104 -@0619 39FFFF04 -@061A 4ABFFF15 -@061B 42000104 -@061C 59FFFA36 -@061D 213FFF04 -@061E 2008D0BA -@061F 318000CC -@0620 21000044 -@0621 2109883A -@0622 2109883A -@0623 1907883A -@0624 290B883A -@0625 30000626 -@0626 198D883A -@0627 29C00003 -@0628 18C00044 -@0629 29400044 -@062A 19FFFFC5 -@062B 19BFFB1E -@062C DFC00217 -@062D DC400117 -@062E DC000017 -@062F DEC00304 -@0630 F800283A -@0631 2007883A -@0632 003FF206 -@0633 2007883A -@0634 003FF106 -@0635 200D883A -@0636 003FEE06 -@0637 2005883A -@0638 29000B2E -@0639 298F883A -@063A 21C0092E -@063B 2187883A -@063C 198BC83A -@063D 30004826 -@063E 39FFFFC4 -@063F 39000003 -@0640 18FFFFC4 -@0641 19000005 -@0642 28FFFB1E -@0643 F800283A -@0644 00C003C4 -@0645 1980412E -@0646 2886B03A -@0647 18C000CC -@0648 1800401E -@0649 33FFFC04 -@064A 781ED13A -@064B 28C00104 -@064C 13400104 -@064D 781C913A -@064E 2B000204 -@064F 12C00204 -@0650 73800504 -@0651 2A800304 -@0652 12400304 -@0653 2B9D883A -@0654 2811883A -@0655 100F883A -@0656 41000017 -@0657 39C00404 -@0658 18C00404 -@0659 393FFC15 -@065A 193FFC17 -@065B 6B400404 -@065C 5AC00404 -@065D 693FFC15 -@065E 61000017 -@065F 4A400404 -@0660 42000404 -@0661 593FFC15 -@0662 51000017 -@0663 63000404 -@0664 52800404 -@0665 493FFC15 -@0666 1BBFEF1E -@0667 79000044 -@0668 2008913A -@0669 328003CC -@066A 02C000C4 -@066B 1107883A -@066C 290B883A -@066D 5A801E2E -@066E 1813883A -@066F 2811883A -@0670 500F883A -@0671 41000017 -@0672 4A400104 -@0673 39FFFF04 -@0674 493FFF15 -@0675 42000104 -@0676 59FFFA36 -@0677 513FFF04 -@0678 2008D0BA -@0679 318000CC -@067A 21000044 -@067B 2109883A -@067C 2109883A -@067D 1907883A -@067E 290B883A -@067F 30000B26 -@0680 198D883A -@0681 29C00003 -@0682 18C00044 -@0683 29400044 -@0684 19FFFFC5 -@0685 19BFFB1E -@0686 F800283A -@0687 1007883A -@0688 003FF606 -@0689 1007883A -@068A 003FF506 -@068B F800283A -@068C 500D883A -@068D 003FF106 -@068E 20C000CC -@068F 2005883A -@0690 18004426 -@0691 31FFFFC4 -@0692 30004026 -@0693 2813883A -@0694 200D883A -@0695 2007883A -@0696 00000406 -@0697 3A3FFFC4 -@0698 31800044 -@0699 38003926 -@069A 400F883A -@069B 18C00044 -@069C 32400005 -@069D 1A0000CC -@069E 403FF81E -@069F 010000C4 -@06A0 21C02D2E -@06A1 29003FCC -@06A2 200C923A -@06A3 3108B03A -@06A4 200C943A -@06A5 218CB03A -@06A6 010003C4 -@06A7 21C0182E -@06A8 3B3FFC04 -@06A9 6018D13A -@06AA 1A000104 -@06AB 1AC00204 -@06AC 6008913A -@06AD 1A800304 -@06AE 1813883A -@06AF 21000504 -@06B0 1909883A -@06B1 49800015 -@06B2 41800015 -@06B3 59800015 -@06B4 51800015 -@06B5 42000404 -@06B6 4A400404 -@06B7 5AC00404 -@06B8 52800404 -@06B9 413FF71E -@06BA 63000044 -@06BB 6018913A -@06BC 39C003CC -@06BD 010000C4 -@06BE 1B07883A -@06BF 21C00E2E -@06C0 1813883A -@06C1 3811883A -@06C2 010000C4 -@06C3 49800015 -@06C4 423FFF04 -@06C5 4A400104 -@06C6 223FFC36 -@06C7 393FFF04 -@06C8 2008D0BA -@06C9 39C000CC -@06CA 21000044 -@06CB 2109883A -@06CC 2109883A -@06CD 1907883A -@06CE 38000526 -@06CF 19CF883A -@06D0 19400005 -@06D1 18C00044 -@06D2 38FFFD1E -@06D3 F800283A -@06D4 F800283A -@06D5 2007883A -@06D6 300F883A -@06D7 003FC706 -@06D8 DEFFF604 -@06D9 DC800215 -@06DA DFC00915 -@06DB DF000815 -@06DC DDC00715 -@06DD DD800615 -@06DE DD400515 -@06DF DD000415 -@06E0 DCC00315 -@06E1 DC400115 -@06E2 DC000015 -@06E3 3025883A -@06E4 2800B726 -@06E5 282B883A -@06E6 2029883A -@06E7 000361C0 -@06E8 A8BFFF17 -@06E9 043FFF04 -@06EA 90C002C4 -@06EB 01000584 -@06EC ACFFFE04 -@06ED 1420703A -@06EE 20C0332E -@06EF 047FFE04 -@06F0 1C62703A -@06F1 8807883A -@06F2 88005816 -@06F3 8C805736 -@06F4 80C0300E -@06F5 07000034 -@06F6 E7172004 -@06F7 E1C00217 -@06F8 9C09883A -@06F9 22000117 -@06FA 21C06326 -@06FB 017FFF84 -@06FC 414A703A -@06FD 214B883A -@06FE 29800117 -@06FF 3180004C -@0700 30003F26 -@0701 1080004C -@0702 10008326 -@0703 900B883A -@0704 A009883A -@0705 0000EA40 -@0706 1025883A -@0707 10011E26 -@0708 A93FFF17 -@0709 10FFFE04 -@070A 00BFFF84 -@070B 2084703A -@070C 9885883A -@070D 1880EE26 -@070E 81BFFF04 -@070F 00800904 -@0710 1180B836 -@0711 00C004C4 -@0712 19809636 -@0713 9005883A -@0714 A807883A -@0715 19000017 -@0716 11000015 -@0717 19000117 -@0718 11000115 -@0719 18C00217 -@071A 10C00215 -@071B A80B883A -@071C A009883A -@071D 000292C0 -@071E A009883A -@071F 00036400 -@0720 9005883A -@0721 00001206 -@0722 00C00404 -@0723 1823883A -@0724 003FCE06 -@0725 A825883A -@0726 8445C83A -@0727 00C003C4 -@0728 18802636 -@0729 99800117 -@072A 9C07883A -@072B 3180004C -@072C 3420B03A -@072D 9C000115 -@072E 18800117 -@072F 10800054 -@0730 18800115 -@0731 A009883A -@0732 00036400 -@0733 9005883A -@0734 DFC00917 -@0735 DF000817 -@0736 DDC00717 -@0737 DD800617 -@0738 DD400517 -@0739 DD000417 -@073A DCC00317 -@073B DC800217 -@073C DC400117 -@073D DC000017 -@073E DEC00A04 -@073F F800283A -@0740 017FFF04 -@0741 414A703A -@0742 814D883A -@0743 30C01F16 -@0744 20800317 -@0745 20C00217 -@0746 A825883A -@0747 3021883A -@0748 18800315 -@0749 10C00215 -@074A 003FDB06 -@074B 00800304 -@074C A0800015 -@074D 0005883A -@074E 003FE506 -@074F 98C00117 -@0750 9C4B883A -@0751 11000054 -@0752 18C0004C -@0753 1C62B03A -@0754 9C400115 -@0755 29000115 -@0756 2885883A -@0757 10C00117 -@0758 29400204 -@0759 A009883A -@075A 18C00054 -@075B 10C00115 -@075C 000292C0 -@075D 003FD306 -@075E 017FFF04 -@075F 414A703A -@0760 89800404 -@0761 8151883A -@0762 4180590E -@0763 1080004C -@0764 103F9E1E -@0765 ADBFFE17 -@0766 00BFFF04 -@0767 9DADC83A -@0768 B1800117 -@0769 3084703A -@076A 20002026 -@076B 80AF883A -@076C B96F883A -@076D 21C05F26 -@076E B8C01C16 -@076F 20800317 -@0770 20C00217 -@0771 81BFFF04 -@0772 01000904 -@0773 18800315 -@0774 10C00215 -@0775 B0C00217 -@0776 B0800317 -@0777 B4800204 -@0778 18800315 -@0779 10C00215 -@077A 21801B36 -@077B 008004C4 -@077C 1180352E -@077D A8800017 -@077E B0800215 -@077F A8800117 -@0780 B0800315 -@0781 008006C4 -@0782 11807F36 -@0783 B0800404 -@0784 AD400204 -@0785 00002D06 -@0786 ADBFFE17 -@0787 00BFFF04 -@0788 9DADC83A -@0789 B1000117 -@078A 2084703A -@078B B03F7726 -@078C 80AF883A -@078D B8FF7516 -@078E B0800317 -@078F B0C00217 -@0790 81BFFF04 -@0791 01000904 -@0792 18800315 -@0793 10C00215 -@0794 B4800204 -@0795 21BFE52E -@0796 A80B883A -@0797 9009883A -@0798 00018DC0 -@0799 B821883A -@079A B027883A -@079B 003F8A06 -@079C 300B883A -@079D DFC00917 -@079E DF000817 -@079F DDC00717 -@07A0 DD800617 -@07A1 DD400517 -@07A2 DD000417 -@07A3 DCC00317 -@07A4 DC800217 -@07A5 DC400117 -@07A6 DC000017 -@07A7 DEC00A04 -@07A8 0000EA41 -@07A9 A8C00017 -@07AA 90C00015 -@07AB A8C00117 -@07AC 90C00115 -@07AD 00C006C4 -@07AE 19804536 -@07AF 90800204 -@07B0 A8C00204 -@07B1 003F6306 -@07B2 9005883A -@07B3 A8C00017 -@07B4 B821883A -@07B5 B027883A -@07B6 10C00015 -@07B7 A8C00117 -@07B8 10C00115 -@07B9 A8C00217 -@07BA 10C00215 -@07BB 003F6A06 -@07BC 9C67883A -@07BD 4445C83A -@07BE E4C00215 -@07BF 10800054 -@07C0 98800115 -@07C1 A8BFFF17 -@07C2 A009883A -@07C3 1080004C -@07C4 1462B03A -@07C5 AC7FFF15 -@07C6 00036400 -@07C7 A805883A -@07C8 003F6B06 -@07C9 A80B883A -@07CA 9009883A -@07CB 00018DC0 -@07CC 003F4E06 -@07CD 89000404 -@07CE B93FBC16 -@07CF B0800317 -@07D0 B0C00217 -@07D1 81BFFF04 -@07D2 01000904 -@07D3 18800315 -@07D4 10C00215 -@07D5 B4800204 -@07D6 21804336 -@07D7 008004C4 -@07D8 11803F2E -@07D9 A8800017 -@07DA B0800215 -@07DB A8800117 -@07DC B0800315 -@07DD 008006C4 -@07DE 11803F36 -@07DF B0800404 -@07E0 AD400204 -@07E1 A8C00017 -@07E2 10C00015 -@07E3 A8C00117 -@07E4 10C00115 -@07E5 A8C00217 -@07E6 10C00215 -@07E7 B447883A -@07E8 BC45C83A -@07E9 E0C00215 -@07EA 10800054 -@07EB 18800115 -@07EC B0800117 -@07ED A009883A -@07EE 1080004C -@07EF 1462B03A -@07F0 B4400115 -@07F1 00036400 -@07F2 9005883A -@07F3 003F4006 -@07F4 A8C00217 -@07F5 90C00215 -@07F6 A8C00317 -@07F7 90C00315 -@07F8 30801126 -@07F9 90800404 -@07FA A8C00404 -@07FB 003F1906 -@07FC 90FFFF17 -@07FD 00BFFF04 -@07FE A825883A -@07FF 1884703A -@0800 80A1883A -@0801 003F2406 -@0802 A8800217 -@0803 B0800415 -@0804 A8800317 -@0805 B0800515 -@0806 31000A26 -@0807 B0800604 -@0808 AD400404 -@0809 003FA906 -@080A A9000417 -@080B 90800604 -@080C A8C00604 -@080D 91000415 -@080E A9000517 -@080F 91000515 -@0810 003F0406 -@0811 A8C00417 -@0812 AD400604 -@0813 B0800804 -@0814 B0C00615 -@0815 A8FFFF17 -@0816 B0C00715 -@0817 003F9B06 -@0818 9005883A -@0819 003FC706 -@081A A80B883A -@081B 9009883A -@081C 00018DC0 -@081D 003FC906 -@081E A8800217 -@081F B0800415 -@0820 A8800317 -@0821 B0800515 -@0822 31000726 -@0823 B0800604 -@0824 AD400404 -@0825 003FBB06 -@0826 A009883A -@0827 00036400 -@0828 0005883A -@0829 003F0A06 -@082A A8C00417 -@082B AD400604 -@082C B0800804 -@082D B0C00615 -@082E A8FFFF17 -@082F B0C00715 -@0830 003FB006 -@0831 DEFFFD04 -@0832 DC000015 -@0833 04000034 -@0834 DC400115 -@0835 841CB504 -@0836 2023883A -@0837 2809883A -@0838 DFC00215 -@0839 80000015 -@083A 00038280 -@083B 00FFFFC4 -@083C 10C00526 -@083D DFC00217 -@083E DC400117 -@083F DC000017 -@0840 DEC00304 -@0841 F800283A -@0842 80C00017 -@0843 183FF926 -@0844 88C00015 -@0845 003FF706 -@0846 DEFFFE04 -@0847 DC000015 -@0848 2821883A -@0849 2940038F -@084A DFC00115 -@084B 0002E580 -@084C 10000716 -@084D 80C01417 -@084E 1887883A -@084F 80C01415 +@059B 003F4F06 +@059C 01403F84 +@059D 00C01F84 +@059E 003F4C06 +@059F 98800117 +@05A0 003FA006 +@05A1 8808D0FA +@05A2 20800044 +@05A3 1085883A +@05A4 003E9006 +@05A5 208000CC +@05A6 280F883A +@05A7 10003426 +@05A8 30BFFFC4 +@05A9 30001A26 +@05AA 20C00003 +@05AB 29803FCC +@05AC 30C0051E +@05AD 00001806 +@05AE 10001526 +@05AF 20C00003 +@05B0 10BFFFC4 +@05B1 30C01426 +@05B2 21000044 +@05B3 20C000CC +@05B4 183FF91E +@05B5 020000C4 +@05B6 40801136 +@05B7 10000C26 +@05B8 20C00003 +@05B9 29403FCC +@05BA 28C00B26 +@05BB 20C00044 +@05BC 39803FCC +@05BD 2089883A +@05BE 00000306 +@05BF 18C00044 +@05C0 197FFFC3 +@05C1 31400526 +@05C2 1805883A +@05C3 20FFFB1E +@05C4 0005883A +@05C5 F800283A +@05C6 2005883A +@05C7 F800283A +@05C8 28C03FCC +@05C9 1812923A +@05CA 02FFBFF4 +@05CB 02A02074 +@05CC 48D2B03A +@05CD 4806943A +@05CE 5AFFBFC4 +@05CF 52A02004 +@05D0 48D2B03A +@05D1 20C00017 +@05D2 48C6F03A +@05D3 1ACD883A +@05D4 00C6303A +@05D5 30C6703A +@05D6 1A86703A +@05D7 183FE01E +@05D8 10BFFF04 +@05D9 21000104 +@05DA 40BFF636 +@05DB 003FDB06 +@05DC 3005883A +@05DD 003FD706 +@05DE DEFFFD04 +@05DF DFC00215 +@05E0 DC400115 +@05E1 DC000015 +@05E2 00C003C4 +@05E3 2005883A +@05E4 1980452E +@05E5 2906B03A +@05E6 18C000CC +@05E7 1800441E +@05E8 347FFC04 +@05E9 8822D13A +@05EA 28C00104 +@05EB 23400104 +@05EC 8820913A +@05ED 2B000204 +@05EE 22C00204 +@05EF 84000504 +@05F0 2A800304 +@05F1 22400304 +@05F2 2C21883A +@05F3 2811883A +@05F4 200F883A +@05F5 41000017 +@05F6 1FC00017 +@05F7 63C00017 +@05F8 39000015 +@05F9 53800017 +@05FA 6FC00015 +@05FB 5BC00015 +@05FC 4B800015 +@05FD 18C00404 +@05FE 39C00404 +@05FF 42000404 +@0600 6B400404 +@0601 63000404 +@0602 5AC00404 +@0603 52800404 +@0604 4A400404 +@0605 1C3FEF1E +@0606 89C00044 +@0607 380E913A +@0608 310003CC +@0609 02C000C4 +@060A 11C7883A +@060B 29CB883A +@060C 5900212E +@060D 1813883A +@060E 2811883A +@060F 200F883A +@0610 42800017 +@0611 4A400104 +@0612 39FFFF04 +@0613 4ABFFF15 +@0614 42000104 +@0615 59FFFA36 +@0616 213FFF04 +@0617 2008D0BA +@0618 318000CC +@0619 21000044 +@061A 2109883A +@061B 2109883A +@061C 1907883A +@061D 290B883A +@061E 30000626 +@061F 198D883A +@0620 29C00003 +@0621 18C00044 +@0622 29400044 +@0623 19FFFFC5 +@0624 19BFFB1E +@0625 DFC00217 +@0626 DC400117 +@0627 DC000017 +@0628 DEC00304 +@0629 F800283A +@062A 2007883A +@062B 003FF206 +@062C 2007883A +@062D 003FF106 +@062E 200D883A +@062F 003FEE06 +@0630 2005883A +@0631 29000B2E +@0632 298F883A +@0633 21C0092E +@0634 2187883A +@0635 198BC83A +@0636 30004826 +@0637 39FFFFC4 +@0638 39000003 +@0639 18FFFFC4 +@063A 19000005 +@063B 28FFFB1E +@063C F800283A +@063D 00C003C4 +@063E 1980412E +@063F 2886B03A +@0640 18C000CC +@0641 1800401E +@0642 33FFFC04 +@0643 781ED13A +@0644 28C00104 +@0645 13400104 +@0646 781C913A +@0647 2B000204 +@0648 12C00204 +@0649 73800504 +@064A 2A800304 +@064B 12400304 +@064C 2B9D883A +@064D 2811883A +@064E 100F883A +@064F 41000017 +@0650 39C00404 +@0651 18C00404 +@0652 393FFC15 +@0653 193FFC17 +@0654 6B400404 +@0655 5AC00404 +@0656 693FFC15 +@0657 61000017 +@0658 4A400404 +@0659 42000404 +@065A 593FFC15 +@065B 51000017 +@065C 63000404 +@065D 52800404 +@065E 493FFC15 +@065F 1BBFEF1E +@0660 79000044 +@0661 2008913A +@0662 328003CC +@0663 02C000C4 +@0664 1107883A +@0665 290B883A +@0666 5A801E2E +@0667 1813883A +@0668 2811883A +@0669 500F883A +@066A 41000017 +@066B 4A400104 +@066C 39FFFF04 +@066D 493FFF15 +@066E 42000104 +@066F 59FFFA36 +@0670 513FFF04 +@0671 2008D0BA +@0672 318000CC +@0673 21000044 +@0674 2109883A +@0675 2109883A +@0676 1907883A +@0677 290B883A +@0678 30000B26 +@0679 198D883A +@067A 29C00003 +@067B 18C00044 +@067C 29400044 +@067D 19FFFFC5 +@067E 19BFFB1E +@067F F800283A +@0680 1007883A +@0681 003FF606 +@0682 1007883A +@0683 003FF506 +@0684 F800283A +@0685 500D883A +@0686 003FF106 +@0687 20C000CC +@0688 2005883A +@0689 18004426 +@068A 31FFFFC4 +@068B 30004026 +@068C 2813883A +@068D 200D883A +@068E 2007883A +@068F 00000406 +@0690 3A3FFFC4 +@0691 31800044 +@0692 38003926 +@0693 400F883A +@0694 18C00044 +@0695 32400005 +@0696 1A0000CC +@0697 403FF81E +@0698 010000C4 +@0699 21C02D2E +@069A 29003FCC +@069B 200C923A +@069C 3108B03A +@069D 200C943A +@069E 218CB03A +@069F 010003C4 +@06A0 21C0182E +@06A1 3B3FFC04 +@06A2 6018D13A +@06A3 1A000104 +@06A4 1AC00204 +@06A5 6008913A +@06A6 1A800304 +@06A7 1813883A +@06A8 21000504 +@06A9 1909883A +@06AA 49800015 +@06AB 41800015 +@06AC 59800015 +@06AD 51800015 +@06AE 42000404 +@06AF 4A400404 +@06B0 5AC00404 +@06B1 52800404 +@06B2 413FF71E +@06B3 63000044 +@06B4 6018913A +@06B5 39C003CC +@06B6 010000C4 +@06B7 1B07883A +@06B8 21C00E2E +@06B9 1813883A +@06BA 3811883A +@06BB 010000C4 +@06BC 49800015 +@06BD 423FFF04 +@06BE 4A400104 +@06BF 223FFC36 +@06C0 393FFF04 +@06C1 2008D0BA +@06C2 39C000CC +@06C3 21000044 +@06C4 2109883A +@06C5 2109883A +@06C6 1907883A +@06C7 38000526 +@06C8 19CF883A +@06C9 19400005 +@06CA 18C00044 +@06CB 38FFFD1E +@06CC F800283A +@06CD F800283A +@06CE 2007883A +@06CF 300F883A +@06D0 003FC706 +@06D1 DEFFF604 +@06D2 DC800215 +@06D3 DFC00915 +@06D4 DF000815 +@06D5 DDC00715 +@06D6 DD800615 +@06D7 DD400515 +@06D8 DD000415 +@06D9 DCC00315 +@06DA DC400115 +@06DB DC000015 +@06DC 3025883A +@06DD 2800B726 +@06DE 282B883A +@06DF 2029883A +@06E0 00036000 +@06E1 A8BFFF17 +@06E2 043FFF04 +@06E3 90C002C4 +@06E4 01000584 +@06E5 ACFFFE04 +@06E6 1420703A +@06E7 20C0332E +@06E8 047FFE04 +@06E9 1C62703A +@06EA 8807883A +@06EB 88005816 +@06EC 8C805736 +@06ED 80C0300E +@06EE 07000034 +@06EF E7171904 +@06F0 E1C00217 +@06F1 9C09883A +@06F2 22000117 +@06F3 21C06326 +@06F4 017FFF84 +@06F5 414A703A +@06F6 214B883A +@06F7 29800117 +@06F8 3180004C +@06F9 30003F26 +@06FA 1080004C +@06FB 10008326 +@06FC 900B883A +@06FD A009883A +@06FE 0000E880 +@06FF 1025883A +@0700 10011E26 +@0701 A93FFF17 +@0702 10FFFE04 +@0703 00BFFF84 +@0704 2084703A +@0705 9885883A +@0706 1880EE26 +@0707 81BFFF04 +@0708 00800904 +@0709 1180B836 +@070A 00C004C4 +@070B 19809636 +@070C 9005883A +@070D A807883A +@070E 19000017 +@070F 11000015 +@0710 19000117 +@0711 11000115 +@0712 18C00217 +@0713 10C00215 +@0714 A80B883A +@0715 A009883A +@0716 00029100 +@0717 A009883A +@0718 00036240 +@0719 9005883A +@071A 00001206 +@071B 00C00404 +@071C 1823883A +@071D 003FCE06 +@071E A825883A +@071F 8445C83A +@0720 00C003C4 +@0721 18802636 +@0722 99800117 +@0723 9C07883A +@0724 3180004C +@0725 3420B03A +@0726 9C000115 +@0727 18800117 +@0728 10800054 +@0729 18800115 +@072A A009883A +@072B 00036240 +@072C 9005883A +@072D DFC00917 +@072E DF000817 +@072F DDC00717 +@0730 DD800617 +@0731 DD400517 +@0732 DD000417 +@0733 DCC00317 +@0734 DC800217 +@0735 DC400117 +@0736 DC000017 +@0737 DEC00A04 +@0738 F800283A +@0739 017FFF04 +@073A 414A703A +@073B 814D883A +@073C 30C01F16 +@073D 20800317 +@073E 20C00217 +@073F A825883A +@0740 3021883A +@0741 18800315 +@0742 10C00215 +@0743 003FDB06 +@0744 00800304 +@0745 A0800015 +@0746 0005883A +@0747 003FE506 +@0748 98C00117 +@0749 9C4B883A +@074A 11000054 +@074B 18C0004C +@074C 1C62B03A +@074D 9C400115 +@074E 29000115 +@074F 2885883A +@0750 10C00117 +@0751 29400204 +@0752 A009883A +@0753 18C00054 +@0754 10C00115 +@0755 00029100 +@0756 003FD306 +@0757 017FFF04 +@0758 414A703A +@0759 89800404 +@075A 8151883A +@075B 4180590E +@075C 1080004C +@075D 103F9E1E +@075E ADBFFE17 +@075F 00BFFF04 +@0760 9DADC83A +@0761 B1800117 +@0762 3084703A +@0763 20002026 +@0764 80AF883A +@0765 B96F883A +@0766 21C05F26 +@0767 B8C01C16 +@0768 20800317 +@0769 20C00217 +@076A 81BFFF04 +@076B 01000904 +@076C 18800315 +@076D 10C00215 +@076E B0C00217 +@076F B0800317 +@0770 B4800204 +@0771 18800315 +@0772 10C00215 +@0773 21801B36 +@0774 008004C4 +@0775 1180352E +@0776 A8800017 +@0777 B0800215 +@0778 A8800117 +@0779 B0800315 +@077A 008006C4 +@077B 11807F36 +@077C B0800404 +@077D AD400204 +@077E 00002D06 +@077F ADBFFE17 +@0780 00BFFF04 +@0781 9DADC83A +@0782 B1000117 +@0783 2084703A +@0784 B03F7726 +@0785 80AF883A +@0786 B8FF7516 +@0787 B0800317 +@0788 B0C00217 +@0789 81BFFF04 +@078A 01000904 +@078B 18800315 +@078C 10C00215 +@078D B4800204 +@078E 21BFE52E +@078F A80B883A +@0790 9009883A +@0791 00018C00 +@0792 B821883A +@0793 B027883A +@0794 003F8A06 +@0795 300B883A +@0796 DFC00917 +@0797 DF000817 +@0798 DDC00717 +@0799 DD800617 +@079A DD400517 +@079B DD000417 +@079C DCC00317 +@079D DC800217 +@079E DC400117 +@079F DC000017 +@07A0 DEC00A04 +@07A1 0000E881 +@07A2 A8C00017 +@07A3 90C00015 +@07A4 A8C00117 +@07A5 90C00115 +@07A6 00C006C4 +@07A7 19804536 +@07A8 90800204 +@07A9 A8C00204 +@07AA 003F6306 +@07AB 9005883A +@07AC A8C00017 +@07AD B821883A +@07AE B027883A +@07AF 10C00015 +@07B0 A8C00117 +@07B1 10C00115 +@07B2 A8C00217 +@07B3 10C00215 +@07B4 003F6A06 +@07B5 9C67883A +@07B6 4445C83A +@07B7 E4C00215 +@07B8 10800054 +@07B9 98800115 +@07BA A8BFFF17 +@07BB A009883A +@07BC 1080004C +@07BD 1462B03A +@07BE AC7FFF15 +@07BF 00036240 +@07C0 A805883A +@07C1 003F6B06 +@07C2 A80B883A +@07C3 9009883A +@07C4 00018C00 +@07C5 003F4E06 +@07C6 89000404 +@07C7 B93FBC16 +@07C8 B0800317 +@07C9 B0C00217 +@07CA 81BFFF04 +@07CB 01000904 +@07CC 18800315 +@07CD 10C00215 +@07CE B4800204 +@07CF 21804336 +@07D0 008004C4 +@07D1 11803F2E +@07D2 A8800017 +@07D3 B0800215 +@07D4 A8800117 +@07D5 B0800315 +@07D6 008006C4 +@07D7 11803F36 +@07D8 B0800404 +@07D9 AD400204 +@07DA A8C00017 +@07DB 10C00015 +@07DC A8C00117 +@07DD 10C00115 +@07DE A8C00217 +@07DF 10C00215 +@07E0 B447883A +@07E1 BC45C83A +@07E2 E0C00215 +@07E3 10800054 +@07E4 18800115 +@07E5 B0800117 +@07E6 A009883A +@07E7 1080004C +@07E8 1462B03A +@07E9 B4400115 +@07EA 00036240 +@07EB 9005883A +@07EC 003F4006 +@07ED A8C00217 +@07EE 90C00215 +@07EF A8C00317 +@07F0 90C00315 +@07F1 30801126 +@07F2 90800404 +@07F3 A8C00404 +@07F4 003F1906 +@07F5 90FFFF17 +@07F6 00BFFF04 +@07F7 A825883A +@07F8 1884703A +@07F9 80A1883A +@07FA 003F2406 +@07FB A8800217 +@07FC B0800415 +@07FD A8800317 +@07FE B0800515 +@07FF 31000A26 +@0800 B0800604 +@0801 AD400404 +@0802 003FA906 +@0803 A9000417 +@0804 90800604 +@0805 A8C00604 +@0806 91000415 +@0807 A9000517 +@0808 91000515 +@0809 003F0406 +@080A A8C00417 +@080B AD400604 +@080C B0800804 +@080D B0C00615 +@080E A8FFFF17 +@080F B0C00715 +@0810 003F9B06 +@0811 9005883A +@0812 003FC706 +@0813 A80B883A +@0814 9009883A +@0815 00018C00 +@0816 003FC906 +@0817 A8800217 +@0818 B0800415 +@0819 A8800317 +@081A B0800515 +@081B 31000726 +@081C B0800604 +@081D AD400404 +@081E 003FBB06 +@081F A009883A +@0820 00036240 +@0821 0005883A +@0822 003F0A06 +@0823 A8C00417 +@0824 AD400604 +@0825 B0800804 +@0826 B0C00615 +@0827 A8FFFF17 +@0828 B0C00715 +@0829 003FB006 +@082A DEFFFD04 +@082B DC000015 +@082C 04000034 +@082D DC400115 +@082E 841CAE04 +@082F 2023883A +@0830 2809883A +@0831 DFC00215 +@0832 80000015 +@0833 000380C0 +@0834 00FFFFC4 +@0835 10C00526 +@0836 DFC00217 +@0837 DC400117 +@0838 DC000017 +@0839 DEC00304 +@083A F800283A +@083B 80C00017 +@083C 183FF926 +@083D 88C00015 +@083E 003FF706 +@083F DEFFFE04 +@0840 DC000015 +@0841 2821883A +@0842 2940038F +@0843 DFC00115 +@0844 0002E3C0 +@0845 10000716 +@0846 80C01417 +@0847 1887883A +@0848 80C01415 +@0849 DFC00117 +@084A DC000017 +@084B DEC00204 +@084C F800283A +@084D 80C0030B +@084E 18FBFFCC +@084F 80C0030D @0850 DFC00117 @0851 DC000017 @0852 DEC00204 @0853 F800283A -@0854 80C0030B -@0855 18FBFFCC -@0856 80C0030D -@0857 DFC00117 -@0858 DC000017 -@0859 DEC00204 -@085A F800283A -@085B 0005883A -@085C F800283A -@085D 2880030B -@085E DEFFFB04 -@085F DCC00315 -@0860 DC800215 -@0861 DC400115 -@0862 DC000015 -@0863 DFC00415 -@0864 10C0400C -@0865 2821883A -@0866 2023883A -@0867 3025883A -@0868 3827883A -@0869 18000526 -@086A 2940038F -@086B 01C00084 -@086C 000D883A -@086D 0002C3C0 -@086E 8080030B -@086F 8140038F -@0870 10BBFFCC -@0871 980F883A -@0872 900D883A -@0873 8809883A -@0874 8080030D -@0875 DFC00417 -@0876 DCC00317 -@0877 DC800217 -@0878 DC400117 -@0879 DC000017 -@087A DEC00504 -@087B 00022541 -@087C DEFFFE04 -@087D DC000015 -@087E 2821883A -@087F 2940038F -@0880 DFC00115 -@0881 0002C3C0 -@0882 00FFFFC4 -@0883 10C00826 -@0884 80C0030B -@0885 80801415 -@0886 18C40014 +@0854 0005883A +@0855 F800283A +@0856 2880030B +@0857 DEFFFB04 +@0858 DCC00315 +@0859 DC800215 +@085A DC400115 +@085B DC000015 +@085C DFC00415 +@085D 10C0400C +@085E 2821883A +@085F 2023883A +@0860 3025883A +@0861 3827883A +@0862 18000526 +@0863 2940038F +@0864 01C00084 +@0865 000D883A +@0866 0002C200 +@0867 8080030B +@0868 8140038F +@0869 10BBFFCC +@086A 980F883A +@086B 900D883A +@086C 8809883A +@086D 8080030D +@086E DFC00417 +@086F DCC00317 +@0870 DC800217 +@0871 DC400117 +@0872 DC000017 +@0873 DEC00504 +@0874 00022381 +@0875 DEFFFE04 +@0876 DC000015 +@0877 2821883A +@0878 2940038F +@0879 DFC00115 +@087A 0002C200 +@087B 00FFFFC4 +@087C 10C00826 +@087D 80C0030B +@087E 80801415 +@087F 18C40014 +@0880 80C0030D +@0881 DFC00117 +@0882 DC000017 +@0883 DEC00204 +@0884 F800283A +@0885 80C0030B +@0886 18FBFFCC @0887 80C0030D @0888 DFC00117 @0889 DC000017 @088A DEC00204 @088B F800283A -@088C 80C0030B -@088D 18FBFFCC -@088E 80C0030D -@088F DFC00117 -@0890 DC000017 -@0891 DEC00204 -@0892 F800283A -@0893 2940038F -@0894 00024081 -@0895 DEFFFD04 -@0896 2805883A -@0897 DC000015 -@0898 04000034 -@0899 DC400115 -@089A 300B883A -@089B 841CB504 -@089C 2023883A -@089D 380D883A -@089E 1009883A -@089F DFC00215 -@08A0 80000015 -@08A1 00039140 -@08A2 00FFFFC4 -@08A3 10C00526 -@08A4 DFC00217 -@08A5 DC400117 -@08A6 DC000017 -@08A7 DEC00304 -@08A8 F800283A -@08A9 80C00017 -@08AA 183FF926 -@08AB 88C00015 -@08AC 003FF706 -@08AD 00800034 -@08AE DEFFFD04 -@08AF 109CA504 -@08B0 DC400115 -@08B1 2023883A -@08B2 11000017 -@08B3 DC000015 -@08B4 DFC00215 -@08B5 2821883A -@08B6 20000226 -@08B7 20800E17 -@08B8 10003126 -@08B9 8080030B -@08BA 10C0020C -@08BB 1009883A -@08BC 18000F26 -@08BD 80C00417 -@08BE 18001526 -@08BF 1100004C -@08C0 20001C1E -@08C1 1080008C -@08C2 1000291E -@08C3 80800517 -@08C4 80800215 -@08C5 18001C26 -@08C6 0005883A -@08C7 DFC00217 -@08C8 DC400117 -@08C9 DC000017 -@08CA DEC00304 -@08CB F800283A -@08CC 2080040C -@08CD 10002E26 -@08CE 2080010C -@08CF 10001E1E -@08D0 80C00417 -@08D1 20800214 -@08D2 8080030D -@08D3 183FEB1E -@08D4 1100A00C -@08D5 01408004 -@08D6 217FE826 -@08D7 800B883A -@08D8 8809883A -@08D9 0002C9C0 -@08DA 8080030B -@08DB 80C00417 -@08DC 003FE206 -@08DD 80800517 -@08DE 80000215 -@08DF 0085C83A -@08E0 80800615 -@08E1 183FE41E -@08E2 80C0030B -@08E3 0005883A -@08E4 1900200C -@08E5 203FE126 -@08E6 18C01014 -@08E7 80C0030D -@08E8 00BFFFC4 -@08E9 003FDD06 -@08EA 00008040 -@08EB 003FCD06 -@08EC 0005883A -@08ED 003FD606 -@08EE 81400C17 -@08EF 28000626 -@08F0 80801004 -@08F1 28800326 -@08F2 8809883A -@08F3 000292C0 -@08F4 8100030B -@08F5 80000C15 -@08F6 80C00417 -@08F7 00BFF6C4 -@08F8 1108703A -@08F9 80000115 -@08FA 80C00015 -@08FB 003FD506 -@08FC 00800244 -@08FD 88800015 -@08FE 20801014 -@08FF 8080030D -@0900 00BFFFC4 -@0901 003FC506 -@0902 DEFFFD04 -@0903 DC000015 -@0904 04000034 -@0905 DC400115 -@0906 841CB504 -@0907 2023883A -@0908 2809883A -@0909 DFC00215 -@090A 80000015 -@090B 00031800 -@090C 00FFFFC4 -@090D 10C00526 -@090E DFC00217 -@090F DC400117 -@0910 DC000017 -@0911 DEC00304 -@0912 F800283A -@0913 80C00017 -@0914 183FF926 -@0915 88C00015 -@0916 003FF706 -@0917 28003926 -@0918 DEFFFC04 -@0919 DC400115 -@091A DC000015 -@091B DFC00315 -@091C DC800215 -@091D 2023883A -@091E 2821883A -@091F 20000226 -@0920 20800E17 -@0921 10002726 -@0922 8080030F -@0923 1000071E -@0924 0005883A -@0925 DFC00317 -@0926 DC800217 -@0927 DC400117 -@0928 DC000017 -@0929 DEC00404 -@092A F800283A -@092B 800B883A -@092C 8809883A -@092D 00025600 -@092E 1025883A -@092F 80800B17 -@0930 10000426 -@0931 81400717 -@0932 8809883A -@0933 103EE83A -@0934 10001616 -@0935 8080030B -@0936 1080200C -@0937 1000151E -@0938 81400C17 -@0939 28000526 -@093A 80801004 -@093B 28800226 -@093C 8809883A -@093D 000292C0 -@093E 80000C15 -@093F 81401117 -@0940 28000326 -@0941 8809883A -@0942 000292C0 -@0943 80001115 -@0944 00008140 -@0945 8000030D -@0946 00008180 -@0947 9005883A -@0948 003FDC06 -@0949 00008040 -@094A 003FD706 -@094B 04BFFFC4 -@094C 003FE806 -@094D 81400417 -@094E 8809883A -@094F 000292C0 -@0950 003FE706 -@0951 0005883A -@0952 F800283A -@0953 00800034 -@0954 109CA504 -@0955 200B883A -@0956 11000017 -@0957 000245C1 -@0958 2880030B -@0959 DEFFFB04 -@095A DCC00315 -@095B DC400115 -@095C DFC00415 -@095D DC800215 -@095E DC000015 -@095F 10C0020C -@0960 2823883A -@0961 2027883A -@0962 1800311E -@0963 28C00117 -@0964 10820014 -@0965 2880030D -@0966 00C04B0E -@0967 8A000A17 -@0968 40002326 -@0969 9C000017 -@096A 10C4000C -@096B 98000015 -@096C 18004826 -@096D 89801417 -@096E 10C0010C -@096F 18000626 -@0970 88C00117 -@0971 88800C17 -@0972 30CDC83A -@0973 10000226 -@0974 88800F17 -@0975 308DC83A -@0976 89400717 -@0977 000F883A -@0978 9809883A -@0979 403EE83A -@097A 00FFFFC4 -@097B 10C04426 -@097C 88C0030B -@097D 89000417 -@097E 88000115 -@097F 197DFFCC -@0980 8940030D -@0981 89000015 -@0982 18C4000C -@0983 18002C1E -@0984 89400C17 -@0985 9C000015 -@0986 28000526 -@0987 88801004 -@0988 28800226 -@0989 9809883A -@098A 000292C0 -@098B 88000C15 -@098C 0005883A -@098D DFC00417 -@098E DCC00317 -@098F DC800217 -@0990 DC400117 -@0991 DC000017 -@0992 DEC00504 -@0993 F800283A -@0994 2C800417 -@0995 903FF626 -@0996 2C000017 -@0997 108000CC -@0998 2C800015 -@0999 84A1C83A -@099A 1000131E -@099B 28800517 -@099C 88800215 -@099D 04000316 -@099E 003FED06 -@099F 90A5883A -@09A0 043FEB0E -@09A1 88800917 -@09A2 89400717 -@09A3 800F883A -@09A4 900D883A -@09A5 9809883A -@09A6 103EE83A -@09A7 80A1C83A -@09A8 00BFF616 -@09A9 88C0030B -@09AA 00BFFFC4 -@09AB 18C01014 -@09AC 88C0030D -@09AD 003FDF06 -@09AE 0005883A -@09AF 003FEC06 -@09B0 88801415 -@09B1 003FD206 -@09B2 28C00F17 -@09B3 00FFB316 -@09B4 003FD706 -@09B5 89400717 -@09B6 000D883A -@09B7 01C00044 -@09B8 9809883A -@09B9 403EE83A -@09BA 100D883A -@09BB 00BFFFC4 -@09BC 30801426 -@09BD 8880030B -@09BE 8A000A17 -@09BF 003FAE06 -@09C0 98C00017 -@09C1 183FBA26 -@09C2 01000744 -@09C3 19000626 -@09C4 01000584 -@09C5 19000426 -@09C6 88C0030B -@09C7 18C01014 -@09C8 88C0030D -@09C9 003FC306 -@09CA 8880030B -@09CB 88C00417 -@09CC 88000115 -@09CD 10BDFFCC -@09CE 8880030D -@09CF 88C00015 -@09D0 003FB306 -@09D1 98800017 -@09D2 103FEA26 -@09D3 00C00744 -@09D4 10C00226 -@09D5 00C00584 -@09D6 10C0031E -@09D7 9C000015 -@09D8 0005883A -@09D9 003FB306 -@09DA 88C0030B -@09DB 3005883A -@09DC 18C01014 -@09DD 88C0030D -@09DE 003FAE06 -@09DF DEFFFD04 -@09E0 DC000115 -@09E1 DFC00215 -@09E2 2021883A -@09E3 20000226 -@09E4 20800E17 -@09E5 10000C26 -@09E6 2880030F -@09E7 1000051E -@09E8 0005883A -@09E9 DFC00217 -@09EA DC000117 -@09EB DEC00304 -@09EC F800283A -@09ED 8009883A -@09EE DFC00217 -@09EF DC000117 -@09F0 DEC00304 -@09F1 00025601 -@09F2 D9400015 -@09F3 00008040 -@09F4 D9400017 -@09F5 003FF006 -@09F6 20000526 -@09F7 00800034 -@09F8 109CA504 -@09F9 200B883A -@09FA 11000017 -@09FB 000277C1 -@09FC 00800034 -@09FD 109CA404 -@09FE 11000017 -@09FF 01400034 -@0A00 2949DF04 -@0A01 0000DE01 -@0A02 DEFFFB04 -@0A03 DCC00315 -@0A04 04C00034 -@0A05 DC800215 -@0A06 DC400115 -@0A07 DC000015 -@0A08 DFC00415 -@0A09 2821883A -@0A0A 9CD72004 -@0A0B 2025883A -@0A0C 000361C0 -@0A0D 98800217 -@0A0E 14400117 -@0A0F 00BFFF04 -@0A10 88A2703A -@0A11 8C21C83A -@0A12 8403FBC4 -@0A13 8020D33A -@0A14 0083FFC4 -@0A15 843FFFC4 -@0A16 8020933A -@0A17 1400060E -@0A18 000B883A -@0A19 9009883A -@0A1A 00020C40 -@0A1B 98C00217 -@0A1C 1C47883A -@0A1D 10C00A26 -@0A1E 9009883A -@0A1F 00036400 -@0A20 0005883A -@0A21 DFC00417 -@0A22 DCC00317 -@0A23 DC800217 -@0A24 DC400117 -@0A25 DC000017 -@0A26 DEC00504 -@0A27 F800283A -@0A28 040BC83A -@0A29 9009883A -@0A2A 00020C40 -@0A2B 00FFFFC4 -@0A2C 10C00D26 -@0A2D 00C00034 -@0A2E 18DCBD04 -@0A2F 18800017 -@0A30 99000217 -@0A31 8C23C83A -@0A32 8C400054 -@0A33 1421C83A -@0A34 24400115 -@0A35 9009883A -@0A36 1C000015 -@0A37 00036400 -@0A38 00800044 -@0A39 003FE706 -@0A3A 000B883A -@0A3B 9009883A -@0A3C 00020C40 -@0A3D 99000217 -@0A3E 014003C4 -@0A3F 1107C83A -@0A40 28FFDD0E -@0A41 01400034 -@0A42 295CA604 -@0A43 29400017 -@0A44 18C00054 -@0A45 20C00115 -@0A46 00C00034 -@0A47 1145C83A -@0A48 18DCBD04 -@0A49 18800015 -@0A4A 003FD306 -@0A4B 28004126 -@0A4C DEFFFD04 -@0A4D DC400115 -@0A4E DC000015 -@0A4F 2023883A -@0A50 2821883A -@0A51 DFC00215 -@0A52 000361C0 -@0A53 81FFFF17 -@0A54 00BFFF84 -@0A55 01000034 -@0A56 81BFFE04 -@0A57 3884703A -@0A58 21172004 -@0A59 308B883A -@0A5A 2A400117 -@0A5B 22000217 -@0A5C 00FFFF04 -@0A5D 48C6703A -@0A5E 2A005726 -@0A5F 28C00115 -@0A60 39C0004C -@0A61 3800091E -@0A62 823FFE17 -@0A63 22400204 -@0A64 320DC83A -@0A65 31C00217 -@0A66 1205883A -@0A67 3A406526 -@0A68 32000317 -@0A69 3A000315 -@0A6A 41C00215 -@0A6B 28CF883A -@0A6C 39C00117 -@0A6D 39C0004C -@0A6E 38003A26 -@0A6F 10C00054 -@0A70 30C00115 -@0A71 3087883A -@0A72 18800015 -@0A73 00C07FC4 -@0A74 18801936 -@0A75 1004D0FA -@0A76 01C00044 -@0A77 21400117 -@0A78 10C00044 -@0A79 18C7883A -@0A7A 1005D0BA -@0A7B 18C7883A -@0A7C 18C7883A -@0A7D 1907883A -@0A7E 3884983A -@0A7F 19C00017 -@0A80 1A3FFE04 -@0A81 1144B03A -@0A82 32000315 -@0A83 31C00215 -@0A84 20800115 -@0A85 19800015 -@0A86 39800315 -@0A87 8809883A -@0A88 DFC00217 -@0A89 DC400117 -@0A8A DC000017 -@0A8B DEC00304 -@0A8C 00036401 -@0A8D F800283A -@0A8E 100AD27A -@0A8F 00C00104 -@0A90 19404A36 -@0A91 100AD1BA -@0A92 28C00E44 -@0A93 18C7883A -@0A94 29400E04 -@0A95 18C7883A -@0A96 18C7883A -@0A97 1909883A -@0A98 20C00017 -@0A99 01C00034 -@0A9A 213FFE04 -@0A9B 39D72004 -@0A9C 20C04426 -@0A9D 01FFFF04 -@0A9E 19400117 -@0A9F 29CA703A -@0AA0 1140022E -@0AA1 18C00217 -@0AA2 20FFFB1E -@0AA3 19000317 -@0AA4 31000315 -@0AA5 30C00215 -@0AA6 21800215 -@0AA7 19800315 -@0AA8 003FDE06 -@0AA9 29C00217 -@0AAA 10C5883A -@0AAB 00C00034 -@0AAC 18D72204 -@0AAD 38C03B26 -@0AAE 2A000317 -@0AAF 11400054 -@0AB0 3087883A -@0AB1 3A000315 -@0AB2 41C00215 -@0AB3 31400115 -@0AB4 18800015 -@0AB5 003FBD06 -@0AB6 39C0004C -@0AB7 10C5883A -@0AB8 3800071E -@0AB9 81FFFE17 -@0ABA 31CDC83A -@0ABB 30C00317 -@0ABC 31400217 -@0ABD 11C5883A -@0ABE 28C00315 -@0ABF 19400215 -@0AC0 10C00054 -@0AC1 30C00115 -@0AC2 00C00034 -@0AC3 18DCA704 -@0AC4 18C00017 -@0AC5 21800215 -@0AC6 10FFC036 -@0AC7 00800034 -@0AC8 109CB404 -@0AC9 11400017 -@0ACA 8809883A -@0ACB 00028080 -@0ACC 003FBA06 -@0ACD 28C9883A -@0ACE 21000117 -@0ACF 2100004C -@0AD0 2000391E -@0AD1 29C00217 -@0AD2 29000317 -@0AD3 1885883A -@0AD4 10C00054 -@0AD5 39000315 -@0AD6 21C00215 -@0AD7 30C00115 -@0AD8 308D883A -@0AD9 30800015 -@0ADA 003FAC06 -@0ADB 00C00504 -@0ADC 19401536 -@0ADD 28C01704 -@0ADE 18C7883A -@0ADF 294016C4 -@0AE0 003FB406 -@0AE1 280BD0BA -@0AE2 00C00044 -@0AE3 38800117 -@0AE4 194A983A -@0AE5 2007883A -@0AE6 2884B03A -@0AE7 38800115 -@0AE8 003FBB06 -@0AE9 21800515 -@0AEA 21800415 -@0AEB 10C00054 -@0AEC 31C00315 -@0AED 31C00215 -@0AEE 30C00115 -@0AEF 308D883A -@0AF0 30800015 -@0AF1 003F9506 -@0AF2 00C01504 +@088C 2940038F +@088D 00023EC1 +@088E DEFFFD04 +@088F 2805883A +@0890 DC000015 +@0891 04000034 +@0892 DC400115 +@0893 300B883A +@0894 841CAE04 +@0895 2023883A +@0896 380D883A +@0897 1009883A +@0898 DFC00215 +@0899 80000015 +@089A 00038F80 +@089B 00FFFFC4 +@089C 10C00526 +@089D DFC00217 +@089E DC400117 +@089F DC000017 +@08A0 DEC00304 +@08A1 F800283A +@08A2 80C00017 +@08A3 183FF926 +@08A4 88C00015 +@08A5 003FF706 +@08A6 00800034 +@08A7 DEFFFD04 +@08A8 109C9E04 +@08A9 DC400115 +@08AA 2023883A +@08AB 11000017 +@08AC DC000015 +@08AD DFC00215 +@08AE 2821883A +@08AF 20000226 +@08B0 20800E17 +@08B1 10003126 +@08B2 8080030B +@08B3 10C0020C +@08B4 1009883A +@08B5 18000F26 +@08B6 80C00417 +@08B7 18001526 +@08B8 1100004C +@08B9 20001C1E +@08BA 1080008C +@08BB 1000291E +@08BC 80800517 +@08BD 80800215 +@08BE 18001C26 +@08BF 0005883A +@08C0 DFC00217 +@08C1 DC400117 +@08C2 DC000017 +@08C3 DEC00304 +@08C4 F800283A +@08C5 2080040C +@08C6 10002E26 +@08C7 2080010C +@08C8 10001E1E +@08C9 80C00417 +@08CA 20800214 +@08CB 8080030D +@08CC 183FEB1E +@08CD 1100A00C +@08CE 01408004 +@08CF 217FE826 +@08D0 800B883A +@08D1 8809883A +@08D2 0002C800 +@08D3 8080030B +@08D4 80C00417 +@08D5 003FE206 +@08D6 80800517 +@08D7 80000215 +@08D8 0085C83A +@08D9 80800615 +@08DA 183FE41E +@08DB 80C0030B +@08DC 0005883A +@08DD 1900200C +@08DE 203FE126 +@08DF 18C01014 +@08E0 80C0030D +@08E1 00BFFFC4 +@08E2 003FDD06 +@08E3 00007E80 +@08E4 003FCD06 +@08E5 0005883A +@08E6 003FD606 +@08E7 81400C17 +@08E8 28000626 +@08E9 80801004 +@08EA 28800326 +@08EB 8809883A +@08EC 00029100 +@08ED 8100030B +@08EE 80000C15 +@08EF 80C00417 +@08F0 00BFF6C4 +@08F1 1108703A +@08F2 80000115 +@08F3 80C00015 +@08F4 003FD506 +@08F5 00800244 +@08F6 88800015 +@08F7 20801014 +@08F8 8080030D +@08F9 00BFFFC4 +@08FA 003FC506 +@08FB DEFFFD04 +@08FC DC000015 +@08FD 04000034 +@08FE DC400115 +@08FF 841CAE04 +@0900 2023883A +@0901 2809883A +@0902 DFC00215 +@0903 80000015 +@0904 00031640 +@0905 00FFFFC4 +@0906 10C00526 +@0907 DFC00217 +@0908 DC400117 +@0909 DC000017 +@090A DEC00304 +@090B F800283A +@090C 80C00017 +@090D 183FF926 +@090E 88C00015 +@090F 003FF706 +@0910 28003926 +@0911 DEFFFC04 +@0912 DC400115 +@0913 DC000015 +@0914 DFC00315 +@0915 DC800215 +@0916 2023883A +@0917 2821883A +@0918 20000226 +@0919 20800E17 +@091A 10002726 +@091B 8080030F +@091C 1000071E +@091D 0005883A +@091E DFC00317 +@091F DC800217 +@0920 DC400117 +@0921 DC000017 +@0922 DEC00404 +@0923 F800283A +@0924 800B883A +@0925 8809883A +@0926 00025440 +@0927 1025883A +@0928 80800B17 +@0929 10000426 +@092A 81400717 +@092B 8809883A +@092C 103EE83A +@092D 10001616 +@092E 8080030B +@092F 1080200C +@0930 1000151E +@0931 81400C17 +@0932 28000526 +@0933 80801004 +@0934 28800226 +@0935 8809883A +@0936 00029100 +@0937 80000C15 +@0938 81401117 +@0939 28000326 +@093A 8809883A +@093B 00029100 +@093C 80001115 +@093D 00007F80 +@093E 8000030D +@093F 00007FC0 +@0940 9005883A +@0941 003FDC06 +@0942 00007E80 +@0943 003FD706 +@0944 04BFFFC4 +@0945 003FE806 +@0946 81400417 +@0947 8809883A +@0948 00029100 +@0949 003FE706 +@094A 0005883A +@094B F800283A +@094C 00800034 +@094D 109C9E04 +@094E 200B883A +@094F 11000017 +@0950 00024401 +@0951 2880030B +@0952 DEFFFB04 +@0953 DCC00315 +@0954 DC400115 +@0955 DFC00415 +@0956 DC800215 +@0957 DC000015 +@0958 10C0020C +@0959 2823883A +@095A 2027883A +@095B 1800311E +@095C 28C00117 +@095D 10820014 +@095E 2880030D +@095F 00C04B0E +@0960 8A000A17 +@0961 40002326 +@0962 9C000017 +@0963 10C4000C +@0964 98000015 +@0965 18004826 +@0966 89801417 +@0967 10C0010C +@0968 18000626 +@0969 88C00117 +@096A 88800C17 +@096B 30CDC83A +@096C 10000226 +@096D 88800F17 +@096E 308DC83A +@096F 89400717 +@0970 000F883A +@0971 9809883A +@0972 403EE83A +@0973 00FFFFC4 +@0974 10C04426 +@0975 88C0030B +@0976 89000417 +@0977 88000115 +@0978 197DFFCC +@0979 8940030D +@097A 89000015 +@097B 18C4000C +@097C 18002C1E +@097D 89400C17 +@097E 9C000015 +@097F 28000526 +@0980 88801004 +@0981 28800226 +@0982 9809883A +@0983 00029100 +@0984 88000C15 +@0985 0005883A +@0986 DFC00417 +@0987 DCC00317 +@0988 DC800217 +@0989 DC400117 +@098A DC000017 +@098B DEC00504 +@098C F800283A +@098D 2C800417 +@098E 903FF626 +@098F 2C000017 +@0990 108000CC +@0991 2C800015 +@0992 84A1C83A +@0993 1000131E +@0994 28800517 +@0995 88800215 +@0996 04000316 +@0997 003FED06 +@0998 90A5883A +@0999 043FEB0E +@099A 88800917 +@099B 89400717 +@099C 800F883A +@099D 900D883A +@099E 9809883A +@099F 103EE83A +@09A0 80A1C83A +@09A1 00BFF616 +@09A2 88C0030B +@09A3 00BFFFC4 +@09A4 18C01014 +@09A5 88C0030D +@09A6 003FDF06 +@09A7 0005883A +@09A8 003FEC06 +@09A9 88801415 +@09AA 003FD206 +@09AB 28C00F17 +@09AC 00FFB316 +@09AD 003FD706 +@09AE 89400717 +@09AF 000D883A +@09B0 01C00044 +@09B1 9809883A +@09B2 403EE83A +@09B3 100D883A +@09B4 00BFFFC4 +@09B5 30801426 +@09B6 8880030B +@09B7 8A000A17 +@09B8 003FAE06 +@09B9 98C00017 +@09BA 183FBA26 +@09BB 01000744 +@09BC 19000626 +@09BD 01000584 +@09BE 19000426 +@09BF 88C0030B +@09C0 18C01014 +@09C1 88C0030D +@09C2 003FC306 +@09C3 8880030B +@09C4 88C00417 +@09C5 88000115 +@09C6 10BDFFCC +@09C7 8880030D +@09C8 88C00015 +@09C9 003FB306 +@09CA 98800017 +@09CB 103FEA26 +@09CC 00C00744 +@09CD 10C00226 +@09CE 00C00584 +@09CF 10C0031E +@09D0 9C000015 +@09D1 0005883A +@09D2 003FB306 +@09D3 88C0030B +@09D4 3005883A +@09D5 18C01014 +@09D6 88C0030D +@09D7 003FAE06 +@09D8 DEFFFD04 +@09D9 DC000115 +@09DA DFC00215 +@09DB 2021883A +@09DC 20000226 +@09DD 20800E17 +@09DE 10000C26 +@09DF 2880030F +@09E0 1000051E +@09E1 0005883A +@09E2 DFC00217 +@09E3 DC000117 +@09E4 DEC00304 +@09E5 F800283A +@09E6 8009883A +@09E7 DFC00217 +@09E8 DC000117 +@09E9 DEC00304 +@09EA 00025441 +@09EB D9400015 +@09EC 00007E80 +@09ED D9400017 +@09EE 003FF006 +@09EF 20000526 +@09F0 00800034 +@09F1 109C9E04 +@09F2 200B883A +@09F3 11000017 +@09F4 00027601 +@09F5 00800034 +@09F6 109C9D04 +@09F7 11000017 +@09F8 01400034 +@09F9 2949D804 +@09FA 0000DC41 +@09FB DEFFFB04 +@09FC DCC00315 +@09FD 04C00034 +@09FE DC800215 +@09FF DC400115 +@0A00 DC000015 +@0A01 DFC00415 +@0A02 2821883A +@0A03 9CD71904 +@0A04 2025883A +@0A05 00036000 +@0A06 98800217 +@0A07 14400117 +@0A08 00BFFF04 +@0A09 88A2703A +@0A0A 8C21C83A +@0A0B 8403FBC4 +@0A0C 8020D33A +@0A0D 0083FFC4 +@0A0E 843FFFC4 +@0A0F 8020933A +@0A10 1400060E +@0A11 000B883A +@0A12 9009883A +@0A13 00020A80 +@0A14 98C00217 +@0A15 1C47883A +@0A16 10C00A26 +@0A17 9009883A +@0A18 00036240 +@0A19 0005883A +@0A1A DFC00417 +@0A1B DCC00317 +@0A1C DC800217 +@0A1D DC400117 +@0A1E DC000017 +@0A1F DEC00504 +@0A20 F800283A +@0A21 040BC83A +@0A22 9009883A +@0A23 00020A80 +@0A24 00FFFFC4 +@0A25 10C00D26 +@0A26 00C00034 +@0A27 18DCB604 +@0A28 18800017 +@0A29 99000217 +@0A2A 8C23C83A +@0A2B 8C400054 +@0A2C 1421C83A +@0A2D 24400115 +@0A2E 9009883A +@0A2F 1C000015 +@0A30 00036240 +@0A31 00800044 +@0A32 003FE706 +@0A33 000B883A +@0A34 9009883A +@0A35 00020A80 +@0A36 99000217 +@0A37 014003C4 +@0A38 1107C83A +@0A39 28FFDD0E +@0A3A 01400034 +@0A3B 295C9F04 +@0A3C 29400017 +@0A3D 18C00054 +@0A3E 20C00115 +@0A3F 00C00034 +@0A40 1145C83A +@0A41 18DCB604 +@0A42 18800015 +@0A43 003FD306 +@0A44 28004126 +@0A45 DEFFFD04 +@0A46 DC400115 +@0A47 DC000015 +@0A48 2023883A +@0A49 2821883A +@0A4A DFC00215 +@0A4B 00036000 +@0A4C 81FFFF17 +@0A4D 00BFFF84 +@0A4E 01000034 +@0A4F 81BFFE04 +@0A50 3884703A +@0A51 21171904 +@0A52 308B883A +@0A53 2A400117 +@0A54 22000217 +@0A55 00FFFF04 +@0A56 48C6703A +@0A57 2A005726 +@0A58 28C00115 +@0A59 39C0004C +@0A5A 3800091E +@0A5B 823FFE17 +@0A5C 22400204 +@0A5D 320DC83A +@0A5E 31C00217 +@0A5F 1205883A +@0A60 3A406526 +@0A61 32000317 +@0A62 3A000315 +@0A63 41C00215 +@0A64 28CF883A +@0A65 39C00117 +@0A66 39C0004C +@0A67 38003A26 +@0A68 10C00054 +@0A69 30C00115 +@0A6A 3087883A +@0A6B 18800015 +@0A6C 00C07FC4 +@0A6D 18801936 +@0A6E 1004D0FA +@0A6F 01C00044 +@0A70 21400117 +@0A71 10C00044 +@0A72 18C7883A +@0A73 1005D0BA +@0A74 18C7883A +@0A75 18C7883A +@0A76 1907883A +@0A77 3884983A +@0A78 19C00017 +@0A79 1A3FFE04 +@0A7A 1144B03A +@0A7B 32000315 +@0A7C 31C00215 +@0A7D 20800115 +@0A7E 19800015 +@0A7F 39800315 +@0A80 8809883A +@0A81 DFC00217 +@0A82 DC400117 +@0A83 DC000017 +@0A84 DEC00304 +@0A85 00036241 +@0A86 F800283A +@0A87 100AD27A +@0A88 00C00104 +@0A89 19404A36 +@0A8A 100AD1BA +@0A8B 28C00E44 +@0A8C 18C7883A +@0A8D 29400E04 +@0A8E 18C7883A +@0A8F 18C7883A +@0A90 1909883A +@0A91 20C00017 +@0A92 01C00034 +@0A93 213FFE04 +@0A94 39D71904 +@0A95 20C04426 +@0A96 01FFFF04 +@0A97 19400117 +@0A98 29CA703A +@0A99 1140022E +@0A9A 18C00217 +@0A9B 20FFFB1E +@0A9C 19000317 +@0A9D 31000315 +@0A9E 30C00215 +@0A9F 21800215 +@0AA0 19800315 +@0AA1 003FDE06 +@0AA2 29C00217 +@0AA3 10C5883A +@0AA4 00C00034 +@0AA5 18D71B04 +@0AA6 38C03B26 +@0AA7 2A000317 +@0AA8 11400054 +@0AA9 3087883A +@0AAA 3A000315 +@0AAB 41C00215 +@0AAC 31400115 +@0AAD 18800015 +@0AAE 003FBD06 +@0AAF 39C0004C +@0AB0 10C5883A +@0AB1 3800071E +@0AB2 81FFFE17 +@0AB3 31CDC83A +@0AB4 30C00317 +@0AB5 31400217 +@0AB6 11C5883A +@0AB7 28C00315 +@0AB8 19400215 +@0AB9 10C00054 +@0ABA 30C00115 +@0ABB 00C00034 +@0ABC 18DCA004 +@0ABD 18C00017 +@0ABE 21800215 +@0ABF 10FFC036 +@0AC0 00800034 +@0AC1 109CAD04 +@0AC2 11400017 +@0AC3 8809883A +@0AC4 00027EC0 +@0AC5 003FBA06 +@0AC6 28C9883A +@0AC7 21000117 +@0AC8 2100004C +@0AC9 2000391E +@0ACA 29C00217 +@0ACB 29000317 +@0ACC 1885883A +@0ACD 10C00054 +@0ACE 39000315 +@0ACF 21C00215 +@0AD0 30C00115 +@0AD1 308D883A +@0AD2 30800015 +@0AD3 003FAC06 +@0AD4 00C00504 +@0AD5 19401536 +@0AD6 28C01704 +@0AD7 18C7883A +@0AD8 294016C4 +@0AD9 003FB406 +@0ADA 280BD0BA +@0ADB 00C00044 +@0ADC 38800117 +@0ADD 194A983A +@0ADE 2007883A +@0ADF 2884B03A +@0AE0 38800115 +@0AE1 003FBB06 +@0AE2 21800515 +@0AE3 21800415 +@0AE4 10C00054 +@0AE5 31C00315 +@0AE6 31C00215 +@0AE7 30C00115 +@0AE8 308D883A +@0AE9 30800015 +@0AEA 003F9506 +@0AEB 00C01504 +@0AEC 19400536 +@0AED 100AD33A +@0AEE 28C01BC4 +@0AEF 18C7883A +@0AF0 29401B84 +@0AF1 003F9C06 +@0AF2 00C05504 @0AF3 19400536 -@0AF4 100AD33A -@0AF5 28C01BC4 +@0AF4 100AD3FA +@0AF5 28C01E04 @0AF6 18C7883A -@0AF7 29401B84 -@0AF8 003F9C06 -@0AF9 00C05504 +@0AF7 29401DC4 +@0AF8 003F9506 +@0AF9 00C15504 @0AFA 19400536 -@0AFB 100AD3FA -@0AFC 28C01E04 +@0AFB 100AD4BA +@0AFC 28C01F44 @0AFD 18C7883A -@0AFE 29401DC4 -@0AFF 003F9506 -@0B00 00C15504 -@0B01 19400536 -@0B02 100AD4BA -@0B03 28C01F44 -@0B04 18C7883A -@0B05 29401F04 -@0B06 003F8E06 -@0B07 00C03F84 -@0B08 01401F84 -@0B09 003F8B06 -@0B0A 10C00054 -@0B0B 30C00115 -@0B0C 308D883A -@0B0D 30800015 -@0B0E 003F7806 -@0B0F DEFFFD04 -@0B10 2805883A -@0B11 DC000015 -@0B12 04000034 -@0B13 DC400115 -@0B14 300B883A -@0B15 841CB504 -@0B16 2023883A -@0B17 380D883A -@0B18 1009883A -@0B19 DFC00215 -@0B1A 80000015 -@0B1B 00034C40 -@0B1C 00FFFFC4 -@0B1D 10C00526 -@0B1E DFC00217 -@0B1F DC400117 -@0B20 DC000017 -@0B21 DEC00304 -@0B22 F800283A -@0B23 80C00017 -@0B24 183FF926 -@0B25 88C00015 -@0B26 003FF706 -@0B27 2880030B -@0B28 10C0008C -@0B29 1800411E -@0B2A DEFFEC04 -@0B2B DC000F15 -@0B2C 2821883A -@0B2D 2940038F -@0B2E DC401015 -@0B2F DFC01315 -@0B30 DCC01215 -@0B31 DC801115 -@0B32 2023883A -@0B33 28001C16 -@0B34 D80D883A -@0B35 0002EB80 -@0B36 10001816 -@0B37 D8800117 -@0B38 00E00014 -@0B39 10BC000C -@0B3A 14C80020 -@0B3B 10C03726 -@0B3C 80C0030B -@0B3D 18C20014 -@0B3E 80C0030D -@0B3F 00C80004 -@0B40 10C0521E -@0B41 8140038F -@0B42 8809883A -@0B43 0002F140 -@0B44 10004C26 -@0B45 8080030B -@0B46 80C010C4 -@0B47 80C00015 -@0B48 10800054 -@0B49 8080030D -@0B4A 00800044 -@0B4B 80C00415 -@0B4C 80800515 -@0B4D 04810004 -@0B4E 00000706 -@0B4F 8080030B -@0B50 10C0200C -@0B51 18001F1E -@0B52 04810004 -@0B53 10820014 -@0B54 8080030D -@0B55 0027883A -@0B56 900B883A -@0B57 8809883A -@0B58 0000EA40 -@0B59 10002C26 -@0B5A 80C0030B -@0B5B 01000034 -@0B5C 21012B04 -@0B5D 89000F15 -@0B5E 18C02014 -@0B5F 80C0030D -@0B60 80800015 -@0B61 80800415 -@0B62 84800515 -@0B63 98001A1E -@0B64 DFC01317 -@0B65 DCC01217 -@0B66 DC801117 -@0B67 DC401017 -@0B68 DC000F17 -@0B69 DEC01404 -@0B6A F800283A -@0B6B 288010C4 -@0B6C 28800015 -@0B6D 28800415 -@0B6E 00800044 -@0B6F 28800515 -@0B70 F800283A -@0B71 04801004 -@0B72 003FE006 -@0B73 81000A17 -@0B74 00C00034 -@0B75 18C87C04 -@0B76 20FFC51E -@0B77 8080030B -@0B78 04810004 -@0B79 84801315 -@0B7A 1484B03A -@0B7B 8080030D -@0B7C 0027883A -@0B7D 003FD806 -@0B7E 8140038F -@0B7F 8809883A -@0B80 0002F140 -@0B81 103FE226 -@0B82 8080030B -@0B83 10800054 +@0AFE 29401F04 +@0AFF 003F8E06 +@0B00 00C03F84 +@0B01 01401F84 +@0B02 003F8B06 +@0B03 10C00054 +@0B04 30C00115 +@0B05 308D883A +@0B06 30800015 +@0B07 003F7806 +@0B08 DEFFFD04 +@0B09 2805883A +@0B0A DC000015 +@0B0B 04000034 +@0B0C DC400115 +@0B0D 300B883A +@0B0E 841CAE04 +@0B0F 2023883A +@0B10 380D883A +@0B11 1009883A +@0B12 DFC00215 +@0B13 80000015 +@0B14 00034A80 +@0B15 00FFFFC4 +@0B16 10C00526 +@0B17 DFC00217 +@0B18 DC400117 +@0B19 DC000017 +@0B1A DEC00304 +@0B1B F800283A +@0B1C 80C00017 +@0B1D 183FF926 +@0B1E 88C00015 +@0B1F 003FF706 +@0B20 2880030B +@0B21 10C0008C +@0B22 1800411E +@0B23 DEFFEC04 +@0B24 DC000F15 +@0B25 2821883A +@0B26 2940038F +@0B27 DC401015 +@0B28 DFC01315 +@0B29 DCC01215 +@0B2A DC801115 +@0B2B 2023883A +@0B2C 28001C16 +@0B2D D80D883A +@0B2E 0002E9C0 +@0B2F 10001816 +@0B30 D8800117 +@0B31 00E00014 +@0B32 10BC000C +@0B33 14C80020 +@0B34 10C03726 +@0B35 80C0030B +@0B36 18C20014 +@0B37 80C0030D +@0B38 00C80004 +@0B39 10C0521E +@0B3A 8140038F +@0B3B 8809883A +@0B3C 0002EF80 +@0B3D 10004C26 +@0B3E 8080030B +@0B3F 80C010C4 +@0B40 80C00015 +@0B41 10800054 +@0B42 8080030D +@0B43 00800044 +@0B44 80C00415 +@0B45 80800515 +@0B46 04810004 +@0B47 00000706 +@0B48 8080030B +@0B49 10C0200C +@0B4A 18001F1E +@0B4B 04810004 +@0B4C 10820014 +@0B4D 8080030D +@0B4E 0027883A +@0B4F 900B883A +@0B50 8809883A +@0B51 0000E880 +@0B52 10002C26 +@0B53 80C0030B +@0B54 01000034 +@0B55 21012404 +@0B56 89000F15 +@0B57 18C02014 +@0B58 80C0030D +@0B59 80800015 +@0B5A 80800415 +@0B5B 84800515 +@0B5C 98001A1E +@0B5D DFC01317 +@0B5E DCC01217 +@0B5F DC801117 +@0B60 DC401017 +@0B61 DC000F17 +@0B62 DEC01404 +@0B63 F800283A +@0B64 288010C4 +@0B65 28800015 +@0B66 28800415 +@0B67 00800044 +@0B68 28800515 +@0B69 F800283A +@0B6A 04801004 +@0B6B 003FE006 +@0B6C 81000A17 +@0B6D 00C00034 +@0B6E 18C87504 +@0B6F 20FFC51E +@0B70 8080030B +@0B71 04810004 +@0B72 84801315 +@0B73 1484B03A +@0B74 8080030D +@0B75 0027883A +@0B76 003FD806 +@0B77 8140038F +@0B78 8809883A +@0B79 0002EF80 +@0B7A 103FE226 +@0B7B 8080030B +@0B7C 10800054 +@0B7D 8080030D +@0B7E 003FDE06 +@0B7F 8080030B +@0B80 10C0800C +@0B81 183FDB1E +@0B82 10800094 +@0B83 80C010C4 @0B84 8080030D -@0B85 003FDE06 -@0B86 8080030B -@0B87 10C0800C -@0B88 183FDB1E -@0B89 10800094 -@0B8A 80C010C4 -@0B8B 8080030D -@0B8C 00800044 -@0B8D 80C00015 -@0B8E 80C00415 -@0B8F 80800515 -@0B90 003FD306 -@0B91 04810004 -@0B92 003FC306 -@0B93 0027883A -@0B94 04810004 -@0B95 003FC006 -@0B96 DEFFFD04 -@0B97 2805883A -@0B98 DC000015 -@0B99 04000034 -@0B9A DC400115 -@0B9B 300B883A -@0B9C 841CB504 -@0B9D 2023883A -@0B9E 380D883A -@0B9F 1009883A -@0BA0 DFC00215 -@0BA1 80000015 -@0BA2 00036A00 -@0BA3 00FFFFC4 -@0BA4 10C00526 -@0BA5 DFC00217 -@0BA6 DC400117 -@0BA7 DC000017 -@0BA8 DEC00304 -@0BA9 F800283A -@0BAA 80C00017 -@0BAB 183FF926 -@0BAC 88C00015 -@0BAD 003FF706 -@0BAE DEFFFD04 -@0BAF 2805883A -@0BB0 DC000015 -@0BB1 04000034 -@0BB2 DC400115 -@0BB3 841CB504 -@0BB4 2023883A -@0BB5 300B883A -@0BB6 1009883A -@0BB7 DFC00215 -@0BB8 80000015 -@0BB9 00032E80 -@0BBA 00FFFFC4 -@0BBB 10C00526 -@0BBC DFC00217 -@0BBD DC400117 -@0BBE DC000017 -@0BBF DEC00304 -@0BC0 F800283A -@0BC1 80C00017 -@0BC2 183FF926 -@0BC3 88C00015 -@0BC4 003FF706 -@0BC5 DEFFFD04 -@0BC6 DC000015 -@0BC7 04000034 -@0BC8 DC400115 -@0BC9 841CB504 -@0BCA 2023883A -@0BCB 2809883A -@0BCC DFC00215 -@0BCD 80000015 -@0BCE 00033DC0 -@0BCF 00FFFFC4 -@0BD0 10C00526 -@0BD1 DFC00217 -@0BD2 DC400117 -@0BD3 DC000017 -@0BD4 DEC00304 -@0BD5 F800283A -@0BD6 80C00017 -@0BD7 183FF926 -@0BD8 88C00015 -@0BD9 003FF706 -@0BDA 20001B16 -@0BDB 000F883A -@0BDC 28001616 -@0BDD 200D883A -@0BDE 29001A2E -@0BDF 00800804 -@0BE0 00C00044 -@0BE1 00000106 -@0BE2 10000D26 -@0BE3 294B883A -@0BE4 10BFFFC4 -@0BE5 18C7883A -@0BE6 293FFB36 -@0BE7 0005883A -@0BE8 18000726 -@0BE9 0005883A -@0BEA 31400236 -@0BEB 314DC83A -@0BEC 10C4B03A -@0BED 1806D07A -@0BEE 280AD07A -@0BEF 183FFA1E -@0BF0 38000126 -@0BF1 0085C83A -@0BF2 F800283A -@0BF3 014BC83A -@0BF4 39C0005C -@0BF5 003FE706 -@0BF6 0109C83A -@0BF7 01C00044 -@0BF8 003FE306 -@0BF9 00C00044 -@0BFA 003FEE06 -@0BFB 20001716 -@0BFC 000F883A -@0BFD 2005883A -@0BFE 28001216 -@0BFF 2900162E -@0C00 01800804 -@0C01 00C00044 -@0C02 00000106 -@0C03 30000A26 -@0C04 294B883A -@0C05 31BFFFC4 -@0C06 18C7883A -@0C07 293FFB36 -@0C08 18000526 -@0C09 1806D07A -@0C0A 11400136 -@0C0B 1145C83A -@0C0C 280AD07A -@0C0D 183FFB1E -@0C0E 38000126 -@0C0F 0085C83A -@0C10 F800283A -@0C11 014BC83A -@0C12 003FEC06 -@0C13 0109C83A -@0C14 01C00044 -@0C15 003FE706 -@0C16 00C00044 -@0C17 003FF106 -@0C18 200D883A -@0C19 2900152E -@0C1A 28001416 -@0C1B 00800804 -@0C1C 00C00044 -@0C1D 00000206 -@0C1E 10000E26 -@0C1F 28000516 -@0C20 294B883A -@0C21 10BFFFC4 -@0C22 18C7883A -@0C23 293FFA36 -@0C24 18000826 -@0C25 0005883A -@0C26 31400236 -@0C27 314DC83A -@0C28 10C4B03A -@0C29 1806D07A -@0C2A 280AD07A -@0C2B 183FFA1E -@0C2C F800283A -@0C2D 0005883A -@0C2E F800283A -@0C2F 00C00044 -@0C30 003FF406 -@0C31 2005883A -@0C32 2900122E -@0C33 28001116 -@0C34 01800804 -@0C35 00C00044 -@0C36 00000206 -@0C37 30000C26 -@0C38 28000516 -@0C39 294B883A -@0C3A 31BFFFC4 -@0C3B 18C7883A -@0C3C 293FFA36 -@0C3D 18000626 -@0C3E 1806D07A -@0C3F 11400136 -@0C40 1145C83A -@0C41 280AD07A -@0C42 183FFB1E -@0C43 F800283A -@0C44 F800283A -@0C45 00C00044 -@0C46 003FF706 -@0C47 0005883A -@0C48 20000726 -@0C49 20C0004C -@0C4A 2008D07A -@0C4B 18000126 -@0C4C 1145883A -@0C4D 294B883A -@0C4E 203FFA1E -@0C4F F800283A -@0C50 F800283A -@0C51 DEFFFE04 -@0C52 DFC00115 -@0C53 DF000015 -@0C54 D839883A -@0C55 D0A00917 -@0C56 10000326 -@0C57 D0A00917 -@0C58 103EE83A -@0C59 00000106 -@0C5A D0A01104 -@0C5B E037883A -@0C5C DFC00117 -@0C5D DF000017 -@0C5E DEC00204 -@0C5F F800283A -@0C60 DEFFFB04 -@0C61 DFC00415 -@0C62 DF000315 -@0C63 DF000304 -@0C64 E13FFF15 -@0C65 E0BFFF17 -@0C66 10000816 -@0C67 01400304 -@0C68 E13FFF17 -@0C69 000311C0 -@0C6A 1007883A -@0C6B 00800034 -@0C6C 10982C04 -@0C6D 1885883A -@0C6E 00000106 -@0C6F 0005883A -@0C70 E0BFFD15 -@0C71 E0BFFD17 -@0C72 10001926 -@0C73 E0BFFD17 -@0C74 10800017 -@0C75 10800417 -@0C76 10000626 -@0C77 E0BFFD17 -@0C78 10800017 -@0C79 10800417 -@0C7A E13FFD17 -@0C7B 103EE83A -@0C7C 00000106 -@0C7D 0005883A -@0C7E E0BFFE15 -@0C7F E13FFF17 -@0C80 00037A40 -@0C81 E0BFFE17 -@0C82 1000070E -@0C83 00031440 -@0C84 1007883A -@0C85 E0BFFE17 -@0C86 0085C83A -@0C87 18800015 -@0C88 00BFFFC4 -@0C89 00000706 -@0C8A 0005883A -@0C8B 00000506 -@0C8C 00031440 -@0C8D 1007883A -@0C8E 00801444 -@0C8F 18800015 -@0C90 00BFFFC4 -@0C91 E037883A -@0C92 DFC00117 -@0C93 DF000017 -@0C94 DEC00204 -@0C95 F800283A -@0C96 DEFFFD04 -@0C97 DF000215 -@0C98 DF000204 -@0C99 E13FFE15 -@0C9A E17FFF15 -@0C9B 0001883A -@0C9C E037883A -@0C9D DF000017 -@0C9E DEC00104 -@0C9F F800283A -@0CA0 DEFFFC04 -@0CA1 DF000315 -@0CA2 DF000304 -@0CA3 E13FFD15 -@0CA4 E17FFE15 -@0CA5 E1BFFF15 -@0CA6 E0BFFF17 -@0CA7 E037883A -@0CA8 DF000017 -@0CA9 DEC00104 -@0CAA F800283A -@0CAB DEFFFE04 -@0CAC DFC00115 -@0CAD DF000015 -@0CAE D839883A -@0CAF D0A00917 -@0CB0 10000326 -@0CB1 D0A00917 -@0CB2 103EE83A -@0CB3 00000106 -@0CB4 D0A01104 -@0CB5 E037883A -@0CB6 DFC00117 -@0CB7 DF000017 -@0CB8 DEC00204 -@0CB9 F800283A -@0CBA DEFFFB04 -@0CBB DFC00415 -@0CBC DF000315 -@0CBD DF000304 -@0CBE E13FFE15 -@0CBF E17FFF15 -@0CC0 E0BFFE17 -@0CC1 10000816 -@0CC2 01400304 -@0CC3 E13FFE17 -@0CC4 000311C0 -@0CC5 1007883A -@0CC6 00800034 -@0CC7 10982C04 -@0CC8 1885883A -@0CC9 00000106 -@0CCA 0005883A -@0CCB E0BFFD15 -@0CCC E0BFFD17 -@0CCD 10001026 -@0CCE E0BFFD17 -@0CCF 10800017 -@0CD0 10800817 -@0CD1 10000726 -@0CD2 E0BFFD17 -@0CD3 10800017 -@0CD4 10800817 -@0CD5 E17FFF17 -@0CD6 E13FFD17 -@0CD7 103EE83A -@0CD8 00000A06 -@0CD9 E0BFFF17 -@0CDA 00C80004 -@0CDB 10C00115 -@0CDC 0005883A -@0CDD 00000506 -@0CDE 00032AC0 -@0CDF 1007883A -@0CE0 00801444 -@0CE1 18800015 -@0CE2 00BFFFC4 -@0CE3 E037883A -@0CE4 DFC00117 -@0CE5 DF000017 -@0CE6 DEC00204 -@0CE7 F800283A -@0CE8 DEFFFE04 -@0CE9 DFC00115 -@0CEA DF000015 -@0CEB D839883A -@0CEC D0A00917 -@0CED 10000326 -@0CEE D0A00917 -@0CEF 103EE83A -@0CF0 00000106 -@0CF1 D0A01104 -@0CF2 E037883A -@0CF3 DFC00117 -@0CF4 DF000017 -@0CF5 DEC00204 -@0CF6 F800283A -@0CF7 DEFFED04 -@0CF8 DFC01215 -@0CF9 DF001115 -@0CFA DF001104 -@0CFB E13FFF15 -@0CFC E0BFFF17 -@0CFD 10000816 -@0CFE 01400304 -@0CFF E13FFF17 -@0D00 000311C0 -@0D01 1007883A -@0D02 00800034 -@0D03 10982C04 -@0D04 1885883A -@0D05 00000106 -@0D06 0005883A -@0D07 E0BFEF15 -@0D08 E0BFEF17 -@0D09 10000E26 -@0D0A E0BFEF17 -@0D0B 10800017 -@0D0C 10800817 -@0D0D 1000021E -@0D0E 00800044 -@0D0F 00000D06 -@0D10 E0BFF004 -@0D11 100B883A -@0D12 E13FFF17 -@0D13 00032E80 -@0D14 E0BFF117 -@0D15 10880020 -@0D16 10803FCC -@0D17 00000506 -@0D18 00033A00 -@0D19 1007883A -@0D1A 00801444 -@0D1B 18800015 -@0D1C 0005883A -@0D1D E037883A -@0D1E DFC00117 -@0D1F DF000017 -@0D20 DEC00204 -@0D21 F800283A -@0D22 DEFFFE04 -@0D23 DFC00115 -@0D24 DF000015 -@0D25 D839883A -@0D26 D0A00917 -@0D27 10000326 -@0D28 D0A00917 -@0D29 103EE83A -@0D2A 00000106 -@0D2B D0A01104 -@0D2C E037883A -@0D2D DFC00117 -@0D2E DF000017 -@0D2F DEC00204 -@0D30 F800283A -@0D31 DEFFF904 -@0D32 DFC00615 -@0D33 DF000515 -@0D34 DF000504 -@0D35 E13FFD15 -@0D36 E17FFE15 -@0D37 E1BFFF15 -@0D38 E03FFB15 -@0D39 E0BFFD17 -@0D3A 10000816 -@0D3B 01400304 -@0D3C E13FFD17 -@0D3D 000311C0 -@0D3E 1007883A -@0D3F 00800034 -@0D40 10982C04 -@0D41 1885883A -@0D42 00000106 -@0D43 0005883A -@0D44 E0BFFC15 -@0D45 E0BFFC17 -@0D46 10001026 -@0D47 E0BFFC17 -@0D48 10800017 -@0D49 10800717 -@0D4A 10000926 -@0D4B E0BFFC17 -@0D4C 10800017 -@0D4D 10800717 -@0D4E E1BFFF17 -@0D4F E17FFE17 -@0D50 E13FFC17 -@0D51 103EE83A -@0D52 E0BFFB15 -@0D53 00000506 -@0D54 00BFDE84 -@0D55 E0BFFB15 -@0D56 00000206 -@0D57 00BFEBC4 -@0D58 E0BFFB15 -@0D59 E0BFFB17 -@0D5A 1000070E -@0D5B 00034880 -@0D5C 1007883A -@0D5D E0BFFB17 -@0D5E 0085C83A -@0D5F 18800015 -@0D60 00BFFFC4 -@0D61 E0BFFB15 -@0D62 E0BFFB17 -@0D63 E037883A -@0D64 DFC00117 -@0D65 DF000017 -@0D66 DEC00204 -@0D67 F800283A -@0D68 DEFFFD04 -@0D69 DFC00215 -@0D6A DF000115 -@0D6B DF000104 -@0D6C 0009883A -@0D6D 0003A480 -@0D6E 0001883A -@0D6F 0003A800 -@0D70 01800034 -@0D71 31960F04 -@0D72 01400034 -@0D73 29560F04 -@0D74 01000034 -@0D75 21160F04 -@0D76 0004C8C0 -@0D77 00048480 -@0D78 01000034 -@0D79 21122A04 -@0D7A 000544C0 -@0D7B D0A01217 -@0D7C D0E01317 -@0D7D D1201417 -@0D7E 200D883A -@0D7F 180B883A -@0D80 1009883A -@0D81 00002480 -@0D82 E0BFFF15 -@0D83 01000044 -@0D84 00031800 -@0D85 E13FFF17 -@0D86 00054600 -@0D87 DEFFFE04 -@0D88 DF000115 -@0D89 DF000104 -@0D8A E13FFF15 -@0D8B 0001883A -@0D8C E037883A -@0D8D DF000017 -@0D8E DEC00104 -@0D8F F800283A -@0D90 DEFFFE04 -@0D91 DF000115 -@0D92 DF000104 -@0D93 E13FFF15 -@0D94 0001883A -@0D95 E037883A -@0D96 DF000017 -@0D97 DEC00104 -@0D98 F800283A -@0D99 DEFFFE04 -@0D9A DFC00115 -@0D9B DF000015 -@0D9C D839883A -@0D9D D0A00917 -@0D9E 10000326 -@0D9F D0A00917 -@0DA0 103EE83A -@0DA1 00000106 -@0DA2 D0A01104 -@0DA3 E037883A -@0DA4 DFC00117 -@0DA5 DF000017 -@0DA6 DEC00204 -@0DA7 F800283A -@0DA8 DEFFF904 -@0DA9 DFC00615 -@0DAA DF000515 -@0DAB DF000504 -@0DAC E13FFD15 -@0DAD E17FFE15 -@0DAE E1BFFF15 -@0DAF E0BFFD17 -@0DB0 10000816 -@0DB1 01400304 -@0DB2 E13FFD17 -@0DB3 000311C0 -@0DB4 1007883A -@0DB5 00800034 -@0DB6 10982C04 -@0DB7 1885883A -@0DB8 00000106 -@0DB9 0005883A -@0DBA E0BFFB15 +@0B85 00800044 +@0B86 80C00015 +@0B87 80C00415 +@0B88 80800515 +@0B89 003FD306 +@0B8A 04810004 +@0B8B 003FC306 +@0B8C 0027883A +@0B8D 04810004 +@0B8E 003FC006 +@0B8F DEFFFD04 +@0B90 2805883A +@0B91 DC000015 +@0B92 04000034 +@0B93 DC400115 +@0B94 300B883A +@0B95 841CAE04 +@0B96 2023883A +@0B97 380D883A +@0B98 1009883A +@0B99 DFC00215 +@0B9A 80000015 +@0B9B 00036840 +@0B9C 00FFFFC4 +@0B9D 10C00526 +@0B9E DFC00217 +@0B9F DC400117 +@0BA0 DC000017 +@0BA1 DEC00304 +@0BA2 F800283A +@0BA3 80C00017 +@0BA4 183FF926 +@0BA5 88C00015 +@0BA6 003FF706 +@0BA7 DEFFFD04 +@0BA8 2805883A +@0BA9 DC000015 +@0BAA 04000034 +@0BAB DC400115 +@0BAC 841CAE04 +@0BAD 2023883A +@0BAE 300B883A +@0BAF 1009883A +@0BB0 DFC00215 +@0BB1 80000015 +@0BB2 00032CC0 +@0BB3 00FFFFC4 +@0BB4 10C00526 +@0BB5 DFC00217 +@0BB6 DC400117 +@0BB7 DC000017 +@0BB8 DEC00304 +@0BB9 F800283A +@0BBA 80C00017 +@0BBB 183FF926 +@0BBC 88C00015 +@0BBD 003FF706 +@0BBE DEFFFD04 +@0BBF DC000015 +@0BC0 04000034 +@0BC1 DC400115 +@0BC2 841CAE04 +@0BC3 2023883A +@0BC4 2809883A +@0BC5 DFC00215 +@0BC6 80000015 +@0BC7 00033C00 +@0BC8 00FFFFC4 +@0BC9 10C00526 +@0BCA DFC00217 +@0BCB DC400117 +@0BCC DC000017 +@0BCD DEC00304 +@0BCE F800283A +@0BCF 80C00017 +@0BD0 183FF926 +@0BD1 88C00015 +@0BD2 003FF706 +@0BD3 20001B16 +@0BD4 000F883A +@0BD5 28001616 +@0BD6 200D883A +@0BD7 29001A2E +@0BD8 00800804 +@0BD9 00C00044 +@0BDA 00000106 +@0BDB 10000D26 +@0BDC 294B883A +@0BDD 10BFFFC4 +@0BDE 18C7883A +@0BDF 293FFB36 +@0BE0 0005883A +@0BE1 18000726 +@0BE2 0005883A +@0BE3 31400236 +@0BE4 314DC83A +@0BE5 10C4B03A +@0BE6 1806D07A +@0BE7 280AD07A +@0BE8 183FFA1E +@0BE9 38000126 +@0BEA 0085C83A +@0BEB F800283A +@0BEC 014BC83A +@0BED 39C0005C +@0BEE 003FE706 +@0BEF 0109C83A +@0BF0 01C00044 +@0BF1 003FE306 +@0BF2 00C00044 +@0BF3 003FEE06 +@0BF4 20001716 +@0BF5 000F883A +@0BF6 2005883A +@0BF7 28001216 +@0BF8 2900162E +@0BF9 01800804 +@0BFA 00C00044 +@0BFB 00000106 +@0BFC 30000A26 +@0BFD 294B883A +@0BFE 31BFFFC4 +@0BFF 18C7883A +@0C00 293FFB36 +@0C01 18000526 +@0C02 1806D07A +@0C03 11400136 +@0C04 1145C83A +@0C05 280AD07A +@0C06 183FFB1E +@0C07 38000126 +@0C08 0085C83A +@0C09 F800283A +@0C0A 014BC83A +@0C0B 003FEC06 +@0C0C 0109C83A +@0C0D 01C00044 +@0C0E 003FE706 +@0C0F 00C00044 +@0C10 003FF106 +@0C11 200D883A +@0C12 2900152E +@0C13 28001416 +@0C14 00800804 +@0C15 00C00044 +@0C16 00000206 +@0C17 10000E26 +@0C18 28000516 +@0C19 294B883A +@0C1A 10BFFFC4 +@0C1B 18C7883A +@0C1C 293FFA36 +@0C1D 18000826 +@0C1E 0005883A +@0C1F 31400236 +@0C20 314DC83A +@0C21 10C4B03A +@0C22 1806D07A +@0C23 280AD07A +@0C24 183FFA1E +@0C25 F800283A +@0C26 0005883A +@0C27 F800283A +@0C28 00C00044 +@0C29 003FF406 +@0C2A 2005883A +@0C2B 2900122E +@0C2C 28001116 +@0C2D 01800804 +@0C2E 00C00044 +@0C2F 00000206 +@0C30 30000C26 +@0C31 28000516 +@0C32 294B883A +@0C33 31BFFFC4 +@0C34 18C7883A +@0C35 293FFA36 +@0C36 18000626 +@0C37 1806D07A +@0C38 11400136 +@0C39 1145C83A +@0C3A 280AD07A +@0C3B 183FFB1E +@0C3C F800283A +@0C3D F800283A +@0C3E 00C00044 +@0C3F 003FF706 +@0C40 0005883A +@0C41 20000726 +@0C42 20C0004C +@0C43 2008D07A +@0C44 18000126 +@0C45 1145883A +@0C46 294B883A +@0C47 203FFA1E +@0C48 F800283A +@0C49 F800283A +@0C4A DEFFFE04 +@0C4B DFC00115 +@0C4C DF000015 +@0C4D D839883A +@0C4E D0A00917 +@0C4F 10000326 +@0C50 D0A00917 +@0C51 103EE83A +@0C52 00000106 +@0C53 D0A01104 +@0C54 E037883A +@0C55 DFC00117 +@0C56 DF000017 +@0C57 DEC00204 +@0C58 F800283A +@0C59 DEFFFB04 +@0C5A DFC00415 +@0C5B DF000315 +@0C5C DF000304 +@0C5D E13FFF15 +@0C5E E0BFFF17 +@0C5F 10000816 +@0C60 01400304 +@0C61 E13FFF17 +@0C62 00031000 +@0C63 1007883A +@0C64 00800034 +@0C65 10982504 +@0C66 1885883A +@0C67 00000106 +@0C68 0005883A +@0C69 E0BFFD15 +@0C6A E0BFFD17 +@0C6B 10001926 +@0C6C E0BFFD17 +@0C6D 10800017 +@0C6E 10800417 +@0C6F 10000626 +@0C70 E0BFFD17 +@0C71 10800017 +@0C72 10800417 +@0C73 E13FFD17 +@0C74 103EE83A +@0C75 00000106 +@0C76 0005883A +@0C77 E0BFFE15 +@0C78 E13FFF17 +@0C79 00037880 +@0C7A E0BFFE17 +@0C7B 1000070E +@0C7C 00031280 +@0C7D 1007883A +@0C7E E0BFFE17 +@0C7F 0085C83A +@0C80 18800015 +@0C81 00BFFFC4 +@0C82 00000706 +@0C83 0005883A +@0C84 00000506 +@0C85 00031280 +@0C86 1007883A +@0C87 00801444 +@0C88 18800015 +@0C89 00BFFFC4 +@0C8A E037883A +@0C8B DFC00117 +@0C8C DF000017 +@0C8D DEC00204 +@0C8E F800283A +@0C8F DEFFFD04 +@0C90 DF000215 +@0C91 DF000204 +@0C92 E13FFE15 +@0C93 E17FFF15 +@0C94 0001883A +@0C95 E037883A +@0C96 DF000017 +@0C97 DEC00104 +@0C98 F800283A +@0C99 DEFFFC04 +@0C9A DF000315 +@0C9B DF000304 +@0C9C E13FFD15 +@0C9D E17FFE15 +@0C9E E1BFFF15 +@0C9F E0BFFF17 +@0CA0 E037883A +@0CA1 DF000017 +@0CA2 DEC00104 +@0CA3 F800283A +@0CA4 DEFFFE04 +@0CA5 DFC00115 +@0CA6 DF000015 +@0CA7 D839883A +@0CA8 D0A00917 +@0CA9 10000326 +@0CAA D0A00917 +@0CAB 103EE83A +@0CAC 00000106 +@0CAD D0A01104 +@0CAE E037883A +@0CAF DFC00117 +@0CB0 DF000017 +@0CB1 DEC00204 +@0CB2 F800283A +@0CB3 DEFFFB04 +@0CB4 DFC00415 +@0CB5 DF000315 +@0CB6 DF000304 +@0CB7 E13FFE15 +@0CB8 E17FFF15 +@0CB9 E0BFFE17 +@0CBA 10000816 +@0CBB 01400304 +@0CBC E13FFE17 +@0CBD 00031000 +@0CBE 1007883A +@0CBF 00800034 +@0CC0 10982504 +@0CC1 1885883A +@0CC2 00000106 +@0CC3 0005883A +@0CC4 E0BFFD15 +@0CC5 E0BFFD17 +@0CC6 10001026 +@0CC7 E0BFFD17 +@0CC8 10800017 +@0CC9 10800817 +@0CCA 10000726 +@0CCB E0BFFD17 +@0CCC 10800017 +@0CCD 10800817 +@0CCE E17FFF17 +@0CCF E13FFD17 +@0CD0 103EE83A +@0CD1 00000A06 +@0CD2 E0BFFF17 +@0CD3 00C80004 +@0CD4 10C00115 +@0CD5 0005883A +@0CD6 00000506 +@0CD7 00032900 +@0CD8 1007883A +@0CD9 00801444 +@0CDA 18800015 +@0CDB 00BFFFC4 +@0CDC E037883A +@0CDD DFC00117 +@0CDE DF000017 +@0CDF DEC00204 +@0CE0 F800283A +@0CE1 DEFFFE04 +@0CE2 DFC00115 +@0CE3 DF000015 +@0CE4 D839883A +@0CE5 D0A00917 +@0CE6 10000326 +@0CE7 D0A00917 +@0CE8 103EE83A +@0CE9 00000106 +@0CEA D0A01104 +@0CEB E037883A +@0CEC DFC00117 +@0CED DF000017 +@0CEE DEC00204 +@0CEF F800283A +@0CF0 DEFFED04 +@0CF1 DFC01215 +@0CF2 DF001115 +@0CF3 DF001104 +@0CF4 E13FFF15 +@0CF5 E0BFFF17 +@0CF6 10000816 +@0CF7 01400304 +@0CF8 E13FFF17 +@0CF9 00031000 +@0CFA 1007883A +@0CFB 00800034 +@0CFC 10982504 +@0CFD 1885883A +@0CFE 00000106 +@0CFF 0005883A +@0D00 E0BFEF15 +@0D01 E0BFEF17 +@0D02 10000E26 +@0D03 E0BFEF17 +@0D04 10800017 +@0D05 10800817 +@0D06 1000021E +@0D07 00800044 +@0D08 00000D06 +@0D09 E0BFF004 +@0D0A 100B883A +@0D0B E13FFF17 +@0D0C 00032CC0 +@0D0D E0BFF117 +@0D0E 10880020 +@0D0F 10803FCC +@0D10 00000506 +@0D11 00033840 +@0D12 1007883A +@0D13 00801444 +@0D14 18800015 +@0D15 0005883A +@0D16 E037883A +@0D17 DFC00117 +@0D18 DF000017 +@0D19 DEC00204 +@0D1A F800283A +@0D1B DEFFFE04 +@0D1C DFC00115 +@0D1D DF000015 +@0D1E D839883A +@0D1F D0A00917 +@0D20 10000326 +@0D21 D0A00917 +@0D22 103EE83A +@0D23 00000106 +@0D24 D0A01104 +@0D25 E037883A +@0D26 DFC00117 +@0D27 DF000017 +@0D28 DEC00204 +@0D29 F800283A +@0D2A DEFFF904 +@0D2B DFC00615 +@0D2C DF000515 +@0D2D DF000504 +@0D2E E13FFD15 +@0D2F E17FFE15 +@0D30 E1BFFF15 +@0D31 E03FFB15 +@0D32 E0BFFD17 +@0D33 10000816 +@0D34 01400304 +@0D35 E13FFD17 +@0D36 00031000 +@0D37 1007883A +@0D38 00800034 +@0D39 10982504 +@0D3A 1885883A +@0D3B 00000106 +@0D3C 0005883A +@0D3D E0BFFC15 +@0D3E E0BFFC17 +@0D3F 10001026 +@0D40 E0BFFC17 +@0D41 10800017 +@0D42 10800717 +@0D43 10000926 +@0D44 E0BFFC17 +@0D45 10800017 +@0D46 10800717 +@0D47 E1BFFF17 +@0D48 E17FFE17 +@0D49 E13FFC17 +@0D4A 103EE83A +@0D4B E0BFFB15 +@0D4C 00000506 +@0D4D 00BFDE84 +@0D4E E0BFFB15 +@0D4F 00000206 +@0D50 00BFEBC4 +@0D51 E0BFFB15 +@0D52 E0BFFB17 +@0D53 1000070E +@0D54 000346C0 +@0D55 1007883A +@0D56 E0BFFB17 +@0D57 0085C83A +@0D58 18800015 +@0D59 00BFFFC4 +@0D5A E0BFFB15 +@0D5B E0BFFB17 +@0D5C E037883A +@0D5D DFC00117 +@0D5E DF000017 +@0D5F DEC00204 +@0D60 F800283A +@0D61 DEFFFD04 +@0D62 DFC00215 +@0D63 DF000115 +@0D64 DF000104 +@0D65 0009883A +@0D66 0003A2C0 +@0D67 0001883A +@0D68 0003A640 +@0D69 01800034 +@0D6A 31960804 +@0D6B 01400034 +@0D6C 29560804 +@0D6D 01000034 +@0D6E 21160804 +@0D6F 0004C700 +@0D70 000482C0 +@0D71 01000034 +@0D72 21122304 +@0D73 00054300 +@0D74 D0A01217 +@0D75 D0E01317 +@0D76 D1201417 +@0D77 200D883A +@0D78 180B883A +@0D79 1009883A +@0D7A 00002480 +@0D7B E0BFFF15 +@0D7C 01000044 +@0D7D 00031640 +@0D7E E13FFF17 +@0D7F 00054440 +@0D80 DEFFFE04 +@0D81 DF000115 +@0D82 DF000104 +@0D83 E13FFF15 +@0D84 0001883A +@0D85 E037883A +@0D86 DF000017 +@0D87 DEC00104 +@0D88 F800283A +@0D89 DEFFFE04 +@0D8A DF000115 +@0D8B DF000104 +@0D8C E13FFF15 +@0D8D 0001883A +@0D8E E037883A +@0D8F DF000017 +@0D90 DEC00104 +@0D91 F800283A +@0D92 DEFFFE04 +@0D93 DFC00115 +@0D94 DF000015 +@0D95 D839883A +@0D96 D0A00917 +@0D97 10000326 +@0D98 D0A00917 +@0D99 103EE83A +@0D9A 00000106 +@0D9B D0A01104 +@0D9C E037883A +@0D9D DFC00117 +@0D9E DF000017 +@0D9F DEC00204 +@0DA0 F800283A +@0DA1 DEFFF904 +@0DA2 DFC00615 +@0DA3 DF000515 +@0DA4 DF000504 +@0DA5 E13FFD15 +@0DA6 E17FFE15 +@0DA7 E1BFFF15 +@0DA8 E0BFFD17 +@0DA9 10000816 +@0DAA 01400304 +@0DAB E13FFD17 +@0DAC 00031000 +@0DAD 1007883A +@0DAE 00800034 +@0DAF 10982504 +@0DB0 1885883A +@0DB1 00000106 +@0DB2 0005883A +@0DB3 E0BFFB15 +@0DB4 E0BFFB17 +@0DB5 10002226 +@0DB6 E0BFFB17 +@0DB7 10800217 +@0DB8 108000CC +@0DB9 10800060 +@0DBA 1000181E @0DBB E0BFFB17 -@0DBC 10002226 -@0DBD E0BFFB17 -@0DBE 10800217 -@0DBF 108000CC -@0DC0 10800060 -@0DC1 1000181E -@0DC2 E0BFFB17 -@0DC3 10800017 -@0DC4 10800517 -@0DC5 10001426 -@0DC6 E0BFFB17 -@0DC7 10800017 -@0DC8 10800517 -@0DC9 E0FFFF17 -@0DCA 180D883A -@0DCB E17FFE17 -@0DCC E13FFB17 -@0DCD 103EE83A -@0DCE E0BFFC15 -@0DCF E0BFFC17 -@0DD0 1000070E -@0DD1 00036640 -@0DD2 1007883A -@0DD3 E0BFFC17 -@0DD4 0085C83A -@0DD5 18800015 -@0DD6 00BFFFC4 -@0DD7 00000C06 -@0DD8 E0BFFC17 -@0DD9 00000A06 -@0DDA 00036640 -@0DDB 1007883A -@0DDC 00800344 -@0DDD 18800015 -@0DDE 00000406 -@0DDF 00036640 -@0DE0 1007883A -@0DE1 00801444 -@0DE2 18800015 -@0DE3 00BFFFC4 -@0DE4 E037883A -@0DE5 DFC00117 -@0DE6 DF000017 -@0DE7 DEC00204 -@0DE8 F800283A -@0DE9 DEFFFC04 -@0DEA DFC00315 -@0DEB DF000215 -@0DEC DC000115 -@0DED DF000204 -@0DEE E13FFE15 -@0DEF E0BFFE17 -@0DF0 108000D0 -@0DF1 1000111E -@0DF2 04000034 -@0DF3 84182C04 -@0DF4 E0BFFE17 -@0DF5 01400304 -@0DF6 1009883A -@0DF7 000311C0 -@0DF8 8085883A -@0DF9 10800204 -@0DFA 10000015 -@0DFB 04000034 -@0DFC 84182C04 -@0DFD E0BFFE17 -@0DFE 01400304 -@0DFF 1009883A -@0E00 000311C0 -@0E01 8085883A -@0E02 10000015 -@0E03 0001883A -@0E04 E6FFFF04 -@0E05 DFC00217 -@0E06 DF000117 -@0E07 DC000017 -@0E08 DEC00304 -@0E09 F800283A -@0E0A DEFFF904 -@0E0B DF000615 -@0E0C DF000604 -@0E0D E13FFF15 -@0E0E 0005303A -@0E0F E0BFFE15 -@0E10 E0FFFE17 -@0E11 00BFFF84 +@0DBC 10800017 +@0DBD 10800517 +@0DBE 10001426 +@0DBF E0BFFB17 +@0DC0 10800017 +@0DC1 10800517 +@0DC2 E0FFFF17 +@0DC3 180D883A +@0DC4 E17FFE17 +@0DC5 E13FFB17 +@0DC6 103EE83A +@0DC7 E0BFFC15 +@0DC8 E0BFFC17 +@0DC9 1000070E +@0DCA 00036480 +@0DCB 1007883A +@0DCC E0BFFC17 +@0DCD 0085C83A +@0DCE 18800015 +@0DCF 00BFFFC4 +@0DD0 00000C06 +@0DD1 E0BFFC17 +@0DD2 00000A06 +@0DD3 00036480 +@0DD4 1007883A +@0DD5 00800344 +@0DD6 18800015 +@0DD7 00000406 +@0DD8 00036480 +@0DD9 1007883A +@0DDA 00801444 +@0DDB 18800015 +@0DDC 00BFFFC4 +@0DDD E037883A +@0DDE DFC00117 +@0DDF DF000017 +@0DE0 DEC00204 +@0DE1 F800283A +@0DE2 DEFFFC04 +@0DE3 DFC00315 +@0DE4 DF000215 +@0DE5 DC000115 +@0DE6 DF000204 +@0DE7 E13FFE15 +@0DE8 E0BFFE17 +@0DE9 108000D0 +@0DEA 1000111E +@0DEB 04000034 +@0DEC 84182504 +@0DED E0BFFE17 +@0DEE 01400304 +@0DEF 1009883A +@0DF0 00031000 +@0DF1 8085883A +@0DF2 10800204 +@0DF3 10000015 +@0DF4 04000034 +@0DF5 84182504 +@0DF6 E0BFFE17 +@0DF7 01400304 +@0DF8 1009883A +@0DF9 00031000 +@0DFA 8085883A +@0DFB 10000015 +@0DFC 0001883A +@0DFD E6FFFF04 +@0DFE DFC00217 +@0DFF DF000117 +@0E00 DC000017 +@0E01 DEC00304 +@0E02 F800283A +@0E03 DEFFF904 +@0E04 DF000615 +@0E05 DF000604 +@0E06 E13FFF15 +@0E07 0005303A +@0E08 E0BFFE15 +@0E09 E0FFFE17 +@0E0A 00BFFF84 +@0E0B 1884703A +@0E0C 1001703A +@0E0D E0BFFE17 +@0E0E E0BFFB15 +@0E0F D0A00A17 +@0E10 10C000C4 +@0E11 00BFFF04 @0E12 1884703A -@0E13 1001703A -@0E14 E0BFFE17 -@0E15 E0BFFB15 -@0E16 D0A00A17 -@0E17 10C000C4 -@0E18 00BFFF04 -@0E19 1884703A -@0E1A D0A00A15 -@0E1B D0E00A17 -@0E1C E0BFFF17 -@0E1D 1887883A -@0E1E 008000B4 -@0E1F 10800004 -@0E20 10C0062E -@0E21 E0BFFB17 -@0E22 E0BFFA15 -@0E23 E0BFFA17 -@0E24 1001703A -@0E25 00BFFFC4 -@0E26 00000B06 -@0E27 D0A00A17 -@0E28 E0BFFD15 -@0E29 D0E00A17 -@0E2A E0BFFF17 -@0E2B 1885883A -@0E2C D0A00A15 -@0E2D E0BFFB17 -@0E2E E0BFFC15 -@0E2F E0BFFC17 -@0E30 1001703A -@0E31 E0BFFD17 -@0E32 E037883A -@0E33 DF000017 -@0E34 DEC00104 -@0E35 F800283A -@0E36 DEFFFE04 -@0E37 DFC00115 -@0E38 DF000015 -@0E39 D839883A -@0E3A D0A00917 -@0E3B 10000326 -@0E3C D0A00917 -@0E3D 103EE83A -@0E3E 00000106 -@0E3F D0A01104 -@0E40 E037883A -@0E41 DFC00117 -@0E42 DF000017 -@0E43 DEC00204 -@0E44 F800283A -@0E45 DEFFF904 -@0E46 DFC00615 -@0E47 DF000515 -@0E48 DF000504 -@0E49 E13FFD15 -@0E4A E17FFE15 -@0E4B E1BFFF15 -@0E4C E0BFFD17 -@0E4D 10000816 -@0E4E 01400304 -@0E4F E13FFD17 -@0E50 000311C0 -@0E51 1007883A -@0E52 00800034 -@0E53 10982C04 -@0E54 1885883A -@0E55 00000106 -@0E56 0005883A -@0E57 E0BFFB15 -@0E58 E0BFFB17 -@0E59 10002126 -@0E5A E0BFFB17 -@0E5B 10800217 -@0E5C 108000CC -@0E5D 10001826 -@0E5E E0BFFB17 -@0E5F 10800017 -@0E60 10800617 -@0E61 10001426 -@0E62 E0BFFB17 -@0E63 10800017 -@0E64 10800617 -@0E65 E0FFFF17 -@0E66 180D883A -@0E67 E17FFE17 -@0E68 E13FFB17 -@0E69 103EE83A -@0E6A E0BFFC15 -@0E6B E0BFFC17 -@0E6C 1000070E -@0E6D 00038D80 -@0E6E 1007883A -@0E6F E0BFFC17 -@0E70 0085C83A -@0E71 18800015 -@0E72 00BFFFC4 -@0E73 00000C06 -@0E74 E0BFFC17 -@0E75 00000A06 -@0E76 00038D80 -@0E77 1007883A -@0E78 00800344 -@0E79 18800015 -@0E7A 00000406 -@0E7B 00038D80 -@0E7C 1007883A -@0E7D 00801444 -@0E7E 18800015 -@0E7F 00BFFFC4 -@0E80 E037883A -@0E81 DFC00117 -@0E82 DF000017 -@0E83 DEC00204 -@0E84 F800283A -@0E85 DEFFFD04 -@0E86 DFC00215 -@0E87 DF000115 -@0E88 DF000104 -@0E89 E13FFF15 -@0E8A D1600604 -@0E8B E13FFF17 -@0E8C 00047A40 -@0E8D E037883A -@0E8E DFC00117 -@0E8F DF000017 -@0E90 DEC00204 -@0E91 F800283A -@0E92 DEFFFD04 -@0E93 DFC00215 -@0E94 DF000115 -@0E95 DF000104 -@0E96 E13FFF15 -@0E97 00051300 -@0E98 00800044 -@0E99 1001703A -@0E9A 0001883A -@0E9B E037883A -@0E9C DFC00117 -@0E9D DF000017 -@0E9E DEC00204 -@0E9F F800283A -@0EA0 DEFFFE04 -@0EA1 DFC00115 -@0EA2 DF000015 -@0EA3 D839883A -@0EA4 01C0FA04 -@0EA5 000D883A -@0EA6 000B883A -@0EA7 010000B4 -@0EA8 21041004 -@0EA9 00045C00 -@0EAA 01800044 -@0EAB 000B883A -@0EAC 01000034 -@0EAD 21189604 -@0EAE 0003C440 -@0EAF 01000034 -@0EB0 21188C04 -@0EB1 0003A140 -@0EB2 0001883A -@0EB3 E037883A -@0EB4 DFC00117 -@0EB5 DF000017 -@0EB6 DEC00204 -@0EB7 F800283A -@0EB8 DEFFFA04 -@0EB9 DFC00515 -@0EBA DF000415 -@0EBB DF000404 -@0EBC E13FFD15 -@0EBD E17FFE15 -@0EBE E1BFFF15 -@0EBF E0BFFD17 -@0EC0 10800017 -@0EC1 E0BFFC15 -@0EC2 E0BFFC17 -@0EC3 10C00A04 -@0EC4 E0BFFD17 -@0EC5 10800217 -@0EC6 100F883A -@0EC7 E1BFFF17 -@0EC8 E17FFE17 -@0EC9 1809883A -@0ECA 00041080 -@0ECB E037883A -@0ECC DFC00117 -@0ECD DF000017 -@0ECE DEC00204 -@0ECF F800283A -@0ED0 DEFFFA04 -@0ED1 DFC00515 -@0ED2 DF000415 -@0ED3 DF000404 -@0ED4 E13FFD15 -@0ED5 E17FFE15 -@0ED6 E1BFFF15 -@0ED7 E0BFFD17 -@0ED8 10800017 -@0ED9 E0BFFC15 -@0EDA E0BFFC17 -@0EDB 10C00A04 -@0EDC E0BFFD17 -@0EDD 10800217 -@0EDE 100F883A -@0EDF E1BFFF17 -@0EE0 E17FFE17 -@0EE1 1809883A -@0EE2 00043240 -@0EE3 E037883A -@0EE4 DFC00117 -@0EE5 DF000017 -@0EE6 DEC00204 -@0EE7 F800283A -@0EE8 DEFFFC04 -@0EE9 DFC00315 -@0EEA DF000215 -@0EEB DF000204 -@0EEC E13FFF15 -@0EED E0BFFF17 -@0EEE 10800017 -@0EEF E0BFFE15 -@0EF0 E0BFFE17 -@0EF1 10C00A04 -@0EF2 E0BFFF17 -@0EF3 10800217 -@0EF4 100B883A -@0EF5 1809883A -@0EF6 0003FB00 -@0EF7 E037883A -@0EF8 DFC00117 -@0EF9 DF000017 -@0EFA DEC00204 -@0EFB F800283A -@0EFC DEFFFA04 -@0EFD DFC00515 -@0EFE DF000415 -@0EFF DF000404 -@0F00 E13FFD15 -@0F01 E17FFE15 -@0F02 E1BFFF15 -@0F03 E0BFFD17 -@0F04 10800017 -@0F05 E0BFFC15 -@0F06 E0BFFC17 -@0F07 10800A04 -@0F08 E1BFFF17 -@0F09 E17FFE17 -@0F0A 1009883A -@0F0B 00040180 -@0F0C E037883A -@0F0D DFC00117 -@0F0E DF000017 -@0F0F DEC00204 -@0F10 F800283A -@0F11 DEFFFA04 -@0F12 DFC00515 -@0F13 DF000415 -@0F14 DF000404 -@0F15 E13FFD15 -@0F16 E17FFE15 -@0F17 E1BFFF15 +@0E13 D0A00A15 +@0E14 D0E00A17 +@0E15 E0BFFF17 +@0E16 1887883A +@0E17 008000B4 +@0E18 10800004 +@0E19 10C0062E +@0E1A E0BFFB17 +@0E1B E0BFFA15 +@0E1C E0BFFA17 +@0E1D 1001703A +@0E1E 00BFFFC4 +@0E1F 00000B06 +@0E20 D0A00A17 +@0E21 E0BFFD15 +@0E22 D0E00A17 +@0E23 E0BFFF17 +@0E24 1885883A +@0E25 D0A00A15 +@0E26 E0BFFB17 +@0E27 E0BFFC15 +@0E28 E0BFFC17 +@0E29 1001703A +@0E2A E0BFFD17 +@0E2B E037883A +@0E2C DF000017 +@0E2D DEC00104 +@0E2E F800283A +@0E2F DEFFFE04 +@0E30 DFC00115 +@0E31 DF000015 +@0E32 D839883A +@0E33 D0A00917 +@0E34 10000326 +@0E35 D0A00917 +@0E36 103EE83A +@0E37 00000106 +@0E38 D0A01104 +@0E39 E037883A +@0E3A DFC00117 +@0E3B DF000017 +@0E3C DEC00204 +@0E3D F800283A +@0E3E DEFFF904 +@0E3F DFC00615 +@0E40 DF000515 +@0E41 DF000504 +@0E42 E13FFD15 +@0E43 E17FFE15 +@0E44 E1BFFF15 +@0E45 E0BFFD17 +@0E46 10000816 +@0E47 01400304 +@0E48 E13FFD17 +@0E49 00031000 +@0E4A 1007883A +@0E4B 00800034 +@0E4C 10982504 +@0E4D 1885883A +@0E4E 00000106 +@0E4F 0005883A +@0E50 E0BFFB15 +@0E51 E0BFFB17 +@0E52 10002126 +@0E53 E0BFFB17 +@0E54 10800217 +@0E55 108000CC +@0E56 10001826 +@0E57 E0BFFB17 +@0E58 10800017 +@0E59 10800617 +@0E5A 10001426 +@0E5B E0BFFB17 +@0E5C 10800017 +@0E5D 10800617 +@0E5E E0FFFF17 +@0E5F 180D883A +@0E60 E17FFE17 +@0E61 E13FFB17 +@0E62 103EE83A +@0E63 E0BFFC15 +@0E64 E0BFFC17 +@0E65 1000070E +@0E66 00038BC0 +@0E67 1007883A +@0E68 E0BFFC17 +@0E69 0085C83A +@0E6A 18800015 +@0E6B 00BFFFC4 +@0E6C 00000C06 +@0E6D E0BFFC17 +@0E6E 00000A06 +@0E6F 00038BC0 +@0E70 1007883A +@0E71 00800344 +@0E72 18800015 +@0E73 00000406 +@0E74 00038BC0 +@0E75 1007883A +@0E76 00801444 +@0E77 18800015 +@0E78 00BFFFC4 +@0E79 E037883A +@0E7A DFC00117 +@0E7B DF000017 +@0E7C DEC00204 +@0E7D F800283A +@0E7E DEFFFD04 +@0E7F DFC00215 +@0E80 DF000115 +@0E81 DF000104 +@0E82 E13FFF15 +@0E83 D1600604 +@0E84 E13FFF17 +@0E85 00047880 +@0E86 E037883A +@0E87 DFC00117 +@0E88 DF000017 +@0E89 DEC00204 +@0E8A F800283A +@0E8B DEFFFD04 +@0E8C DFC00215 +@0E8D DF000115 +@0E8E DF000104 +@0E8F E13FFF15 +@0E90 00051140 +@0E91 00800044 +@0E92 1001703A +@0E93 0001883A +@0E94 E037883A +@0E95 DFC00117 +@0E96 DF000017 +@0E97 DEC00204 +@0E98 F800283A +@0E99 DEFFFE04 +@0E9A DFC00115 +@0E9B DF000015 +@0E9C D839883A +@0E9D 01C0FA04 +@0E9E 000D883A +@0E9F 000B883A +@0EA0 010000B4 +@0EA1 21041004 +@0EA2 00045A40 +@0EA3 01800044 +@0EA4 000B883A +@0EA5 01000034 +@0EA6 21188F04 +@0EA7 0003C280 +@0EA8 01000034 +@0EA9 21188504 +@0EAA 00039F80 +@0EAB 0001883A +@0EAC E037883A +@0EAD DFC00117 +@0EAE DF000017 +@0EAF DEC00204 +@0EB0 F800283A +@0EB1 DEFFFA04 +@0EB2 DFC00515 +@0EB3 DF000415 +@0EB4 DF000404 +@0EB5 E13FFD15 +@0EB6 E17FFE15 +@0EB7 E1BFFF15 +@0EB8 E0BFFD17 +@0EB9 10800017 +@0EBA E0BFFC15 +@0EBB E0BFFC17 +@0EBC 10C00A04 +@0EBD E0BFFD17 +@0EBE 10800217 +@0EBF 100F883A +@0EC0 E1BFFF17 +@0EC1 E17FFE17 +@0EC2 1809883A +@0EC3 00040EC0 +@0EC4 E037883A +@0EC5 DFC00117 +@0EC6 DF000017 +@0EC7 DEC00204 +@0EC8 F800283A +@0EC9 DEFFFA04 +@0ECA DFC00515 +@0ECB DF000415 +@0ECC DF000404 +@0ECD E13FFD15 +@0ECE E17FFE15 +@0ECF E1BFFF15 +@0ED0 E0BFFD17 +@0ED1 10800017 +@0ED2 E0BFFC15 +@0ED3 E0BFFC17 +@0ED4 10C00A04 +@0ED5 E0BFFD17 +@0ED6 10800217 +@0ED7 100F883A +@0ED8 E1BFFF17 +@0ED9 E17FFE17 +@0EDA 1809883A +@0EDB 00043080 +@0EDC E037883A +@0EDD DFC00117 +@0EDE DF000017 +@0EDF DEC00204 +@0EE0 F800283A +@0EE1 DEFFFC04 +@0EE2 DFC00315 +@0EE3 DF000215 +@0EE4 DF000204 +@0EE5 E13FFF15 +@0EE6 E0BFFF17 +@0EE7 10800017 +@0EE8 E0BFFE15 +@0EE9 E0BFFE17 +@0EEA 10C00A04 +@0EEB E0BFFF17 +@0EEC 10800217 +@0EED 100B883A +@0EEE 1809883A +@0EEF 0003F940 +@0EF0 E037883A +@0EF1 DFC00117 +@0EF2 DF000017 +@0EF3 DEC00204 +@0EF4 F800283A +@0EF5 DEFFFA04 +@0EF6 DFC00515 +@0EF7 DF000415 +@0EF8 DF000404 +@0EF9 E13FFD15 +@0EFA E17FFE15 +@0EFB E1BFFF15 +@0EFC E0BFFD17 +@0EFD 10800017 +@0EFE E0BFFC15 +@0EFF E0BFFC17 +@0F00 10800A04 +@0F01 E1BFFF17 +@0F02 E17FFE17 +@0F03 1009883A +@0F04 0003FFC0 +@0F05 E037883A +@0F06 DFC00117 +@0F07 DF000017 +@0F08 DEC00204 +@0F09 F800283A +@0F0A DEFFFA04 +@0F0B DFC00515 +@0F0C DF000415 +@0F0D DF000404 +@0F0E E13FFD15 +@0F0F E17FFE15 +@0F10 E1BFFF15 +@0F11 E0BFFD17 +@0F12 00C00044 +@0F13 10C00815 +@0F14 E0BFFD17 +@0F15 10800017 +@0F16 10800104 +@0F17 1007883A @0F18 E0BFFD17 -@0F19 00C00044 -@0F1A 10C00815 -@0F1B E0BFFD17 -@0F1C 10800017 -@0F1D 10800104 -@0F1E 1007883A -@0F1F E0BFFD17 -@0F20 10800817 -@0F21 18800035 -@0F22 E0BFFE17 -@0F23 E0FFFF17 -@0F24 D8000015 -@0F25 E1FFFD17 -@0F26 01800034 -@0F27 318F4104 -@0F28 180B883A -@0F29 1009883A -@0F2A 00049080 -@0F2B E0BFFD17 -@0F2C 10000915 -@0F2D E0BFFD17 -@0F2E 10800204 -@0F2F D0E01617 -@0F30 E1FFFD17 -@0F31 01800034 -@0F32 318FC404 -@0F33 180B883A -@0F34 1009883A -@0F35 000463C0 -@0F36 1000040E -@0F37 E0FFFD17 -@0F38 00A00034 -@0F39 10BFFFC4 -@0F3A 18800115 -@0F3B 0001883A -@0F3C E037883A -@0F3D DFC00117 -@0F3E DF000017 -@0F3F DEC00204 -@0F40 F800283A -@0F41 DEFFF804 -@0F42 DF000715 -@0F43 DF000704 -@0F44 E13FFF15 -@0F45 E0BFFF17 -@0F46 E0BFFB15 -@0F47 E0BFFB17 -@0F48 10800017 -@0F49 E0BFFC15 -@0F4A E0BFFC17 -@0F4B 10800104 -@0F4C 10800037 -@0F4D E0BFFD15 -@0F4E E0BFFD17 -@0F4F 1080C00C -@0F50 10006D26 -@0F51 E0BFFD17 -@0F52 1080400C -@0F53 10003526 -@0F54 00800074 -@0F55 E0BFF915 -@0F56 E0BFFB17 -@0F57 10800A17 -@0F58 10800044 -@0F59 1081FFCC -@0F5A E0BFFE15 -@0F5B E0BFFB17 -@0F5C 10C00B17 -@0F5D E0BFFE17 -@0F5E 18801526 -@0F5F E0BFFC17 -@0F60 10800037 -@0F61 E0BFF915 -@0F62 E0BFF917 -@0F63 10A0000C -@0F64 10001126 -@0F65 E0BFFB17 -@0F66 10800A17 -@0F67 E0FFF917 -@0F68 1809883A -@0F69 E0FFFB17 -@0F6A 1885883A -@0F6B 10800E04 -@0F6C 11000005 -@0F6D E0BFFB17 -@0F6E 10800A17 -@0F6F 10800044 -@0F70 10C1FFCC -@0F71 E0BFFB17 -@0F72 10C00A15 -@0F73 003FE206 -@0F74 0001883A -@0F75 00000106 -@0F76 0001883A -@0F77 E0BFF917 -@0F78 10BFFFEC -@0F79 10000F26 -@0F7A E0BFFB17 -@0F7B 10C00817 -@0F7C 00BFFF84 -@0F7D 1886703A -@0F7E E0BFFB17 -@0F7F 10C00815 -@0F80 E0BFFC17 -@0F81 10800104 -@0F82 1007883A -@0F83 E0BFFB17 -@0F84 10800817 -@0F85 18800035 -@0F86 E0BFFC17 -@0F87 10800104 -@0F88 10800037 -@0F89 E0BFFD17 -@0F8A 1080800C -@0F8B 103FBE26 -@0F8C E0BFFD17 -@0F8D 1004D43A -@0F8E E0BFFA15 -@0F8F 00001406 -@0F90 E0BFFC17 -@0F91 E0FFFB17 -@0F92 18C00D17 -@0F93 E13FFB17 -@0F94 20C7883A -@0F95 18C20E04 -@0F96 18C00003 -@0F97 18C03FCC -@0F98 18C0201C -@0F99 18FFE004 -@0F9A 10C00035 -@0F9B E0BFFB17 -@0F9C 10800D17 -@0F9D 10800044 -@0F9E 10C1FFCC +@0F19 10800817 +@0F1A 18800035 +@0F1B E0BFFE17 +@0F1C E0FFFF17 +@0F1D D8000015 +@0F1E E1FFFD17 +@0F1F 01800034 +@0F20 318F3A04 +@0F21 180B883A +@0F22 1009883A +@0F23 00048EC0 +@0F24 E0BFFD17 +@0F25 10000915 +@0F26 E0BFFD17 +@0F27 10800204 +@0F28 D0E01617 +@0F29 E1FFFD17 +@0F2A 01800034 +@0F2B 318FBD04 +@0F2C 180B883A +@0F2D 1009883A +@0F2E 00046200 +@0F2F 1000040E +@0F30 E0FFFD17 +@0F31 00A00034 +@0F32 10BFFFC4 +@0F33 18800115 +@0F34 0001883A +@0F35 E037883A +@0F36 DFC00117 +@0F37 DF000017 +@0F38 DEC00204 +@0F39 F800283A +@0F3A DEFFF804 +@0F3B DF000715 +@0F3C DF000704 +@0F3D E13FFF15 +@0F3E E0BFFF17 +@0F3F E0BFFB15 +@0F40 E0BFFB17 +@0F41 10800017 +@0F42 E0BFFC15 +@0F43 E0BFFC17 +@0F44 10800104 +@0F45 10800037 +@0F46 E0BFFD15 +@0F47 E0BFFD17 +@0F48 1080C00C +@0F49 10006D26 +@0F4A E0BFFD17 +@0F4B 1080400C +@0F4C 10003526 +@0F4D 00800074 +@0F4E E0BFF915 +@0F4F E0BFFB17 +@0F50 10800A17 +@0F51 10800044 +@0F52 1081FFCC +@0F53 E0BFFE15 +@0F54 E0BFFB17 +@0F55 10C00B17 +@0F56 E0BFFE17 +@0F57 18801526 +@0F58 E0BFFC17 +@0F59 10800037 +@0F5A E0BFF915 +@0F5B E0BFF917 +@0F5C 10A0000C +@0F5D 10001126 +@0F5E E0BFFB17 +@0F5F 10800A17 +@0F60 E0FFF917 +@0F61 1809883A +@0F62 E0FFFB17 +@0F63 1885883A +@0F64 10800E04 +@0F65 11000005 +@0F66 E0BFFB17 +@0F67 10800A17 +@0F68 10800044 +@0F69 10C1FFCC +@0F6A E0BFFB17 +@0F6B 10C00A15 +@0F6C 003FE206 +@0F6D 0001883A +@0F6E 00000106 +@0F6F 0001883A +@0F70 E0BFF917 +@0F71 10BFFFEC +@0F72 10000F26 +@0F73 E0BFFB17 +@0F74 10C00817 +@0F75 00BFFF84 +@0F76 1886703A +@0F77 E0BFFB17 +@0F78 10C00815 +@0F79 E0BFFC17 +@0F7A 10800104 +@0F7B 1007883A +@0F7C E0BFFB17 +@0F7D 10800817 +@0F7E 18800035 +@0F7F E0BFFC17 +@0F80 10800104 +@0F81 10800037 +@0F82 E0BFFD17 +@0F83 1080800C +@0F84 103FBE26 +@0F85 E0BFFD17 +@0F86 1004D43A +@0F87 E0BFFA15 +@0F88 00001406 +@0F89 E0BFFC17 +@0F8A E0FFFB17 +@0F8B 18C00D17 +@0F8C E13FFB17 +@0F8D 20C7883A +@0F8E 18C20E04 +@0F8F 18C00003 +@0F90 18C03FCC +@0F91 18C0201C +@0F92 18FFE004 +@0F93 10C00035 +@0F94 E0BFFB17 +@0F95 10800D17 +@0F96 10800044 +@0F97 10C1FFCC +@0F98 E0BFFB17 +@0F99 10C00D15 +@0F9A E0BFFA17 +@0F9B 10BFFFC4 +@0F9C E0BFFA15 +@0F9D E0BFFA17 +@0F9E 10000526 @0F9F E0BFFB17 -@0FA0 10C00D15 -@0FA1 E0BFFA17 -@0FA2 10BFFFC4 -@0FA3 E0BFFA15 +@0FA0 10C00D17 +@0FA1 E0BFFB17 +@0FA2 10800C17 +@0FA3 18BFE51E @0FA4 E0BFFA17 -@0FA5 10000526 +@0FA5 103F9D26 @0FA6 E0BFFB17 -@0FA7 10C00D17 -@0FA8 E0BFFB17 -@0FA9 10800C17 -@0FAA 18BFE51E -@0FAB E0BFFA17 -@0FAC 103F9D26 -@0FAD E0BFFB17 -@0FAE 10C00817 -@0FAF 00BFFF44 -@0FB0 1886703A -@0FB1 E0BFFB17 -@0FB2 10C00815 -@0FB3 E0BFFB17 -@0FB4 10800017 -@0FB5 10800104 -@0FB6 1007883A -@0FB7 E0BFFB17 -@0FB8 10800817 -@0FB9 18800035 -@0FBA E0BFFC17 -@0FBB 10800104 -@0FBC 10800037 -@0FBD 003F8C06 -@0FBE 0001883A -@0FBF 0001883A -@0FC0 E037883A -@0FC1 DF000017 -@0FC2 DEC00104 -@0FC3 F800283A -@0FC4 DEFFF804 -@0FC5 DF000715 -@0FC6 DF000704 -@0FC7 E13FFB15 -@0FC8 E0BFFB17 -@0FC9 E0BFF915 -@0FCA E0BFF917 -@0FCB 10800017 -@0FCC 10800104 -@0FCD 10800037 -@0FCE E0BFFA15 -@0FCF E0BFFA17 -@0FD0 1081000C -@0FD1 10000B26 -@0FD2 E0BFF917 -@0FD3 10800017 -@0FD4 10800104 -@0FD5 1007883A +@0FA7 10C00817 +@0FA8 00BFFF44 +@0FA9 1886703A +@0FAA E0BFFB17 +@0FAB 10C00815 +@0FAC E0BFFB17 +@0FAD 10800017 +@0FAE 10800104 +@0FAF 1007883A +@0FB0 E0BFFB17 +@0FB1 10800817 +@0FB2 18800035 +@0FB3 E0BFFC17 +@0FB4 10800104 +@0FB5 10800037 +@0FB6 003F8C06 +@0FB7 0001883A +@0FB8 0001883A +@0FB9 E037883A +@0FBA DF000017 +@0FBB DEC00104 +@0FBC F800283A +@0FBD DEFFF804 +@0FBE DF000715 +@0FBF DF000704 +@0FC0 E13FFB15 +@0FC1 E0BFFB17 +@0FC2 E0BFF915 +@0FC3 E0BFF917 +@0FC4 10800017 +@0FC5 10800104 +@0FC6 10800037 +@0FC7 E0BFFA15 +@0FC8 E0BFFA17 +@0FC9 1081000C +@0FCA 10000B26 +@0FCB E0BFF917 +@0FCC 10800017 +@0FCD 10800104 +@0FCE 1007883A +@0FCF E0BFF917 +@0FD0 10800817 +@0FD1 10810014 +@0FD2 18800035 +@0FD3 E0BFF917 +@0FD4 10000915 +@0FD5 00000A06 @0FD6 E0BFF917 -@0FD7 10800817 -@0FD8 10810014 -@0FD9 18800035 -@0FDA E0BFF917 -@0FDB 10000915 -@0FDC 00000A06 -@0FDD E0BFF917 -@0FDE 10C00917 -@0FDF 00A00034 -@0FE0 10BFFF04 -@0FE1 10C00536 -@0FE2 E0BFF917 -@0FE3 10800917 -@0FE4 10C00044 -@0FE5 E0BFF917 -@0FE6 10C00915 -@0FE7 D0A01617 -@0FE8 E037883A -@0FE9 DF000017 -@0FEA DEC00104 -@0FEB F800283A -@0FEC DEFFFD04 -@0FED DF000215 -@0FEE DF000204 -@0FEF E13FFE15 -@0FF0 E17FFF15 -@0FF1 00000506 -@0FF2 E0BFFF17 -@0FF3 1090000C -@0FF4 10000226 -@0FF5 00BFFD44 -@0FF6 00000B06 +@0FD7 10C00917 +@0FD8 00A00034 +@0FD9 10BFFF04 +@0FDA 10C00536 +@0FDB E0BFF917 +@0FDC 10800917 +@0FDD 10C00044 +@0FDE E0BFF917 +@0FDF 10C00915 +@0FE0 D0A01617 +@0FE1 E037883A +@0FE2 DF000017 +@0FE3 DEC00104 +@0FE4 F800283A +@0FE5 DEFFFD04 +@0FE6 DF000215 +@0FE7 DF000204 +@0FE8 E13FFE15 +@0FE9 E17FFF15 +@0FEA 00000506 +@0FEB E0BFFF17 +@0FEC 1090000C +@0FED 10000226 +@0FEE 00BFFD44 +@0FEF 00000B06 +@0FF0 E0BFFE17 +@0FF1 10C00D17 +@0FF2 E0BFFE17 +@0FF3 10800C17 +@0FF4 18800526 +@0FF5 E0BFFE17 +@0FF6 10C00917 @0FF7 E0BFFE17 -@0FF8 10C00D17 -@0FF9 E0BFFE17 -@0FFA 10800C17 -@0FFB 18800526 -@0FFC E0BFFE17 -@0FFD 10C00917 -@0FFE E0BFFE17 -@0FFF 10800117 -@1000 18BFF136 -@1001 0005883A -@1002 E037883A -@1003 DF000017 -@1004 DEC00104 -@1005 F800283A -@1006 DEFFFA04 -@1007 DF000515 -@1008 DF000504 -@1009 E13FFD15 -@100A E17FFE15 -@100B E1BFFF15 -@100C 00BFF9C4 -@100D E0BFFB15 -@100E E0BFFE17 -@100F 10DA8060 -@1010 1800031E -@1011 109A80A0 -@1012 1000181E -@1013 00002906 -@1014 E0BFFD17 -@1015 10C00117 -@1016 00A00034 -@1017 10BFFFC4 -@1018 18802126 -@1019 E0BFFF17 -@101A 10800017 -@101B E0BFFC15 +@0FF8 10800117 +@0FF9 18BFF136 +@0FFA 0005883A +@0FFB E037883A +@0FFC DF000017 +@0FFD DEC00104 +@0FFE F800283A +@0FFF DEFFFA04 +@1000 DF000515 +@1001 DF000504 +@1002 E13FFD15 +@1003 E17FFE15 +@1004 E1BFFF15 +@1005 00BFF9C4 +@1006 E0BFFB15 +@1007 E0BFFE17 +@1008 10DA8060 +@1009 1800031E +@100A 109A80A0 +@100B 1000181E +@100C 00002906 +@100D E0BFFD17 +@100E 10C00117 +@100F 00A00034 +@1010 10BFFFC4 +@1011 18802126 +@1012 E0BFFF17 +@1013 10800017 +@1014 E0BFFC15 +@1015 E0BFFC17 +@1016 10800090 +@1017 1000061E +@1018 E0FFFC17 +@1019 00A00034 +@101A 10BFFFC4 +@101B 18800226 @101C E0BFFC17 -@101D 10800090 -@101E 1000061E -@101F E0FFFC17 -@1020 00A00034 -@1021 10BFFFC4 -@1022 18800226 -@1023 E0BFFC17 -@1024 00000206 -@1025 00A00034 -@1026 10BFFF84 -@1027 E0FFFD17 -@1028 18800115 -@1029 E03FFB15 -@102A 00000F06 +@101D 00000206 +@101E 00A00034 +@101F 10BFFF84 +@1020 E0FFFD17 +@1021 18800115 +@1022 E03FFB15 +@1023 00000F06 +@1024 E0BFFD17 +@1025 10C00117 +@1026 00A00034 +@1027 10BFFFC4 +@1028 18800C26 +@1029 E0BFFD17 +@102A 10C00917 @102B E0BFFD17 -@102C 10C00117 -@102D 00A00034 -@102E 10BFFFC4 -@102F 18800C26 -@1030 E0BFFD17 -@1031 10C00917 -@1032 E0BFFD17 -@1033 10800117 -@1034 1885803A -@1035 10C03FCC -@1036 E0BFFF17 -@1037 10C00015 -@1038 E03FFB15 -@1039 00000206 -@103A 0001883A -@103B 00000106 -@103C 0001883A -@103D E0BFFB17 -@103E E037883A -@103F DF000017 -@1040 DEC00104 -@1041 F800283A -@1042 DEFFF304 -@1043 DFC00C15 -@1044 DF000B15 -@1045 DF000B04 -@1046 E13FFC15 -@1047 E17FFD15 -@1048 E1BFFE15 -@1049 E1FFFF15 -@104A E0BFFD17 -@104B E0BFF515 -@104C 00004706 -@104D E0BFFC17 -@104E 10800A17 -@104F E0BFF715 -@1050 E0BFFC17 -@1051 10800B17 -@1052 E0BFF815 -@1053 E0FFF717 -@1054 E0BFF817 -@1055 18800536 -@1056 E0FFF717 -@1057 E0BFF817 -@1058 1885C83A -@1059 E0BFF615 -@105A 00000406 -@105B 00C20004 -@105C E0BFF817 -@105D 1885C83A +@102C 10800117 +@102D 1885803A +@102E 10C03FCC +@102F E0BFFF17 +@1030 10C00015 +@1031 E03FFB15 +@1032 00000206 +@1033 0001883A +@1034 00000106 +@1035 0001883A +@1036 E0BFFB17 +@1037 E037883A +@1038 DF000017 +@1039 DEC00104 +@103A F800283A +@103B DEFFF304 +@103C DFC00C15 +@103D DF000B15 +@103E DF000B04 +@103F E13FFC15 +@1040 E17FFD15 +@1041 E1BFFE15 +@1042 E1FFFF15 +@1043 E0BFFD17 +@1044 E0BFF515 +@1045 00004706 +@1046 E0BFFC17 +@1047 10800A17 +@1048 E0BFF715 +@1049 E0BFFC17 +@104A 10800B17 +@104B E0BFF815 +@104C E0FFF717 +@104D E0BFF817 +@104E 18800536 +@104F E0FFF717 +@1050 E0BFF817 +@1051 1885C83A +@1052 E0BFF615 +@1053 00000406 +@1054 00C20004 +@1055 E0BFF817 +@1056 1885C83A +@1057 E0BFF615 +@1058 E0BFF617 +@1059 10001E26 +@105A E0FFFE17 +@105B E0BFF617 +@105C 1880022E +@105D E0BFFE17 @105E E0BFF615 -@105F E0BFF617 -@1060 10001E26 -@1061 E0FFFE17 -@1062 E0BFF617 -@1063 1880022E -@1064 E0BFFE17 -@1065 E0BFF615 -@1066 E0BFFC17 -@1067 10C00E04 -@1068 E0BFF817 +@105F E0BFFC17 +@1060 10C00E04 +@1061 E0BFF817 +@1062 1885883A +@1063 E1BFF617 +@1064 100B883A +@1065 E13FF517 +@1066 00017780 +@1067 E0FFF517 +@1068 E0BFF617 @1069 1885883A -@106A E1BFF617 -@106B 100B883A -@106C E13FF517 -@106D 00017940 -@106E E0FFF517 -@106F E0BFF617 -@1070 1885883A -@1071 E0BFF515 -@1072 E0FFFE17 -@1073 E0BFF617 -@1074 1885C83A -@1075 E0BFFE15 -@1076 E0FFF817 -@1077 E0BFF617 -@1078 1885883A -@1079 10C1FFCC -@107A E0BFFC17 -@107B 10C00B15 -@107C E0BFFE17 -@107D 00BFCF16 -@107E 00000106 +@106A E0BFF515 +@106B E0FFFE17 +@106C E0BFF617 +@106D 1885C83A +@106E E0BFFE15 +@106F E0FFF817 +@1070 E0BFF617 +@1071 1885883A +@1072 10C1FFCC +@1073 E0BFFC17 +@1074 10C00B15 +@1075 E0BFFE17 +@1076 00BFCF16 +@1077 00000106 +@1078 0001883A +@1079 E0FFF517 +@107A E0BFFD17 +@107B 1880141E +@107C E0BFFF17 +@107D 1090000C +@107E 1000131E @107F 0001883A -@1080 E0FFF517 -@1081 E0BFFD17 -@1082 1880141E -@1083 E0BFFF17 -@1084 1090000C -@1085 1000131E -@1086 0001883A -@1087 E0BFFC17 -@1088 10C00A17 -@1089 E0BFF717 -@108A 1880051E -@108B E0BFFC17 -@108C 10C00917 -@108D E0BFFC17 -@108E 10800117 -@108F 18BFF736 -@1090 E0BFFC17 -@1091 10C00A17 -@1092 E0BFF717 -@1093 18800726 -@1094 E0BFFE17 -@1095 00BFB716 -@1096 00000506 -@1097 0001883A -@1098 00000306 -@1099 0001883A -@109A 00000106 -@109B 0001883A -@109C E0FFF517 -@109D E0BFFD17 -@109E 18801826 -@109F 0005303A -@10A0 E0BFFB15 -@10A1 E0FFFB17 -@10A2 00BFFF84 -@10A3 1884703A -@10A4 1001703A -@10A5 E0BFFB17 -@10A6 E0BFFA15 -@10A7 E0BFFC17 -@10A8 10800817 -@10A9 10C00054 -@10AA E0BFFC17 -@10AB 10C00815 -@10AC E0BFFC17 -@10AD 10800017 -@10AE 10800104 -@10AF 1007883A -@10B0 E0BFFC17 -@10B1 10800817 -@10B2 18800035 -@10B3 E0BFFA17 -@10B4 E0BFF915 -@10B5 E0BFF917 -@10B6 1001703A -@10B7 E0FFF517 -@10B8 E0BFFD17 -@10B9 18800426 -@10BA E0FFF517 -@10BB E0BFFD17 -@10BC 1885C83A -@10BD 00000606 -@10BE E0BFFF17 -@10BF 1090000C -@10C0 10000226 -@10C1 00BFFD44 -@10C2 00000106 -@10C3 00BFFEC4 -@10C4 E037883A -@10C5 DFC00117 -@10C6 DF000017 -@10C7 DEC00204 -@10C8 F800283A -@10C9 DEFFF304 -@10CA DFC00C15 -@10CB DF000B15 -@10CC DF000B04 -@10CD E13FFC15 -@10CE E17FFD15 -@10CF E1BFFE15 -@10D0 E1FFFF15 -@10D1 E03FF515 -@10D2 E0BFFD17 -@10D3 E0BFF715 -@10D4 00003706 -@10D5 E0BFFC17 -@10D6 10800C17 -@10D7 E0BFF915 -@10D8 E0BFFC17 -@10D9 10800D17 -@10DA E0BFF515 -@10DB E0FFF917 -@10DC E0BFF517 -@10DD 1880062E -@10DE E0FFF517 -@10DF E0BFF917 -@10E0 1885C83A -@10E1 10BFFFC4 +@1080 E0BFFC17 +@1081 10C00A17 +@1082 E0BFF717 +@1083 1880051E +@1084 E0BFFC17 +@1085 10C00917 +@1086 E0BFFC17 +@1087 10800117 +@1088 18BFF736 +@1089 E0BFFC17 +@108A 10C00A17 +@108B E0BFF717 +@108C 18800726 +@108D E0BFFE17 +@108E 00BFB716 +@108F 00000506 +@1090 0001883A +@1091 00000306 +@1092 0001883A +@1093 00000106 +@1094 0001883A +@1095 E0FFF517 +@1096 E0BFFD17 +@1097 18801826 +@1098 0005303A +@1099 E0BFFB15 +@109A E0FFFB17 +@109B 00BFFF84 +@109C 1884703A +@109D 1001703A +@109E E0BFFB17 +@109F E0BFFA15 +@10A0 E0BFFC17 +@10A1 10800817 +@10A2 10C00054 +@10A3 E0BFFC17 +@10A4 10C00815 +@10A5 E0BFFC17 +@10A6 10800017 +@10A7 10800104 +@10A8 1007883A +@10A9 E0BFFC17 +@10AA 10800817 +@10AB 18800035 +@10AC E0BFFA17 +@10AD E0BFF915 +@10AE E0BFF917 +@10AF 1001703A +@10B0 E0FFF517 +@10B1 E0BFFD17 +@10B2 18800426 +@10B3 E0FFF517 +@10B4 E0BFFD17 +@10B5 1885C83A +@10B6 00000606 +@10B7 E0BFFF17 +@10B8 1090000C +@10B9 10000226 +@10BA 00BFFD44 +@10BB 00000106 +@10BC 00BFFEC4 +@10BD E037883A +@10BE DFC00117 +@10BF DF000017 +@10C0 DEC00204 +@10C1 F800283A +@10C2 DEFFF304 +@10C3 DFC00C15 +@10C4 DF000B15 +@10C5 DF000B04 +@10C6 E13FFC15 +@10C7 E17FFD15 +@10C8 E1BFFE15 +@10C9 E1FFFF15 +@10CA E03FF515 +@10CB E0BFFD17 +@10CC E0BFF715 +@10CD 00003706 +@10CE E0BFFC17 +@10CF 10800C17 +@10D0 E0BFF915 +@10D1 E0BFFC17 +@10D2 10800D17 +@10D3 E0BFF515 +@10D4 E0FFF917 +@10D5 E0BFF517 +@10D6 1880062E +@10D7 E0FFF517 +@10D8 E0BFF917 +@10D9 1885C83A +@10DA 10BFFFC4 +@10DB E0BFF615 +@10DC 00000B06 +@10DD E0BFF517 +@10DE 10000526 +@10DF 00C20004 +@10E0 E0BFF917 +@10E1 1885C83A @10E2 E0BFF615 -@10E3 00000B06 -@10E4 E0BFF517 -@10E5 10000526 -@10E6 00C20004 -@10E7 E0BFF917 -@10E8 1885C83A -@10E9 E0BFF615 -@10EA 00000406 -@10EB 00C1FFC4 -@10EC E0BFF917 -@10ED 1885C83A +@10E3 00000406 +@10E4 00C1FFC4 +@10E5 E0BFF917 +@10E6 1885C83A +@10E7 E0BFF615 +@10E8 E0BFF617 +@10E9 10001E26 +@10EA E0FFFE17 +@10EB E0BFF617 +@10EC 1880022E +@10ED E0BFFE17 @10EE E0BFF615 -@10EF E0BFF617 -@10F0 10001E26 -@10F1 E0FFFE17 -@10F2 E0BFF617 -@10F3 1880022E -@10F4 E0BFFE17 -@10F5 E0BFF615 -@10F6 E0BFFC17 -@10F7 10C20E04 -@10F8 E0BFF917 +@10EF E0BFFC17 +@10F0 10C20E04 +@10F1 E0BFF917 +@10F2 1885883A +@10F3 E1BFF617 +@10F4 E17FFD17 +@10F5 1009883A +@10F6 00017780 +@10F7 E0FFFD17 +@10F8 E0BFF617 @10F9 1885883A -@10FA E1BFF617 -@10FB E17FFD17 -@10FC 1009883A -@10FD 00017940 -@10FE E0FFFD17 -@10FF E0BFF617 -@1100 1885883A -@1101 E0BFFD15 -@1102 E0FFFE17 -@1103 E0BFF617 -@1104 1885C83A -@1105 E0BFFE15 -@1106 E0FFF917 -@1107 E0BFF617 -@1108 1885883A -@1109 10C1FFCC -@110A E0BFFC17 -@110B 10C00C15 -@110C E0BFFE17 -@110D 00BFC716 -@110E 00000106 -@110F 0001883A -@1110 0005303A -@1111 E0BFFB15 -@1112 E0FFFB17 -@1113 00BFFF84 -@1114 1884703A -@1115 1001703A -@1116 E0BFFB17 -@1117 E0BFFA15 -@1118 E0BFFC17 -@1119 10800817 -@111A 10C00094 -@111B E0BFFC17 -@111C 10C00815 -@111D E0BFFC17 -@111E 10800017 -@111F 10800104 -@1120 1007883A -@1121 E0BFFC17 -@1122 10800817 -@1123 18800035 -@1124 E0BFFA17 -@1125 E0BFF815 -@1126 E0BFF817 -@1127 1001703A -@1128 E0BFFE17 -@1129 0080100E -@112A E0BFFF17 -@112B 1090000C -@112C 1000101E -@112D 0001883A -@112E E0BFFC17 -@112F 10C00D17 -@1130 E0BFF517 -@1131 1880051E -@1132 E0BFFC17 -@1133 10C00917 -@1134 E0BFFC17 -@1135 10800117 -@1136 18BFF736 -@1137 E0BFFC17 -@1138 10800917 -@1139 1000051E -@113A E0BFFE17 -@113B 00BFD016 -@113C 00000306 -@113D 0001883A -@113E 00000106 -@113F 0001883A -@1140 E0FFFD17 -@1141 E0BFF717 -@1142 18800426 -@1143 E0FFFD17 -@1144 E0BFF717 -@1145 1885C83A -@1146 00000606 -@1147 E0BFFF17 -@1148 1090000C -@1149 10000226 -@114A 00BFFD44 -@114B 00000106 -@114C 00BFFEC4 -@114D E037883A -@114E DFC00117 -@114F DF000017 -@1150 DEC00204 -@1151 F800283A -@1152 DEFFFA04 -@1153 DFC00515 -@1154 DF000415 -@1155 DF000404 -@1156 E13FFF15 -@1157 0007883A -@1158 E0BFFF17 -@1159 10C00035 -@115A E0BFFF17 -@115B 10800104 -@115C 10800037 -@115D 0005303A -@115E E0BFFD15 -@115F E0FFFD17 -@1160 00BFFF84 -@1161 1884703A +@10FA E0BFFD15 +@10FB E0FFFE17 +@10FC E0BFF617 +@10FD 1885C83A +@10FE E0BFFE15 +@10FF E0FFF917 +@1100 E0BFF617 +@1101 1885883A +@1102 10C1FFCC +@1103 E0BFFC17 +@1104 10C00C15 +@1105 E0BFFE17 +@1106 00BFC716 +@1107 00000106 +@1108 0001883A +@1109 0005303A +@110A E0BFFB15 +@110B E0FFFB17 +@110C 00BFFF84 +@110D 1884703A +@110E 1001703A +@110F E0BFFB17 +@1110 E0BFFA15 +@1111 E0BFFC17 +@1112 10800817 +@1113 10C00094 +@1114 E0BFFC17 +@1115 10C00815 +@1116 E0BFFC17 +@1117 10800017 +@1118 10800104 +@1119 1007883A +@111A E0BFFC17 +@111B 10800817 +@111C 18800035 +@111D E0BFFA17 +@111E E0BFF815 +@111F E0BFF817 +@1120 1001703A +@1121 E0BFFE17 +@1122 0080100E +@1123 E0BFFF17 +@1124 1090000C +@1125 1000101E +@1126 0001883A +@1127 E0BFFC17 +@1128 10C00D17 +@1129 E0BFF517 +@112A 1880051E +@112B E0BFFC17 +@112C 10C00917 +@112D E0BFFC17 +@112E 10800117 +@112F 18BFF736 +@1130 E0BFFC17 +@1131 10800917 +@1132 1000051E +@1133 E0BFFE17 +@1134 00BFD016 +@1135 00000306 +@1136 0001883A +@1137 00000106 +@1138 0001883A +@1139 E0FFFD17 +@113A E0BFF717 +@113B 18800426 +@113C E0FFFD17 +@113D E0BFF717 +@113E 1885C83A +@113F 00000606 +@1140 E0BFFF17 +@1141 1090000C +@1142 10000226 +@1143 00BFFD44 +@1144 00000106 +@1145 00BFFEC4 +@1146 E037883A +@1147 DFC00117 +@1148 DF000017 +@1149 DEC00204 +@114A F800283A +@114B DEFFFA04 +@114C DFC00515 +@114D DF000415 +@114E DF000404 +@114F E13FFF15 +@1150 0007883A +@1151 E0BFFF17 +@1152 10C00035 +@1153 E0BFFF17 +@1154 10800104 +@1155 10800037 +@1156 0005303A +@1157 E0BFFD15 +@1158 E0FFFD17 +@1159 00BFFF84 +@115A 1884703A +@115B 1001703A +@115C E0BFFD17 +@115D E0BFFC15 +@115E 000500C0 +@115F E0BFFC17 +@1160 E0BFFE15 +@1161 E0BFFE17 @1162 1001703A -@1163 E0BFFD17 -@1164 E0BFFC15 -@1165 00050280 -@1166 E0BFFC17 -@1167 E0BFFE15 -@1168 E0BFFE17 -@1169 1001703A -@116A 0001883A -@116B E037883A -@116C DFC00117 -@116D DF000017 -@116E DEC00204 -@116F F800283A -@1170 DEFFF804 -@1171 DFC00715 -@1172 DF000615 -@1173 DF000604 -@1174 E13FFC15 -@1175 E17FFD15 -@1176 E1BFFE15 -@1177 E1FFFF15 -@1178 E0BFFF17 -@1179 E0BFFB15 -@117A D0A01617 -@117B 1000021E -@117C E0BFFB17 -@117D D0A01615 -@117E E0BFFC17 -@117F 10800104 -@1180 00C001C4 -@1181 10C00035 -@1182 D8000015 -@1183 E1FFFC17 -@1184 01800034 -@1185 31915204 -@1186 E17FFE17 -@1187 E13FFD17 -@1188 00049080 -@1189 0001883A -@118A E037883A -@118B DFC00117 -@118C DF000017 -@118D DEC00204 -@118E F800283A -@118F DEFFF504 -@1190 DF000A15 -@1191 DF000A04 -@1192 E13FFC15 -@1193 E17FFD15 -@1194 E1BFFE15 -@1195 E1FFFF15 -@1196 E03FF615 -@1197 D0A01617 -@1198 10003C26 -@1199 E0BFFC17 -@119A 10003826 -@119B E0BFFC17 -@119C E0FFFE17 -@119D 10C00315 -@119E E0BFFC17 -@119F E0FFFF17 -@11A0 10C00515 -@11A1 0005303A -@11A2 E0BFF915 -@11A3 E0FFF917 -@11A4 00BFFF84 -@11A5 1884703A -@11A6 1001703A -@11A7 E0BFF917 -@11A8 E0BFF815 -@11A9 D0A01717 -@11AA E0BFF615 -@11AB E0FFFD17 +@1163 0001883A +@1164 E037883A +@1165 DFC00117 +@1166 DF000017 +@1167 DEC00204 +@1168 F800283A +@1169 DEFFF804 +@116A DFC00715 +@116B DF000615 +@116C DF000604 +@116D E13FFC15 +@116E E17FFD15 +@116F E1BFFE15 +@1170 E1FFFF15 +@1171 E0BFFF17 +@1172 E0BFFB15 +@1173 D0A01617 +@1174 1000021E +@1175 E0BFFB17 +@1176 D0A01615 +@1177 E0BFFC17 +@1178 10800104 +@1179 00C001C4 +@117A 10C00035 +@117B D8000015 +@117C E1FFFC17 +@117D 01800034 +@117E 31914B04 +@117F E17FFE17 +@1180 E13FFD17 +@1181 00048EC0 +@1182 0001883A +@1183 E037883A +@1184 DFC00117 +@1185 DF000017 +@1186 DEC00204 +@1187 F800283A +@1188 DEFFF504 +@1189 DF000A15 +@118A DF000A04 +@118B E13FFC15 +@118C E17FFD15 +@118D E1BFFE15 +@118E E1FFFF15 +@118F E03FF615 +@1190 D0A01617 +@1191 10003C26 +@1192 E0BFFC17 +@1193 10003826 +@1194 E0BFFC17 +@1195 E0FFFE17 +@1196 10C00315 +@1197 E0BFFC17 +@1198 E0FFFF17 +@1199 10C00515 +@119A 0005303A +@119B E0BFF915 +@119C E0FFF917 +@119D 00BFFF84 +@119E 1884703A +@119F 1001703A +@11A0 E0BFF917 +@11A1 E0BFF815 +@11A2 D0A01717 +@11A3 E0BFF615 +@11A4 E0FFFD17 +@11A5 E0BFF617 +@11A6 1885883A +@11A7 10C00044 +@11A8 E0BFFC17 +@11A9 10C00215 +@11AA E0BFFC17 +@11AB 10C00217 @11AC E0BFF617 -@11AD 1885883A -@11AE 10C00044 -@11AF E0BFFC17 -@11B0 10C00215 -@11B1 E0BFFC17 -@11B2 10C00217 -@11B3 E0BFF617 -@11B4 1880042E -@11B5 E0BFFC17 -@11B6 00C00044 -@11B7 10C00405 -@11B8 00000206 -@11B9 E0BFFC17 -@11BA 10000405 -@11BB E0BFFC17 -@11BC D0E00C04 -@11BD E0FFFA15 -@11BE E0BFFB15 -@11BF E0BFFB17 -@11C0 E0FFFA17 -@11C1 10C00115 -@11C2 E0BFFA17 -@11C3 10C00017 -@11C4 E0BFFB17 +@11AD 1880042E +@11AE E0BFFC17 +@11AF 00C00044 +@11B0 10C00405 +@11B1 00000206 +@11B2 E0BFFC17 +@11B3 10000405 +@11B4 E0BFFC17 +@11B5 D0E00C04 +@11B6 E0FFFA15 +@11B7 E0BFFB15 +@11B8 E0BFFB17 +@11B9 E0FFFA17 +@11BA 10C00115 +@11BB E0BFFA17 +@11BC 10C00017 +@11BD E0BFFB17 +@11BE 10C00015 +@11BF E0BFFA17 +@11C0 10800017 +@11C1 E0FFFB17 +@11C2 10C00115 +@11C3 E0BFFA17 +@11C4 E0FFFB17 @11C5 10C00015 -@11C6 E0BFFA17 -@11C7 10800017 -@11C8 E0FFFB17 -@11C9 10C00115 -@11CA E0BFFA17 -@11CB E0FFFB17 -@11CC 10C00015 -@11CD E0BFF817 -@11CE E0BFF715 -@11CF E0BFF717 -@11D0 1001703A -@11D1 0005883A -@11D2 00000306 -@11D3 00BFFA84 -@11D4 00000106 -@11D5 00BFDE84 -@11D6 E037883A -@11D7 DF000017 -@11D8 DEC00104 -@11D9 F800283A -@11DA DEFFFE04 -@11DB DFC00115 -@11DC DF000015 -@11DD D839883A -@11DE D0A00917 -@11DF 10000326 -@11E0 D0A00917 -@11E1 103EE83A -@11E2 00000106 -@11E3 D0A01104 -@11E4 E037883A -@11E5 DFC00117 -@11E6 DF000017 -@11E7 DEC00204 -@11E8 F800283A -@11E9 DEFFFA04 -@11EA DFC00515 -@11EB DF000415 -@11EC DF000404 -@11ED E13FFE15 -@11EE E17FFF15 -@11EF E0BFFE17 -@11F0 10000326 -@11F1 E0BFFE17 -@11F2 10800217 -@11F3 1000061E -@11F4 00047680 -@11F5 1007883A -@11F6 00800584 -@11F7 18800015 -@11F8 00BFFA84 -@11F9 00001306 -@11FA E0BFFE17 -@11FB E0FFFF17 -@11FC E0FFFC15 -@11FD E0BFFD15 -@11FE E0BFFD17 -@11FF E0FFFC17 -@1200 10C00115 -@1201 E0BFFC17 -@1202 10C00017 -@1203 E0BFFD17 +@11C6 E0BFF817 +@11C7 E0BFF715 +@11C8 E0BFF717 +@11C9 1001703A +@11CA 0005883A +@11CB 00000306 +@11CC 00BFFA84 +@11CD 00000106 +@11CE 00BFDE84 +@11CF E037883A +@11D0 DF000017 +@11D1 DEC00104 +@11D2 F800283A +@11D3 DEFFFE04 +@11D4 DFC00115 +@11D5 DF000015 +@11D6 D839883A +@11D7 D0A00917 +@11D8 10000326 +@11D9 D0A00917 +@11DA 103EE83A +@11DB 00000106 +@11DC D0A01104 +@11DD E037883A +@11DE DFC00117 +@11DF DF000017 +@11E0 DEC00204 +@11E1 F800283A +@11E2 DEFFFA04 +@11E3 DFC00515 +@11E4 DF000415 +@11E5 DF000404 +@11E6 E13FFE15 +@11E7 E17FFF15 +@11E8 E0BFFE17 +@11E9 10000326 +@11EA E0BFFE17 +@11EB 10800217 +@11EC 1000061E +@11ED 000474C0 +@11EE 1007883A +@11EF 00800584 +@11F0 18800015 +@11F1 00BFFA84 +@11F2 00001306 +@11F3 E0BFFE17 +@11F4 E0FFFF17 +@11F5 E0FFFC15 +@11F6 E0BFFD15 +@11F7 E0BFFD17 +@11F8 E0FFFC17 +@11F9 10C00115 +@11FA E0BFFC17 +@11FB 10C00017 +@11FC E0BFFD17 +@11FD 10C00015 +@11FE E0BFFC17 +@11FF 10800017 +@1200 E0FFFD17 +@1201 10C00115 +@1202 E0BFFC17 +@1203 E0FFFD17 @1204 10C00015 -@1205 E0BFFC17 -@1206 10800017 -@1207 E0FFFD17 -@1208 10C00115 -@1209 E0BFFC17 -@120A E0FFFD17 -@120B 10C00015 -@120C 0005883A -@120D E037883A -@120E DFC00117 -@120F DF000017 -@1210 DEC00204 -@1211 F800283A -@1212 DEFFFD04 -@1213 DFC00215 -@1214 DF000115 -@1215 DF000104 -@1216 00800034 -@1217 1095F704 +@1205 0005883A +@1206 E037883A +@1207 DFC00117 +@1208 DF000017 +@1209 DEC00204 +@120A F800283A +@120B DEFFFD04 +@120C DFC00215 +@120D DF000115 +@120E DF000104 +@120F 00800034 +@1210 1095F004 +@1211 E0BFFF15 +@1212 00000606 +@1213 E0BFFF17 +@1214 10800017 +@1215 103EE83A +@1216 E0BFFF17 +@1217 10BFFF04 @1218 E0BFFF15 -@1219 00000606 -@121A E0BFFF17 -@121B 10800017 -@121C 103EE83A -@121D E0BFFF17 -@121E 10BFFF04 -@121F E0BFFF15 -@1220 E0FFFF17 -@1221 00800034 -@1222 1095F804 -@1223 18BFF62E -@1224 0001883A -@1225 E037883A -@1226 DFC00117 -@1227 DF000017 -@1228 DEC00204 -@1229 F800283A -@122A DEFFFD04 -@122B DFC00215 -@122C DF000115 -@122D DF000104 -@122E 00800034 -@122F 1095F704 +@1219 E0FFFF17 +@121A 00800034 +@121B 1095F104 +@121C 18BFF62E +@121D 0001883A +@121E E037883A +@121F DFC00117 +@1220 DF000017 +@1221 DEC00204 +@1222 F800283A +@1223 DEFFFD04 +@1224 DFC00215 +@1225 DF000115 +@1226 DF000104 +@1227 00800034 +@1228 1095F004 +@1229 E0BFFF15 +@122A 00000606 +@122B E0BFFF17 +@122C 10800017 +@122D 103EE83A +@122E E0BFFF17 +@122F 10BFFF04 @1230 E0BFFF15 -@1231 00000606 -@1232 E0BFFF17 -@1233 10800017 -@1234 103EE83A -@1235 E0BFFF17 -@1236 10BFFF04 -@1237 E0BFFF15 -@1238 E0FFFF17 -@1239 00800034 -@123A 1095F804 -@123B 18BFF62E -@123C 0001883A -@123D E037883A -@123E DFC00117 -@123F DF000017 -@1240 DEC00204 -@1241 F800283A -@1242 DEFFF904 -@1243 DFC00615 -@1244 DF000515 -@1245 DF000504 -@1246 E13FFC15 -@1247 E17FFD15 -@1248 E1BFFE15 -@1249 E1FFFF15 -@124A E0800217 -@124B D8800015 -@124C E1FFFF17 -@124D E1BFFE17 -@124E E17FFD17 -@124F E13FFC17 -@1250 0004AB80 -@1251 E037883A -@1252 DFC00117 -@1253 DF000017 -@1254 DEC00204 -@1255 F800283A -@1256 DEFFF904 -@1257 DF000615 -@1258 DF000604 -@1259 E13FFE15 -@125A E17FFF15 -@125B E0BFFF17 -@125C E0BFFA15 -@125D 0005303A -@125E E0BFFB15 -@125F E0FFFB17 -@1260 00BFFF84 -@1261 1884703A -@1262 1001703A -@1263 E0BFFB17 -@1264 E0BFFC15 -@1265 00C00044 -@1266 E0BFFA17 -@1267 1884983A -@1268 1007883A -@1269 D0A01517 -@126A 1884B03A -@126B D0A01515 -@126C D0A01517 -@126D 100170FA -@126E E0BFFC17 -@126F E0BFFD15 -@1270 E0BFFD17 -@1271 1001703A -@1272 0005883A -@1273 0001883A -@1274 E037883A -@1275 DF000017 -@1276 DEC00104 -@1277 F800283A -@1278 DEFFF904 -@1279 DF000615 -@127A DF000604 -@127B E13FFE15 -@127C E17FFF15 -@127D E0BFFF17 -@127E E0BFFA15 -@127F 0005303A -@1280 E0BFFB15 -@1281 E0FFFB17 -@1282 00BFFF84 -@1283 1884703A -@1284 1001703A -@1285 E0BFFB17 -@1286 E0BFFC15 -@1287 00C00044 -@1288 E0BFFA17 -@1289 1884983A -@128A 0084303A -@128B 1007883A -@128C D0A01517 -@128D 1884703A -@128E D0A01515 -@128F D0A01517 -@1290 100170FA -@1291 E0BFFC17 -@1292 E0BFFD15 -@1293 E0BFFD17 -@1294 1001703A -@1295 0005883A -@1296 0001883A -@1297 E037883A -@1298 DF000017 -@1299 DEC00104 -@129A F800283A -@129B DEFFFC04 -@129C DF000315 -@129D DF000304 -@129E E13FFE15 -@129F E17FFF15 -@12A0 000530FA -@12A1 E0BFFD15 -@12A2 00C00044 -@12A3 E0BFFF17 -@12A4 1884983A -@12A5 1007883A -@12A6 E0BFFD17 -@12A7 1884703A -@12A8 1004C03A -@12A9 10803FCC -@12AA E037883A -@12AB DF000017 -@12AC DEC00104 -@12AD F800283A -@12AE DEFFF504 -@12AF DFC00A15 -@12B0 DF000915 -@12B1 DF000904 -@12B2 E13FFC15 -@12B3 E17FFD15 -@12B4 E1BFFE15 -@12B5 E1FFFF15 -@12B6 00BFFA84 -@12B7 E0BFF715 -@12B8 E0BFFD17 -@12B9 E0BFF815 -@12BA E0BFF817 -@12BB 10800808 -@12BC 1000271E -@12BD 0005303A -@12BE E0BFFB15 -@12BF E0FFFB17 -@12C0 00BFFF84 -@12C1 1884703A -@12C2 1001703A -@12C3 E0BFFB17 -@12C4 E0BFFA15 +@1231 E0FFFF17 +@1232 00800034 +@1233 1095F104 +@1234 18BFF62E +@1235 0001883A +@1236 E037883A +@1237 DFC00117 +@1238 DF000017 +@1239 DEC00204 +@123A F800283A +@123B DEFFF904 +@123C DFC00615 +@123D DF000515 +@123E DF000504 +@123F E13FFC15 +@1240 E17FFD15 +@1241 E1BFFE15 +@1242 E1FFFF15 +@1243 E0800217 +@1244 D8800015 +@1245 E1FFFF17 +@1246 E1BFFE17 +@1247 E17FFD17 +@1248 E13FFC17 +@1249 0004A9C0 +@124A E037883A +@124B DFC00117 +@124C DF000017 +@124D DEC00204 +@124E F800283A +@124F DEFFF904 +@1250 DF000615 +@1251 DF000604 +@1252 E13FFE15 +@1253 E17FFF15 +@1254 E0BFFF17 +@1255 E0BFFA15 +@1256 0005303A +@1257 E0BFFB15 +@1258 E0FFFB17 +@1259 00BFFF84 +@125A 1884703A +@125B 1001703A +@125C E0BFFB17 +@125D E0BFFC15 +@125E 00C00044 +@125F E0BFFA17 +@1260 1884983A +@1261 1007883A +@1262 D0A01517 +@1263 1884B03A +@1264 D0A01515 +@1265 D0A01517 +@1266 100170FA +@1267 E0BFFC17 +@1268 E0BFFD15 +@1269 E0BFFD17 +@126A 1001703A +@126B 0005883A +@126C 0001883A +@126D E037883A +@126E DF000017 +@126F DEC00104 +@1270 F800283A +@1271 DEFFF904 +@1272 DF000615 +@1273 DF000604 +@1274 E13FFE15 +@1275 E17FFF15 +@1276 E0BFFF17 +@1277 E0BFFA15 +@1278 0005303A +@1279 E0BFFB15 +@127A E0FFFB17 +@127B 00BFFF84 +@127C 1884703A +@127D 1001703A +@127E E0BFFB17 +@127F E0BFFC15 +@1280 00C00044 +@1281 E0BFFA17 +@1282 1884983A +@1283 0084303A +@1284 1007883A +@1285 D0A01517 +@1286 1884703A +@1287 D0A01515 +@1288 D0A01517 +@1289 100170FA +@128A E0BFFC17 +@128B E0BFFD15 +@128C E0BFFD17 +@128D 1001703A +@128E 0005883A +@128F 0001883A +@1290 E037883A +@1291 DF000017 +@1292 DEC00104 +@1293 F800283A +@1294 DEFFFC04 +@1295 DF000315 +@1296 DF000304 +@1297 E13FFE15 +@1298 E17FFF15 +@1299 000530FA +@129A E0BFFD15 +@129B 00C00044 +@129C E0BFFF17 +@129D 1884983A +@129E 1007883A +@129F E0BFFD17 +@12A0 1884703A +@12A1 1004C03A +@12A2 10803FCC +@12A3 E037883A +@12A4 DF000017 +@12A5 DEC00104 +@12A6 F800283A +@12A7 DEFFF504 +@12A8 DFC00A15 +@12A9 DF000915 +@12AA DF000904 +@12AB E13FFC15 +@12AC E17FFD15 +@12AD E1BFFE15 +@12AE E1FFFF15 +@12AF 00BFFA84 +@12B0 E0BFF715 +@12B1 E0BFFD17 +@12B2 E0BFF815 +@12B3 E0BFF817 +@12B4 10800808 +@12B5 1000271E +@12B6 0005303A +@12B7 E0BFFB15 +@12B8 E0FFFB17 +@12B9 00BFFF84 +@12BA 1884703A +@12BB 1001703A +@12BC E0BFFB17 +@12BD E0BFFA15 +@12BE 00800034 +@12BF 109CC004 +@12C0 E0FFF817 +@12C1 180690FA +@12C2 10C5883A +@12C3 E0FFFE17 +@12C4 10C00015 @12C5 00800034 -@12C6 109CC704 +@12C6 109CC004 @12C7 E0FFF817 @12C8 180690FA @12C9 10C5883A -@12CA E0FFFE17 -@12CB 10C00015 -@12CC 00800034 -@12CD 109CC704 -@12CE E0FFF817 -@12CF 180690FA -@12D0 10C5883A -@12D1 10800104 -@12D2 E0FFFF17 -@12D3 10C00015 -@12D4 E0BFFE17 -@12D5 10000526 -@12D6 E0BFF817 -@12D7 100B883A -@12D8 E13FFC17 -@12D9 00049580 -@12DA 00000406 -@12DB E0BFF817 -@12DC 100B883A -@12DD E13FFC17 -@12DE 00049E00 -@12DF E0BFF715 -@12E0 E0BFFA17 -@12E1 E0BFF915 -@12E2 E0BFF917 -@12E3 1001703A -@12E4 E0BFF717 -@12E5 E037883A -@12E6 DFC00117 -@12E7 DF000017 -@12E8 DEC00204 -@12E9 F800283A -@12EA DEFFF804 -@12EB DFC00715 -@12EC DF000615 -@12ED DC000515 -@12EE DF000604 -@12EF E13FFB15 -@12F0 E17FFC15 -@12F1 E1BFFD15 -@12F2 E1FFFE15 -@12F3 E1BFFE17 -@12F4 E17FFD17 -@12F5 E13FFC17 -@12F6 0004E300 -@12F7 E0BFFA15 -@12F8 E0BFFA17 -@12F9 10002216 -@12FA 04000034 -@12FB 84182C04 -@12FC E0BFFA17 -@12FD 01400304 -@12FE 1009883A -@12FF 000311C0 -@1300 8085883A -@1301 10C00017 -@1302 E0BFFB17 -@1303 10C00015 -@1304 04000034 -@1305 84182C04 -@1306 E0BFFA17 -@1307 01400304 -@1308 1009883A -@1309 000311C0 -@130A 8085883A -@130B 10800104 -@130C 10C00017 -@130D E0BFFB17 -@130E 10C00115 -@130F 04000034 -@1310 84182C04 -@1311 E0BFFA17 -@1312 01400304 -@1313 1009883A -@1314 000311C0 -@1315 8085883A -@1316 10800204 -@1317 10C00017 -@1318 E0BFFB17 -@1319 10C00215 -@131A E13FFA17 -@131B 00037A40 -@131C 0001883A -@131D E6FFFF04 -@131E DFC00217 -@131F DF000117 -@1320 DC000017 -@1321 DEC00304 -@1322 F800283A -@1323 DEFFFB04 -@1324 DFC00415 -@1325 DF000315 -@1326 DF000304 -@1327 E13FFD15 -@1328 E17FFE15 -@1329 E1BFFF15 -@132A 01C07FC4 -@132B 01800044 -@132C E17FFD17 -@132D 01000034 -@132E 21182F04 -@132F 0004BA80 -@1330 01C07FC4 -@1331 000D883A -@1332 E17FFE17 -@1333 01000034 -@1334 21182C04 -@1335 0004BA80 -@1336 01C07FC4 -@1337 01800044 -@1338 E17FFF17 -@1339 01000034 -@133A 21183204 -@133B 0004BA80 -@133C 0001883A -@133D E037883A -@133E DFC00117 -@133F DF000017 -@1340 DEC00204 -@1341 F800283A -@1342 DEFFFE04 -@1343 DFC00115 -@1344 DF000015 -@1345 D839883A -@1346 D0A00917 -@1347 10000326 -@1348 D0A00917 -@1349 103EE83A -@134A 00000106 -@134B D0A01104 -@134C E037883A -@134D DFC00117 -@134E DF000017 -@134F DEC00204 -@1350 F800283A -@1351 DEFFFB04 -@1352 DFC00415 -@1353 DF000315 -@1354 DC000215 -@1355 DF000304 -@1356 E13FFE15 -@1357 E0BFFE17 -@1358 10800217 -@1359 10D00034 -@135A E0BFFE17 -@135B 10C00215 -@135C E03FFD15 -@135D 00002306 -@135E 04000034 -@135F 84182C04 -@1360 E0BFFD17 -@1361 01400304 -@1362 1009883A -@1363 000311C0 -@1364 8085883A -@1365 10C00017 -@1366 E0BFFE17 -@1367 10800017 -@1368 1880151E -@1369 04000034 -@136A 84182C04 -@136B E0BFFD17 +@12CA 10800104 +@12CB E0FFFF17 +@12CC 10C00015 +@12CD E0BFFE17 +@12CE 10000526 +@12CF E0BFF817 +@12D0 100B883A +@12D1 E13FFC17 +@12D2 000493C0 +@12D3 00000406 +@12D4 E0BFF817 +@12D5 100B883A +@12D6 E13FFC17 +@12D7 00049C40 +@12D8 E0BFF715 +@12D9 E0BFFA17 +@12DA E0BFF915 +@12DB E0BFF917 +@12DC 1001703A +@12DD E0BFF717 +@12DE E037883A +@12DF DFC00117 +@12E0 DF000017 +@12E1 DEC00204 +@12E2 F800283A +@12E3 DEFFF804 +@12E4 DFC00715 +@12E5 DF000615 +@12E6 DC000515 +@12E7 DF000604 +@12E8 E13FFB15 +@12E9 E17FFC15 +@12EA E1BFFD15 +@12EB E1FFFE15 +@12EC E1BFFE17 +@12ED E17FFD17 +@12EE E13FFC17 +@12EF 0004E140 +@12F0 E0BFFA15 +@12F1 E0BFFA17 +@12F2 10002216 +@12F3 04000034 +@12F4 84182504 +@12F5 E0BFFA17 +@12F6 01400304 +@12F7 1009883A +@12F8 00031000 +@12F9 8085883A +@12FA 10C00017 +@12FB E0BFFB17 +@12FC 10C00015 +@12FD 04000034 +@12FE 84182504 +@12FF E0BFFA17 +@1300 01400304 +@1301 1009883A +@1302 00031000 +@1303 8085883A +@1304 10800104 +@1305 10C00017 +@1306 E0BFFB17 +@1307 10C00115 +@1308 04000034 +@1309 84182504 +@130A E0BFFA17 +@130B 01400304 +@130C 1009883A +@130D 00031000 +@130E 8085883A +@130F 10800204 +@1310 10C00017 +@1311 E0BFFB17 +@1312 10C00215 +@1313 E13FFA17 +@1314 00037880 +@1315 0001883A +@1316 E6FFFF04 +@1317 DFC00217 +@1318 DF000117 +@1319 DC000017 +@131A DEC00304 +@131B F800283A +@131C DEFFFB04 +@131D DFC00415 +@131E DF000315 +@131F DF000304 +@1320 E13FFD15 +@1321 E17FFE15 +@1322 E1BFFF15 +@1323 01C07FC4 +@1324 01800044 +@1325 E17FFD17 +@1326 01000034 +@1327 21182804 +@1328 0004B8C0 +@1329 01C07FC4 +@132A 000D883A +@132B E17FFE17 +@132C 01000034 +@132D 21182504 +@132E 0004B8C0 +@132F 01C07FC4 +@1330 01800044 +@1331 E17FFF17 +@1332 01000034 +@1333 21182B04 +@1334 0004B8C0 +@1335 0001883A +@1336 E037883A +@1337 DFC00117 +@1338 DF000017 +@1339 DEC00204 +@133A F800283A +@133B DEFFFE04 +@133C DFC00115 +@133D DF000015 +@133E D839883A +@133F D0A00917 +@1340 10000326 +@1341 D0A00917 +@1342 103EE83A +@1343 00000106 +@1344 D0A01104 +@1345 E037883A +@1346 DFC00117 +@1347 DF000017 +@1348 DEC00204 +@1349 F800283A +@134A DEFFFB04 +@134B DFC00415 +@134C DF000315 +@134D DC000215 +@134E DF000304 +@134F E13FFE15 +@1350 E0BFFE17 +@1351 10800217 +@1352 10D00034 +@1353 E0BFFE17 +@1354 10C00215 +@1355 E03FFD15 +@1356 00002306 +@1357 04000034 +@1358 84182504 +@1359 E0BFFD17 +@135A 01400304 +@135B 1009883A +@135C 00031000 +@135D 8085883A +@135E 10C00017 +@135F E0BFFE17 +@1360 10800017 +@1361 1880151E +@1362 04000034 +@1363 84182504 +@1364 E0BFFD17 +@1365 01400304 +@1366 1009883A +@1367 00031000 +@1368 8085883A +@1369 10800204 +@136A 10800017 +@136B 10000B0E @136C 01400304 -@136D 1009883A -@136E 000311C0 -@136F 8085883A -@1370 10800204 -@1371 10800017 -@1372 10000B0E -@1373 01400304 -@1374 E13FFD17 -@1375 000311C0 -@1376 1007883A -@1377 00800034 -@1378 10982C04 -@1379 1887883A -@137A E0BFFE17 -@137B 18800226 -@137C 00BFFCC4 -@137D 00000806 -@137E E0BFFD17 -@137F 10800044 -@1380 E0BFFD15 -@1381 D0A00817 -@1382 1007883A -@1383 E0BFFD17 -@1384 18BFD92E -@1385 0005883A -@1386 E6FFFF04 -@1387 DFC00217 -@1388 DF000117 -@1389 DC000017 -@138A DEC00304 -@138B F800283A -@138C DEFFF604 -@138D DFC00915 -@138E DF000815 -@138F DF000804 -@1390 E13FFD15 -@1391 E17FFE15 -@1392 E1BFFF15 -@1393 00BFFFC4 -@1394 E0BFF915 -@1395 00BFFB44 -@1396 E0BFFA15 -@1397 E03FFB15 -@1398 D1600604 -@1399 E13FFD17 -@139A 00051540 -@139B E0BFF815 +@136D E13FFD17 +@136E 00031000 +@136F 1007883A +@1370 00800034 +@1371 10982504 +@1372 1887883A +@1373 E0BFFE17 +@1374 18800226 +@1375 00BFFCC4 +@1376 00000806 +@1377 E0BFFD17 +@1378 10800044 +@1379 E0BFFD15 +@137A D0A00817 +@137B 1007883A +@137C E0BFFD17 +@137D 18BFD92E +@137E 0005883A +@137F E6FFFF04 +@1380 DFC00217 +@1381 DF000117 +@1382 DC000017 +@1383 DEC00304 +@1384 F800283A +@1385 DEFFF604 +@1386 DFC00915 +@1387 DF000815 +@1388 DF000804 +@1389 E13FFD15 +@138A E17FFE15 +@138B E1BFFF15 +@138C 00BFFFC4 +@138D E0BFF915 +@138E 00BFFB44 +@138F E0BFFA15 +@1390 E03FFB15 +@1391 D1600604 +@1392 E13FFD17 +@1393 00051380 +@1394 E0BFF815 +@1395 E0BFF817 +@1396 1000051E +@1397 E13FFD17 +@1398 00051C80 +@1399 E0BFF815 +@139A 00800044 +@139B E0BFFB15 @139C E0BFF817 -@139D 1000051E -@139E E13FFD17 -@139F 00051E40 -@13A0 E0BFF815 -@13A1 00800044 -@13A2 E0BFFB15 -@13A3 E0BFF817 -@13A4 10002B26 -@13A5 E13FF817 -@13A6 00052EC0 -@13A7 E0BFF915 -@13A8 E0BFF917 -@13A9 1000030E -@13AA E0BFF917 -@13AB E0BFFA15 -@13AC 00002506 -@13AD 01400304 -@13AE E13FF917 -@13AF 000311C0 -@13B0 1007883A -@13B1 00800034 -@13B2 10982C04 -@13B3 1885883A -@13B4 E0BFFC15 -@13B5 E0FFFE17 -@13B6 00900034 -@13B7 10BFFFC4 -@13B8 1886703A -@13B9 E0BFFC17 -@13BA 10C00215 -@13BB E0BFFB17 -@13BC 1000051E -@13BD E13FFC17 -@13BE 0004D440 -@13BF E0BFFA15 -@13C0 E0BFFA17 -@13C1 10001016 -@13C2 E0BFF817 -@13C3 10800317 -@13C4 10000826 -@13C5 E0BFF817 -@13C6 10800317 -@13C7 E1FFFF17 -@13C8 E1BFFE17 -@13C9 E17FFD17 -@13CA E13FFC17 -@13CB 103EE83A -@13CC 00000106 -@13CD 0005883A -@13CE E0BFFA15 -@13CF 00000206 -@13D0 00BFFB44 -@13D1 E0BFFA15 -@13D2 E0BFFA17 -@13D3 1000090E -@13D4 E13FF917 -@13D5 00037A40 -@13D6 0004D080 -@13D7 1007883A -@13D8 E0BFFA17 -@13D9 0085C83A -@13DA 18800015 -@13DB 00BFFFC4 -@13DC 00000106 -@13DD E0BFF917 -@13DE E037883A -@13DF DFC00117 -@13E0 DF000017 -@13E1 DEC00204 -@13E2 F800283A -@13E3 DEFFFA04 -@13E4 DF000515 -@13E5 DF000504 -@13E6 E13FFF15 -@13E7 0005303A -@13E8 E0BFFC15 -@13E9 E0FFFC17 -@13EA 00BFFF84 -@13EB 1884703A -@13EC 1001703A -@13ED E0BFFC17 -@13EE E0BFFB15 -@13EF E0BFFF17 -@13F0 E0BFFD15 -@13F1 E0BFFD17 -@13F2 10800017 -@13F3 E0FFFD17 -@13F4 18C00117 -@13F5 10C00115 -@13F6 E0BFFD17 -@13F7 10800117 +@139D 10002B26 +@139E E13FF817 +@139F 00052D00 +@13A0 E0BFF915 +@13A1 E0BFF917 +@13A2 1000030E +@13A3 E0BFF917 +@13A4 E0BFFA15 +@13A5 00002506 +@13A6 01400304 +@13A7 E13FF917 +@13A8 00031000 +@13A9 1007883A +@13AA 00800034 +@13AB 10982504 +@13AC 1885883A +@13AD E0BFFC15 +@13AE E0FFFE17 +@13AF 00900034 +@13B0 10BFFFC4 +@13B1 1886703A +@13B2 E0BFFC17 +@13B3 10C00215 +@13B4 E0BFFB17 +@13B5 1000051E +@13B6 E13FFC17 +@13B7 0004D280 +@13B8 E0BFFA15 +@13B9 E0BFFA17 +@13BA 10001016 +@13BB E0BFF817 +@13BC 10800317 +@13BD 10000826 +@13BE E0BFF817 +@13BF 10800317 +@13C0 E1FFFF17 +@13C1 E1BFFE17 +@13C2 E17FFD17 +@13C3 E13FFC17 +@13C4 103EE83A +@13C5 00000106 +@13C6 0005883A +@13C7 E0BFFA15 +@13C8 00000206 +@13C9 00BFFB44 +@13CA E0BFFA15 +@13CB E0BFFA17 +@13CC 1000090E +@13CD E13FF917 +@13CE 00037880 +@13CF 0004CEC0 +@13D0 1007883A +@13D1 E0BFFA17 +@13D2 0085C83A +@13D3 18800015 +@13D4 00BFFFC4 +@13D5 00000106 +@13D6 E0BFF917 +@13D7 E037883A +@13D8 DFC00117 +@13D9 DF000017 +@13DA DEC00204 +@13DB F800283A +@13DC DEFFFA04 +@13DD DF000515 +@13DE DF000504 +@13DF E13FFF15 +@13E0 0005303A +@13E1 E0BFFC15 +@13E2 E0FFFC17 +@13E3 00BFFF84 +@13E4 1884703A +@13E5 1001703A +@13E6 E0BFFC17 +@13E7 E0BFFB15 +@13E8 E0BFFF17 +@13E9 E0BFFD15 +@13EA E0BFFD17 +@13EB 10800017 +@13EC E0FFFD17 +@13ED 18C00117 +@13EE 10C00115 +@13EF E0BFFD17 +@13F0 10800117 +@13F1 E0FFFD17 +@13F2 18C00017 +@13F3 10C00015 +@13F4 E0BFFD17 +@13F5 E0FFFD17 +@13F6 10C00115 +@13F7 E0BFFD17 @13F8 E0FFFD17 -@13F9 18C00017 -@13FA 10C00015 -@13FB E0BFFD17 -@13FC E0FFFD17 -@13FD 10C00115 -@13FE E0BFFD17 -@13FF E0FFFD17 -@1400 10C00015 -@1401 E0BFFB17 -@1402 E0BFFE15 -@1403 E0BFFE17 -@1404 1001703A -@1405 0001883A -@1406 E037883A -@1407 DF000017 -@1408 DEC00104 -@1409 F800283A -@140A DEFFFB04 -@140B DFC00415 -@140C DF000315 -@140D DF000304 -@140E D0A00C17 -@140F E0BFFD15 -@1410 D0A01717 -@1411 10800044 -@1412 D0A01715 -@1413 00002E06 -@1414 E0BFFD17 -@1415 10800017 -@1416 E0BFFE15 -@1417 E0BFFD17 -@1418 10800403 -@1419 10803FCC -@141A 10000426 -@141B D0A01717 -@141C 1000021E -@141D E0BFFD17 -@141E 10000405 -@141F E0BFFD17 -@1420 10800217 -@1421 D0E01717 -@1422 18801D36 -@1423 E0BFFD17 -@1424 10800403 -@1425 10803FCC -@1426 1000191E -@1427 E0BFFD17 -@1428 10800317 -@1429 E0FFFD17 -@142A 18C00517 -@142B 1809883A -@142C 103EE83A -@142D E0BFFF15 +@13F9 10C00015 +@13FA E0BFFB17 +@13FB E0BFFE15 +@13FC E0BFFE17 +@13FD 1001703A +@13FE 0001883A +@13FF E037883A +@1400 DF000017 +@1401 DEC00104 +@1402 F800283A +@1403 DEFFFB04 +@1404 DFC00415 +@1405 DF000315 +@1406 DF000304 +@1407 D0A00C17 +@1408 E0BFFD15 +@1409 D0A01717 +@140A 10800044 +@140B D0A01715 +@140C 00002E06 +@140D E0BFFD17 +@140E 10800017 +@140F E0BFFE15 +@1410 E0BFFD17 +@1411 10800403 +@1412 10803FCC +@1413 10000426 +@1414 D0A01717 +@1415 1000021E +@1416 E0BFFD17 +@1417 10000405 +@1418 E0BFFD17 +@1419 10800217 +@141A D0E01717 +@141B 18801D36 +@141C E0BFFD17 +@141D 10800403 +@141E 10803FCC +@141F 1000191E +@1420 E0BFFD17 +@1421 10800317 +@1422 E0FFFD17 +@1423 18C00517 +@1424 1809883A +@1425 103EE83A +@1426 E0BFFF15 +@1427 E0BFFF17 +@1428 1000031E +@1429 E13FFD17 +@142A 0004F700 +@142B 00000D06 +@142C E0BFFD17 +@142D 10C00217 @142E E0BFFF17 -@142F 1000031E -@1430 E13FFD17 -@1431 0004F8C0 -@1432 00000D06 -@1433 E0BFFD17 -@1434 10C00217 -@1435 E0BFFF17 -@1436 1887883A -@1437 E0BFFD17 -@1438 10C00215 -@1439 E0BFFD17 -@143A 10C00217 -@143B D0A01717 -@143C 1880032E -@143D E0BFFD17 -@143E 00C00044 -@143F 10C00405 -@1440 E0BFFE17 -@1441 E0BFFD15 -@1442 E0FFFD17 -@1443 D0A00C04 -@1444 18BFCF1E -@1445 0001883A -@1446 0001883A -@1447 E037883A -@1448 DFC00117 -@1449 DF000017 -@144A DEC00204 -@144B F800283A -@144C DEFFFF04 -@144D DF000015 -@144E D839883A -@144F 000170FA -@1450 0001883A -@1451 E037883A -@1452 DF000017 -@1453 DEC00104 -@1454 F800283A -@1455 DEFFFA04 -@1456 DFC00515 -@1457 DF000415 -@1458 DF000404 -@1459 E13FFE15 -@145A E17FFF15 -@145B E0BFFF17 -@145C 10800017 -@145D E0BFFC15 -@145E E13FFE17 -@145F 000040C0 -@1460 10800044 -@1461 E0BFFD15 -@1462 00000D06 -@1463 E0BFFC17 -@1464 10800217 -@1465 E0FFFD17 -@1466 180D883A -@1467 E17FFE17 -@1468 1009883A -@1469 00054980 -@146A 1000021E -@146B E0BFFC17 -@146C 00000706 -@146D E0BFFC17 -@146E 10800017 -@146F E0BFFC15 -@1470 E0FFFC17 -@1471 E0BFFF17 -@1472 18BFF01E -@1473 0005883A -@1474 E037883A -@1475 DFC00117 -@1476 DF000017 -@1477 DEC00204 -@1478 F800283A -@1479 DEFFFB04 -@147A DFC00415 -@147B DF000315 -@147C DF000304 -@147D E13FFF15 -@147E D0A00417 -@147F E0BFFD15 -@1480 00003106 -@1481 E0BFFD17 -@1482 10800217 -@1483 1009883A -@1484 000040C0 -@1485 E0BFFE15 -@1486 E0BFFD17 -@1487 10C00217 -@1488 E0BFFE17 -@1489 10BFFFC4 -@148A 1885883A -@148B 10800003 -@148C 10803FCC -@148D 1080201C -@148E 10BFE004 -@148F 10800BD8 -@1490 1000031E -@1491 E0BFFE17 -@1492 10BFFFC4 -@1493 E0BFFE15 -@1494 E0BFFE17 -@1495 E0FFFF17 -@1496 1885883A -@1497 10800003 -@1498 10803FCC -@1499 1080201C -@149A 10BFE004 -@149B 10800BE0 -@149C 1000081E -@149D E0BFFE17 -@149E E0FFFF17 -@149F 1885883A -@14A0 10800003 -@14A1 10803FCC -@14A2 1080201C -@14A3 10BFE004 -@14A4 10000A1E -@14A5 E0BFFD17 -@14A6 10800217 -@14A7 E0FFFE17 -@14A8 180D883A -@14A9 E17FFF17 -@14AA 1009883A -@14AB 00054980 -@14AC 1000021E -@14AD E0BFFD17 -@14AE 00000706 -@14AF E0BFFD17 -@14B0 10800017 -@14B1 E0BFFD15 -@14B2 E0FFFD17 -@14B3 D0A00404 -@14B4 18BFCC1E -@14B5 0005883A -@14B6 E037883A -@14B7 DFC00117 -@14B8 DF000017 -@14B9 DEC00204 -@14BA F800283A -@14BB DEFFFA04 -@14BC DFC00515 -@14BD DF000415 -@14BE DC000315 -@14BF DF000404 -@14C0 E13FFE15 -@14C1 00BFFA04 -@14C2 E0BFFD15 -@14C3 E03FFC15 -@14C4 00001D06 -@14C5 04000034 -@14C6 84182C04 -@14C7 E0BFFC17 -@14C8 01400304 -@14C9 1009883A -@14CA 000311C0 -@14CB 8085883A -@14CC 10800017 -@14CD 1000111E -@14CE 04000034 -@14CF 84182C04 -@14D0 E0BFFC17 -@14D1 01400304 -@14D2 1009883A -@14D3 000311C0 -@14D4 8085883A -@14D5 E0FFFE17 -@14D6 10C00015 -@14D7 D0E00817 +@142F 1887883A +@1430 E0BFFD17 +@1431 10C00215 +@1432 E0BFFD17 +@1433 10C00217 +@1434 D0A01717 +@1435 1880032E +@1436 E0BFFD17 +@1437 00C00044 +@1438 10C00405 +@1439 E0BFFE17 +@143A E0BFFD15 +@143B E0FFFD17 +@143C D0A00C04 +@143D 18BFCF1E +@143E 0001883A +@143F 0001883A +@1440 E037883A +@1441 DFC00117 +@1442 DF000017 +@1443 DEC00204 +@1444 F800283A +@1445 DEFFFF04 +@1446 DF000015 +@1447 D839883A +@1448 000170FA +@1449 0001883A +@144A E037883A +@144B DF000017 +@144C DEC00104 +@144D F800283A +@144E DEFFFA04 +@144F DFC00515 +@1450 DF000415 +@1451 DF000404 +@1452 E13FFE15 +@1453 E17FFF15 +@1454 E0BFFF17 +@1455 10800017 +@1456 E0BFFC15 +@1457 E13FFE17 +@1458 00003F00 +@1459 10800044 +@145A E0BFFD15 +@145B 00000D06 +@145C E0BFFC17 +@145D 10800217 +@145E E0FFFD17 +@145F 180D883A +@1460 E17FFE17 +@1461 1009883A +@1462 000547C0 +@1463 1000021E +@1464 E0BFFC17 +@1465 00000706 +@1466 E0BFFC17 +@1467 10800017 +@1468 E0BFFC15 +@1469 E0FFFC17 +@146A E0BFFF17 +@146B 18BFF01E +@146C 0005883A +@146D E037883A +@146E DFC00117 +@146F DF000017 +@1470 DEC00204 +@1471 F800283A +@1472 DEFFFB04 +@1473 DFC00415 +@1474 DF000315 +@1475 DF000304 +@1476 E13FFF15 +@1477 D0A00417 +@1478 E0BFFD15 +@1479 00003106 +@147A E0BFFD17 +@147B 10800217 +@147C 1009883A +@147D 00003F00 +@147E E0BFFE15 +@147F E0BFFD17 +@1480 10C00217 +@1481 E0BFFE17 +@1482 10BFFFC4 +@1483 1885883A +@1484 10800003 +@1485 10803FCC +@1486 1080201C +@1487 10BFE004 +@1488 10800BD8 +@1489 1000031E +@148A E0BFFE17 +@148B 10BFFFC4 +@148C E0BFFE15 +@148D E0BFFE17 +@148E E0FFFF17 +@148F 1885883A +@1490 10800003 +@1491 10803FCC +@1492 1080201C +@1493 10BFE004 +@1494 10800BE0 +@1495 1000081E +@1496 E0BFFE17 +@1497 E0FFFF17 +@1498 1885883A +@1499 10800003 +@149A 10803FCC +@149B 1080201C +@149C 10BFE004 +@149D 10000A1E +@149E E0BFFD17 +@149F 10800217 +@14A0 E0FFFE17 +@14A1 180D883A +@14A2 E17FFF17 +@14A3 1009883A +@14A4 000547C0 +@14A5 1000021E +@14A6 E0BFFD17 +@14A7 00000706 +@14A8 E0BFFD17 +@14A9 10800017 +@14AA E0BFFD15 +@14AB E0FFFD17 +@14AC D0A00404 +@14AD 18BFCC1E +@14AE 0005883A +@14AF E037883A +@14B0 DFC00117 +@14B1 DF000017 +@14B2 DEC00204 +@14B3 F800283A +@14B4 DEFFFA04 +@14B5 DFC00515 +@14B6 DF000415 +@14B7 DC000315 +@14B8 DF000404 +@14B9 E13FFE15 +@14BA 00BFFA04 +@14BB E0BFFD15 +@14BC E03FFC15 +@14BD 00001D06 +@14BE 04000034 +@14BF 84182504 +@14C0 E0BFFC17 +@14C1 01400304 +@14C2 1009883A +@14C3 00031000 +@14C4 8085883A +@14C5 10800017 +@14C6 1000111E +@14C7 04000034 +@14C8 84182504 +@14C9 E0BFFC17 +@14CA 01400304 +@14CB 1009883A +@14CC 00031000 +@14CD 8085883A +@14CE E0FFFE17 +@14CF 10C00015 +@14D0 D0E00817 +@14D1 E0BFFC17 +@14D2 1880020E +@14D3 E0BFFC17 +@14D4 D0A00815 +@14D5 E0BFFC17 +@14D6 E0BFFD15 +@14D7 00000606 @14D8 E0BFFC17 -@14D9 1880020E -@14DA E0BFFC17 -@14DB D0A00815 -@14DC E0BFFC17 -@14DD E0BFFD15 -@14DE 00000606 -@14DF E0BFFC17 -@14E0 10800044 -@14E1 E0BFFC15 -@14E2 E0BFFC17 -@14E3 10800810 -@14E4 103FE01E -@14E5 E0BFFD17 -@14E6 E6FFFF04 -@14E7 DFC00217 -@14E8 DF000117 -@14E9 DC000017 -@14EA DEC00304 -@14EB F800283A -@14EC DEFFFE04 -@14ED DF000115 -@14EE DF000104 -@14EF E13FFF15 -@14F0 E0BFFF17 -@14F1 10BFFE84 -@14F2 10C00428 -@14F3 18001A1E -@14F4 100690BA -@14F5 00800034 -@14F6 1094FA04 -@14F7 1885883A -@14F8 10800017 -@14F9 1000683A -@14FA 00005428 -@14FB 00005428 -@14FC 00005438 -@14FD 00005438 -@14FE 00005438 -@14FF 00005428 -@1500 00005430 -@1501 00005438 -@1502 00005428 -@1503 00005428 -@1504 00005438 -@1505 00005428 -@1506 00005430 -@1507 00005438 -@1508 00005438 -@1509 00005428 -@150A 00800044 -@150B 00000306 -@150C 0005883A -@150D 00000106 -@150E 0005883A -@150F E037883A -@1510 DF000017 -@1511 DEC00104 -@1512 F800283A -@1513 200B883A -@1514 000F883A -@1515 000D883A -@1516 0009883A -@1517 00055141 -@1518 DEFFFE04 -@1519 000B883A -@151A DC000015 -@151B DFC00115 -@151C 2021883A -@151D 000562C0 -@151E 00800034 -@151F 109CA404 -@1520 11000017 -@1521 20800F17 -@1522 10000126 -@1523 103EE83A -@1524 8009883A -@1525 00057AC0 -@1526 01C000C4 -@1527 3980192E -@1528 2144B03A -@1529 11C4703A -@152A 10000F26 -@152B 20800003 -@152C 28C00003 -@152D 10C0151E -@152E 31BFFF84 -@152F 01FFFFC4 -@1530 00000406 -@1531 20800003 -@1532 28C00003 -@1533 31BFFFC4 -@1534 10C00E1E -@1535 21000044 -@1536 29400044 -@1537 31FFF91E -@1538 0005883A -@1539 F800283A -@153A 20C00017 -@153B 28800017 -@153C 18BFEE1E -@153D 31BFFF04 -@153E 21000104 -@153F 29400104 -@1540 39BFF936 -@1541 303FE91E -@1542 003FF506 -@1543 10C5C83A -@1544 F800283A -@1545 DEFFFA04 -@1546 DC000315 -@1547 04000034 -@1548 841CA404 -@1549 80C00017 -@154A DC400415 -@154B DFC00515 -@154C 18805217 -@154D 2023883A -@154E 10003726 -@154F 10C00117 -@1550 010007C4 -@1551 20C00E16 -@1552 1A000044 -@1553 8800221E -@1554 18C00084 -@1555 18C7883A -@1556 18C7883A -@1557 12000115 -@1558 10C7883A -@1559 19400015 -@155A 0005883A -@155B DFC00517 -@155C DC400417 -@155D DC000317 -@155E DEC00604 -@155F F800283A -@1560 00800034 -@1561 10800004 -@1562 10002626 -@1563 01006404 -@1564 D9400015 -@1565 D9800115 -@1566 D9C00215 -@1567 00000000 -@1568 D9400017 -@1569 D9800117 -@156A D9C00217 -@156B 10001D26 -@156C 81000017 -@156D 10000115 -@156E 02000044 -@156F 22405217 -@1570 0007883A -@1571 12400015 -@1572 20805215 -@1573 10006215 -@1574 10006315 -@1575 883FDE26 -@1576 18C9883A -@1577 2109883A -@1578 1109883A -@1579 21802215 -@157A 01800044 -@157B 12406217 -@157C 30CC983A -@157D 4992B03A -@157E 12406215 -@157F 21C04215 -@1580 01000084 -@1581 893FD21E -@1582 11006317 -@1583 218CB03A -@1584 11806315 -@1585 003FCE06 -@1586 18805304 -@1587 18805215 -@1588 003FC606 -@1589 00BFFFC4 -@158A 003FD006 -@158B DEFFF504 -@158C DF000915 -@158D DD400615 -@158E DC800315 -@158F DFC00A15 -@1590 DDC00815 -@1591 DD800715 -@1592 DD000515 -@1593 DCC00415 -@1594 DC400215 -@1595 DC000115 -@1596 D9000015 -@1597 2839883A -@1598 04800044 -@1599 057FFFC4 -@159A 00800034 -@159B 109CA404 -@159C 12000017 -@159D 45005217 -@159E 44C05204 -@159F A0001C26 -@15A0 A0800117 -@15A1 15FFFFC4 -@15A2 B8000D16 -@15A3 14000044 -@15A4 8421883A -@15A5 8421883A -@15A6 84402004 -@15A7 A463883A -@15A8 A421883A -@15A9 E0001E26 -@15AA 80804017 -@15AB E0801C26 -@15AC BDFFFFC4 -@15AD 843FFF04 -@15AE 8C7FFF04 -@15AF BD7FF91E -@15B0 00800034 -@15B1 10800004 -@15B2 10000926 -@15B3 A0800117 -@15B4 1000301E -@15B5 A0800017 -@15B6 10003226 -@15B7 A009883A -@15B8 98800015 -@15B9 00000000 -@15BA 9D000017 -@15BB A03FE41E -@15BC DFC00A17 -@15BD DF000917 -@15BE DDC00817 -@15BF DD800717 -@15C0 DD400617 -@15C1 DD000517 -@15C2 DCC00417 -@15C3 DC800317 -@15C4 DC400217 -@15C5 DC000117 -@15C6 DEC00B04 -@15C7 F800283A -@15C8 A0800117 -@15C9 80C00017 -@15CA 10BFFFC4 -@15CB 15C01426 -@15CC 80000015 -@15CD 183FDE26 -@15CE 95C8983A -@15CF A0806217 -@15D0 A5800117 -@15D1 2084703A -@15D2 10000B26 -@15D3 A0806317 -@15D4 2088703A -@15D5 20000C1E -@15D6 89400017 -@15D7 D9000017 -@15D8 183EE83A -@15D9 A0800117 -@15DA 15BFBF1E -@15DB 98800017 -@15DC 153FCF26 -@15DD 003FBC06 -@15DE 183EE83A -@15DF 003FF906 -@15E0 A5C00115 -@15E1 003FEB06 -@15E2 89000017 -@15E3 183EE83A -@15E4 003FF406 -@15E5 A0800017 -@15E6 A027883A -@15E7 1029883A -@15E8 003FB606 -@15E9 0005883A -@15EA 003FFB06 -@15EB DEFFFD04 -@15EC DF000215 -@15ED DF000204 -@15EE E13FFF15 -@15EF 0001883A -@15F0 E0BFFF17 -@15F1 E0BFFE15 -@15F2 E0BFFE17 -@15F3 10000226 -@15F4 002AF070 -@15F5 00000106 -@15F6 002AF0B0 -@15F7 003FFF06 +@14D9 10800044 +@14DA E0BFFC15 +@14DB E0BFFC17 +@14DC 10800810 +@14DD 103FE01E +@14DE E0BFFD17 +@14DF E6FFFF04 +@14E0 DFC00217 +@14E1 DF000117 +@14E2 DC000017 +@14E3 DEC00304 +@14E4 F800283A +@14E5 DEFFFE04 +@14E6 DF000115 +@14E7 DF000104 +@14E8 E13FFF15 +@14E9 E0BFFF17 +@14EA 10BFFE84 +@14EB 10C00428 +@14EC 18001A1E +@14ED 100690BA +@14EE 00800034 +@14EF 1094F304 +@14F0 1885883A +@14F1 10800017 +@14F2 1000683A +@14F3 0000540C +@14F4 0000540C +@14F5 0000541C +@14F6 0000541C +@14F7 0000541C +@14F8 0000540C +@14F9 00005414 +@14FA 0000541C +@14FB 0000540C +@14FC 0000540C +@14FD 0000541C +@14FE 0000540C +@14FF 00005414 +@1500 0000541C +@1501 0000541C +@1502 0000540C +@1503 00800044 +@1504 00000306 +@1505 0005883A +@1506 00000106 +@1507 0005883A +@1508 E037883A +@1509 DF000017 +@150A DEC00104 +@150B F800283A +@150C 200B883A +@150D 000F883A +@150E 000D883A +@150F 0009883A +@1510 00054F81 +@1511 DEFFFE04 +@1512 000B883A +@1513 DC000015 +@1514 DFC00115 +@1515 2021883A +@1516 00056100 +@1517 00800034 +@1518 109C9D04 +@1519 11000017 +@151A 20800F17 +@151B 10000126 +@151C 103EE83A +@151D 8009883A +@151E 00057900 +@151F 01C000C4 +@1520 3980192E +@1521 2144B03A +@1522 11C4703A +@1523 10000F26 +@1524 20800003 +@1525 28C00003 +@1526 10C0151E +@1527 31BFFF84 +@1528 01FFFFC4 +@1529 00000406 +@152A 20800003 +@152B 28C00003 +@152C 31BFFFC4 +@152D 10C00E1E +@152E 21000044 +@152F 29400044 +@1530 31FFF91E +@1531 0005883A +@1532 F800283A +@1533 20C00017 +@1534 28800017 +@1535 18BFEE1E +@1536 31BFFF04 +@1537 21000104 +@1538 29400104 +@1539 39BFF936 +@153A 303FE91E +@153B 003FF506 +@153C 10C5C83A +@153D F800283A +@153E DEFFFA04 +@153F DC000315 +@1540 04000034 +@1541 841C9D04 +@1542 80C00017 +@1543 DC400415 +@1544 DFC00515 +@1545 18805217 +@1546 2023883A +@1547 10003726 +@1548 10C00117 +@1549 010007C4 +@154A 20C00E16 +@154B 1A000044 +@154C 8800221E +@154D 18C00084 +@154E 18C7883A +@154F 18C7883A +@1550 12000115 +@1551 10C7883A +@1552 19400015 +@1553 0005883A +@1554 DFC00517 +@1555 DC400417 +@1556 DC000317 +@1557 DEC00604 +@1558 F800283A +@1559 00800034 +@155A 10800004 +@155B 10002626 +@155C 01006404 +@155D D9400015 +@155E D9800115 +@155F D9C00215 +@1560 00000000 +@1561 D9400017 +@1562 D9800117 +@1563 D9C00217 +@1564 10001D26 +@1565 81000017 +@1566 10000115 +@1567 02000044 +@1568 22405217 +@1569 0007883A +@156A 12400015 +@156B 20805215 +@156C 10006215 +@156D 10006315 +@156E 883FDE26 +@156F 18C9883A +@1570 2109883A +@1571 1109883A +@1572 21802215 +@1573 01800044 +@1574 12406217 +@1575 30CC983A +@1576 4992B03A +@1577 12406215 +@1578 21C04215 +@1579 01000084 +@157A 893FD21E +@157B 11006317 +@157C 218CB03A +@157D 11806315 +@157E 003FCE06 +@157F 18805304 +@1580 18805215 +@1581 003FC606 +@1582 00BFFFC4 +@1583 003FD006 +@1584 DEFFF504 +@1585 DF000915 +@1586 DD400615 +@1587 DC800315 +@1588 DFC00A15 +@1589 DDC00815 +@158A DD800715 +@158B DD000515 +@158C DCC00415 +@158D DC400215 +@158E DC000115 +@158F D9000015 +@1590 2839883A +@1591 04800044 +@1592 057FFFC4 +@1593 00800034 +@1594 109C9D04 +@1595 12000017 +@1596 45005217 +@1597 44C05204 +@1598 A0001C26 +@1599 A0800117 +@159A 15FFFFC4 +@159B B8000D16 +@159C 14000044 +@159D 8421883A +@159E 8421883A +@159F 84402004 +@15A0 A463883A +@15A1 A421883A +@15A2 E0001E26 +@15A3 80804017 +@15A4 E0801C26 +@15A5 BDFFFFC4 +@15A6 843FFF04 +@15A7 8C7FFF04 +@15A8 BD7FF91E +@15A9 00800034 +@15AA 10800004 +@15AB 10000926 +@15AC A0800117 +@15AD 1000301E +@15AE A0800017 +@15AF 10003226 +@15B0 A009883A +@15B1 98800015 +@15B2 00000000 +@15B3 9D000017 +@15B4 A03FE41E +@15B5 DFC00A17 +@15B6 DF000917 +@15B7 DDC00817 +@15B8 DD800717 +@15B9 DD400617 +@15BA DD000517 +@15BB DCC00417 +@15BC DC800317 +@15BD DC400217 +@15BE DC000117 +@15BF DEC00B04 +@15C0 F800283A +@15C1 A0800117 +@15C2 80C00017 +@15C3 10BFFFC4 +@15C4 15C01426 +@15C5 80000015 +@15C6 183FDE26 +@15C7 95C8983A +@15C8 A0806217 +@15C9 A5800117 +@15CA 2084703A +@15CB 10000B26 +@15CC A0806317 +@15CD 2088703A +@15CE 20000C1E +@15CF 89400017 +@15D0 D9000017 +@15D1 183EE83A +@15D2 A0800117 +@15D3 15BFBF1E +@15D4 98800017 +@15D5 153FCF26 +@15D6 003FBC06 +@15D7 183EE83A +@15D8 003FF906 +@15D9 A5C00115 +@15DA 003FEB06 +@15DB 89000017 +@15DC 183EE83A +@15DD 003FF406 +@15DE A0800017 +@15DF A027883A +@15E0 1029883A +@15E1 003FB606 +@15E2 0005883A +@15E3 003FFB06 +@15E4 DEFFFD04 +@15E5 DF000215 +@15E6 DF000204 +@15E7 E13FFF15 +@15E8 0001883A +@15E9 E0BFFF17 +@15EA E0BFFE15 +@15EB E0BFFE17 +@15EC 10000226 +@15ED 002AF070 +@15EE 00000106 +@15EF 002AF0B0 +@15F0 003FFF06 +@15F1 00000010 +@15F2 00000010 +@15F3 00000005 +@15F4 00000010 +@15F5 00000010 +@15F6 00000020 +@15F7 00000010 @15F8 00000010 -@15F9 00000010 -@15FA 00000005 -@15FB 00000010 -@15FC 00000010 -@15FD 00000020 -@15FE 00000010 -@15FF 00000010 -@1600 000000F1 -@1601 000000F1 -@1602 000000F1 -@1603 00000011 -@1604 000000D1 -@1605 000000F1 -@1606 000000F1 -@1607 00000011 -@1608 64616552 -@1609 00000079 -@160A 0000000A -@160B 00000043 +@15F9 000000F1 +@15FA 000000F1 +@15FB 000000F1 +@15FC 00000011 +@15FD 000000D1 +@15FE 000000F1 +@15FF 000000F1 +@1600 00000011 +@1601 64616552 +@1602 00000079 +@1603 0000000A +@1604 00000043 +@1605 7665642F +@1606 6C756E2F +@1607 0000006C +@1608 7665642F +@1609 61746A2F +@160A 61755F67 +@160B 00007472 @160C 7665642F -@160D 6C756E2F -@160E 0000006C -@160F 7665642F -@1610 61746A2F -@1611 61755F67 -@1612 00007472 -@1613 7665642F -@1614 61746A2F -@1615 61755F67 -@1616 00007472 +@160D 61746A2F +@160E 61755F67 +@160F 00007472 +@1610 00000000 +@1611 00005B2C +@1612 00005B94 +@1613 00005BFC +@1614 00000000 +@1615 00000000 +@1616 00000000 @1617 00000000 -@1618 00005B48 -@1619 00005BB0 -@161A 00005C18 +@1618 00000000 +@1619 00000000 +@161A 00000000 @161B 00000000 @161C 00000000 -@161D 00000000 +@161D 00005810 @161E 00000000 @161F 00000000 @1620 00000000 @1621 00000000 @1622 00000000 @1623 00000000 -@1624 0000582C +@1624 00000000 @1625 00000000 @1626 00000000 @1627 00000000 @@ -5687,19 +5687,19 @@ @1636 00000000 @1637 00000000 @1638 00000000 -@1639 00000000 +@1639 00000001 @163A 00000000 -@163B 00000000 -@163C 00000000 -@163D 00000000 -@163E 00000000 +@163B ABCD330E +@163C E66D1234 +@163D 0005DEEC +@163E 0000000B @163F 00000000 -@1640 00000001 +@1640 00000000 @1641 00000000 -@1642 ABCD330E -@1643 E66D1234 -@1644 0005DEEC -@1645 0000000B +@1642 00000000 +@1643 00000000 +@1644 00000000 +@1645 00000000 @1646 00000000 @1647 00000000 @1648 00000000 @@ -5913,286 +5913,286 @@ @1718 00000000 @1719 00000000 @171A 00000000 -@171B 00000000 -@171C 00000000 -@171D 00000000 -@171E 00000000 -@171F 00000000 -@1720 00000000 -@1721 00000000 -@1722 00005C80 -@1723 00005C80 -@1724 00005C88 -@1725 00005C88 -@1726 00005C90 -@1727 00005C90 -@1728 00005C98 -@1729 00005C98 -@172A 00005CA0 -@172B 00005CA0 -@172C 00005CA8 -@172D 00005CA8 -@172E 00005CB0 -@172F 00005CB0 -@1730 00005CB8 -@1731 00005CB8 -@1732 00005CC0 -@1733 00005CC0 -@1734 00005CC8 -@1735 00005CC8 -@1736 00005CD0 -@1737 00005CD0 -@1738 00005CD8 -@1739 00005CD8 -@173A 00005CE0 -@173B 00005CE0 -@173C 00005CE8 -@173D 00005CE8 -@173E 00005CF0 -@173F 00005CF0 -@1740 00005CF8 -@1741 00005CF8 -@1742 00005D00 -@1743 00005D00 -@1744 00005D08 -@1745 00005D08 -@1746 00005D10 -@1747 00005D10 -@1748 00005D18 -@1749 00005D18 -@174A 00005D20 -@174B 00005D20 -@174C 00005D28 -@174D 00005D28 -@174E 00005D30 -@174F 00005D30 -@1750 00005D38 -@1751 00005D38 -@1752 00005D40 -@1753 00005D40 -@1754 00005D48 -@1755 00005D48 -@1756 00005D50 -@1757 00005D50 -@1758 00005D58 -@1759 00005D58 -@175A 00005D60 -@175B 00005D60 -@175C 00005D68 -@175D 00005D68 -@175E 00005D70 -@175F 00005D70 -@1760 00005D78 -@1761 00005D78 -@1762 00005D80 -@1763 00005D80 -@1764 00005D88 -@1765 00005D88 -@1766 00005D90 -@1767 00005D90 -@1768 00005D98 -@1769 00005D98 -@176A 00005DA0 -@176B 00005DA0 -@176C 00005DA8 -@176D 00005DA8 -@176E 00005DB0 -@176F 00005DB0 -@1770 00005DB8 -@1771 00005DB8 -@1772 00005DC0 -@1773 00005DC0 -@1774 00005DC8 -@1775 00005DC8 -@1776 00005DD0 -@1777 00005DD0 -@1778 00005DD8 -@1779 00005DD8 -@177A 00005DE0 -@177B 00005DE0 -@177C 00005DE8 -@177D 00005DE8 -@177E 00005DF0 -@177F 00005DF0 -@1780 00005DF8 -@1781 00005DF8 -@1782 00005E00 -@1783 00005E00 -@1784 00005E08 -@1785 00005E08 -@1786 00005E10 -@1787 00005E10 -@1788 00005E18 -@1789 00005E18 -@178A 00005E20 -@178B 00005E20 -@178C 00005E28 -@178D 00005E28 -@178E 00005E30 -@178F 00005E30 -@1790 00005E38 -@1791 00005E38 -@1792 00005E40 -@1793 00005E40 -@1794 00005E48 -@1795 00005E48 -@1796 00005E50 -@1797 00005E50 -@1798 00005E58 -@1799 00005E58 -@179A 00005E60 -@179B 00005E60 -@179C 00005E68 -@179D 00005E68 -@179E 00005E70 -@179F 00005E70 -@17A0 00005E78 -@17A1 00005E78 -@17A2 00005E80 -@17A3 00005E80 -@17A4 00005E88 -@17A5 00005E88 -@17A6 00005E90 -@17A7 00005E90 -@17A8 00005E98 -@17A9 00005E98 -@17AA 00005EA0 -@17AB 00005EA0 -@17AC 00005EA8 -@17AD 00005EA8 -@17AE 00005EB0 -@17AF 00005EB0 -@17B0 00005EB8 -@17B1 00005EB8 -@17B2 00005EC0 -@17B3 00005EC0 -@17B4 00005EC8 -@17B5 00005EC8 -@17B6 00005ED0 -@17B7 00005ED0 -@17B8 00005ED8 -@17B9 00005ED8 -@17BA 00005EE0 -@17BB 00005EE0 -@17BC 00005EE8 -@17BD 00005EE8 -@17BE 00005EF0 -@17BF 00005EF0 -@17C0 00005EF8 -@17C1 00005EF8 -@17C2 00005F00 -@17C3 00005F00 -@17C4 00005F08 -@17C5 00005F08 -@17C6 00005F10 -@17C7 00005F10 -@17C8 00005F18 -@17C9 00005F18 -@17CA 00005F20 -@17CB 00005F20 -@17CC 00005F28 -@17CD 00005F28 -@17CE 00005F30 -@17CF 00005F30 -@17D0 00005F38 -@17D1 00005F38 -@17D2 00005F40 -@17D3 00005F40 -@17D4 00005F48 -@17D5 00005F48 -@17D6 00005F50 -@17D7 00005F50 -@17D8 00005F58 -@17D9 00005F58 -@17DA 00005F60 -@17DB 00005F60 -@17DC 00005F68 -@17DD 00005F68 -@17DE 00005F70 -@17DF 00005F70 -@17E0 00005F78 -@17E1 00005F78 -@17E2 00005F80 -@17E3 00005F80 -@17E4 00005F88 -@17E5 00005F88 -@17E6 00005F90 -@17E7 00005F90 -@17E8 00005F98 -@17E9 00005F98 -@17EA 00005FA0 -@17EB 00005FA0 -@17EC 00005FA8 -@17ED 00005FA8 -@17EE 00005FB0 -@17EF 00005FB0 -@17F0 00005FB8 -@17F1 00005FB8 -@17F2 00005FC0 -@17F3 00005FC0 -@17F4 00005FC8 -@17F5 00005FC8 -@17F6 00005FD0 -@17F7 00005FD0 -@17F8 00005FD8 -@17F9 00005FD8 -@17FA 00005FE0 -@17FB 00005FE0 -@17FC 00005FE8 -@17FD 00005FE8 -@17FE 00005FF0 -@17FF 00005FF0 -@1800 00005FF8 -@1801 00005FF8 -@1802 00006000 -@1803 00006000 -@1804 00006008 -@1805 00006008 -@1806 00006010 -@1807 00006010 -@1808 00006018 -@1809 00006018 -@180A 00006020 -@180B 00006020 -@180C 00006028 -@180D 00006028 -@180E 00006030 -@180F 00006030 -@1810 00006038 -@1811 00006038 -@1812 00006040 -@1813 00006040 -@1814 00006048 -@1815 00006048 -@1816 00006050 -@1817 00006050 -@1818 00006058 -@1819 00006058 -@181A 00006060 -@181B 00006060 -@181C 00006068 -@181D 00006068 -@181E 00006070 -@181F 00006070 -@1820 00006078 -@1821 00006078 -@1822 000072A8 -@1823 000072A8 -@1824 00005830 -@1825 00000000 +@171B 00005C64 +@171C 00005C64 +@171D 00005C6C +@171E 00005C6C +@171F 00005C74 +@1720 00005C74 +@1721 00005C7C +@1722 00005C7C +@1723 00005C84 +@1724 00005C84 +@1725 00005C8C +@1726 00005C8C +@1727 00005C94 +@1728 00005C94 +@1729 00005C9C +@172A 00005C9C +@172B 00005CA4 +@172C 00005CA4 +@172D 00005CAC +@172E 00005CAC +@172F 00005CB4 +@1730 00005CB4 +@1731 00005CBC +@1732 00005CBC +@1733 00005CC4 +@1734 00005CC4 +@1735 00005CCC +@1736 00005CCC +@1737 00005CD4 +@1738 00005CD4 +@1739 00005CDC +@173A 00005CDC +@173B 00005CE4 +@173C 00005CE4 +@173D 00005CEC +@173E 00005CEC +@173F 00005CF4 +@1740 00005CF4 +@1741 00005CFC +@1742 00005CFC +@1743 00005D04 +@1744 00005D04 +@1745 00005D0C +@1746 00005D0C +@1747 00005D14 +@1748 00005D14 +@1749 00005D1C +@174A 00005D1C +@174B 00005D24 +@174C 00005D24 +@174D 00005D2C +@174E 00005D2C +@174F 00005D34 +@1750 00005D34 +@1751 00005D3C +@1752 00005D3C +@1753 00005D44 +@1754 00005D44 +@1755 00005D4C +@1756 00005D4C +@1757 00005D54 +@1758 00005D54 +@1759 00005D5C +@175A 00005D5C +@175B 00005D64 +@175C 00005D64 +@175D 00005D6C +@175E 00005D6C +@175F 00005D74 +@1760 00005D74 +@1761 00005D7C +@1762 00005D7C +@1763 00005D84 +@1764 00005D84 +@1765 00005D8C +@1766 00005D8C +@1767 00005D94 +@1768 00005D94 +@1769 00005D9C +@176A 00005D9C +@176B 00005DA4 +@176C 00005DA4 +@176D 00005DAC +@176E 00005DAC +@176F 00005DB4 +@1770 00005DB4 +@1771 00005DBC +@1772 00005DBC +@1773 00005DC4 +@1774 00005DC4 +@1775 00005DCC +@1776 00005DCC +@1777 00005DD4 +@1778 00005DD4 +@1779 00005DDC +@177A 00005DDC +@177B 00005DE4 +@177C 00005DE4 +@177D 00005DEC +@177E 00005DEC +@177F 00005DF4 +@1780 00005DF4 +@1781 00005DFC +@1782 00005DFC +@1783 00005E04 +@1784 00005E04 +@1785 00005E0C +@1786 00005E0C +@1787 00005E14 +@1788 00005E14 +@1789 00005E1C +@178A 00005E1C +@178B 00005E24 +@178C 00005E24 +@178D 00005E2C +@178E 00005E2C +@178F 00005E34 +@1790 00005E34 +@1791 00005E3C +@1792 00005E3C +@1793 00005E44 +@1794 00005E44 +@1795 00005E4C +@1796 00005E4C +@1797 00005E54 +@1798 00005E54 +@1799 00005E5C +@179A 00005E5C +@179B 00005E64 +@179C 00005E64 +@179D 00005E6C +@179E 00005E6C +@179F 00005E74 +@17A0 00005E74 +@17A1 00005E7C +@17A2 00005E7C +@17A3 00005E84 +@17A4 00005E84 +@17A5 00005E8C +@17A6 00005E8C +@17A7 00005E94 +@17A8 00005E94 +@17A9 00005E9C +@17AA 00005E9C +@17AB 00005EA4 +@17AC 00005EA4 +@17AD 00005EAC +@17AE 00005EAC +@17AF 00005EB4 +@17B0 00005EB4 +@17B1 00005EBC +@17B2 00005EBC +@17B3 00005EC4 +@17B4 00005EC4 +@17B5 00005ECC +@17B6 00005ECC +@17B7 00005ED4 +@17B8 00005ED4 +@17B9 00005EDC +@17BA 00005EDC +@17BB 00005EE4 +@17BC 00005EE4 +@17BD 00005EEC +@17BE 00005EEC +@17BF 00005EF4 +@17C0 00005EF4 +@17C1 00005EFC +@17C2 00005EFC +@17C3 00005F04 +@17C4 00005F04 +@17C5 00005F0C +@17C6 00005F0C +@17C7 00005F14 +@17C8 00005F14 +@17C9 00005F1C +@17CA 00005F1C +@17CB 00005F24 +@17CC 00005F24 +@17CD 00005F2C +@17CE 00005F2C +@17CF 00005F34 +@17D0 00005F34 +@17D1 00005F3C +@17D2 00005F3C +@17D3 00005F44 +@17D4 00005F44 +@17D5 00005F4C +@17D6 00005F4C +@17D7 00005F54 +@17D8 00005F54 +@17D9 00005F5C +@17DA 00005F5C +@17DB 00005F64 +@17DC 00005F64 +@17DD 00005F6C +@17DE 00005F6C +@17DF 00005F74 +@17E0 00005F74 +@17E1 00005F7C +@17E2 00005F7C +@17E3 00005F84 +@17E4 00005F84 +@17E5 00005F8C +@17E6 00005F8C +@17E7 00005F94 +@17E8 00005F94 +@17E9 00005F9C +@17EA 00005F9C +@17EB 00005FA4 +@17EC 00005FA4 +@17ED 00005FAC +@17EE 00005FAC +@17EF 00005FB4 +@17F0 00005FB4 +@17F1 00005FBC +@17F2 00005FBC +@17F3 00005FC4 +@17F4 00005FC4 +@17F5 00005FCC +@17F6 00005FCC +@17F7 00005FD4 +@17F8 00005FD4 +@17F9 00005FDC +@17FA 00005FDC +@17FB 00005FE4 +@17FC 00005FE4 +@17FD 00005FEC +@17FE 00005FEC +@17FF 00005FF4 +@1800 00005FF4 +@1801 00005FFC +@1802 00005FFC +@1803 00006004 +@1804 00006004 +@1805 0000600C +@1806 0000600C +@1807 00006014 +@1808 00006014 +@1809 0000601C +@180A 0000601C +@180B 00006024 +@180C 00006024 +@180D 0000602C +@180E 0000602C +@180F 00006034 +@1810 00006034 +@1811 0000603C +@1812 0000603C +@1813 00006044 +@1814 00006044 +@1815 0000604C +@1816 0000604C +@1817 00006054 +@1818 00006054 +@1819 0000605C +@181A 0000605C +@181B 0000728C +@181C 0000728C +@181D 00005814 +@181E 00000000 +@181F 00000000 +@1820 00000000 +@1821 00003264 +@1822 00000000 +@1823 00000000 +@1824 00000000 +@1825 0000606C @1826 00000000 @1827 00000000 -@1828 00003280 +@1828 0000606C @1829 00000000 @182A 00000000 -@182B 00000000 -@182C 00006088 +@182B 0000606C +@182C 00000000 @182D 00000000 @182E 00000000 -@182F 00006088 +@182F 00000000 @1830 00000000 @1831 00000000 -@1832 00006088 +@1832 00000000 @1833 00000000 @1834 00000000 @1835 00000000 @@ -6277,23 +6277,23 @@ @1884 00000000 @1885 00000000 @1886 00000000 -@1887 00000000 +@1887 00005830 @1888 00000000 -@1889 00000000 -@188A 00000000 -@188B 00000000 +@1889 00003B84 +@188A 00003AC4 +@188B 00003B24 @188C 00000000 @188D 00000000 -@188E 0000584C -@188F 00000000 -@1890 00003BA0 -@1891 00003AE0 -@1892 00003B40 +@188E 00003BD4 +@188F 00021068 +@1890 0000000A +@1891 00000000 +@1892 00000000 @1893 00000000 @1894 00000000 -@1895 00003BF0 -@1896 00021068 -@1897 0000000A +@1895 00000000 +@1896 00000000 +@1897 00000000 @1898 00000000 @1899 00000000 @189A 00000000 @@ -7323,24 +7323,17 @@ @1C9A 00000000 @1C9B 00000000 @1C9C 00000000 -@1C9D 00000000 -@1C9E 00000000 -@1C9F 00000000 -@1CA0 00000000 -@1CA1 00000000 -@1CA2 00000000 -@1CA3 00000000 -@1CA4 0000585C -@1CA5 0000585C -@1CA6 FFFFFFFF -@1CA7 00020000 -@1CA8 000072A0 -@1CA9 000072A0 -@1CAA 00006088 -@1CAB 00006088 -@1CAC FFFFFFFF -@1CAD 00000000 -@1CAE 0000741C -@1CAF FFFFFFFF -@1CB0 000072C0 -@1CB1 000072C0 +@1C9D 00005840 +@1C9E 00005840 +@1C9F FFFFFFFF +@1CA0 00020000 +@1CA1 00007284 +@1CA2 00007284 +@1CA3 0000606C +@1CA4 0000606C +@1CA5 FFFFFFFF +@1CA6 00000000 +@1CA7 00007400 +@1CA8 FFFFFFFF +@1CA9 000072A4 +@1CAA 000072A4 diff --git a/Top/software/semafor/mem_init/hdl_sim/niosII_mem.sym b/Top/software/semafor/mem_init/hdl_sim/niosII_mem.sym index 6bca76e..2eee579 100644 --- a/Top/software/semafor/mem_init/hdl_sim/niosII_mem.sym +++ b/Top/software/semafor/mem_init/hdl_sim/niosII_mem.sym @@ -7,163 +7,163 @@ 00000230 T _start 00000244 t alt_after_alt_main 00000248 T main -00000338 T _puts_r -000003f8 T puts -0000040c T strlen -000004a4 t __fp_unlock -000004ac T _cleanup_r -000004b8 t __sinit.part.1 -00000654 t __fp_lock -0000065c T __sfmoreglue -000006d4 T __sfp -000007ec T _cleanup -00000804 T __sinit -00000814 T __sfp_lock_acquire -00000818 T __sfp_lock_release -0000081c T __sinit_lock_acquire -00000820 T __sinit_lock_release -00000824 T __fp_lock_all -0000083c T __fp_unlock_all -00000854 T __sfvwrite_r -00000d1c T _fwalk -00000de0 T _fwalk_reent -00000ea4 T _malloc_r -000016b0 T memchr -00001794 T memcpy -000018dc T memmove -00001a38 T memset -00001b60 T _realloc_r -000020c4 T _sbrk_r -00002118 T __sread -0000216c T __seofread -00002174 T __swrite -000021f0 T __sseek -0000224c T __sclose -00002254 T _write_r -000022b4 T __swsetup_r -00002408 T _close_r -0000245c T _fclose_r -0000254c T fclose -00002560 T __sflush_r -0000277c T _fflush_r -000027d8 T fflush -00002808 T _malloc_trim_r -0000292c T _free_r -00002c3c T _lseek_r -00002c9c T __smakebuf_r -00002e58 T _read_r -00002eb8 T _fstat_r -00002f14 T _isatty_r -00002f68 T __divsi3 -00002fec T __modsi3 -00003060 T __udivsi3 -000030c4 T __umodsi3 -0000311c T __mulsi3 -00003144 t alt_get_errno -00003180 T close -00003258 T alt_dcache_flush -00003280 t alt_dev_null_write -000032ac t alt_get_errno -000032e8 T fstat -000033a0 t alt_get_errno -000033dc T isatty -00003488 t alt_get_errno -000034c4 T lseek -000035a0 T alt_main -0000361c T __malloc_lock -00003640 T __malloc_unlock -00003664 t alt_get_errno -000036a0 T read -000037a4 T alt_release_fd -00003828 T sbrk -000038d8 t alt_get_errno -00003914 T write -00003a14 t alt_dev_reg -00003a48 T alt_irq_init -00003a80 T alt_sys_init -00003ae0 T altera_avalon_jtag_uart_read_fd -00003b40 T altera_avalon_jtag_uart_write_fd -00003ba0 T altera_avalon_jtag_uart_close_fd -00003bf0 T altera_avalon_jtag_uart_ioctl_fd -00003c44 T altera_avalon_jtag_uart_init -00003d04 t altera_avalon_jtag_uart_irq -00003f10 t altera_avalon_jtag_uart_timeout -00003fb0 T altera_avalon_jtag_uart_close -00004018 T altera_avalon_jtag_uart_ioctl -00004108 T altera_avalon_jtag_uart_read -00004324 T altera_avalon_jtag_uart_write -00004548 t alt_avalon_timer_sc_irq -000045c0 T alt_avalon_timer_sc_init -0000463c T alt_alarm_start -00004768 t alt_get_errno -000047a4 T alt_dev_llist_insert -00004848 T _do_ctors -000048a8 T _do_dtors -00004908 T alt_ic_isr_register -00004958 T alt_ic_irq_enable -000049e0 T alt_ic_irq_disable -00004a6c T alt_ic_irq_enabled -00004ab8 T alt_iic_isr_register -00004ba8 t alt_open_fd -00004c8c T alt_io_redirect -00004d08 t alt_get_errno -00004d44 t alt_file_locked -00004e30 T open -00004f8c T alt_alarm_stop -00005028 T alt_tick -00005130 T altera_nios2_gen2_irq_init -00005154 T alt_find_dev -000051e4 T alt_find_file -000052ec T alt_get_fd -000053b0 T alt_exception_cause_generated_bad_addr -0000544c T atexit -00005460 T exit -00005498 T memcmp -00005514 T __register_exitproc -0000562c T __call_exitprocs -000057ac T _exit -000057e0 A __CTOR_END__ -000057e0 A __CTOR_LIST__ -000057e0 A __DTOR_END__ -000057e0 A __DTOR_LIST__ -000057e0 R divisors -0000585c g impure_data -00005c80 G __malloc_av_ -00006088 G alt_dev_null -000060b0 G alt_fd_list -00006230 g jtag_uart -00007290 G _global_impure_ptr -00007294 G _impure_ptr -00007298 G __malloc_sbrk_base -0000729c G __malloc_trim_threshold -000072a0 G alt_fs_list -000072a8 G alt_dev_list -000072b0 G alt_max_fd -000072b4 G alt_errno -000072b8 g heap_end -000072bc G alt_priority_mask -000072c0 G alt_alarm_list -000072c8 A __bss_start -000072c8 B __malloc_max_total_mem -000072c8 A _edata -000072cc B __malloc_max_sbrked_mem -000072d0 B __malloc_top_pad -000072d4 B errno -000072d8 B alt_argc -000072dc B alt_argv -000072e0 B alt_envp -000072e4 B alt_irq_active -000072e8 B _alt_tick_rate -000072ec B _alt_nticks -000072f0 B alt_instruction_exception_handler -000072f4 B __malloc_current_mallinfo -0000731c B alt_irq -0000741c A __alt_heap_start -0000741c A __alt_stack_base -0000741c A __bss_end -0000741c A _end -0000741c A end -0000f290 A _gp +0000031c T _puts_r +000003dc T puts +000003f0 T strlen +00000488 t __fp_unlock +00000490 T _cleanup_r +0000049c t __sinit.part.1 +00000638 t __fp_lock +00000640 T __sfmoreglue +000006b8 T __sfp +000007d0 T _cleanup +000007e8 T __sinit +000007f8 T __sfp_lock_acquire +000007fc T __sfp_lock_release +00000800 T __sinit_lock_acquire +00000804 T __sinit_lock_release +00000808 T __fp_lock_all +00000820 T __fp_unlock_all +00000838 T __sfvwrite_r +00000d00 T _fwalk +00000dc4 T _fwalk_reent +00000e88 T _malloc_r +00001694 T memchr +00001778 T memcpy +000018c0 T memmove +00001a1c T memset +00001b44 T _realloc_r +000020a8 T _sbrk_r +000020fc T __sread +00002150 T __seofread +00002158 T __swrite +000021d4 T __sseek +00002230 T __sclose +00002238 T _write_r +00002298 T __swsetup_r +000023ec T _close_r +00002440 T _fclose_r +00002530 T fclose +00002544 T __sflush_r +00002760 T _fflush_r +000027bc T fflush +000027ec T _malloc_trim_r +00002910 T _free_r +00002c20 T _lseek_r +00002c80 T __smakebuf_r +00002e3c T _read_r +00002e9c T _fstat_r +00002ef8 T _isatty_r +00002f4c T __divsi3 +00002fd0 T __modsi3 +00003044 T __udivsi3 +000030a8 T __umodsi3 +00003100 T __mulsi3 +00003128 t alt_get_errno +00003164 T close +0000323c T alt_dcache_flush +00003264 t alt_dev_null_write +00003290 t alt_get_errno +000032cc T fstat +00003384 t alt_get_errno +000033c0 T isatty +0000346c t alt_get_errno +000034a8 T lseek +00003584 T alt_main +00003600 T __malloc_lock +00003624 T __malloc_unlock +00003648 t alt_get_errno +00003684 T read +00003788 T alt_release_fd +0000380c T sbrk +000038bc t alt_get_errno +000038f8 T write +000039f8 t alt_dev_reg +00003a2c T alt_irq_init +00003a64 T alt_sys_init +00003ac4 T altera_avalon_jtag_uart_read_fd +00003b24 T altera_avalon_jtag_uart_write_fd +00003b84 T altera_avalon_jtag_uart_close_fd +00003bd4 T altera_avalon_jtag_uart_ioctl_fd +00003c28 T altera_avalon_jtag_uart_init +00003ce8 t altera_avalon_jtag_uart_irq +00003ef4 t altera_avalon_jtag_uart_timeout +00003f94 T altera_avalon_jtag_uart_close +00003ffc T altera_avalon_jtag_uart_ioctl +000040ec T altera_avalon_jtag_uart_read +00004308 T altera_avalon_jtag_uart_write +0000452c t alt_avalon_timer_sc_irq +000045a4 T alt_avalon_timer_sc_init +00004620 T alt_alarm_start +0000474c t alt_get_errno +00004788 T alt_dev_llist_insert +0000482c T _do_ctors +0000488c T _do_dtors +000048ec T alt_ic_isr_register +0000493c T alt_ic_irq_enable +000049c4 T alt_ic_irq_disable +00004a50 T alt_ic_irq_enabled +00004a9c T alt_iic_isr_register +00004b8c t alt_open_fd +00004c70 T alt_io_redirect +00004cec t alt_get_errno +00004d28 t alt_file_locked +00004e14 T open +00004f70 T alt_alarm_stop +0000500c T alt_tick +00005114 T altera_nios2_gen2_irq_init +00005138 T alt_find_dev +000051c8 T alt_find_file +000052d0 T alt_get_fd +00005394 T alt_exception_cause_generated_bad_addr +00005430 T atexit +00005444 T exit +0000547c T memcmp +000054f8 T __register_exitproc +00005610 T __call_exitprocs +00005790 T _exit +000057c4 A __CTOR_END__ +000057c4 A __CTOR_LIST__ +000057c4 A __DTOR_END__ +000057c4 A __DTOR_LIST__ +000057c4 R divisors +00005840 g impure_data +00005c64 G __malloc_av_ +0000606c G alt_dev_null +00006094 G alt_fd_list +00006214 g jtag_uart +00007274 G _global_impure_ptr +00007278 G _impure_ptr +0000727c G __malloc_sbrk_base +00007280 G __malloc_trim_threshold +00007284 G alt_fs_list +0000728c G alt_dev_list +00007294 G alt_max_fd +00007298 G alt_errno +0000729c g heap_end +000072a0 G alt_priority_mask +000072a4 G alt_alarm_list +000072ac A __bss_start +000072ac B __malloc_max_total_mem +000072ac A _edata +000072b0 B __malloc_max_sbrked_mem +000072b4 B __malloc_top_pad +000072b8 B errno +000072bc B alt_argc +000072c0 B alt_argv +000072c4 B alt_envp +000072c8 B alt_irq_active +000072cc B _alt_tick_rate +000072d0 B _alt_nticks +000072d4 B alt_instruction_exception_handler +000072d8 B __malloc_current_mallinfo +00007300 B alt_irq +00007400 A __alt_heap_start +00007400 A __alt_stack_base +00007400 A __bss_end +00007400 A _end +00007400 A end +0000f274 A _gp 00020000 A __alt_data_end 00020000 A __alt_heap_limit 00020000 A __alt_stack_pointer diff --git a/Top/software/semafor/mem_init/niosII_mem.hex b/Top/software/semafor/mem_init/niosII_mem.hex index e301f0d..74c1928 100644 --- a/Top/software/semafor/mem_init/niosII_mem.hex +++ b/Top/software/semafor/mem_init/niosII_mem.hex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diff --git a/Top/software/semafor/obj/default/runtime/sim/mentor/wave.do b/Top/software/semafor/obj/default/runtime/sim/mentor/wave.do index 922670d..7e0b0ff 100644 --- a/Top/software/semafor/obj/default/runtime/sim/mentor/wave.do +++ b/Top/software/semafor/obj/default/runtime/sim/mentor/wave.do @@ -20,18 +20,18 @@ add wave -noupdate /niosII_tb/niosii_inst/sem/ctl_addr add wave -noupdate /niosII_tb/niosii_inst/sem/ctl_wrdata add wave -noupdate /niosII_tb/niosii_inst/sem/ctl_rddata add wave -noupdate /niosII_tb/niosii_inst/sem/ram_wr -add wave -noupdate /niosII_tb/niosii_inst/sem/ram_addr -add wave -noupdate /niosII_tb/niosii_inst/sem/ram_wrdata +add wave -noupdate -radix unsigned /niosII_tb/niosii_inst/sem/ram_addr +add wave -noupdate -radix hexadecimal /niosII_tb/niosii_inst/sem/ram_wrdata add wave -noupdate /niosII_tb/niosii_inst/sem/train add wave -noupdate /niosII_tb/niosii_inst/sem/red add wave -noupdate /niosII_tb/niosii_inst/sem/yellow add wave -noupdate /niosII_tb/niosii_inst/sem/green add wave -noupdate /niosII_tb/niosii_inst/sem/run add wave -noupdate /niosII_tb/niosii_inst/sem/divider -add wave -noupdate /niosII_tb/niosii_inst/sem/divisor +add wave -noupdate -radix hexadecimal /niosII_tb/niosii_inst/sem/divisor add wave -noupdate /niosII_tb/niosii_inst/sem/contr add wave -noupdate /niosII_tb/niosii_inst/sem/colors -add wave -noupdate /niosII_tb/niosii_inst/sem/cntdiv +add wave -noupdate -radix hexadecimal /niosII_tb/niosii_inst/sem/cntdiv add wave -noupdate /niosII_tb/niosii_inst/sem/enacnt add wave -noupdate -divider jtag add wave -noupdate /niosII_tb/niosii_inst/jtag_uart/av_irq @@ -43,7 +43,7 @@ add wave -noupdate /niosII_tb/niosii_inst/jtag_uart/av_read_n add wave -noupdate /niosII_tb/niosii_inst/jtag_uart/av_write_n add wave -noupdate /niosII_tb/niosii_inst/jtag_uart/av_writedata TreeUpdate [SetDefaultTree] -WaveRestoreCursors {{Cursor 1} {22 ps} 0} +WaveRestoreCursors {{Cursor 1} {799999523 ps} 0} quietly wave cursor active 1 configure wave -namecolwidth 275 configure wave -valuecolwidth 100 @@ -59,6 +59,6 @@ configure wave -griddelta 40 configure wave -timeline 0 configure wave -timelineunits ns update -WaveRestoreZoom {0 ps} {662 ps} -run 800us \ No newline at end of file +run 800us +wave zoom full \ No newline at end of file diff --git a/Top/software/semafor/sem.c b/Top/software/semafor/sem.c index 77c8c88..8955107 100644 --- a/Top/software/semafor/sem.c +++ b/Top/software/semafor/sem.c @@ -21,16 +21,15 @@ int main() //program divisors p = (alt_u32*) SEM_RAM_SLAVE_BASE; for (i = 0; i < TIME_SETS; i++) { - tmp = 0; for (j = TIME_STATES; j > 0; j--) { - tmp = (tmp << 32) | divisors[i][j - 1]; + *p = divisors[i][j - 1]; + alt_dcache_flush(); + ++p; } - *p = tmp; - p++; } //since we use pointers (cached data access) to write divisor RAM, //and not direct i/o access with IOWR, we need to flush cache - alt_dcache_flush(); + // alt_dcache_flush(); //select timeset and run semafor IOWR_ALTERA_AVALON_SEM_DIVSET(SEM_CTL_SLAVE_BASE,0x00); diff --git a/Top/software/semafor_bsp/.settings/language.settings.xml b/Top/software/semafor_bsp/.settings/language.settings.xml index 8c49b53..60c6895 100644 --- a/Top/software/semafor_bsp/.settings/language.settings.xml +++ b/Top/software/semafor_bsp/.settings/language.settings.xml @@ -2,7 +2,7 @@ - + diff --git a/Top/software/semafor_bsp/libhal_bsp.a b/Top/software/semafor_bsp/libhal_bsp.a index 63131b7..13b411d 100644 Binary files a/Top/software/semafor_bsp/libhal_bsp.a and b/Top/software/semafor_bsp/libhal_bsp.a differ diff --git a/Top/software/semafor_bsp/settings.bsp b/Top/software/semafor_bsp/settings.bsp index ac01443..58f5b5f 100644 --- a/Top/software/semafor_bsp/settings.bsp +++ b/Top/software/semafor_bsp/settings.bsp @@ -2,11 +2,11 @@ hal default - 24.12.2022 2:19:40 - 1671833980256 - C:\Software\FPGA\iu3-31m\Lab2\Top\software\semafor_bsp + Jan 17, 2023 7:10:10 PM + 1673968210656 + /home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/Top/software/semafor_bsp settings.bsp - ..\..\niosII.sopcinfo + ../../niosII.sopcinfo default cpu 1.9 diff --git a/Top/software/semafor_bsp/summary.html b/Top/software/semafor_bsp/summary.html index 6387456..e1d492f 100644 --- a/Top/software/semafor_bsp/summary.html +++ b/Top/software/semafor_bsp/summary.html @@ -7,7 +7,7 @@ BSP Type:hal -SOPC Design File:..\..\niosII.sopcinfo +SOPC Design File:../../niosII.sopcinfo Quartus JDI File:default @@ -22,13 +22,13 @@ BSP Version:default -BSP Generated On:24.12.2022 2:19:40 +BSP Generated On:Jan 17, 2023 7:10:10 PM -BSP Generated Timestamp:1671833980256 +BSP Generated Timestamp:1673968210656 -BSP Generated Location:C:\Software\FPGA\iu3-31m\Lab2\Top\software\semafor_bsp +BSP Generated Location:/home/ovchinnikov_ii@RISDE.ru/Documents/Lab2/Top/software/semafor_bsp