ordered lectures

This commit is contained in:
Ivan I. Ovchinnikov 2023-02-13 17:14:00 +03:00
parent 0ad282326c
commit 5503215030
9 changed files with 2561 additions and 194 deletions

View File

@ -1,8 +1,8 @@
\documentclass[a4paper,fontsize=14bp]{article} \documentclass[a4paper,fontsize=14bp]{article}
\input{../common-preamble} \input{settings/common-preamble}
\input{../fancy-listings-preamble} \input{settings/fancy-listings-preamble}
\input{../bmstu-preamble} \input{settings/bmstu-preamble}
\setcounter{secnumdepth}{4} \setcounter{secnumdepth}{4}
\numerationTop \numerationTop
@ -48,12 +48,11 @@
\[ [31, 44, 216, 0, 132, 68, 18, 100]. \] \[ [31, 44, 216, 0, 132, 68, 18, 100]. \]
Алгоритм выполняется в несколько шагов: Изначально был применён алгоритм комбинационного поиска медианы для 8 значений:
\begin{enumerate} \begin{enumerate}
\item сравнение соседних значений в ряду парами; \item сравнение соседних значений в ряду парами;
\item меньшие значения сравнить с меньшими значениями пар, а большие с большими; \item меньшие значения сравнить с меньшими значениями пар, а большие с большими;
\item взять меньшую из больших полученных пар и б\'{о}льшую из меньших, также сравнить меньшие с меньшими и б\'{о}льшие с б\'{о}льшими; \item взять меньшую из больших полученных пар и б\'{о}льшую из меньших, также сравнить меньшие с меньшими и б\'{о}льшие с б\'{о}льшими;
\item выявить меньшее из меньшей пары, полученной в п. 2 и большее из большей.
\end{enumerate} \end{enumerate}
\begin{equation*} \begin{equation*}
\begin{gathered} \begin{gathered}
@ -62,93 +61,63 @@
\begin{bmatrix} \begin{bmatrix}
31, 44 \\ 0, 216 \\ 68, 132 \\ 18, 100 31, 44 \\ 0, 216 \\ 68, 132 \\ 18, 100
\end{bmatrix} \end{bmatrix}
\to \\ \to
\begin{bmatrix} \begin{bmatrix}
0, 31 \\ 18, 68 \\ 44, 216 \\ 100, 132 0, 31 \\ 18, 68 \\ 44, 216 \\ 100, 132
\end{bmatrix} \end{bmatrix}
\\ \to \to
\begin{bmatrix} \begin{bmatrix}
44, 100 \\ 31, 68 44, 100 \\ 31, 68
\end{bmatrix} \end{bmatrix}
\\ \to [31, 44, 68, 100] \to 44, 68; \\ \to [31, 44, 68, 100] \to 44, 68;
\\ \to [0, 18], [132, 216] \to 0, 216.
\end{gathered} \end{gathered}
\end{equation*} \end{equation*}
Из приведённых вычислений очевидно, что исходное множество содержит: Из приведённых вычислений очевидно, что:
\begin{itemize} \begin{itemize}
\item минимум = 0;
\item максимум = 216;
\item нижняя медиана = 44 \item нижняя медиана = 44
\item верхняя медиана = 68. \item верхняя медиана = 68.
\end{itemize} \end{itemize}
Для реализации данного алгоритма был описан вспомогательный модуль на языке Verilog, возвращающий меньшее и большее из двух входящих чисел. Однако, дополнительные тесты показали, что алгоритм работает не для всех возможных вариантов начального распределения значений во множестве. Исходные коды модуля \hrf{lst:mediancomb} и вспомогательного \hrf{lst:lessmore} приведены в приложении \hrf{appendix:src}.
\begin{lstlisting}[language=Verilog,style=VerilogStyle] \begin{lstlisting}[language=Verilog,style=VerilogStyle,caption={\code{minmedmax.sv}},label={lst:mmm}]
module lessmore ( module minmedmax
input [7:0] in1, (
input [7:0] in2, input clk, reset,
output logic [7:0] less, input [31:0] in1, in2,
output logic [7:0] more output reg [31:0] result
); );
logic [7:0] temp [0:7];
integer status [0:7];
assign temp = '{in1[31:24], in1[23:16], in1[15:8], in1[7:0], in2[31:24], in2[23:16], in2[15:8], in2[7:0]};
integer i, s, mini, maxi;
always_comb begin always_comb begin
if (in1 < in2) begin for (s = 0; s < 6; s = s + 1) begin
less = in1; if (s == 0) begin status = '{0,0,0,0,0,0,0,0}; end
more = in2; mini = 0; maxi = 0;
end else begin for (i = 0; i < 8; i = i + 1) begin
less = in2; if ((temp[i] <= temp[mini] || status[mini] == 1) && status[i] != 1) mini = i;
more = in1; if ((temp[i] >= temp[maxi] || status[maxi] == 1) && status[i] != 1) maxi = i;
end end
status[mini] = 1;
if (s == 0) begin
result[31:24] = temp[maxi];
result[7:0] = temp[mini];
status[maxi] = 1;
end end
if (s == 3) begin result[15:8] = temp[mini]; end
if (s == 4) begin result[23:16] = temp[mini]; end
if (s == 5) begin status = '{0,0,0,0,0,0,0,0}; end
end
end // always_comb
endmodule endmodule
\end{lstlisting} \end{lstlisting}
Сам же алгоритм реализован в несколько «шагов», представляющих собой слои комбинационной логики Конечный вариант модуля вычисления медиан, минимума и максимума представлен в листинге \hrf{lst:mmm}. Модуль осуществляет проход по сформированной шине из 8-разрядных значений, являющихся результатом конкатенации двух входящих 32-разрядных значений. Создаётся вспомогательный массив для отметок о проверке значения. Основной цикл опирается на сведения о том, что значений всегда восемь, поэтому итераций внешнего цикла нужно шесть (на первой будет найден минимум и максимум, на четвёртом и пятом - медианы, на шестом очищена сервисная шина). Основной цикл проходит по всей 64-разрядной временной шине и выставляет флаги минимума и максимума по следующему условию: \textit{проверяемый} элемент минимальный (максимальный), если он меньше (больше) \textit{найденного} на предыдущем шаге минимального (максимального) элемента или \textit{найденный} уже проверен\footnote{условие добавлено для первой итерации цикла в случаях, когда первой элемент является минимальным или максимальным.} и если проверяемый элемент не был проверен ранее.
\begin{lstlisting}[language=Verilog,style=VerilogStyle]
module minmelhmax (
input clk,
input reset,
input [31:0] in1,
input [31:0] in2,
output logic [31:0] result
);
logic [7:0] step1less [0:3];
logic [7:0] step1more [0:3];
logic [7:0] step2less [0:3];
logic [7:0] step2more [0:3];
logic [7:0] median [0:3];
logic [7:0] temp [0:3];
// 1: compare pairs
lessmore s01 (in1[7:0], in1[15:8], step1less[0], step1more[0]);
lessmore s02 (in1[23:16], in1[31:24], step1less[1], step1more[1]);
lessmore s03 (in2[7:0], in2[15:8], step1less[2], step1more[2]);
lessmore s04 (in2[23:16], in2[31:24], step1less[3], step1more[3]);
// 2: 1st step mins to mins, maxes to maxes
lessmore s11 (step1less[0], step1less[1], step2less[0], step2more[0]);
lessmore s12 (step1less[2], step1less[3], step2less[1], step2more[1]);
lessmore s13 (step1more[0], step1more[1], step2less[2], step2more[2]);
lessmore s14 (step1more[2], step1more[3], step2less[3], step2more[3]);
// 3: 2nd step less-maxes, more-mins
lessmore s21 (step2less[2], step2less[3], median[0], median[1]);
lessmore s22 (step2more[0], step2more[1], median[2], median[3]);
// 4: median of four
lessmore s31 (median[0], median[1], temp[1], result[1]);
lessmore s32 (median[2], median[3], result[2], temp[2]);
// 5: max and min of input
lessmore s41 (step2less[0], step2less[1], result[0], temp[0]);
lessmore s42 (step2more[2], step2more[3], temp[3], result[3]);
endmodule
\end{lstlisting}
Работа модуля была проверена на тестовом стенде
\subsection{Описание программного решения} \subsection{Описание программного решения}
Два входящих слова записываются во временный указатель и интерпретируются, как указатель на восемь 8-разрядных переменных \code{alt_u8}, далее цикл работает с ними как с массивом данных. На каждом шаге цикла ищется минимальный и максимальный элемент. Найденные элементы меняются местами с теми числами, которые находятся на месте действительно минимального и максимального элемента соответственно. Алгоритм являет собой совмещение \textit{сортировки выбором} и \textit{шейкерной сортировки}. Таким образом за четыре итерации получается сортированное множество, в котором необходимые значения берутся по индексу. Два входящих слова записываются во временный указатель и интерпретируются, как указатель на восемь 8-разрядных переменных \code{alt_u8}, далее цикл работает с ними как с массивом данных. На каждом шаге цикла ищется минимальный и максимальный элемент. Найденные элементы меняются местами с теми числами, которые находятся на месте действительно минимального и максимального элемента соответственно. Алгоритм являет собой совмещение \textit{сортировки выбором} и \textit{шейкерной сортировки}. Таким образом за четыре итерации получается сортированное множество, в котором необходимые значения берутся по индексу.
@ -204,14 +173,14 @@ alt_u32 ones_sw (
\end{lstlisting} \end{lstlisting}
\section{Результат и выводы} \section{Результат и выводы}
После запуска приложения были получены результаты, представленные на рис. \hrf{:}. После запуска приложения были получены результаты, представленные на рис. %\hrf{:}.
\begin{figure}[H] %\begin{figure}[H]
\centering % \centering
% \includegraphics[width=12cm]{.} % \includegraphics[width=12cm]{.}
\caption{} % \caption{}
\label{pic:} % \label{pic:}
\end{figure} %\end{figure}
Пользовательская инструкция для процессора Nios II -- это эффективный инструмент ускорения работы программы и выноса некоторых алгоритмов поточной обработки данных в аппаратную часть. Пользовательская инструкция для процессора Nios II -- это эффективный инструмент ускорения работы программы и выноса некоторых алгоритмов поточной обработки данных в аппаратную часть.
@ -223,6 +192,68 @@ alt_u32 ones_sw (
\subsection{Исходные коды проекта} \subsection{Исходные коды проекта}
\label{appendix:src} \label{appendix:src}
\begin{lstlisting}[language=Verilog,style=VerilogStyle,caption={\code{lessmore.sv}},label={lst:lessmore}]
module lessmore (
input [7:0] in1,
input [7:0] in2,
output logic [7:0] less,
output logic [7:0] more
);
always_comb begin
if (in1 < in2) begin
less = in1;
more = in2;
end else begin
less = in2;
more = in1;
end
end
endmodule
\end{lstlisting}
\begin{lstlisting}[language=Verilog,style=VerilogStyle,caption={\code{minmedmax.sv}},label={lst:mediancomb}]
module minmelhmax (
input clk,
input reset,
input [31:0] in1,
input [31:0] in2,
output logic [31:0] result
);
logic [7:0] step1less [0:3];
logic [7:0] step1more [0:3];
logic [7:0] step2less [0:3];
logic [7:0] step2more [0:3];
logic [7:0] median [0:3];
logic [7:0] temp [0:3];
// 1: compare pairs
lessmore s01 (in1[7:0], in1[15:8], step1less[0], step1more[0]);
lessmore s02 (in1[23:16], in1[31:24], step1less[1], step1more[1]);
lessmore s03 (in2[7:0], in2[15:8], step1less[2], step1more[2]);
lessmore s04 (in2[23:16], in2[31:24], step1less[3], step1more[3]);
// 2: 1st step mins to mins, maxes to maxes
lessmore s11 (step1less[0], step1less[1], step2less[0], step2more[0]);
lessmore s12 (step1less[2], step1less[3], step2less[1], step2more[1]);
lessmore s13 (step1more[0], step1more[1], step2less[2], step2more[2]);
lessmore s14 (step1more[2], step1more[3], step2less[3], step2more[3]);
// 3: 2nd step less-maxes, more-mins
lessmore s21 (step2less[2], step2less[3], median[0], median[1]);
lessmore s22 (step2more[0], step2more[1], median[2], median[3]);
// 4: median of four
lessmore s31 (median[0], median[1], temp[1], result[1]);
lessmore s32 (median[2], median[3], result[2], temp[2]);
// 5: max and min of input
lessmore s41 (step2less[0], step2less[1], result[0], temp[0]);
lessmore s42 (step2more[2], step2more[3], temp[3], result[3]);
endmodule
\end{lstlisting}
\lstinputlisting[language=C,style=CCodeStyle,caption={\code{sem.c}},label={lst:sem}]{src/sem.c} \lstinputlisting[language=C,style=CCodeStyle,caption={\code{sem.c}},label={lst:sem}]{src/sem.c}
\end{document} \end{document}

View File

@ -0,0 +1,96 @@
\documentclass{article}
\input{settings/common-preamble}
\input{settings/bmstu-preamble}
\input{settings/fancy-listings-preamble}
\author{Оганов Владимир Игоревич}
\title{Разработка сложных электронных устройств}
\date{2023-02-08}
\begin{document}
\sloppy
\fontsize{14}{18}\selectfont
\maketitle
\tableofcontents
\newpage
\section{Введение}
Электроника базируется на физике. Разделы физики -- электричество в металлах, в полупроводниках и электромагнитные поля\footnote{\href{https://ru.wikipedia.org/wiki/Правила_Киргофа}{Киргоф}, \href{https://ru.wikipedia.org/wiki/Закон_Ома}{Ом}}. Упрощают моделирование сложных систем, предоставляют математический аппарат.
Сложное электронное устройство: Если получается большая схема -- это признак неправильно решённой задачи. Каждая лишняя деталь -- источник шумов, погрешностей, итд. компенсация порождает лавинный эффект. Проектирование сложных цифровых устройств -- это проектирование цифровых устройств \textit{как можно проще}. Электронное устройство не работает само по себе, а всегда в связке с окружающим миром и физическими параметрами, с которыми нужно уметь работать изначально. От параметров окружающей среды (источника и потребителя) зависит выбор технологии обработки внутри.
\begin{frm} Например, digital remastering -- интерполяция звука с 44.1КГц через 96КГц в 192КГц.\end{frm}
Сейчас наблюдается тренд к максимально быстрой оцифровке аналогового сигнала. После АЦП существует два пути -- мягкая реализация, DSP-микропроцессоры, или жёсткая -- ПЛИС или CPLD.
\begin{enumerate}
\item Сигнал -- это физический процесс, содержащий информацию;
\item электрический сигнал -- ток и напряжение изменённые во времени (связаны законом Ома).
\[
\begin{cases}
i(t)\\
u(t)
\end{cases}
\]
электричество получается по закону электромагнитной индукции Фарадея.
\item все электрические сигналы рассматриваются в двух областях -- зависимость по времени и зависимость по частоте. Во времени на сигнал смотрим осциллографом, в частоте спектроанализатор. Связаны преобразованием Фурье.
\[ \int_{-\infty}^{\infty} x(t) e^{-j\omega}dt\]
\end{enumerate}
$x(t)$ -- это входной непрерывный сигнал, умножаем на (ортогональный базис) тригонометрическую функцию. То есть ищем спектральную составляющую (корреляционный детектор). Ортогональный базис ($\cos(\omega)+\j\sin(\omega)$) нужен для поиска фазы (если будет только синус или косинус -- будем знать только амплитуду).
Анализатор спектра (аналоговый непрерывного действия)
\begin{figure}[H]
\centering
\fontsize{14}{1}\selectfont
\includesvg[scale=1.01]{pics/04-cedd-00-spectrum-analyzer.svg}
\end{figure}
\[ x(t) = \frac{1}{2\pi}\int_{-\infty}^\infty\ X(j\omega) e^{j\omega}d\omega \]
Когда работаем с цифровыми сигналами -- дискретное преобразование фурье, интеграл заменяется на сумму и берём не бесконченость, а определённое число отсчётов.
\begin{figure}[H]
\centering
\fontsize{11}{1}\selectfont
\includesvg[scale=.85]{pics/04-cedd-00-common-device.svg}
\caption{Электронное устройство (обобщённое)}
\end{figure}
\begin{itemize}
\item Датчик преобразует электрический сигнал
\item АО -- на стандартных элементах (усилители фильтры иногда умножители)
\item ФПО -- фильтр для подавления цифровых образов (двойников)
\item УВХ (устройство выборки и хранения) + АЦП
\item дискретизация по времени (УВХ) и квантование по уровню (АЦП). Сигнал при переходе в цифру всегда теряет информацию, важно минимизировать эти потери.
\item ЦВБ
\item ЦАП
\item Деглитчер
\item Восстанавливающий фильтр
\item Драйвер и аналоговое исполнительное устройство
\end{itemize}
\begin{frm} Любое инженерное решение - это всегда компромисс. \end{frm}
Дискретизация сигнала во временной и частотной области
Дискретизация -- умножение на последовательность единичных импульсов. Дельта функция Дирака \footnote{\href{https://portal.tpu.ru/SHARED/k/KONVAL/Sites/Russian_sites/Series/4/01-6.htm}{Подробнее}}.
\[ \delta(t) = \begin{cases} +\infty t=0 \\ 0 t \neq 0 \end{cases} \]
\[ \int_{-\infty}^{\infty} \delta(t) dt = 1 \]
Бесконечная спектральная функция ведёт к бесконечной энергии, что физически невозможно. Перемножение во временной области -- это свёртка в частотной и наоброт.
\begin{figure}[H]
\centering
\fontsize{12}{1}\selectfont
\includesvg[scale=1.01]{pics/04-cedd-00-signal-discretization.svg}
\caption{Дискретизация сигнала}
\end{figure}
Дискретный сигнал в частотной области -- бесконечное число повторяющихся копий дискретного представления сигнала. в ЦВУ мы всегда работаем с дискретным сигналом. Важно на каком расстоянии стоят частоты дискретного сигнала (виртуальные образы цифрового сигнана). Чтобы они не накладывались друг на друга нужна предварительная фильтрация (ФПО).
\end{document}

View File

@ -1,80 +0,0 @@
\documentclass{article}
\input{settings/common-preamble}
\input{settings/bmstu-preamble}
\input{settings/fancy-listings-preamble}
\author{Оганов Владимир Игоревич}
\title{Разработка сложных электронных устройств}
\date{2023-02-08}
\begin{document}
\sloppy
\fontsize{14}{18}\selectfont
\maketitle
\tableofcontents
\newpage
\section{Введение}
Электроника базируется на физике. Разделы физики 0 электричество в металлах, в полупроводниках и электромагнитные поля. Киргоф, Ом. Упрощают моделирование сложных систем, предоставляют математический аппарат.
Сложное электронное устройство: большая схема -- неправильно решённая задача. Каждая лишняя деталь -- источник шумов, погрешностей, итд. компенсация порождает лавинный эффект. Проектирование СЦУ -- это проектирование ЦУ как можно проще.
Электронное устройство не работает само по себе, а всегда в связке с окружающим миром и физическими параметрами, с которыми нужно уметь работать изначально. От параметров окружающей среды (источника и потребителя) зависит выбор технологии обработки внутри.
digital remastering -- интерполяция звука с 44.1КГц - 96КГц в 192КГц.
сейчас тренд к максимально быстрой оцифровке. после АЦП мягкая реализация - ДСП микропроцессоры, или жёсткая - ПЛИС или ЦПЛД.
1. сигнал -- это физический процесс, содержащий информацию.
2. электрический сигнал -- ток и напряжение изменённые во времени (связаны законом Ома).
\[
i(t)
}
u(t)
\]
электричество получается по закону электромагнитной индукции Фарадея.
3. все электрические сигналы рассматриваются в двух областях - зависимость по времени и зависимость по частоте. во времени на сигнал смотрим осциллографом, в частоте спектроанализатор. связаны преобразованием Фурье.
\[ \int_{-\infty}^{\infty} x(t) e^{-j\omega}dt\]
х(т) это входной непрерывный сигнал умножаем на (ортогональный базис) тригонометрическую функцию. то есть ищем спектральную составляющую (корреляционный детектор). ортогональный базис нужен (косомега+жсиномега) для поиска фазы (если будет только синус или косинус - будем знать только амплитуду).
Анализатор спектра (аналоговый непрерывного действия)
(3)
\[ x(t) = \frac{1}{2\pi}\int_{-infty}^\infty\ X(j\omega) e^{j\omega}d\omega \]
когда работаем с цифровыми сигналами -- дискретное преобразование фурье, интеграз заменяется на сумму и берём не бесконченость, а определённое число отсчётов.
электронное устройство (обобщённое) (4)
Датчик преобразует электрический сигнал
АО - на стандартных элементах (усилители фильтры иногда умножители)
ФПО - фильтр для подавления образов
УВХ (устройство выборки и хранения) + АЦП
дискретизация по времени (УВХ) и квантование по уровню (АЦП). Сигнал при переходе в цифру всегда теряем информацию, важно минимизировать.
ЦВБ
ЦАП
Деглитчер
Восстанавливающий фильтр
Драйвер и аналоговое исполнительное устройство
любое инженерное решение - это всегда компромисс.
Дискретизация сигнала во временной и частотной области
Дискретизация - умножение на последовательность единичных импульсов. Дельта функция Дирака.
\[ \delta(t) = \begin{cases} +\infty t=0 \\ 0 t \neq 0 \end{cases} \]
\[ \int_{-\infty}^{\infty} \delta(t) dt = 1 \]
Бесконечная спектральная функция ведёт к бесконечной энергии, физически невозможно.
перемножение во временной это свёртка в частотной и наоброт.
(5)
дискретный сигнал в частотной области -- бесконечное число повторяющихся копий дискретного представления сигнала. в ЦВУ мы всегда работаем с дискретным сигналом. Важно на каком расстоянии стоят частоты дискретного сигнала (виртуальные образы цифрового сигнана). чтобы они не накладывались друг на друга нужна предварительная фильтрация (ФПО).
\end{document}

View File

@ -98,68 +98,107 @@ $\sigma$ -алгебра F - набор подмножеств (подмноже
\item $p_{\xi}(x) \geq 0$ для любого $x$. \item $p_{\xi}(x) \geq 0$ для любого $x$.
\item $\int_{-\infty}^{\infty} p_\xi(x)dx = 1$ \item $\int_{-\infty}^{\infty} p_\xi(x)dx = 1$
\end{enumerate} \end{enumerate}
Любая функция p_\xi(x), удовлетворяющая условиям теоремы может рассматриваться как плотность распределения некоторой случайной величины. Любая функция $p_\xi(x)$, удовлетворяющая условиям теоремы может рассматриваться как плотность распределения некоторой случайной величины.
\subsection{Нормальное распределение} \subsection{Нормальное распределение}
Непрерывная случайная величина $X$ имеет нормальное или гауссовское распределение с параметрами $a$ и $\sigma$, если плотность вероятности ее равна Непрерывная случайная величина $X$ имеет нормальное или гауссовское распределение с параметрами $a$ и $\sigma$, если плотность вероятности ее равна
\[ p_X(x) = \frac{1}{\sigma\sqrt{2\pi}} e^{-\frac{(x-a)^2}{2\sigma^2}}, \] \[ p_X(x) = \frac{1}{\sigma\sqrt{2\pi}} e^{-\frac{(x-a)^2}{2\sigma^2}}, \]
где $a \in R, \sigma > 0$. Обозначение: 𝑁 𝑎, 𝜎 2 , где 𝑎 где $a \in R, \sigma > 0$. Обозначение: $N(a, \sigma^2)$, где $a$ -- математическое ожидание, $\sigma$ -- среднее квадратичное отклонение.
математическое ожидание, 𝜎 среднее квадратичное
отклонение.
Функция распределения: Функция распределения:
\[ F_X(x) = \frac{1}{\sigma\sqrt{2\pi}}\int_{-\infty}^x e^{-\frac{(x-a)^2}{2\sigma^2}} dx = \Phi_0(\frac{x-a}{\sigma}) \]
\subsection{Нормальное распределение} \begin{figure}[H]
\centering
\includesvg[scale=1.01]{pics/04-tsaf-00-norm-disp.svg}
\end{figure}
Нормальное распределение с параметрами а и сигма если её плотность вероятности равна оба графика это нормальное распределение. у синего среднее $0$ у красного среднее $-1$. сигма это разброс относительно среднего. важно, что площадь одинаковая. распределение зарактеризуется двумя параметрами -- среднее и дисперсия. у красной
\[ P_2(x)=\frac{1}{\sqrt{2\pi}}e^{\frac{(x+1)^2}{2\sigma^2}}\]
у синей ($a = 0, \sigma = 1$)
\[ P_1(x)=\frac{1}{\sqrt{2\pi}}e^{-\frac{x^2}{2}} \]
получается у второго будет меньше вариативности, около -1
и математическое ожидание а и сигма - среднее квадратичное отклонение. Свойства нормального распределения
\begin{enumerate}
\item Если случайная величина $X$ имеет нормальное распределение $N_{a, \sigma^2}$, то
\[F_X(x) = \Phi_{a, \sigma^2}(x) = \Phi_0(\frac{x-a}{\sigma})\]
\item Если $\xi\sim N_{a, \sigma^2}$, то
\[ P(x_1 < \xi < x_2) = \Phi_{a, \sigma^2}(x_2) - \Phi_{a, \sigma^2}(x_1) = \Phi_0(\frac{x_2-a}{\sigma}) - \Phi_0(\frac{x_1-a}{\sigma}) \]
\end{enumerate}
(картинка ляма) Свойства стандартного нормального распределения
оба графика это нормальное распределение. у синего среднее 0 у красного среднее 1. сигма это разброс относительно среднего. важно, что площадь одинаковая. распределение зарактеризуется двумя параметрами - среднее и дисперсия. у красной \begin{itemize}
%P_2(x)=\frac{1}{\sqrt{2\pi}}e^{\frac{(x+1)^2}{2\sigma^2}} \item $\Phi_0(0) = 0,5$
\item $\Phi_0(-x) = 1-\Phi_0(x)$
(картинка ляма 2) получается у второго будет меньше вариативности около -1 \item $P(|\xi| < x) = 1-2\Phi_0(-x) = 2\Phi_0(x) - 1$
\item \textbf{Правило трёх сигм} -- если отклонение случайной величины меньше трёх сигм (стандартных отклонений) мы считаем что вероятность пренебрежимо мала.
в нормальном распределении \item Если $x\sim N(a,\sigma^2)$, то $P(|\xi - a| < 3\sigma) \approx 0,997$
%Ф_0(0) = 0,5 \end{itemize}
%Ф_0(-ч) = 1-Ф_0(ч)
правило трёх сигм
если отклонение случайной величины меньше трёх сигм (стандартных отклонений) мы считаем что вероятность пренебрежимо мала.
Характеристики Характеристики
%мат ожиданием случайной величины Х с плотностью р_х(х) называется неслучайная велична м_х=нтхр_х(х)дх, если этот интеграл сходится, то есть нтмодуль хи р_х(х)дх меньше инфти Математическим ожиданием случайной величины $Х$ с плотностью $р_X(х)$ называется неслучайная велична
\[ m_X = \int xp_X(x) dx,\]
если этот интеграл сходится, то есть $\int |x| p_X(x) dx < \infty$.
Если $X$ -- дискретная величина, то
\[ m_X = \sum_{i=1}^x x_ip(X=x_i)\]
случайность - это отсутствие полной информации об эксперименте. если кубик бросить сто раз в среднем выпадет 3,5. мат ожидание броска 3,5. \begin{frm}
Случайность -- это отсутствие полной информации об эксперименте.
\end{frm}
свойства матожидания если кубик бросить сто раз в среднем выпадет значение 3,5. мат ожидание одного броска = 3,5.
дисперсия случайной величины равна нулю. Свойства математического ожидания случайной величины
%\overline{DX}=\frac{\sum_{i-1}^{n}(x_i-\overline{X})^2}{n-1} \begin{enumerate}
\item МО константы равно самой константе: $Eg = g$;
\item Константу $g$ можно выносить за знак МО:
\[ EgX = gEX=gm_x\]
\item МО суммы двух СВ равно сумме МО слагаемых:
\[ E(X+Y) = EX+EY\]
\item МО произведения двух случайных функций $X$ и $Y$ равно произведению МО, если $X$ и $Y$ -- некоррелированные СВ:
\[E(X*Y) = EX*EY\]
\item МО суммы случайной и неслучайной функций равно сумме МО случайной $X$ и неслучайной величины $g$:
\[E\{g+X\} = g+EX\]
\end{enumerate}
Во временных рядах каждое следующее значение в момент Т зависит от предыдущего в момент Т-1. Например, изменение температуры или цен. Если эта зависимость существует, то существует связь, мера этой связи называется ковариацией. ковариация величины с самой собой это дисперсия. \subsection{Дисперсия СВ}
Дисперсией СВ $X$ называется неслучайная величина
\[ D_X = \int (x-m_x)^2 px(x) dx\]
Свойства ДСВ
\begin{enumerate}
\item Дисперсия неслучайной величины равна нулю. $D(g) = 0$
\[ \overline{DX}=\frac{\sum_{i-1}^{n}(x_i-\overline{X})^2}{n-1} \]
\item Дисперсия суммы СВ $X$ и неслучайной $g$ равна ДСВ
\[ D(g+X) = DX\]
\item Д произведения СВ $X$ на константу $g$ равна произведению квадрата константы на ДСВ
\[ D(g*X) = g^2DX\]
\item Д суммы двух случайных функций $X$ и $Y$ равна сумме Д слагаемых, если СВ $X$ и $Y$ некоррелированы
\[ D(X+Y) = DX+D\xi(t)\]
\end{enumerate}
Задачи Во временных рядах каждое следующее значение в момент $t$ зависит от предыдущего в момент $t-1$. Например, изменение температуры или цен. Если эта зависимость существует, то существует связь, мера этой связи называется ковариацией. ковариация величины с самой собой это дисперсия.
ксит +
кси1,2...т,т-1 белый шум
белый шум когда МО = 0 а дисперсия =сигма квадрат != 0, а ковариация = 0. Две случайные величины $X$ и $Y$ называются независимыми, если закон распределения одной из них не зависит от того, какие возможные значения приняла другая величина.
модель скользящего среднего Ковариация это мера линейной зависимости случайных величин.
%X_t = \sum_{i=0}\alpha_i \sum_{t-i} где альфа - сходимый ряд (бесконечная сумма меньше бесконечности)
%X_t = 2_\infty \ksi_{t-1} - 3\ksi_{t-2} + \ksi_t + 1 Белый шум -- это когда МО = 0, дисперсия $\sigma^2 != 0$, а ковариация = 0.
мат ожидание = 1 \subsection{Модель скользящего среднего}
если величины независимы - матожидание = 0 \[ X_t = \sum_{i=0}\alpha_i \sum_{t-i}\]
дисперсия суммы (если величины независимы) где альфа - сходимый ряд (бесконечная сумма меньше бесконечности)
%Var(X_t) = Var(2\ksi_{t-1}) - Var(3\ksi_{t-2}) + Var(\ksi_t + 1) = 4Var(\ksi_{t-1}) + 9Var(\ksi_{t+2}) + Var \ksi_t = 14
%Cov(X_t X_{t-1} \[X_t = 2_\infty \xi_{t-1} - 3\xi_{t-2} + \xi_t + 1\]
%x_t = 2\ksi_{t-1} - 3\ksi_{t-2} + \ksi_{t+1}) =
%Var(x\pm y) = Var(x) + Var(y) \pm 2cov(x, y), если х и у не кореллируют. мат ожидание = 1 , если величины независимы -- матожидание = 0. Дисперсия суммы (если величины независимы)
\[ Var(X_t) = Var(2\xi_{t-1}) - Var(3\xi_{t-2}) + Var(\xi_t + 1) = 4Var(\xi_{t-1}) + 9Var(\xi_{t+2}) + Var \xi_t = 14\]
\[Cov(X_t X_{t-1}\]
\[Var(x\pm y) = Var(x) + Var(y) \pm 2Cov(x, y),\]
если $x$ и $y$ не кореллируют.
\end{document} \end{document}

25
04-tss-01-lab-report.tex Normal file
View File

@ -0,0 +1,25 @@
\documentclass[a4paper,fontsize=14bp]{article}
\input{settings/common-preamble}
\input{settings/fancy-listings-preamble}
\input{settings/bmstu-preamble}
%\setcounter{secnumdepth}{0}
\numerationTop
\begin{document}
\thispagestyle{empty}
\makeBMSTUHeader
% ... работе, номер, тема, предмет, ?а, кто
\makeReportTitle{лабораторной}{1}{Введение}{Программное обеспечение телекоммуникационных систем}{}{И.М.Сидякин}
\newpage
\thispagestyle{empty}
\tableofcontents
\newpage
\pagestyle{fancy}
\section{Цель}
\href{https://git.iovchinnikov.ru/ivan-igorevich/erlang-labs}{репозиторий}
\end{document}

View File

@ -0,0 +1,363 @@
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="210mm"
height="297mm"
viewBox="0 0 210 297"
version="1.1"
id="svg5240"
inkscape:version="1.0.2 (e86c870879, 2021-01-15)"
sodipodi:docname="04-cedd-00-common-device.svg">
<defs
id="defs5234" />
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="1.4"
inkscape:cx="369.1069"
inkscape:cy="494.04802"
inkscape:document-units="mm"
inkscape:current-layer="layer1"
inkscape:document-rotation="0"
showgrid="true"
inkscape:snap-bbox="true"
inkscape:bbox-nodes="true"
inkscape:window-width="1533"
inkscape:window-height="1205"
inkscape:window-x="136"
inkscape:window-y="162"
inkscape:window-maximized="0">
<inkscape:grid
type="xygrid"
id="grid5242" />
</sodipodi:namedview>
<metadata
id="metadata5237">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title></dc:title>
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1">
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 61.078077,153.60921 v 10.58333 L 47.84891,158.90087 Z"
id="path5262-5" />
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="13.229166"
y="95.25"
id="text5246"><tspan
sodipodi:role="line"
id="tspan5244"
x="13.229166"
y="95.25"
style="stroke-width:0.264583">Физический процесс</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="18.405077"
y="123.64999"
id="text5250"><tspan
sodipodi:role="line"
id="tspan5248"
x="18.405077"
y="123.64999"
style="stroke-width:0.264583">Датчик</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="44.979168"
y="105.83333"
id="text5254"><tspan
sodipodi:role="line"
id="tspan5252"
x="44.979168"
y="105.83333"
style="stroke-width:0.264583">Аналоговая</tspan><tspan
sodipodi:role="line"
x="44.979168"
y="112.00695"
style="stroke-width:0.264583"
id="tspan5256">обработка</tspan></text>
<rect
style="fill:none;stroke:#000000;stroke-width:0.264999"
id="rect5258"
width="21.166666"
height="10.583333"
x="15.875"
y="116.41666" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="M 47.624999,116.41667 V 127 l 13.229167,-5.29167 z"
id="path5262" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="M 37.041666,121.70833 H 47.624999"
id="path5264" />
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="10.583333"
y="140.22917"
id="text5268"><tspan
sodipodi:role="line"
id="tspan5266"
x="10.583333"
y="140.22917"
style="stroke-width:0.264583">Электрический</tspan><tspan
sodipodi:role="line"
x="10.583333"
y="146.4028"
style="stroke-width:0.264583"
id="tspan5270">сигнал</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="M 42.333333,121.70833 31.75,134.9375 H 15.875"
id="path5272" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 26.458333,116.41667 -15.875,-18.520838 H 39.6875"
id="path5274" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 60.854166,121.70833 15.875001,0"
id="path5276"
sodipodi:nodetypes="cc" />
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="79.242363"
y="117.04333"
id="text5280"><tspan
sodipodi:role="line"
id="tspan5278"
x="79.242363"
y="117.04333"
style="stroke-width:0.264583">Фильтр для</tspan><tspan
sodipodi:role="line"
x="79.242363"
y="123.21696"
style="stroke-width:0.264583"
id="tspan5282">подавления</tspan><tspan
sodipodi:role="line"
x="79.242363"
y="129.39059"
style="stroke-width:0.264583"
id="tspan5284">образов</tspan></text>
<rect
style="fill:none;stroke:#000000;stroke-width:0.264999"
id="rect5286"
width="31.75"
height="21.166666"
x="76.729164"
y="111.125" />
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="119.0625"
y="124.35416"
id="text5290"><tspan
sodipodi:role="line"
id="tspan5288"
x="119.0625"
y="124.35416"
style="stroke-width:0.264583">УВХ</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="142.875"
y="124.35416"
id="text5294"><tspan
sodipodi:role="line"
id="tspan5292"
x="142.875"
y="124.35416"
style="stroke-width:0.264583">АЦП</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="166.6875"
y="116.41666"
id="text5298"><tspan
sodipodi:role="line"
id="tspan5296"
x="166.6875"
y="116.41666"
style="stroke-width:0.264583">Цифровой</tspan><tspan
sodipodi:role="line"
x="166.6875"
y="122.59028"
style="stroke-width:0.264583"
id="tspan5300">вычислительный</tspan><tspan
sodipodi:role="line"
x="166.6875"
y="128.7639"
style="stroke-width:0.264583"
id="tspan5302">блок</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="174.35008"
y="159.5806"
id="text5306"><tspan
sodipodi:role="line"
id="tspan5304"
x="174.35008"
y="159.5806"
style="stroke-width:0.264583">ЦАП</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="142.75925"
y="158.01584"
id="text5310"><tspan
sodipodi:role="line"
id="tspan5308"
x="142.75925"
y="158.01584"
style="stroke-width:0.264583">Деглитчер</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="84.483391"
y="156.983"
id="text5314"><tspan
sodipodi:role="line"
id="tspan5312"
x="84.483391"
y="156.983"
style="stroke-width:0.264583">Восстанавливающий</tspan><tspan
sodipodi:role="line"
x="84.483391"
y="163.15663"
style="stroke-width:0.264583"
id="tspan5316">фильтр</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="47.509243"
y="171.09412"
id="text5320"><tspan
sodipodi:role="line"
id="tspan5318"
x="47.509243"
y="171.09412"
style="stroke-width:0.264583">Драйвер</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="2.6120713"
y="159.42972"
id="text5324"><tspan
sodipodi:role="line"
id="tspan5322"
x="2.6120713"
y="159.42972"
style="stroke-width:0.264583">Аналоговое</tspan><tspan
sodipodi:role="line"
x="2.6120713"
y="165.60335"
style="stroke-width:0.264583"
id="tspan5326">исполнительное</tspan><tspan
sodipodi:role="line"
x="2.6120713"
y="171.77696"
style="stroke-width:0.264583"
id="tspan5328">устройство</tspan></text>
<rect
style="fill:none;stroke:#000000;stroke-width:0.264999"
id="rect5330"
width="15.875"
height="10.583333"
x="116.41666"
y="116.41666" />
<rect
style="fill:none;stroke:#000000;stroke-width:0.264999"
id="rect5332"
width="15.875"
height="10.583333"
x="140.22917"
y="116.41666" />
<rect
style="fill:none;stroke:#000000;stroke-width:0.264999"
id="rect5334"
width="42.333332"
height="21.166666"
x="164.04167"
y="111.125" />
<rect
style="fill:none;stroke:#000000;stroke-width:0.264999"
id="rect5336"
width="15.875"
height="10.583333"
x="171.97917"
y="153.60921" />
<rect
style="fill:none;stroke:#000000;stroke-width:0.264999"
id="rect5338"
width="26.458332"
height="13.229166"
x="140.22917"
y="150.96338" />
<rect
style="fill:none;stroke:#000000;stroke-width:0.264999"
id="rect5340"
width="50.270832"
height="15.875"
x="82.020836"
y="150.96338" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 108.47917,121.70833 h 7.9375"
id="path5362" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 132.29166,121.70833 h 7.9375"
id="path5364" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 156.10416,121.70833 h 7.9375"
id="path5366" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 179.91666,132.29166 1e-5,21.16667"
id="path5368"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="M 171.97916,158.90087 H 166.6875"
id="path5370" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 140.22916,158.90087 h -7.9375"
id="path5372" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="M 82.020828,158.90087 H 60.854162"
id="path5374" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="M 47.624995,158.90087 H 31.749996"
id="path5376" />
</g>
</svg>

After

Width:  |  Height:  |  Size: 13 KiB

File diff suppressed because it is too large Load Diff

After

Width:  |  Height:  |  Size: 53 KiB

View File

@ -0,0 +1,396 @@
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="210mm"
height="297mm"
viewBox="0 0 210 297"
version="1.1"
id="svg3973"
inkscape:version="1.0.2 (e86c870879, 2021-01-15)"
sodipodi:docname="04-cedd-00-spectrum-analyzer.svg">
<defs
id="defs3967">
<marker
style="overflow:visible;"
id="marker5130"
refX="0.0"
refY="0.0"
orient="auto"
inkscape:stockid="Arrow1Lend"
inkscape:isstock="true">
<path
transform="scale(0.8) rotate(180) translate(12.5,0)"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt;stroke-opacity:1;fill:#000000;fill-opacity:1"
d="M 0.0,0.0 L 5.0,-5.0 L -12.5,0.0 L 5.0,5.0 L 0.0,0.0 z "
id="path5128" />
</marker>
<marker
style="overflow:visible;"
id="marker5072"
refX="0.0"
refY="0.0"
orient="auto"
inkscape:stockid="Arrow1Lend"
inkscape:isstock="true"
inkscape:collect="always">
<path
transform="scale(0.8) rotate(180) translate(12.5,0)"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt;stroke-opacity:1;fill:#000000;fill-opacity:1"
d="M 0.0,0.0 L 5.0,-5.0 L -12.5,0.0 L 5.0,5.0 L 0.0,0.0 z "
id="path5070" />
</marker>
<marker
style="overflow:visible;"
id="marker5020"
refX="0.0"
refY="0.0"
orient="auto"
inkscape:stockid="Arrow1Lend"
inkscape:isstock="true"
inkscape:collect="always">
<path
transform="scale(0.8) rotate(180) translate(12.5,0)"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt;stroke-opacity:1;fill:#000000;fill-opacity:1"
d="M 0.0,0.0 L 5.0,-5.0 L -12.5,0.0 L 5.0,5.0 L 0.0,0.0 z "
id="path5018" />
</marker>
<marker
style="overflow:visible;"
id="marker4974"
refX="0.0"
refY="0.0"
orient="auto"
inkscape:stockid="Arrow1Lend"
inkscape:isstock="true"
inkscape:collect="always">
<path
transform="scale(0.8) rotate(180) translate(12.5,0)"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt;stroke-opacity:1;fill:#000000;fill-opacity:1"
d="M 0.0,0.0 L 5.0,-5.0 L -12.5,0.0 L 5.0,5.0 L 0.0,0.0 z "
id="path4972" />
</marker>
<marker
style="overflow:visible;"
id="marker4934"
refX="0.0"
refY="0.0"
orient="auto"
inkscape:stockid="Arrow1Lend"
inkscape:isstock="true"
inkscape:collect="always">
<path
transform="scale(0.8) rotate(180) translate(12.5,0)"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt;stroke-opacity:1;fill:#000000;fill-opacity:1"
d="M 0.0,0.0 L 5.0,-5.0 L -12.5,0.0 L 5.0,5.0 L 0.0,0.0 z "
id="path4932" />
</marker>
<marker
style="overflow:visible;"
id="Arrow1Lend"
refX="0.0"
refY="0.0"
orient="auto"
inkscape:stockid="Arrow1Lend"
inkscape:isstock="true"
inkscape:collect="always">
<path
transform="scale(0.8) rotate(180) translate(12.5,0)"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt;stroke-opacity:1;fill:#000000;fill-opacity:1"
d="M 0.0,0.0 L 5.0,-5.0 L -12.5,0.0 L 5.0,5.0 L 0.0,0.0 z "
id="path4621" />
</marker>
<marker
style="overflow:visible"
id="Arrow1Lstart"
refX="0.0"
refY="0.0"
orient="auto"
inkscape:stockid="Arrow1Lstart"
inkscape:isstock="true">
<path
transform="scale(0.8) translate(12.5,0)"
style="fill-rule:evenodd;stroke:#000000;stroke-width:1pt;stroke-opacity:1;fill:#000000;fill-opacity:1"
d="M 0.0,0.0 L 5.0,-5.0 L -12.5,0.0 L 5.0,5.0 L 0.0,0.0 z "
id="path4618" />
</marker>
<inkscape:path-effect
effect="bspline"
id="path-effect4614"
is_visible="true"
lpeversion="1"
weight="33.333333"
steps="2"
helper_size="0"
apply_no_weight="true"
apply_with_weight="true"
only_selected="false" />
<inkscape:path-effect
effect="bspline"
id="path-effect4610"
is_visible="true"
lpeversion="1"
weight="33.333333"
steps="2"
helper_size="0"
apply_no_weight="true"
apply_with_weight="true"
only_selected="false" />
<inkscape:path-effect
effect="bspline"
id="path-effect4584"
is_visible="true"
lpeversion="1"
weight="33.333333"
steps="2"
helper_size="0"
apply_no_weight="true"
apply_with_weight="true"
only_selected="false" />
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="0.98994949"
inkscape:cx="226.20201"
inkscape:cy="350.20162"
inkscape:document-units="mm"
inkscape:current-layer="layer1"
inkscape:document-rotation="0"
showgrid="true"
inkscape:snap-bbox="true"
inkscape:bbox-nodes="true"
inkscape:window-width="1944"
inkscape:window-height="1205"
inkscape:window-x="91"
inkscape:window-y="117"
inkscape:window-maximized="0">
<inkscape:grid
type="xygrid"
id="grid3975" />
</sodipodi:namedview>
<metadata
id="metadata3970">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title></dc:title>
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1">
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="47.625"
y="79.375"
id="text3979"><tspan
sodipodi:role="line"
id="tspan3977"
x="47.625"
y="79.375"
style="stroke-width:0.264583">x(t)</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="66.145836"
y="83.34375"
id="text3983"><tspan
sodipodi:role="line"
id="tspan3981"
x="66.145836"
y="83.34375"
style="stroke-width:0.264583">смеситель</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="103.1875"
y="83.34375"
id="text3987"><tspan
sodipodi:role="line"
id="tspan3985"
x="103.1875"
y="83.34375"
style="stroke-width:0.264583">ФНЧ</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="118.91057"
y="74.017532"
id="text3991"><tspan
sodipodi:role="line"
id="tspan3989"
x="118.91057"
y="74.017532"
style="stroke-width:0.264583">Усилитель</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="14.552083"
y="87.3125"
id="text3995"><tspan
sodipodi:role="line"
id="tspan3993"
x="14.552083"
y="87.3125"
style="stroke-width:0.264583">Если здесь антенна,</tspan><tspan
sodipodi:role="line"
x="14.552083"
y="93.486122"
style="stroke-width:0.264583"
id="tspan3997">то это радиоприёмник</tspan></text>
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 18.520833,70.114582 3.96875,3.96875 3.96875,-3.96875"
id="path3999" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="M 22.489583,70.114582 V 83.343749"
id="path4001" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker5130)"
d="m 22.489583,83.343749 h 39.6875"
id="path4003" />
<rect
style="fill:none;stroke:#000000;stroke-width:0.265;stroke-dasharray:none;stroke-opacity:1;stroke-miterlimit:4;stroke-dashoffset:0"
id="rect4005"
width="29.104166"
height="11.90625"
x="62.177082"
y="76.729164" />
<rect
style="fill:none;stroke:#000000;stroke-width:0.264999;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
id="rect4568"
width="15.875"
height="11.90625"
x="100.54166"
y="76.729164" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker5020)"
d="m 91.281249,82.020832 h 9.260421"
id="path4570" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 125.67708,76.729166 v 11.90625 l 11.90625,-6.614584 -11.90625,-5.291666"
id="path4572" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker5072)"
d="m 116.41667,82.020832 h 9.26041"
id="path4574" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 137.58333,82.020832 h 7.9375"
id="path4576" />
<rect
style="fill:none;stroke:#000000;stroke-width:0.264999;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
id="rect4578"
width="31.75"
height="23.8125"
x="145.52083"
y="70.114586" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 148.16666,71.437499 v 21.166667 h 27.78125"
id="path4580" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 149.48958,91.281249 c 2.20507,-0.441014 4.40993,-0.881986 6.1737,-3.968732 1.76378,-3.086746 3.08667,-8.819269 3.96877,-11.685685 0.88211,-2.866416 1.32307,-2.866416 1.76393,1.02e-4 0.44085,2.866519 0.88182,8.599042 3.08685,11.685726 2.20504,3.086685 6.17371,3.527648 10.14217,3.968589"
id="path4582"
inkscape:path-effect="#path-effect4584"
inkscape:original-d="m 149.48958,91.281249 c 2.20513,-0.440709 4.40999,-0.881679 6.61458,-1.322917 1.32321,-5.732489 2.6461,-11.465012 3.96875,-17.197916 0.44125,2.64e-4 0.88221,2.64e-4 1.32292,0 0.44125,5.733018 0.88221,11.465541 1.32292,17.197916 3.96909,0.441246 7.93776,0.882208 11.90625,1.322917" />
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="149.48958"
y="75.40625"
id="text4588"><tspan
sodipodi:role="line"
id="tspan4586"
x="149.48958"
y="75.40625"
style="stroke-width:0.264583">$X_\omega$</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="170.41026"
y="89.022644"
id="text4592"><tspan
sodipodi:role="line"
id="tspan4590"
x="170.41026"
y="89.022644"
style="stroke-width:0.264583">$\omega$</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="112.44791"
y="107.15625"
id="text4596"><tspan
sodipodi:role="line"
id="tspan4594"
x="112.44791"
y="107.15625"
style="stroke-width:0.264583">Развёртка</tspan></text>
<text
xml:space="preserve"
style="font-size:4.9389px;line-height:1.25;font-family:'PT Astra Serif';-inkscape-font-specification:'PT Astra Serif';stroke-width:0.264583"
x="64.822914"
y="103.1875"
id="text4600"><tspan
sodipodi:role="line"
id="tspan4598"
x="64.822914"
y="103.1875"
style="stroke-width:0.264583">Перестраиваемый</tspan><tspan
sodipodi:role="line"
x="64.822914"
y="109.36112"
style="stroke-width:0.264583"
id="tspan4602">генератор</tspan></text>
<rect
style="fill:none;stroke:#000000;stroke-width:0.264999;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
id="rect4604"
width="41.010418"
height="14.552083"
x="63.5"
y="97.895836" />
<rect
style="fill:none;stroke:#000000;stroke-width:0.264999;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1"
id="rect4606"
width="26.458332"
height="7.9375"
x="109.80208"
y="101.86458" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker4934)"
d="m 80.697916,97.895832 c 0,-3.086542 0,-6.173345 0,-9.260416"
id="path4608"
inkscape:path-effect="#path-effect4610"
inkscape:original-d="m 80.697916,97.895832 c 2.64e-4,-3.086542 2.64e-4,-6.173345 0,-9.260416" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#marker4974)"
d="m 109.80208,105.83333 c -1.76362,0 -3.52751,0 -5.29166,0"
id="path4612"
inkscape:path-effect="#path-effect4614"
inkscape:original-d="m 109.80208,105.83333 c -1.76362,2.7e-4 -3.52751,2.7e-4 -5.29166,0" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#Arrow1Lend)"
d="M 136.26041,105.83333 H 158.75 V 93.927082"
id="path4616" />
</g>
</svg>

After

Width:  |  Height:  |  Size: 15 KiB

View File

@ -0,0 +1,104 @@
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<svg
xmlns:dc="http://purl.org/dc/elements/1.1/"
xmlns:cc="http://creativecommons.org/ns#"
xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
xmlns:svg="http://www.w3.org/2000/svg"
xmlns="http://www.w3.org/2000/svg"
xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
width="210mm"
height="297mm"
viewBox="0 0 210 297"
version="1.1"
id="svg3324"
inkscape:version="1.0.2 (e86c870879, 2021-01-15)"
sodipodi:docname="04-tsaf-00-norm-disp.svg">
<defs
id="defs3318">
<inkscape:path-effect
effect="bspline"
id="path-effect3899"
is_visible="true"
lpeversion="1"
weight="33.333333"
steps="2"
helper_size="0"
apply_no_weight="true"
apply_with_weight="true"
only_selected="false" />
<inkscape:path-effect
effect="bspline"
id="path-effect3334"
is_visible="true"
lpeversion="1"
weight="33.333333"
steps="2"
helper_size="0"
apply_no_weight="true"
apply_with_weight="true"
only_selected="false" />
</defs>
<sodipodi:namedview
id="base"
pagecolor="#ffffff"
bordercolor="#666666"
borderopacity="1.0"
inkscape:pageopacity="0.0"
inkscape:pageshadow="2"
inkscape:zoom="1.4"
inkscape:cx="283.92187"
inkscape:cy="572.83794"
inkscape:document-units="mm"
inkscape:current-layer="layer1"
inkscape:document-rotation="0"
showgrid="true"
inkscape:window-width="1533"
inkscape:window-height="1205"
inkscape:window-x="46"
inkscape:window-y="72"
inkscape:window-maximized="0">
<inkscape:grid
type="xygrid"
id="grid3326" />
</sodipodi:namedview>
<metadata
id="metadata3321">
<rdf:RDF>
<cc:Work
rdf:about="">
<dc:format>image/svg+xml</dc:format>
<dc:type
rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
<dc:title></dc:title>
</cc:Work>
</rdf:RDF>
</metadata>
<g
inkscape:label="Layer 1"
inkscape:groupmode="layer"
id="layer1">
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 13.229167,137.58333 140.229163,0"
id="path3328"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#000000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 89.958333,60.854167 -10e-7,89.958333"
id="path3330"
sodipodi:nodetypes="cc" />
<path
style="fill:none;stroke:#0000fd;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 39.6875,134.9375 c 8.819679,-0.88197 17.639125,-1.76392 23.371649,-7.93753 5.732525,-6.17362 8.378306,-17.63867 12.347184,-24.69437 3.968877,-7.055707 9.260438,-9.701488 14.552264,-9.701355 5.291825,1.32e-4 10.583383,2.645913 14.552033,9.701655 3.96866,7.05574 6.61444,18.52079 13.22923,24.69427 6.6148,6.17348 17.19792,7.05541 27.78097,7.93733"
id="path3332"
inkscape:path-effect="#path-effect3334"
inkscape:original-d="m 39.6875,134.9375 c 8.819708,-0.88168 17.639154,-1.76363 26.458332,-2.64584 2.646151,-11.46524 5.291932,-22.93029 7.9375,-34.395828 5.292037,-2.645622 10.583598,-5.291402 15.875,-7.9375 5.292037,2.646151 10.583598,5.291931 15.874998,7.9375 2.64615,11.465768 5.29193,22.930818 7.9375,34.395828 10.58381,0.88223 21.16693,1.76416 31.75,2.64584" />
<path
style="fill:none;stroke:#ff0000;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
d="m 29.104166,134.9375 c 5.291881,-0.88198 10.583548,-1.76393 14.552203,-12.78831 3.968654,-11.02439 6.614435,-32.19063 8.819381,-43.215084 2.204946,-11.024455 3.968799,-11.906382 5.732811,-11.906267 1.764013,1.14e-4 3.527867,0.882041 4.409652,11.906611 0.881786,11.02457 0.881786,32.19081 14.993319,43.65598 14.111533,11.46517 42.333198,13.22903 70.555128,14.9929"
id="path3897"
inkscape:path-effect="#path-effect3899"
inkscape:original-d="m 29.104166,134.9375 c 5.291931,-0.88168 10.583598,-1.76363 15.875,-2.64584 2.646151,-21.16682 5.291931,-42.333063 7.9375,-63.499994 1.764189,-0.881698 3.528041,-1.763625 5.291667,-2.645834 1.764188,0.882227 3.528041,1.764155 5.291666,2.645834 2.65e-4,21.167354 2.65e-4,42.333594 0,63.499994 28.223051,1.76419 56.444711,3.52805 84.666661,5.29167" />
</g>
</svg>

After

Width:  |  Height:  |  Size: 4.5 KiB