fpga-lab-2/Top/niosII/niosII_inst.vhd

16 lines
659 B
VHDL
Raw Normal View History

component niosII is
2022-10-18 16:52:06 +03:00
port (
clk_clk : in std_logic := 'X'; -- clk
conduit_end_writeresponsevalid_n : out std_logic; -- writeresponsevalid_n
reset_reset_n : in std_logic := 'X' -- reset_n
2022-10-18 16:52:06 +03:00
);
end component niosII;
u0 : component niosII
2022-10-18 16:52:06 +03:00
port map (
clk_clk => CONNECTED_TO_clk_clk, -- clk.clk
conduit_end_writeresponsevalid_n => CONNECTED_TO_conduit_end_writeresponsevalid_n, -- conduit_end.writeresponsevalid_n
reset_reset_n => CONNECTED_TO_reset_reset_n -- reset.reset_n
2022-10-18 16:52:06 +03:00
);